A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Ha-Hc Hd-Hf Hg-Hi Hj-Hl Hm-Ho Hp-Hr Hs-Hu Hv-Hx Hy-Hz
Inventor's name | Country | City/State | Last publication | Number of Patent applications |
---|---|---|---|---|
Su-Wook Ha | KR | Daejeon | 2013-08-15 / 20130211718 - APPARATUS AND METHOD FOR PROVIDING INDOOR NAVIGATION SERVICE | 1 |
Wai-Leung Ha | CN | Hong Kong | 2009-10-01 / 20090242651 - Local Comfort Zone Control | 2 |
Sooyong Ha | CA | Montreal | 2010-04-15 / 20100093320 - METHODS AND SYSTEMS FOR PROVIDING A NAME-BASED COMMUNICATION SERVICE | 1 |
Yiu Wing Ha | CN | Hong Kong | 2010-06-17 / 20100148688 - LAMP MODULE AND LIGHTING DEVICE COMPRISING SUCH A LAMP MODULE | 1 |
Sanghoom Ha | JP | Kanagawa | 2011-12-08 / 20110298022 - MANUFACTURING METHOD FOR SOLID-STATE IMAGE PICKUP DEVICE, SOLID-STATE IMAGE PICKUP DEVICE AND IMAGE PICKUP APPARATUS | 1 |
Dong-Sik Ha | KR | Seoul | 2012-06-21 / 20120159152 - METHOD AND APPARATUS FOR SMART-KEY MANAGEMENT | 2 |
Gerry Ha | CA | Vaughan | 2015-12-24 / 20150367547 - MOVING APPARATUS FOR AN INJECTION MOLDING MACHINE | 2 |
Jian-Yu Ha | TW | Taichung County | 2010-12-02 / 20100306794 - METHOD AND DEVICE FOR CHANNEL MANAGEMENT | 2 |
Wan Kei Ricky Ha | CN | Shanghai | 2014-03-27 / 20140087043 - APPARATUS AND METHOD FOR PREPARING A BEVERAGE FROM A SOLVENT AND INGREDIENTS | 3 |
Lawrence Ha | CA | Scarborough | 2011-03-03 / 20110055330 - THIRD PARTY E-MAIL MARKETING INTEGRATION | 1 |
Wai-Leung Ha | HK | Wanchai | 2010-05-06 / 20100114382 - Determination of the Type of Heaving, Ventilating, and Air Conditioning (HVAC) System | 1 |
Ping Ha | CN | Hong Kong | 2015-07-30 / 20150215260 - METHOD FOR GUARANTEEING COMMUNICATION LIABILITY | 1 |
Somhyung Ha | US | La Jolla | 2015-07-30 / 20150209586 - INTEGRATED NANOWIRE ARRAY DEVICES FOR DETECTING AND/OR APPLYING ELECTRICAL SIGNALS TO TISSUE | 1 |
Jong-Heon Ha | KR | Gyeongsangnam-Do | 2014-12-18 / 20140369533 - ELECTRONIC DEVICE WITH SIDE ACOUSTIC EMISSION TYPE SPEAKER DEVICE | 1 |
Indae Ha | KR | Gyeonggi-Do | 2014-09-04 / 20140250285 - INTER-DOMAIN MEMORY COPY METHOD AND APPARATUS | 1 |
Kyoung Pyo Ha | KR | Seongnam-Si | 2016-04-21 / 20160108826 - ASYMMETRY CDA ENGINE | 1 |
Jun Seok Ha | US | Oviedo | 2014-04-17 / 20140103361 - HIGH BRIGHTNESS LIGHT EMITTING DIODE COVERED BY ZINC OXIDE LAYERS ON MULTIPLE SURFACES GROWN IN LOW TEMPERATURE AQUEOUS SOLUTION | 1 |
Ilseon Ha | KR | Suwon-Si | 2014-12-18 / 20140368708 - IMAGE SENSOR, IMAGE SIGNAL PROCESSOR AND ELECTRONIC DEVICE INCLUDING THE SAME | 1 |
Bao Ha | US | San Ramon | 2014-10-30 / 20140318179 - Process And Apparatus For The Separation Of Air By Cryogenic Distillation | 24 |
Seung-Hwa Ha | KR | Osan-Si | 2015-01-29 / 20150029435 - BOTTOM CHASSIS, METHOD OF MANUFACTURING THE SAME, AND DISPLAY APPARATUS INCLUDING THE SAME | 4 |
Sangta Ha | US | Princeton | 2013-08-29 / 20130226669 - System and Methods for Time Dependent Internet Pricing | 1 |
Thinh Nguyen Ha | US | Cincinnati | 2013-11-28 / 20130313155 - MODULAR PACKAGE | 3 |
Jun Seok Ha | KR | Seoul | 2011-11-10 / 20110272723 - Rod Type Light Emitting Device And Method For Fabricating The Same | 2 |
Hyung-Seok Ha | KR | Seoul | 2014-05-15 / 20140134454 - MULTI-LAYER COMPOSITE WITH METAL-ORGANIC LAYER | 2 |
Jung Ik Ha | KR | Seoul | 2015-08-20 / 20150236625 - APPARATUS AND METHOD OF DRIVING A PLURALITY OF PERMANENT MAGNET SYNCHRONOUS MOTORS USING SINGLE INVERTER | 8 |
Seung Hwan Ha | KR | Seoul | 2013-03-28 / 20130080684 - ADAPTER HAVING HIGH SPEED STORAGE DEVICE | 2 |
Sang-Kwon Ha | KR | Yongin-City | 2014-11-27 / 20140347381 - DATA PROCESSING METHOD AND A DISPLAY DEVICE USING THEREOF | 9 |
Yong-Dae Ha | KR | Asan-Si | 2015-10-01 / 20150279787 - SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME | 3 |
Ni Na Ha | KR | Seoul | 2010-02-25 / 20100048570 - THIAZOLIDINE DERIVATIVES AND METHODS FOR THE PREPARATION THEREOF | 1 |
Yong-Jin Ha | KR | Seoul | 2010-01-21 / 20100017277 - System and Method for Electronic Coupon Service Using Smart Card | 1 |
Joo Young Ha | KR | Seoul | 2012-02-23 / 20120042664 - Refrigerator and control method thereof | 2 |
Seung-Bum Ha | KR | Seoul | 2009-11-26 / 20090292117 - PREPARATION METHOD OF 2-DEOXY-L-RIBOSE | 1 |
Sang-Hoon Ha | KR | Seoul | 2013-12-05 / 20130322749 - METHOD OF COMPRESSING A BLOCK-BASED BINARY IMAGE | 4 |
Tae Jung Ha | KR | Seoul | 2009-08-20 / 20090208793 - HYBRID TYPE POWER SUPPLYING APPARATUS | 1 |
Sang Woo Ha | KR | Seoul | 2009-01-15 / 20090016189 - RECORDING/REPRODUCING APPARATUS, METHOD OF REPRODUCING DATA, AND SERVO CONTROLLING METHOD | 1 |
Sung Woong Ha | KR | Gyeonggi-Do | 2010-11-18 / 20100290411 - METHOD OF ALLOCATING RADIO RESOURCE IN BROADBAND WIRELESS ACCESS SYSTEM | 5 |
Sung Woong Ha | KR | Anyang-Si | 2011-01-20 / 20110013573 - METHOD FOR REQUESTING BANDWIDTH IN A WIRELESS ACCESS SYSTEM | 4 |
Kon Ha | KR | Seoul | 2008-09-25 / 20080230389 - Electrochemical Detector Integrated on Microfabricated Capillary Electrophoresis Chip and Method of Manufacturing the Same | 1 |
Ho-Jin Ha | KR | Seoul | 2012-07-26 / 20120189061 - METHOD AND APPARATUS FOR EFFECTIVELY COMPRESSING MOTION VECTORS IN VIDEO CODER BASED ON MULTI-LAYER | 4 |
Joohun Ha | KR | Seoul | 2008-08-28 / 20080207614 - QUINAZOLINE DERIVATIVES FOR THE TREATMENT AND PREVENTION OF DIABETES AND OBESITY | 1 |
Heon-Phil Ha | KR | Seoul | 2014-01-30 / 20140030532 - METHOD OF FORMING METAL THIN FILM USING ELECTROLESS DEPOSITION AND THIN FILM DEVICE FABRICATED USING THE METHOD | 4 |
Yong Gu Ha | KR | Seoul | 2014-09-18 / 20140267421 - TERMINAL AND METHOD FOR PROVIDING AUGMENTED REALITY | 3 |
Young-Youl Ha | KR | Seoul | 2013-11-07 / 20130297043 - CONTROL SYSTEM THAT IS RECONFIGURABLE DURING OPERATION, AND METHOD THEREFOR | 3 |
Dong-Woo Ha | KR | Hwaseong-Si | 2015-07-02 / 20150182090 - ROBOT CLEANER | 8 |
Yongho Ha | KR | Hwaseong-Si | 2010-09-16 / 20100230281 - THIN FILM FORMING APPARATUS | 1 |
Jung-Woo Ha | KR | Hwaseong-Si | 2010-07-22 / 20100182155 - DEVICE AND METHOD FOR DETECTING LOADING OF BATTERY | 1 |
Yong Ho Ha | KR | Hwaseong-Si | 2015-09-24 / 20150270177 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 8 |
Tae Hee Ha | KR | Hwaseong-Si | 2015-10-22 / 20150299185 - NOVEL IMIDAZOPYRIDINE DERIVATIVES AS A TYROSINE KINASE INHIBITOR | 6 |
Hoi Jin Ha | KR | Seoul | 2010-04-15 / 20100091368 - Stereographic imaging device using two lcd panel having same polarizing angle | 3 |
Jong Kang Ha | KR | Seoul | 2010-03-25 / 20100071223 - HAIR DRYER | 1 |
Jeong-Sook Ha | KR | Seoul | 2015-05-14 / 20150131239 - Three Dimensional Stretchable Electronic Device and Manufacturing Method Comprising the Same | 2 |
Jae-Hwan Ha | KR | Seoul | 2009-05-14 / 20090121219 - Carbon nanotubes, method of growing the same, hybrid structure and method of growing the hybrid structure, and light emitting device | 1 |
Tae-Hong Ha | KR | Hwaseong-Si | 2010-03-18 / 20100068881 - Method of forming metallization in a semiconductor device using selective plasma treatment | 1 |
Jin-Seok Ha | KR | Hwaseong-Si | 2009-12-10 / 20090304017 - APPARATUS AND METHOD FOR HIGH-SPEED PACKET ROUTING SYSTEM | 1 |
Thanh Huy Ha | US | Milpitas | 2016-04-28 / 20160116420 - Automated Pattern Fidelity Measurement Plan Generation | 2 |
Taejung Ha | KR | Icheon | 2015-08-13 / 20150228896 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Kyoung Jin Ha | KR | Seoul | 2009-03-19 / 20090075008 - Photocurable composition for the formation of pressure-sensitive adhesive layer and dicing tape produced using the same | 1 |
Chong-Eui Ha | KR | Seoul | 2009-03-12 / 20090067974 - END EFFECTOR AND ROBOT FOR TRANSFERRING A SUBSTRATE HAVING THE SAME | 2 |
Taeok Ha | KR | Seoul | 2008-08-21 / 20080198101 - PLASMA DISPLAY APPARATUS AND METHOD OF DRIVING THE SAME | 1 |
Kilho Ha | KR | Seoul | 2015-12-17 / 20150365587 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 2 |
Tai Hwan Ha | KR | Seoul | 2009-01-22 / 20090022765 - COSMETIC PIGMENT COMPOSITION CONTAINING GOLD OR SILVER NANO-PARTICLES | 1 |
Hae Soo Ha | KR | Gyeonggi-Do | 2013-11-21 / 20130307007 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Woo Jin Ha | KR | Yongin-Si | 2014-12-11 / 20140364520 - COMPOSITION FOR PAINTING AND VARNISHING PARTS OF VEHICLE AND VARNISHING PARTS OF VEHICLE USING THE SAME | 1 |
Jong Wook Ha | KR | Seo-Gu | 2009-06-18 / 20090155581 - Per-Fluoro Polyether Compound, Antifouling Coating Composition and Film Containing Same | 1 |
Kyung Soo Ha | KR | Pohang-Si | 2014-12-11 / 20140365032 - APPARATUS, METHOD, AND SYSTEM FOR ASSISTING REMOTE PARKING | 1 |
Jungmin Ha | KR | Seoul | 2015-10-22 / 20150303348 - SELECTIVE EMITTER SOLAR CELL | 9 |
Yongho Ha | KR | Kyeonggi-Do | 2011-05-12 / 20110108794 - Phase Changeable Memory Devices | 2 |
Chi-Jung Ha | KR | Gyeonggi-Do | 2013-10-31 / 20130290746 - SYSTEM, APPARATUS, AND METHOD OF PERFORMING CHARGING OPERATION IN HOST MODE | 1 |
Yongho Ha | KR | Hwasung-Si | 2010-05-20 / 20100124800 - VARIABLE RESISTANCE MEMORY DEVICE, METHOD OF FABRICATING THE SAME, AND MEMORY SYSTEM INCLUDING THE SAME | 1 |
Tae-Hong Ha | KR | Kyungki-Do | 2008-10-30 / 20080268598 - SEMICONDUCTOR DEVICE HAVING SILICIDE LAYERS AND METHOD OF FABRICATING THE SAME | 1 |
Jeong-Oh Ha | KR | Hwaseong-Si | 2014-10-02 / 20140291868 - STACK TYPE SEMICONDUCTOR PACKAGE | 3 |
Taeyoung Ha | KR | Yongin | 2013-12-12 / 20130330007 - CONVERSION OF CAMERA SETTINGS TO REFERENCE PICTURE | 1 |
Young-Geun Ha | US | Evanston | 2016-03-10 / 20160072085 - Organic-Inorganic Hybrid Multilayer Gate Dielectrics for Thin Film Transistors | 3 |
Jong Soo Ha | KR | Seoul | 2011-11-24 / 20110285577 - FORWARD-LOOKING 3D IMAGING RADAR AND METHOD FOR ACQUIRING 3D IMAGES USING THE SAME | 1 |
Tae Joon Ha | KR | Kyunggi-Do | 2009-10-22 / 20090262015 - MOBILE COMMUNICATION DEVICE POSITIONING SYSTEM AND METHOD FOR ENHANCING POSITION MEASUREMENT BY SELF LEARNING ALGORITHM | 2 |
Jeong-Kyu Ha | KR | Hwaseong-Si | 2016-04-21 / 20160111299 - Methods of Fabricating Tape Film Packages | 15 |
Seong Jong Ha | KR | Seoul | 2016-04-21 / 20160110909 - METHOD AND APPARATUS FOR CREATING TEXTURE MAP AND METHOD OF CREATING DATABASE | 3 |
Eun Ju Ha | KR | Seongnam-Si | 2009-02-12 / 20090038473 - Air filter, elevator having the same and air conditioning control method thereof | 1 |
Byeong-Ju Ha | KR | Seongnam-Si | 2009-05-07 / 20090115555 - TUNABLE RESONATOR AND TUNABLE FILTER | 1 |
Do-Young Ha | KR | Seongnam-Si | 2009-08-20 / 20090209268 - LOCATION SENSING SYSTEM AND METHOD FOR MOBILE COMMUNICATION SYSTEM | 4 |
Jin-Young Ha | KR | Chuncheon | 2012-12-06 / 20120310737 - METHOD FOR PROVIDING ADVERTISEMENT, COMPUTER-READABLE MEDIUM INCLUDING PROGRAM FOR PERFORMING THE METHOD AND ADVERTISEMENT PROVIDING SYSTEM | 1 |
Seong Min Ha | KR | Chungcheongbuk-Do | 2011-08-04 / 20110185946 - Porous composite comprising silicon-substituted hydroxyapatite and - tricalcium phosphate, and process for preparing the same | 2 |
Sang Hoon Ha | KR | Suwon | 2014-06-26 / 20140176225 - RADIO FREQUENCY SWITCH CIRCUIT | 8 |
Tae-Sook Ha | KR | Anyang-Si | 2013-03-14 / 20130065615 - LOCATION MEASURING METHOD AND APPARATUS USING ACCESS POINT FOR WIRELESS LOCAL AREA NETWORK SERVICE | 3 |
Tae Uk Ha | KR | Seoul | 2012-12-06 / 20120305976 - LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME | 1 |
Byeoung-Ju Ha | KR | Seongnam-Si | 2014-03-20 / 20140077897 - RESONATOR AND FABRICATION METHOD THEREOF | 4 |
Yoon-Jeong Ha | KR | Seongnam-Si | 2012-12-20 / 20120322482 - CONNECTION CONTROL APPARATUS AND METHOD FOR MOBILE COMMUNICATION SYSTEM | 4 |
Chang Woo Ha | KR | Seongnam-Si | 2010-10-28 / 20100271251 - Serial Interface, Apparatus Including the Same, and Method of Using the Same | 3 |
Kyeongmin Ha | KR | Seongnam-Si | 2013-07-25 / 20130187694 - DIGITAL RE-SAMPLING APPARATUS USING FRACTIONAL DELAY GENERATOR | 3 |
Jonghun Ha | KR | Seoul | 2015-07-09 / 20150192129 - ROTARY COMPRESSOR, METHOD OF MANUFACTURING A ROTARY COMPRESSOR, AND APPARATUS FOR MANUFACTURING A ROTARY COMPRESSOR | 1 |
Youngwan Ha | KR | Seoul | 2016-04-21 / 20160107141 - SOLID SUPPORT INCLUDING A POLYMER AND USE THEREOF | 1 |
Juhwa Ha | KR | Asan-Si | 2015-02-05 / 20150036320 - DISPLAY DEVICE | 10 |
Sun Kyoung Ha | KR | Gyeongju-Si | 2015-01-15 / 20150014019 - 3-COAXIAL SUPERCONDUCTING POWER CABLE AND CABLE'S STRUCTURE | 1 |
Jin-Uk Ha | KR | Uiwang-Si | 2014-12-25 / 20140378598 - Thermoplastic Resin Composition | 3 |
Duong Huy Ha | US | Santa Monica | 2013-05-16 / 20130122975 - RADIATION PROTECTOR FOR MOBILE DEVICES | 2 |
Ji Hun Ha | KR | Seoul | 2015-01-15 / 20150018027 - APPARATUS AND METHOD FOR INTER-VEHICLE COMMUNICATION | 2 |
Sang-Cheol Ha | KR | Suwon-Si | 2014-05-29 / 20140144380 - GAS SUPPLY PIPES AND CHEMICAL VAPOR DEPOSITION APPARATUS | 3 |
Catherine P. Ha | US | Westminster | 2013-05-23 / 20130131611 - MEDICAL DEVICE RECEPTACLE FILLING METHOD AND APPARATUS | 2 |
Kwang Soon Ha | KR | Daejeon | 2015-04-23 / 20150108128 - COMBUSTION CONTROLLER FOR COMBUSTIBLE GAS | 2 |
Kyung-Doo Ha | KR | Yongin-Si | 2011-12-01 / 20110290276 - Laser Cleaning Device for Electrode Tab of Battery and Laser Cleaning Method Using the Same | 1 |
Tae-Won Ha | KR | Seongnam-Si | 2016-05-05 / 20160126351 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 11 |
Ji Hoon Ha | KR | Suwon-Si | 2015-04-30 / 20150114014 - AIR CONDITIONER AND METHOD OF CONTROLLING THE SAME | 11 |
Seungseok Ha | KR | Hwaseong-Si | 2016-04-21 / 20160111524 - SEMICONDUCTOR DEVICES INCLUDING A GATE CORE AND A FIN ACTIVE CORE AND METHODS OF FABRICATING THE SAME | 1 |
Tae Jun Ha | KR | Daegu | 2010-11-18 / 20100287931 - MASTER CYLINDER FOR A VEHICLE | 1 |
Se Geun Ha | KR | Gumi-Si | 2015-07-16 / 20150197874 - DEVICE FOR GROWING MONOCRYSTALLINE SILICON AND METHOD FOR MANUFACTURING THE SAME | 1 |
Joo-Young Ha | KR | Suwon-Si | 2016-02-11 / 20160040922 - Refrigerator | 1 |
Seok Ha | KR | Seoul | 2016-04-21 / 20160107228 - INJECTION MOLD FOR ROTARY-TYPE GRAVITY CASTING AND GRAVITY CASTING METHOD USING THE SAME | 1 |
Kyung-Soo Ha | KR | Hwaseongi-Si | 2016-05-12 / 20160134285 - ON-DIE TERMINATION CIRCUIT AND ON-DIE TERMINATION METHOD | 1 |
Tae Ok Ha | KR | Seongnam-Si | 2016-05-12 / 20160134326 - METHOD AND APPARATUS FOR CONTROLLING POWER SOURCE SEMICONDUCTOR | 1 |
Sang Su Ha | KR | Seongnam-Si | 2015-07-23 / 20150205697 - SOFTWARE DEVELOPMENT APPARATUS AND METHOD | 1 |
Hong Ha | AU | New South Wales | 2012-09-13 / 20120231465 - NUCLEIC ACID QUANTITATION METHOD | 1 |
Ye-Sul Ha | KR | Gyeonggi-Do | 2015-07-23 / 20150207847 - COMMUNICATION APPARATUS, METHOD, AND SYSTEM FOR USING MULTIMEDIA SERVICE | 1 |
Khoi-Nguyen Ha | FR | Bagneux | 2009-04-30 / 20090111207 - METHOD OF FABRICATING AN INTEGRATED DETECTION BIOSENSOR | 1 |
Suk-Woo Ha | CH | Langwiesen | 2012-06-28 / 20120165924 - Method and Device for Treatment of Mitral Insufficiency | 3 |
Chong-Kyu Ha | KR | Seoul | 2009-06-04 / 20090139978 - WATER/POWDERED MILK MIXING DEVICE FOR NURSING | 1 |
Byung-Hak Ha | KR | Seoul | 2009-07-02 / 20090170117 - THREE-DIMENSIONAL STRUCTURE OF FabK PROTEIN AND METHOD OF DEVELOPING A FabK PROTEIN INHIBITOR USING THE SAME | 1 |
Jun-Hyung Ha | KR | Seoul | 2012-08-30 / 20120218585 - IMAGE FORMING METHOD AND SYSTEM USING XHTML-PRINT DATA | 3 |
Keunsoo Ha | KR | Seoul | 2013-01-10 / 20130009589 - SYSTEM AND METHOD FOR CONTROLLING FOUR-QUADRANT OPERATION OF A SWITCHED RELUCTANCE MOTOR DRIVE THROUGH A SINGLE CONTROLLABLE SWITCH | 2 |
Chang Su Ha | KR | Seoul | 2015-12-03 / 20150344982 - LANCE AND OPERATION METHOD USING THE SAME | 1 |
Jung-Pil Ha | KR | Seoul | 2009-11-05 / 20090276411 - ISSUE TREND ANALYSIS SYSTEM | 1 |
Jun-Yong Ha | KR | Seoul | 2010-03-18 / 20100069483 - DUAL INHIBITION OF CYCLOOXYGENASE-2 AND CARBONIC ANHYDRASE | 2 |
Chul-Won Ha | KR | Seoul | 2010-07-22 / 20100184218 - Isolation and Culture-Expansion Methods of Mesenchymal Stem/Progenitor Cells From Umbilical Cord Blood, And Differentiation Method of Umbilical Cord Blood-Derived Meschymal Stem/Progenitor Cells Into Various Mesenchymal Tissues | 4 |
Jin-Su Ha | KR | Seoul | 2013-05-16 / 20130122402 - ELECTRODE FOR FUEL CELL, METHOD OF PREPARING THE ELECTRODE, CATALYST SLURRY, AND FUEL CELL INCLUDING THE ELECTRODE | 7 |
Sung Do Ha | KR | Seoul | 2010-09-02 / 20100219975 - DIGITAL CARD SYSTEM BASED ON PLACE RECOGNITION FOR SUPPORTING COMMUNICATION | 1 |
Jung-Min Ha | KR | Seoul | 2010-09-30 / 20100242275 - METHOD OF MANUFACTURING AN INSPECTION APPARATUS FOR INSPECTING AN ELECTRONIC DEVICE | 1 |
Hae Soo Ha | KR | Suwon-Si | 2014-07-10 / 20140191194 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 2 |
Hong Soo Ha | KR | Changwon-City | 2008-09-11 / 20080220976 - Method And Apparatus For Manufacturing Superconducting Tape Through Integrated Process | 1 |
John L. Ha | US | Flushing | 2014-06-26 / 20140173874 - THEFT DETERRENT DEVICE FOR PRODUCT DISPLAY SYSTEMS | 1 |
Jae Ok Ha | KR | Seoul | 2010-10-14 / 20100263014 - APPARATUS AND METHOD FOR PROCESSING BROADCASTING DATA IN IP BROADCASTING RECEIVER | 1 |
Bo Kyung Ha | KR | Gyeongsangnam-Do | 2014-06-19 / 20140170720 - METHOD FOR PRODUCING RETINOID FROM MICROORGANISM | 1 |
Daewon Ha | KR | Seoul | 2016-05-19 / 20160141392 - METHODS OF MANUFACTURING FINFET SEMICONDUCTOR DEVICES USING SACRIFICIAL GATE PATTERNS AND SELECTIVE OXIDIZATION OF A FIN | 14 |
In Hye Ha | KR | Yongin-Si | 2015-12-03 / 20150342870 - Skin External Composition for Skin Moisturization Containing Red Yeast Rice Extract | 1 |
Joung Ho Ha | KR | Cheongwon-Gun | 2014-12-11 / 20140363703 - SECONDARY BATTERY PACK OF COMPACT STRUCTURE | 4 |
Viet Thuc Ha | US | Milpitas | 2016-02-04 / 20160034466 - PERSONALIZED SEARCH USING SEARCHER FEATURES | 3 |
Sangbum Ha | KR | Seoul | 2015-12-03 / 20150348495 - WEARABLE DEVICE AND METHOD OF CONTROLLING THE SAME | 1 |
Wonkyu Ha | KR | Paju-Si | 2016-04-28 / 20160117991 - Data Driver and Organic Light Emitting Diode Display Device Using The Same | 1 |
Tae-Ho Ha | KR | Daejeon | 2013-10-03 / 20130256911 - SEMICONDUCTOR CHIP STACK PACKAGE AND MANUFACTURING METHOD THEREOF | 2 |
Doyong Ha | KR | Seoul | 2015-01-08 / 20150007598 - COOLING SYSTEM AND CONTROL METHOD THEREOF | 4 |
Kyoung-Ho Ha | KR | Hwasung City | 2013-12-26 / 20130346678 - MEMORY EXPANDING DEVICE | 1 |
Seung-Hwa Ha | KR | Gyeonggi-Do | 2015-03-19 / 20150077970 - LIGHT SOURCE, A METHOD OF MANUFACTURING THE SAME, AND A BACKLIGHT UNIT HAVING THE SAME | 1 |
Jaemin Ha | KR | Yongin-Si | 2013-10-24 / 20130278790 - IMAGE DISPLAY SYSTEM AND METHOD OF DRIVING THE SAME | 1 |
Che Hub Ha | US | New Hyde Park | 2014-04-10 / 20140100599 - BAND FOR PREVENTING WRINKLES | 2 |
Jong Chul Ha | KR | Jeju-Do | 2016-04-28 / 20160117828 - METHOD FOR ESTIMATING POSITION OF TARGET BY USING IMAGES ACQUIRED FROM CAMERA AND DEVICE AND COMPUTER-READABLE RECORDING MEDIUM USING THE SAME | 1 |
Ji-Hoon Ha | KR | Gwacheon-Si | 2014-07-17 / 20140201794 - APPLICATION EXECUTION ON A SERVER FOR A TELEVISION DEVICE | 2 |
Hongju Ha | KR | Busan | 2013-01-24 / 20130020594 - SEMICONDUCTOR TEMPLATE SUBSTRATE, LIGHT-EMITTING ELEMENT USING A SEMICONDUCTOR TEMPLATE SUBSTRATE, AND A PRODUCTION METHOD THEREFOR | 1 |
Kyoung-Ho Ha | KR | Seocho-Gu | 2011-10-06 / 20110243492 - SILICON BASED OPTICAL MODULATORS AND METHODS OF FABRICATING THE SAME | 2 |
Yusol Ha | KR | Seoul | 2014-01-16 / 20140018053 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 4 |
Kyoung Ho Ha | KR | Seoul | 2016-03-31 / 20160094014 - Hybrid Silicon Lasers on Bulk Silicon Substrates | 34 |
In Yong Ha | KR | Seoul | 2015-03-19 / 20150081094 - GENERAL-PURPOSE PERIPHERAL INTERFACE, A METHOD OF INTERFACING, AND AN APPARATUS HAVING THE SAME FOR CONTROLLING A ROBOT | 1 |
Hae Soo Ha | KR | Hwaseong | 2013-01-24 / 20130020599 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Jeunguk Ha | KR | Seoul | 2015-10-29 / 20150311940 - MOBILE TERMINAL CASE AND MOBILE TERMINAL | 6 |
Kyung Su Ha | KR | Gwangju | 2016-03-03 / 20160062175 - NANOCAPSULE LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Sanghyeon Ha | KR | Daejeon | 2015-04-09 / 20150099877 - ELECTRODE ACTIVE MATERIAL CONTAINING HETEROCYCLIC COMPOUND FOR LITHIUM SECONDARY BATTERY, AND LITHIUM SECONDARY BATTERY CONTAINING THE SAME | 1 |
Junghyeong Ha | KR | Seoul | 2013-01-17 / 20130017867 - MOBILE TERMINALAANM LEE; SangmanAACI SeoulAACO KRAAGP LEE; Sangman Seoul KRAANM Park; JinaAACI IncheonAACO KRAAGP Park; Jina Incheon KRAANM Ha; JunghyeongAACI SeoulAACO KRAAGP Ha; Junghyeong Seoul KRAANM Jung; ByungwoonAACI SeoulAACO KRAAGP Jung; Byungwoon Seoul KRAANM Kwon; YoungbaeAACI IncheonAACO KRAAGP Kwon; Youngbae Incheon KRAANM Yun; ChangwonAACI Gyeonggi-DoAACO KRAAGP Yun; Changwon Gyeonggi-Do KR | 1 |
Joo Young Ha | KR | Suwon | 2013-02-28 / 20130052875 - CONNECTOR AND CONNECTOR MODULE, AND ELECTRONIC APPARATUS HAVING THE SAME | 11 |
Wha Ja Ha | KR | Seoul | 2013-01-17 / 20130014705 - INTERCHANGEABLE PET HARNESS APPAREL | 1 |
Ho-Jin Ha | KR | Gangwon-Do | 2013-10-24 / 20130282877 - Apparatus and Method for Generating Bookmark in Streaming Service System | 1 |
Young-Ung Ha | KR | Suwon-Si | 2011-03-24 / 20110069121 - INKJET PRINTHEAD AND METHOD OF MANUFACTURING THE SAME | 11 |
In Woo Ha | KR | Seoul | 2014-06-05 / 20140152781 - DISPLAY APPARATUS AND METHOD | 8 |
Jimmy Ha | HK | Taipo Nt | 2009-03-19 / 20090075241 - Apparatus and method for a directional receiver | 1 |
In Woo Ha | KR | Seongnam-Si | 2011-02-10 / 20110032256 - Image processing apparatus and method | 7 |
Hyunpil Ha | KR | Changwon-Si | 2012-06-28 / 20120164935 - Air Conditioner | 2 |
Jung-Eun Ha | KR | Changwon-Si | 2013-10-17 / 20130269872 - DECORATIVE SHEET FOR DECORATING A SURFACE OF A THREE-DIMENSIONAL MOLDED MATERIAL, METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR DECORATING THE SURFACE OF THE MOLDED MATERIAL USING THE SAME | 1 |
Chang-Sik Ha | KR | Pusan | 2013-11-14 / 20130303766 - Organic-Inorganic Hybrid Mesoporous Silica Material Modified by Sulfonic Acid Group for Selective Adsorption of Metal Ions and Method of Manufacturing the Same | 2 |
Jin Woong Ha | KR | Daejeon | 2015-03-19 / 20150081233 - APPARATUS FOR ESTIMATING OSCILLATION WITHIN COMBUSTION DEVICE | 12 |
Gun Ho Ha | KR | Book-Gu | 2009-10-15 / 20090255083 - VACUUM CLEANER | 1 |
Jae-Sang Ha | KR | Cheonan-Si | 2012-12-27 / 20120327326 - FIELD EMISSION PANEL AND LIQUID CRYSTAL DISPLAY APPARATUS HAVING THE SAME | 1 |
Gun Ho Ha | KR | Changwon City | 2010-08-26 / 20100212105 - VACUUM CLEANER | 2 |
Gun Ho Ha | KR | Buk-Gu | / - | 2 |
Dong One Ha | KR | Daejeon | 2013-01-31 / 20130025400 - ROBOT FOR VIRTUAL REALITY EXPERIENCE THAT GENERATES VARIOUS 3D-WAVEFORMS OF THE NON-FIXED CURVED TRAJECTORY | 1 |
Eun Ha | KR | Uiwang-Si | 2012-12-27 / 20120325937 - TWO-STAGE FUEL INJECTION VALVE FOR A DIESEL ENGINE, COMPRISING A SOLENOID VALVE AND A SHUTTLE VALVE | 1 |
Kyungho Ha | KR | Gyeongsangnam-Do | 2013-07-11 / 20130174598 - REFRIGERATOR | 1 |
Hyeong-Seok Victor Ha | CA | North York | 2013-03-21 / 20130070050 - SYSTEM AND METHOD FOR CONVERTING TWO DIMENSIONAL TO THREE DIMENSIONAL VIDEO | 3 |
Yu-Mi Ha | KR | Yongin-Si | 2016-05-05 / 20160126528 - RECHARGEABLE BATTERY | 1 |
Jong-Bong Ha | KR | Yongin-Si | 2015-08-06 / 20150221746 - METHODS OF MANUFACTURING HIGH ELECTRON MOBILITY TRANSISTORS | 18 |
Tae Ho Ha | KR | Goyang-Si, Gyeonggi-Do | 2010-04-01 / 20100082020 - MEDICAL LASER APPARATUS HAVING CAPACITANCE SENSOR AND LASER EMISSION CONTROL DEVICE | 1 |
Jaewon Ha | KR | Yongin | 2012-12-27 / 20120326549 - FIXING A PERMANENT MAGNET IN A DRIVING MOTOR ROTOR | 2 |
Joo Young Ha | KR | Gyunggi-Do | 2013-06-27 / 20130162679 - APPARATUS AND METHOD FOR EMBODYING OVERLAY IMAGES USING MRLC | 3 |
Keun Soo Ha | KR | Suwon-Si | 2016-01-07 / 20160006380 - APPARATUS FOR DRIVING MOTOR AND CONTROLLING METHOD THEREOF | 2 |
Se-Geun Ha | KR | Gyeongbuk | 2012-06-14 / 20120145071 - SYSTEM OF CONTROLLING DIAMETER OF SINGLE CRYSTAL INGOT AND SINGLE CRYSTAL INGOT GROWING APPARATUS INCLUDING THE SAME | 1 |
Jeongseok Ha | KR | Seoul | 2015-12-31 / 20150377473 - LIGHTING APPARATUS | 3 |
Hung V. Ha | US | San Jose | 2016-03-24 / 20160082233 - BALLOON CATHETER ASSEMBLY | 30 |
Suk Won Ha | KR | Seoul | 2016-04-28 / 20160116934 - CLOCK CIRCUIT FOR GENERATING CLOCK SIGNAL AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE INCLUDING THE SAME | 1 |
Sung Ho Ha | KR | Ansan-Si | 2016-03-03 / 20160060159 - HIGH STRENGTH AND AESTHETIC LITHIUM DISILICATE CRYSTALLINE GLASS-CERAMICS CONTAINING CRISTOBALITE CRYSTAL AND PREPARATION METHOD THEREOF | 2 |
Soonmok Ha | KR | Hwaseong-Si | 2016-02-11 / 20160042965 - METHODS FOR FORMING FINE PATTERNS OF SEMICONDUCTOR DEVICE | 3 |
Ho-Jin Ha | KR | Suwon-Si | 2012-10-25 / 20120272281 - METHOD AND APPARATUS FOR TRANSMITTING MEDIA DATA, AND METHOD AND APPARATUS FOR RECEVING MEDIA DATA | 11 |
Jeong Min Ha | KR | Daejeon | 2015-12-03 / 20150349312 - SEPARATOR, METHOD FOR PRODUCING THE SAME AND ELECTROCHEMICAL DEVICE INCLUDING THE SAME | 22 |
Young-Soo Ha | KR | Changwon | 2010-04-01 / 20100077633 - Laundry dryer having fragrance storage and supply assembly | 4 |
Dong Gil Ha | KR | Hwaseong | 2012-06-07 / 20120143435 - METHOD FOR ESTIMATING REMAINING TRAVEL DISTANCE OF ELECTRIC VEHICLE | 1 |
Chang Gi Ha | KR | Hwaseong-Si | 2014-03-06 / 20140062098 - TWO STEP LINK HOOD LATCH APPARATUS FOR VEHICLE | 3 |
Kim Yun Ha | KR | Seoul | 2012-06-07 / 20120140470 - LIGHTING DEVICE | 2 |
Sanghoon Ha | KR | Seoul | 2011-04-21 / 20110091122 - Device and method of processing image data to be displayed on a display device | 1 |
Jungmin Ha | KR | Seoul | 2015-10-22 / 20150303348 - SELECTIVE EMITTER SOLAR CELL | 9 |
Kwang Ha | KR | Seoul | 2008-10-16 / 20080254969 - Method of Preparing Substrates - Molecular Sieve Layers Complex Using Ultrasound and Apparatuses Used Therein | 1 |
Dong Hwan Ha | KR | Seoul | 2011-01-20 / 20110016139 - PRINT APPARATUS AND METHOD OF CONTROLLING PRINTER | 1 |
Manhyo Ha | KR | Seoul | 2016-04-21 / 20160111560 - SOLAR CELL | 13 |
Hae Soo Ha | KR | Suwon | 2014-05-15 / 20140131759 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 2 |
In Woo Ha | KR | Suwon | 2014-05-01 / 20140118353 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Woojin Ha | JP | Kanagawa | 2016-05-05 / 20160122145 - SHEET TRANSPORT DEVICE AND IMAGE FORMING SYSTEM | 1 |
Jeongwoo Ha | KR | Gyeongsangnam-Do | 2012-06-28 / 20120164791 - Substrate for semiconductor package and method for manufacturing the same | 1 |
Kwang-Jun Ha | KR | Seoul | 2012-10-25 / 20120270553 - LOAD BALANCING IN FEMTOCELLS | 2 |
Sang Mo Ha | KR | Hwaseong-Si | 2016-05-19 / 20160138713 - SHIFT CONTROL METHOD FOR VEHICLE WITH DCT | 2 |
Seong Yong Ha | KR | Gyeonggi-Do | 2012-11-29 / 20120297984 - GAS SEPARATION MEMBRANE FOR DME PRODUCTION PROCESS | 1 |
Taesin Ha | KR | Seongnam-Si | 2016-02-04 / 20160036250 - BATTERY PACKS FOR PROVIDING DIFFERENT POWER SOURCES AND METHODS OF CHARGING BATTERY PACKS | 3 |
Hong Gil Ha | KR | Suwon-Si | 2014-08-21 / 20140236363 - WASHING MACHINE AND CONTROL METHOD THEREOF | 2 |
Sungwook Ha | KR | Seoul | 2013-06-06 / 20130141605 - MOBILE TERMINAL AND CONTROL METHOD FOR THE SAME | 1 |
Catherine P. Ha | US | Fountain Valley | 2015-03-12 / 20150073816 - COMPUTER-BASED OPERATING ROOM SUPPORT SYSTEM | 1 |
Hyesook Ha | KR | Pyeongtaek-Si | 2013-05-16 / 20130124652 - ELECTRONIC DEVICE AND METHOD FOR PROVIDING CONTENTS RECOMMENDATION SERVICE | 1 |
Sang-Wook Ha | KR | Kyungsangbook-Do | 2011-07-21 / 20110174869 - JOINING METHOD OF HIGH CARBON STEEL FOR ENDLESS HOT ROLLING AND THE APPARATUS THEREFOR | 1 |
Seong-Hae Ha | KR | Busan | 2014-06-26 / 20140176864 - BACKLIGHT UNIT FOR LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Sung Min Ha | KR | Seoul | 2016-03-31 / 20160091473 - GENERATOR CONDITION MONITORING DEVICE AND METHOD USING GASEOUS DECOMPOSITION PRODUCTS SENSOR | 1 |
Jong Joo Ha | KR | Daejeon | 2015-02-12 / 20150045526 - OLEFIN-BASED POLYMER AND PREPARATION METHOD THEREOF | 6 |
Kyoung Jin Ha | KR | Uiwang-Si | 2015-12-17 / 20150364690 - COMPOSITION FOR ENCAPSULATING ORGANIC LIGHT EMITTING DIODE DEVICE AND ORGANIC LIGHT EMITTING DIODE DISPLAY USING THE SAME | 19 |
Jang Ho Ha | KR | Daejeon | 2012-07-05 / 20120168636 - METHOD FOR PROCESSING 3D DISTRIBUTION IMAGE OF RADIATION SOURCE AND SYSTEM USING THE SAME | 1 |
Hyung Gi Ha | KR | Daejeon | 2015-12-31 / 20150382461 - PRINTED CIRCUIT BOARD AND METHOD FOR MANUFACTURING THE SAME | 3 |
Eun Ha | KR | Ulsan | 2013-06-20 / 20130156620 - FUEL INJECTION PUMP INCLUDING A VARIABLE PRESSURE COMPARTMENT | 3 |
Sungchul Ha | KR | Paju-Si | 2013-02-14 / 20130038597 - FLAT PANEL DISPLAY AND DRIVING CIRCUIT THEREOF | 1 |
Lam Chi Ha | US | Bothell | 2014-03-06 / 20140067442 - TRAVEL PACKAGES INCLUDING SEPARATELY PURCHASED TRAVEL ITEMS | 2 |
Kevin Jun Ha | US | Los Angeles | 2014-06-12 / 20140163658 - Implantable Package to Facilitate Inspection | 4 |
Ju-Hwa Ha | KR | Chungcheongnam-Do | 2013-02-14 / 20130039036 - BACKLIGHT ASSEMBLY AND DISPLAY APPARATUS HAVING THE SAME | 1 |
Sung-Chul Ha | KR | Gyeongsangbuk-Do | 2012-07-05 / 20120169744 - Power Supplying Unit and Liquid Crystal Display Device Including the Same | 1 |
Jeong Myeong Ha | KR | Seoul | 2016-01-28 / 20160024535 - ELECTROCHEMICAL DETOXIFICATION METHOD OF WOOD-BASED HYDROLYSATE FOR PRODUCING BIOCHEMICALS OR BIOFUELS, AND DETOXIFIED WOOD-BASED HYDROLYSATE | 11 |
Dong Ha | KR | Suwon-Si | 2012-12-27 / 20120326514 - POWER SUPPLY CONTROL APPARATUS AND POWER SUPPLY CONTROL SYSTEM HAVING THE SAME | 1 |
Chang Wan Ha | KR | Daejeon | 2013-11-07 / 20130292496 - MICRO FLOW CONTROL INJECTOR FOR USE IN ULTRAMICRO MONO-PROPELLANT BASED PNEUMATIC GENERATOR | 1 |
Sang Hoon Ha | KR | Yangju-Si | 2012-05-31 / 20120135168 - COOKING VESSEL PROCESSING METHOD AND COOKING VESSEL PROVIDED THEREBY | 1 |
Jeong Min Ha | KR | Seo-Gu | 2012-12-20 / 20120321819 - PRESSURE SENSITIVE ADHESIVE COMPOSITION | 1 |
Jung-Eun Ha | KR | Jinhae-Si | 2013-11-07 / 20130295336 - MIRROR-SURFACE SHEET COMPRISING TRANSPARENT PROJECTIONS HAVING AN INDEPENDENT STRUCTURE AND PRODUCTION METHOD THEREFOR | 1 |
Jung Su Ha | KR | Osan-Si | 2015-12-10 / 20150358043 - WEARABLE DEVICE, MAIN UNIT OF WEARABLE DEVICE, FIXING UNIT OF WEARABLE DEVICE, AND CONTROL METHOD OF WEARABLE DEVICE | 1 |
Duy Long Ha | FR | Grenoble | 2013-02-14 / 20130041478 - SYSTEM AND METHOD FOR MANAGING SERVICES IN A LIVING PLACE | 1 |
Manhyo Ha | KR | Seoul | 2016-04-21 / 20160111560 - SOLAR CELL | 13 |
Sang Woo Ha | KR | Seongnam | 2014-05-01 / 20140117391 - LED LENS AND LED PACKAGE USING THE SAME | 1 |
Young-Jin Ha | KR | Cheonan City | 2011-03-17 / 20110063062 - DISC WOUND TRANSFORMER WITH IMPROVED COOLING | 1 |
Taeyoung Ha | KR | Seoul | 2014-09-25 / 20140286278 - METHOD FOR TRANSMITTING AND RECEIVING A SIGNAL IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Tae Min Ha | KR | Seoul | 2015-11-12 / 20150326768 - CAMERA MODULE | 1 |
Jae Du Ha | KR | Daejeon | 2015-09-17 / 20150259350 - NOVEL TRIAZOLOPYRAZINE DERIVATIVE AND USE THEREOF | 3 |
Job Ha | KR | Suwon | 2014-05-22 / 20140138839 - POWER SEMICONDUCTOR MODULE | 5 |
Inwoo Ha | KR | Yongin-Si | 2015-11-12 / 20150325041 - IMAGE PROCESSING METHOD AND APPARATUS | 1 |
Tho T. Ha | US | San Jose | 2012-11-08 / 20120280934 - Simulating Single and Multi-Touch Events for Testing A Touch Panel | 1 |
Jin-Su Ha | KR | Cheonan-Si | 2015-04-23 / 20150111124 - CATALYST SLURRY FOR FUEL CELL, AND ELECTRODE, MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL USING THE SAME | 4 |
Tae Ho Ha | KR | Incheon | 2015-11-12 / 20150322359 - METHOD FOR PREPARING BIODIESEL | 1 |
Nhut Trung Ha | US | Burbank | 2014-02-20 / 20140048602 - Card Reader Anti-Skimming Assembly and Method | 2 |
Sang Hoon Ha | KR | Suwon | 2014-06-26 / 20140176225 - RADIO FREQUENCY SWITCH CIRCUIT | 8 |
Kwang-Soo Ha | KR | Seoul | 2016-04-21 / 20160112585 - SYSTEM AND METHOD FOR PROVIDING CLOUD PRINTING SERVICE | 19 |
Young Joo Ha | KR | Busan | 2013-04-18 / 20130092870 - COOLANT COMPOSITION FOR FUEL CELL | 1 |
Sucheol Ha | KR | Seoul | 2012-05-24 / 20120131447 - SYSTEM, METHOD AND APPARATUS OF PROVIDING/RECEIVING SERVICE OF PLURALITY OF CONTENT PROVIDERS AND CLIENT | 1 |
Kyungtae Ha | KR | Nam-Gu | 2012-05-24 / 20120128946 - FLOORING MATERIAL AND FABRICATION METHOD THEREOF | 1 |
Dong-Jin Ha | KR | Suwon | 2009-01-08 / 20090009943 - PLASMA DISPLAY DEVICE | 1 |
Chang Woo Ha | KR | Suwon | 2009-12-31 / 20090322247 - Dimming buck type LED driving apparatus | 1 |
Inwoo Ha | KR | Seoul | 2012-05-31 / 20120136817 - Data processing apparatus and method for motion synthesis | 2 |
Joo Young Ha | KR | Suwon | 2013-02-28 / 20130052875 - CONNECTOR AND CONNECTOR MODULE, AND ELECTRONIC APPARATUS HAVING THE SAME | 11 |
Jung Min Ha | KR | Gwangju-Si | 2010-12-09 / 20100307416 - Chemical Vapor Deposition Apparatus | 1 |
Kwang Jin Ha | KR | Suwon | 2011-03-17 / 20110063066 - SPACE TRANSFORMER FOR PROBE CARD AND METHOD OF REPAIRING SPACE TRANSFORMER | 1 |
Doo Soo Ha | KR | Gyeonggi-Do | 2016-04-28 / 20160119577 - DIGITAL-IMAGE TRANSMISSION APPARATUS WHICH PERFORMS COMMUNICATION, SELF-DIAGNOSIS, AND CONTROL | 1 |
Ji-Won Ha | KR | Seoul | 2010-07-01 / 20100169806 - Apparatus and method for creating widget in portable terminal | 1 |
Nam Ha | KR | Hwaseong-Si | 2016-05-19 / 20160138157 - THIN FILM DEPOSITION APPARATUS | 1 |
Tae Jong Ha | KR | Seoul | 2016-05-19 / 20160137072 - WIRELESS CHARGING SYSTEM | 1 |
Tae Ki Ha | KR | Seongnam-Si | 2015-03-05 / 20150067772 - APPARATUS, METHOD AND COMPUTER-READABLE STORAGE MEDIUM FOR PROVIDING NOTIFICATION OF LOGIN FROM NEW DEVICE | 1 |
Suwook Ha | KR | Daejeon | 2015-03-05 / 20150067598 - SYSTEM AND METHOD FOR DYNAMIC VISUALIZATION OF POI ATTRIBUTES AND METHOD FOR REFRESHING POI ATTRIBUTES | 1 |
Dong Ho Ha | KR | Seoul | 2012-07-12 / 20120176003 - RESILIENT BRIDGE SUPPORT HAVING A PIEZOELECTRIC DEVICE | 1 |
Seung Jin Ha | KR | Ulsan | 2012-07-12 / 20120176222 - APPARATUS FOR MANAGING THE OPERATION OF A SHIP BLOCK | 1 |
Jung-Woo Ha | KR | Goyang-Si | 2010-09-16 / 20100231179 - POWER MANAGEMENT CIRCUIT TO MANAGE DISTRIBUTION OF RECEIVED POWER AND A PORTABLE APPARATUS HAVING THE SAME | 1 |
Duy Long Ha | FR | Eybens | 2012-07-12 / 20120179298 - ENERGY MANAGEMENT IN A BUILDING | 1 |
Gunho Ha | KR | Seoul | 2016-03-31 / 20160088992 - VACUUM CLEANER | 6 |
Chang Hwan Ha | SG | Singapore | / - | 1 |
Tae-Jung Ha | KR | Icheon | 2016-02-25 / 20160056246 - ELECTRONIC DEVICE | 3 |
Kyeong Min Ha | KR | Gyeonggi-Do | 2015-03-05 / 20150065094 - METHOD OF MEASURING CALL DELAY TIME | 1 |
Byeongyun Ha | KR | Gumi-Si | 2014-06-19 / 20140168569 - APPARATUS FOR DRIVING LIGHT EMITTING DIODE ARRAY AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 1 |
Inchul Ha | KR | Geoje-Si | 2013-12-05 / 20130320676 - SYSTEM AND METHOD FOR CORRECTING NACELLE WIND VELOCITY OF WIND POWER GENERATOR | 2 |
Man Lyun Ha | KR | Chungcheongbuk-Do | 2011-09-01 / 20110210381 - TRANSISTOR, IMAGE SENSOR WITH THE SAME, AND METHOD OF MANUFACTURING THE SAME | 2 |
Ga Young Ha | KR | Gyeongsangnam-Do | 2009-06-04 / 20090142925 - METHOD FOR FORMING TUNGSTEN FILM HAVING LOW RESISTIVITY AND GOOD SURFACE ROUGHNESS AND METHOD FOR FORMING WIRING OF SEMICONDUCTOR DEVICE USING THE SAME | 1 |
Byung-Gill Ha | KR | Gyeongsangnam-Do | 2011-02-10 / 20110031803 - OUTER-ROTOR TYPE MOTOR AND OUTER-ROTOR TYPE IN-WHEEL MOTOR | 1 |
Yeon-Chul Ha | KR | Gyeongsangnam-Do | 2010-05-27 / 20100126940 - UNDERWATER PLASMA PROCESSING APPARATUS AND SYSTEM AND METHOD FOR PROCESSING BALLAST WATER OF SHIP USING THE SAME | 1 |
Mi Kyung Ha | KR | Gyeongsangnam-Do | 2009-01-08 / 20090007346 - Method for Controlling Information Display Using the Avatar in the Washing Machine | 1 |
Kyoung Jin Ha | KR | Uiwang-Si, Gyeonggi-Do | 2016-03-10 / 20160072098 - PHOTO-CURING COMPOSITION AND ENCAPSULATED DEVICE COMPRISING SAME | 3 |
Young Hoon Ha | KR | Gyeongsangnam-Do | 2009-03-12 / 20090064593 - DOOR FOR A WASHING OR DRYING MACHINE | 1 |
Ki Woong Ha | KR | Gyeonggi-Do | 2012-05-17 / 20120122396 - METHOD FOR FAST DEVICE SEARCH BASED ON SHORT RANGE COMMUNICATION MODULE AND MOBILE DEVICE FOR SUPPORTING THE METHOD | 1 |
Joo Young Ha | KR | Gyeongsangnam-Do | 2010-06-17 / 20100150440 - COLOR INTERPOLATION APPARATUS | 1 |
Young-Bo Ha | KR | Gyeongsangnam-Do | 2010-11-18 / 20100289017 - ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF | 2 |
U-Syn Ha | KR | Seoul | 2014-08-28 / 20140242204 - PHARMACEUTICAL COMPOSITION INCLUDING C3G OR MULBERRY EXTRACTS CONTAINING C3G FOR PREVENTING OR TREATING DIABETIC IMPOTENCE | 2 |
Jongsoo Ha | KR | Suwon | 2013-11-28 / 20130316476 - Manufacturing method of a retaining wall of an LED | 5 |
Sang Bum Ha | KR | Gyeongsangnam-Do | 2011-01-27 / 20110016907 - REFRIGERATOR | 1 |
Mikyung Ha | KR | Kyungsangnam-Do | 2014-10-09 / 20140303783 - WASHING MACHINE CONTROL SYSTEM AND METHOD OF CONTROLLING THE SAME | 2 |
In Woo Ha | KR | Yongin-Si | 2016-02-11 / 20160042558 - METHOD AND APPARATUS FOR PROCESSING IMAGE | 6 |
Tae-Hun Ha | KR | Suwon-Si | 2012-05-17 / 20120120125 - LCD APPARATUS AND METHOD FOR COMPENSATING TEMPERATURE | 1 |
Jeong-Kyu Ha | KR | Gyeonggi-Do | 2014-10-09 / 20140300849 - CHIP-ON-FILM PACKAGE AND DEVICE ASSEMBLY INCLUDING THE SAME | 1 |
Mun Keun Ha | KR | Gyeongsangnam-Do | 2011-10-27 / 20110263913 - DEVICE AND METHOD FOR CONTINUOUS HYDRATE PRODUCTION AND DEHYDRATION BY CENTRIFUGAL FORCE | 3 |
Dong Heon Ha | KR | Icheon | 2012-05-17 / 20120119090 - READOUT INTEGRATED CIRCUIT FOR INFRARED SIGNAL AND METHOD OF READING OUT INFRARED SIGNAL | 1 |
Seung-Tae Ha | KR | Gyeonggi-Do | 2014-09-18 / 20140274217 - METHOD AND APPARATUS FOR OPERATING ELECTRONIC DEVICE WITH COVER | 1 |
Sang Jin Ha | KR | Busan | 2014-06-19 / 20140165687 - CLAMPING DEVICE FOR BENDING PRESS AND BENDING PRESS PROVIDED WITH THE SAME | 2 |
Sang Gyu Ha | KR | Jinju-Si | 2015-03-05 / 20150061399 - WIRELESS POWER RECEPTION AND TRANSMISSION APPARATUS | 1 |
Tae-Jun Ha | US | Austin | 2015-03-05 / 20150060768 - METHOD TO IMPROVE PERFORMANCE CHARACTERISTICS OF TRANSISTORS COMPRISING GRAPHENE AND OTHER TWO-DIMENSIONAL MATERIALS | 1 |
Yoon-Chul Ha | KR | Changwon-Si | 2011-09-01 / 20110209990 - High-Field Anodizing Apparatus | 1 |
Jun Young Ha | KR | Ulsan | 2016-04-14 / 20160101680 - TRANSMISSION STRUCTURE OF HEV | 1 |
Tae-Ho Ha | KR | Gyeonggi-Do | 2014-08-28 / 20140243936 - BEAM THERAPY APPARATUS AND METHOD FOR CONTROLLING SAME | 4 |
Jae-Hyoun Ha | KR | Seosan-Si | 2015-12-17 / 20150362580 - METHOD FOR ESTIMATING ANGLE OF ARRIVAL OF MULTI-TARGET MOVING AT HIGH SPEED | 1 |
Heon-Phil Ha | KR | Gyeonggi-Do | 2014-11-13 / 20140335004 - SCR CATALYST FOR REMOVAL OF NITROGEN OXIDES | 3 |
Dong Ho Ha | KR | Gyeonggi-Do | 2016-02-25 / 20160054384 - SOCKET FOR SEMICONDUCTOR CHIP TEST AND METHOD OF MANUFACTURING THE SAME | 1 |
Gi Ho Ha | KR | Busan | 2015-11-05 / 20150313319 - WIRE CLAMPING DEVICE | 1 |
Sung-Il Ha | KR | Gyeonggi-Do | 2011-03-31 / 20110078345 - MOBILE COMMUNICATIONS TERMINAL USING MULTI-FUNCTIONAL SOCKET AND METHOD THEREOF | 1 |
Yong-Chan Ha | KR | Gyeonggi-Do | 2013-11-21 / 20130310946 - ACETABULAR CUP FOR AN ARTIFICIAL HIP JOINT AND BEARING, AND ACETABULAR CUP ASSEMBLY | 1 |
Seong-Jong Ha | KR | Gyeonggi-Do | 2013-01-03 / 20130002808 - METHOD FOR PHOTOGRAPHIC PANORAMIC IMAGE WHEN THRESHOLD EXCEEDS COMPARISON BETWEEN CURRENT AND PREVIOUS IMAGES | 2 |
Dong-Heon Ha | KR | Gunpo | 2008-10-16 / 20080250888 - Reduction gear for electric power-steering apparatus | 1 |
Seung Woo Ha | KR | Suwon | 2016-02-18 / 20160049858 - LC RESONANT CONVERTER USING PHASE SHIFT SWITCHING METHOD | 3 |
Kwang Ha | KR | Gyeonggi-Do | 2011-01-27 / 20110022229 - MASTER INTERFACE AND DRIVING METHOD OF SURGICAL ROBOT | 2 |
Seo-Hee Ha | KR | Yongin-City | 2012-05-10 / 20120113084 - LIQUID CRYSTAL DISPLAY DEVICE AND DRIVING METHOD OF THE SAME | 1 |
Ju-Hwa Ha | KR | Seoul, | 2013-09-26 / 20130250616 - BACKLIGHT ASSEMBLY AND DISPLAY APPARATUS HAVING THE SAME | 6 |
Sung-Chang Ha | KR | Gyeonggi-Do | 2010-12-30 / 20100329647 - METHOD AND APPARATUS FOR RECORDING DIGITAL MULTIMEDIA BASED ON BUFFERING STATES OF THE MULTIMEDIA SERVICE | 1 |
Jongsoo Ha | CN | Shenzhen | 2012-05-10 / 20120112617 - LED LIGHTING ASSEMBLY | 2 |
Jung Soo Ha | KR | Seongnam-Si | 2012-08-23 / 20120215627 - SYSTEM, METHOD AND COMPUTER READABLE RECORDING MEDIUM FOR CHARGING FOR ON-LINE ADVERTISEMENT | 2 |
Ki Ryong Ha | KR | Daejeon | 2013-10-03 / 20130260804 - APPARATUS AND METHOD FOR WIRELESS NETWORK CONNECTION | 8 |
Ji Hoon Ha | KR | Suwon-Si | 2015-04-30 / 20150114014 - AIR CONDITIONER AND METHOD OF CONTROLLING THE SAME | 11 |
Sang Hoon Ha | KR | Gyeonggi-Do | / - | 2 |
Sun Hwa Ha | KR | Gyeonggi-Do | 2010-11-25 / 20100299783 - FUSION POLYNUCLEOTIDE FOR BIOSYNTHESIS OF BETA-CAROTENE USING BICISTRONIC GENE EXPRESSION AND METHOD FOR PRODUCING BETA-CAROTENE USING THE SAME | 1 |
Kwang Hee Ha | KR | Gyeongsangbuk-Do | 2011-07-28 / 20110181782 - METHOD OF PROCESSING MULTI-MODE SERVICE BROADCAST SIGNAL AND DIGITAL TELEVISION RECEIVER USING THE SAME | 2 |
Tae-Hoon Ha | KR | Gyeonggi-Do | 2010-10-07 / 20100254051 - Overvoltage Protection Circuits that Inhibit Electrostatic Discharge (ESD) and Electrical Overstress (EOS) Events from Damaging Integrated Circuit Devices | 1 |
Jeongoh Ha | KR | Hwasung-City | 2014-01-02 / 20140001653 - PACKAGE-ON-PACKAGE DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Tai-Hwan Ha | KR | Daejeon | 2014-05-15 / 20140134336 - NANOPOSITIONING SUBSTRATE PREPARATION APPARATUS AND PREPARATION METHOD USING DIP PEN NANOLITHOGRAPHY WITH A SINGLE TIP OR MULTIPLE TIPS USING ATOMIC FORCE MICROSCOPE (AFM) | 1 |
Yoon-Cheol Ha | KR | Gimhae-Si | 2013-10-10 / 20130264190 - METHOD FOR MANUFACTURING SILICON-BASED NANOCOMPOSITE CATHODE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY AND LITHIUM SECONDARY BATTERY USING SAME | 1 |
Phong V. Ha | US | Hudson | 2014-03-06 / 20140066882 - MEDICAL ARTICLE SECUREMENT SYSTEMS COMPRISING INDICIA AND METHODS OF USING SAME | 4 |
Jong Kweon Ha | KR | Suwon-Si | 2016-02-11 / 20160040896 - AIR CONDITIONER | 2 |
Jae Ho Ha | KR | Gyeonggi-Do | 2012-11-08 / 20120282334 - PROCESS FOR PREPARING AJOENE FROM GARLIC | 1 |
Jung Hyeong Ha | KR | Anyang-Si | 2011-07-07 / 20110165916 - MOBILE TERMINAL | 1 |
Seung-Chul Ha | KR | Gyeonggi-Do | 2010-09-02 / 20100218683 - GAS DETECTING APPARATUS AND AIR PURIFICATION SYSTEM | 1 |
Min Kyu Ha | KR | Seoul | 2010-12-23 / 20100321312 - METHOD FOR PROCESSING TOUCH SIGNAL IN MOBILE TERMINAL AND MOBILE TERMINAL USING THE SAME | 1 |
Jong-Joo Ha | KR | Seo-Gu | 2012-10-11 / 20120259077 - MIXED METALLOCENE CATALYST COMPOSITION AND METHOD FOR PREPARING POLYOLEFIN USING THE SAME | 2 |
Hee Jin Ha | KR | Gyeonggi-Do | 2012-10-11 / 20120259006 - NOVEL BENZOFURAN TYPE DERIVATIVES, A COMPOSITION COMPRISING THE SAME FOR TREATING OR PREVENTING COGNITIVE DYSFUNCTION AND THE USE THEREOF | 5 |
Jin-Soo Ha | KR | Gyeonggi-Do | 2010-04-08 / 20100085391 - APPARATUS AND METHOD FOR CONTROLLING LED OPERATION IN PORTABLE APPARATUS | 1 |
Dohyuk Ha | US | West Lafayette | 2014-10-02 / 20140296687 - PRESSURE SENSORS FOR SMALL-SCALE APPLICATIONS AND RELATED METHODS | 1 |
Jin Yong Ha | KR | Seoul | 2014-10-02 / 20140296703 - SYSTEM AND METHOD FOR PROVIDING AN IMAGE | 1 |
Yongho Ha | KR | Gyeonggi-Do | 2010-03-04 / 20100051896 - VARIABLE RESISTANCE MEMORY DEVICE USING A CHANNEL-SHAPED VARIABLE RESISTANCE PATTERN | 1 |
Sung-Joo Ha | JP | Gyeonggi-Do | 2010-03-04 / 20100056066 - CIRCUIT FOR TRANSMITTING AND RECEIVING DATA AND CONTROL METHOD THEREOF | 1 |
Chul Gyu Ha | KR | Gyeonggi-Do | / - | 2 |
Won Ki Ha | KR | Busan Metropolitan City | 2010-01-28 / 20100020725 - METHOD AND MOBILE TERMINAL FOR SEARCHING HOME NETWORK | 1 |
Jae-Kook Ha | KR | Yongin-Si | 2012-01-26 / 20120018679 - DISPLAY DEVICE, METHOD OF MANUFACTURING THE SAME, AND COMPOSITION FOR USE IN MANUFACTURING THE SAME | 9 |
Dong-Jin Ha | KR | Gyeonggi-Do | 2009-10-15 / 20090258077 - COMPOSITION AND METHOD FOR TREATING OR PREVENTING WHITE SPOT SYNDROME VIRUS | 1 |
Ga Young Ha | KR | Gyeonggi-Do | 2009-07-23 / 20090184422 - METHOD FOR FORMING METAL LINE OF SEMICONDUCTOR DEVICE WITHOUT PRODUCTION OF SIDEWALL OXIDE IN METAL LINE FORMING REGION | 1 |
Hoe Jin Ha | KR | Seoul | 2011-12-15 / 20110304692 - STEREOSCOPIC PRESENTATION SYSTEM | 2 |
Heon-Sik Ha | KR | Gyeonggi-Do | 2009-07-02 / 20090165722 - APPARATUS FOR TREATING SUBSTRATE | 1 |
Eun Yong Ha | KR | Gyeonggi-Do | 2009-03-26 / 20090082113 - ONLINE GAME SYSTEM AND METHOD THEREOF | 1 |
Jongchul Ha | KR | Changwon-Si | 2015-04-23 / 20150107286 - HEAT PUMP | 3 |
In-Ho Ha | KR | Paju-Si | 2008-09-25 / 20080229684 - Hydraulic jack systems to be installed to the outrigger to perimeter column joints to automatically adjust differential column shortening and provide additional structural damping | 1 |
Suhyung Ha | KR | Suwon-Si | 2014-11-20 / 20140344448 - ELECTRONIC DEVICE FOR OPERATING APPLICATION | 2 |
Hyun-Chul Ha | KR | Gyeonggi-Do | 2009-04-02 / 20090085646 - MEASURING HIGH VOLTAGES IN AN INTEGRATED CIRCUIT USING A COMMON MEASUREMENT PAD | 2 |
Soon-Mok Ha | KR | Hwaseong-Si | 2015-10-29 / 20150309411 - Methods of Forming Pattern by Using Dual Tone Development Processes | 1 |
Chang-Hyun Ha | KR | Seoul | 2015-10-29 / 20150308331 - ENGINE CONTROL METHOD FOR COLD START STABILIZATION | 1 |
Sung Sub Ha | KR | Yongin-Si | 2015-02-26 / 20150056538 - Device for protecting crops using hologram of natural enemy eyes and method for fabricating the same | 1 |
Kyoung Ku Ha | KR | Anyang-Si | 2015-03-19 / 20150079486 - FUEL CELL SYSTEM | 1 |
Soh-Myung Ha | KR | Gyeonggi-Do | 2008-10-09 / 20080246546 - Phase-Locked-Loop Circuit Having a Pre-Calibration Function and Method of Pre-Calibrating the Same | 1 |
Tae Sin Ha | KR | Seongnam-Si | 2015-06-11 / 20150158175 - WEARABLE ROBOT AND CONTROL METHOD THEOROF | 13 |
Byeoung-Ju Ha | KR | Gyeonggi-Do | 2010-05-06 / 20100107387 - Bulk acoustic wave resonator, filter and duplexer and methods of making same | 1 |
Long K. Ha | US | Frederick | 2014-09-18 / 20140271091 - ANTI-ROTATION DEVICE AND METHOD OF USE | 2 |
Jung Woo Ha | KR | Osan-Si | 2013-12-12 / 20130327875 - LOAD LIMITER | 1 |
Min-Seok Ha | KR | Seoul | 2012-11-22 / 20120297402 - COVER FOR AN OPTICAL DISC DRIVE AND OPTICAL DISC DRIVE HAVING THE SAME | 2 |
Jong-Uk Ha | KR | Gyeonggi-Do | 2011-03-03 / 20110051275 - COMPUTER SYSTEM, DISK STORAGE DEVICE AND METHOD OF CONTROLLING THE SAME | 1 |
Ju-Hwa Ha | KR | Asan-Si | 2014-07-24 / 20140204612 - LIGHT GUIDE PLATE FOR BACKLIGHT AND MANUFACTURING METHOD THEREFOR | 6 |
Sung Jin Ha | KR | Gyeonggi-Do | 2010-11-18 / 20100292471 - PEPTIDE NUCLEIC ACID OLIGOMERS COMPRISING UNIVERSAL BASES,PREPARATION METHODS THEREOF, AND KITS, DEVICES AND METHODS FOR THE ANALYSIS, DETECTION OR MODULATION OF NUCLEIC ACIDS USING THE SAME | 1 |
Kil-Su Ha | KR | Seoul | 2011-06-30 / 20110162003 - BROADCASTING SYSTEM AND METHOD OF PROVIDING A PERSONALIZED BROADCASTING SERVICE IN THE SAME | 1 |
Young-Sang Ha | KR | Yongin-City | 2015-10-22 / 20150301672 - DISPLAY APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Quang Ha | DE | Paderborn | 2015-10-22 / 20150301110 - COMPUTER-IMPLEMENTED METHOD FOR REAL-TIME TESTING OF A CONTROL UNIT | 1 |
Kyoung-Su Ha | KR | Gyeonggi-Do | 2016-03-17 / 20160081183 - TRANSPARENT ELECTRODE LAMINATE AND TOUCH SCREEN PANEL INCLUDING THE SAME | 7 |
Sang Ku Ha | KR | Gyeonggi-Do | 2015-10-22 / 20150299628 - Composition for Removing and Preventing Formation of Oxide on the Surface of Metal Wire | 1 |
Seongho Ha | KR | Gyeonggi-Do | 2010-08-26 / 20100214975 - FAST DATA-LINK CONNECTION METHOD FOR SAVING CONNECTION TIME IN CDMA 2000 NETWORK | 2 |
Jung-Woo Ha | KR | Gyeonggi-Do | 2010-07-08 / 20100171469 - Charging Circuit Having Smooth Charging Transition Mode | 1 |
Hyunho Ha | KR | Changwon-Si | 2014-07-24 / 20140203671 - MOTOR | 1 |
Jung-Su Ha | KR | Gyeonggi-Do | 2010-06-17 / 20100149745 - INSTALLING STRUCTURE OF MEMORY FOR PORTABLE TERMINAL | 1 |
Sung-Kyu Ha | KR | Hwaseong-Si | 2013-09-19 / 20130247076 - SPINDLE STRUCTURE AND OPTICAL DISC DRIVE INCLUDING THE SPINDLE STRUCTURE | 1 |
Misook Ha | KR | Hwaseong-Si | 2016-02-25 / 20160055294 - METHOD AND APPARATUS FOR DETERMINING TRANSCRIPTION FACTOR FOR BIOLOGICAL PROCESS | 2 |
Hong-Jeon Ha | KR | Gyeonggi-Do | 2009-12-24 / 20090316701 - METHOD FOR CONNECTING IP-BASED USN WITH CONVENTIONAL IP NETWORK | 1 |
Kyung-Soo Ha | KR | Hwaseong-Si | 2014-08-07 / 20140219036 - EQUALIZER AND SEMICONDUCTOR MEMORY DEVICE INCLUDING THE SAME | 2 |
Sangtae Ha | US | Princeton | 2015-03-05 / 20150063152 - CLIENT-SIDE INFERENCE OF WIRELESS NETWORK STATES | 5 |
Aeran Kerie Ha | US | Reseda | 2013-12-12 / 20130330289 - Lip Balm | 1 |
Seung-Ah Ha | KR | Seongnam-Si | 2014-09-18 / 20140267161 - USER INTERFACE APPARATUS AND METHOD IN USER TERMINAL WITH A CAPACITIVE TOUCH INPUT SCHEME | 1 |
Mun Su Ha | KR | Suwon | 2011-07-14 / 20110171589 - SINTERING FURNACE FOR CERAMIC PRODUCT AND SINTERING METHOD USING THE SAME | 2 |
Aeran Kerie Ha | US | 2013-12-12 / 20130330427 - Lip Balm | 1 | |
Kyoung Pyo Ha | KR | Suwon-City | 2014-07-03 / 20140182530 - INSPECTION SYSTEM FOR CONTINUOUS VARIABLE VALVE LIFT DEVICE | 9 |
Tae Joon Ha | KR | Gyeonggi-Do | 2009-12-17 / 20090312037 - APPARATUS AND SYSTEM FOR ESTIMATING LOCATION OF TERMINAL | 2 |
Jae-Kook Ha | KR | Gyeonggi-Do | 2009-09-03 / 20090221209 - METHOD OF MANUFACTURING A LIGHT-EMITTING ELEMENT AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE USING THE SAME | 2 |
Sung-Joo Ha | KR | Gyeonggi-Do | 2012-02-02 / 20120026814 - CIRCUIT FOR TRANSMITTING AND RECEIVING DATA AND CONTROL METHOD THEREOF | 5 |
Young Wook Ha | KR | Seoul | 2015-10-22 / 20150301736 - DISPLAY MODULE INCLUDING PHYSICAL BUTTON AND IMAGE SENSOR AND MANUFACTURING METHOD THEREOF | 2 |
Hyun Kyou Ha | KR | Daejeon | 2015-02-26 / 20150053386 - EYEBROW COIL JACKET, A HEAT CONTROL APPARATUS OF A REACTOR USING THE EYEBROW COIL JACKET, AND A METHOD FOR MANUFACTURING THE HEAT CONTROL APPARATUS | 1 |
Nam Su Ha | KR | Goyang-Si | 2014-06-12 / 20140161258 - AUTHENTICATION SERVER, MOBILE TERMINAL AND METHOD FOR ISSUING RADIO FREQUENCY CARD KEY USING AUTHENTICATION SERVER AND MOBILE TERMINAL | 1 |
Jin-Soo Ha | KR | Hwaseong-Si | 2014-10-23 / 20140312829 - ELECTRONIC APPARATUS, A METHOD OF CONTROLLING CHARGE, AND A COMPUTER-READABLE RECORDING MEDIUM | 1 |
Jeong-Min Ha | KR | Yuseong-Gu | 2011-09-29 / 20110234948 - ADHESIVE COMPOSITION, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Minna Ha | US | Arcadia | 2011-06-30 / 20110155752 - Cosmetic Case Holder | 1 |
Jong Chul Ha | KR | Changwon City | 2011-06-30 / 20110154844 - WATER CIRCULATION SYSTEM ASSOCIATED WITH REFRIGERANT CYCLE | 1 |
Hyun Jin Ha | KR | Suwon-Si | 2015-10-15 / 20150291811 - COMPOSITION FOR SOLAR CELL ELECTRODES AND ELECTRODE FABRICATED USING THE SAME | 1 |
Hong Soo Ha | KR | Changwon-Si | 2012-12-27 / 20120329658 - METHOD OF FORMING CERAMIC WIRE, SYSTEM OF FORMING THE SAME, AND SUPERCONDUCTOR WIRE USING THE SAME | 9 |
Joung Young Ha | KR | Gyeonggi-Do | 2009-05-21 / 20090131090 - SYSTEM AND METHOD FOR PROVIDING SMS2PSTN UNITED MESSAGING SERVICE USING SMS/MMS GATEWAY | 1 |
Jeong Cheol Ha | KR | Yongin-Si | 2013-09-26 / 20130251827 - COSMETIC COMPOSITION FOR SKIN MOISTURISATION COMPRISING PINE-RESIN EXTRACT, PINUS DENSIFLORA NEEDLE EXTRACT AND PINUS DENSIFLORA ROOT EXTRACT | 3 |
Sung Kyu Ha | KR | Ansan-Si | 2013-08-15 / 20130205943 - HUB FOR FLYWHEEL AND FLYWHEEL FOR ENERGY STORAGE HAVING SAME | 2 |
Sung Kyu Ha | KR | Ansan | 2009-12-03 / 20090296080 - METHOD AND APPARATUS FOR MEASURING DEFORMATION OF LAMINATED BODY | 1 |
Sung Kyu Ha | KR | Kyunggi-Do | 2009-01-15 / 20090018661 - Prosthetic Intervertebral Discs | 2 |
Seok-Bong Ha | KR | Busan | 2011-09-08 / 20110214562 - CYLINDER DRIVING APPARATUS USING AIR PRESSURE | 1 |
Tae-Soo Ha | KR | Daejeon | 2011-09-08 / 20110214832 - FORGING APPARATUS AND FORGING METHOD FOR RHEOCASTING | 1 |
Christopher Ha | US | Fremont | 2014-09-18 / 20140265405 - CENTER CONSOLE | 1 |
Gab Su Ha | US | Florence | 2011-09-08 / 20110215182 - Methods and Apparatus for Continuous Winding of Spools and Products Made Therefrom | 1 |
Sang-Hoon Ha | KR | Pohang | 2010-07-22 / 20100184636 - Method of Regulating Mammalian Target-of-Rapamycin Activity by Interaction Between Phospholipase D and Raptor | 2 |
Hyo-Jeong Ha | KR | Gyeonggi-Do | 2015-12-10 / 20150357676 - ELECTROLYTE FOR ELECTROCHEMICAL DEVICE, METHOD FOR PREPARING THE ELECTROLYTE AND ELECTROCHEMICAL DEVICE INCLUDING THE ELECTROLYTE | 3 |
Hyun Hoon Ha | KR | Osan-Si | 2011-09-08 / 20110217988 - MOBILE DEVICE HAVING AUXILIARY POWER SUPPLY UNIT FOR TRANSMISSION OF LOCATION INFORMATION AND RELATED METHOD | 1 |
Suk-Jin Ha | KR | Suwon-Shi | 2008-10-23 / 20080261282 - Fermentation Process for Preparing Coenzyme Q10 by the Recombinant Agrobacterium tumefaciens | 1 |
Min Hyeok Ha | KR | Suwon-Si | 2013-07-11 / 20130177029 - PROTOCOL CONVERSION APPARATUS AND PROTOCOL CONVERSION METHOD | 1 |
Binh Don Ha | US | Fremont | 2015-06-25 / 20150180844 - LOW LATENCY AUTHENTICATION OF GATED MEDIA RELAY SERVICES | 3 |
Sang-Woo Ha | KR | Yongin-Si | 2015-01-29 / 20150029692 - OPTICAL LENS MODULE AND BACKLIGHT UNIT | 5 |
Kisoo Ha | KR | Seoul | 2015-05-21 / 20150138634 - MULTIPLE THREE-DIMENSIONAL DISPLAY | 2 |
Joo-Yun Ha | KR | Gyeonggi-Do | 2012-10-18 / 20120261987 - INTERNAL VOLTAGE GENERATOR AND OPERATION METHOD THEREOF | 1 |
Sangchul Ha | KR | Seoul | 2016-02-18 / 20160050170 - TERNIMAL DEVICE, METHOD AND RECORDING MEDIUM CONTAINING PROGRAM FOR MESSAGE PROCESSING | 1 |
Sangsu Ha | KR | Gyeonggi-Do | 2015-04-30 / 20150115468 - SEMICONDUCTOR PACKAGE HAVING MAGNETIC CONNECTION MEMBER | 1 |
Sungchang Ha | KR | Suwon | 2013-05-02 / 20130107122 - APPARATUS FOR CONTROLLING EXTERNAL DEVICE AND METHOD THEREOF | 1 |
Hye Kyung Ha | KR | Seoul | 2015-11-26 / 20150335699 - Composition for Prevention or Treatment of Acute Renal Failure Including Herbal Extract or Fraction Thereof as Active Ingredient | 2 |
Tae-Hyeun Ha | KR | Suwon | 2015-04-30 / 20150115834 - SMART HOME NETWORK APPARATUS AND CONTROL METHOD THEREOF | 1 |
Sangtae Ha | US | Superior | 2016-04-14 / 20160104261 - SYSTEMS AND METHODS FOR INTEGRATING AN E-LEARNING COURSE DELIVERY PLATFORM WITH AN ENTERPRISE SOCIAL NETWORK | 3 |
Hyun Jin Ha | KR | Uiwang-Si | 2016-03-24 / 20160087124 - SOLAR CELL INCLUDING ELECTRODE FORMED ON HIGH SHEET RESISTANCE WAFER | 1 |
Hojin Ha | KR | Wonju-Si | 2012-11-08 / 20120282951 - ANCHORING AND SHARING LOCATIONS AND ENJOYMENT EXPERIENCE INFORMATION ON A PRESENTATION TIMELINE FOR MULTIMEDIA CONTENT STREAMED OVER A NETWORK | 1 |
Minkeun Ha | KR | Daejeon | 2014-02-13 / 20140047322 - METHOD AND SYSTEM FOR BROWSING THINGS OF INTERNET OF THINGS ON IP USING WEB PLATFORM | 1 |
Seunghoon Ha | US | Eden Prairie | 2016-04-07 / 20160095552 - NON-INVASIVE RADIOFREQUENCY COIL FOR MAGNETIC RESONANCE IMAGING | 1 |
Jong-Soo Ha | KR | Daejeon | 2016-03-24 / 20160087319 - BATTERY PACK HAVING IMPROVED SAFETY AGAINST LEAKAGE OF LIQUID REFRIGERANT | 4 |
Jae-Heung Ha | KR | Yongin-City | 2015-01-22 / 20150021580 - ORGANIC LIGHT EMITTING DISPLAY | 20 |
Seongho Ha | KR | Seoul | 2015-12-24 / 20150369528 - COOLING SYSTEM AND REFRIGERATOR INCLUDING A COOLING SYSTEM | 3 |
Dong Hyun Ha | KR | Seoul | 2016-02-18 / 20160047703 - APPARATUS FOR MEASURING TORQUE OF MOTOR | 1 |
Jeong Seok Ha | KR | Daejeon | 2016-03-24 / 20160087652 - Apparatus and Method for Transmitting/Receiving Signal in Communication System Supporting Bit-Interleaved Coded Modulation with Iterative Decoding Scheme | 6 |
Dong Geun Ha | KR | Daejeon | 2014-02-06 / 20140037041 - SPACER GRID FOR NUCLEAR FUEL ASSEMBLY FOR REDUCING HIGH FREQUENCY VIBRATION | 2 |
Dong-In Ha | KR | Yongin-Si | 2014-10-30 / 20140318851 - SHIELDING REINFORCING APPARATUS | 1 |
Sang Kwon Ha | KR | Cheonan-Si | 2014-03-27 / 20140085322 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 1 |
Yanwen Ha | CN | Guangzhou | 2014-08-28 / 20140239586 - CONTROL METHOD AND CONTROL SYSTEM BASED ON SINGLE-POWER CACHING MECHANISM | 2 |
Jae-Dong Ha | KR | Yongin-City | 2014-06-05 / 20140151592 - BUTTERFLY VALVE AND METHOD OF CHECKING FOR LEAKS IN THE SAME | 1 |
Junho Ha | KR | Gyeongsangbuk-Do | 2015-04-30 / 20150121357 - METHOD AND APPARATUS FOR UPGRADING OPERATING SYSTEM OF ELECTRONIC DEVICE | 1 |
Hideshi Ha | JP | Shinjuku-Ku | 2011-06-23 / 20110151565 - CELL SHEET HAVING GOOD DIMENSIONAL STABILITY, METHOD FOR PRODUCTION THEREOF, AND CELL CULTURE CARRIER FOR USE IN THE METHOD | 1 |
Baejin Ha | KR | Busan | 2014-01-02 / 20140004211 - RAT-EYE BEAN EXTRACT IMPROVING BLOOD GLUCOSE CONTROL AND BIOACTIVITY AND METHOD OF PRODUCING THE SAME | 1 |
Jung Soon Ha | KR | Seongnam-Si Gyeonggi-Do | 2015-05-07 / 20150125438 - Anti-Inflammatory Peptides and Composition Comprising the Same | 1 |
Jeong Min Ha | KR | Daejeon | 2015-12-03 / 20150349312 - SEPARATOR, METHOD FOR PRODUCING THE SAME AND ELECTROCHEMICAL DEVICE INCLUDING THE SAME | 22 |
Bok-Nam Ha | KR | Daejeon | 2014-09-04 / 20140247055 - SYSTEM AND METHOD FOR DETECTING AN ABNORMAL WAVEFORM IN A POWER DISTRIBUTION SYSTEM | 5 |
Jae-Seok Ha | KR | Daejeon | 2012-04-05 / 20120083048 - METHOD FOR DETECTING LIGAND USING FRET BIOSENSOR | 3 |
Young-Guk Ha | KR | Daejeon | 2010-06-24 / 20100160744 - BIOLOGICAL SIGNAL SENSOR APPARATUS, WIRELESS SENSOR NETWORK, AND USER INTERFACE SYSTEM USING BIOLOGICAL SIGNAL SENSOR APPARATUS | 2 |
Jeongseok Ha | KR | Daejeon | 2011-10-06 / 20110246854 - Secure Communication Using Non-Systematic Error Control Codes | 3 |
Dong Han Ha | KR | Daejeon | 2010-04-22 / 20100098966 - Process for preparing nanogap electrode and nanogap device using the same | 2 |
Duk-Sik Ha | KR | Daejeon | 2014-06-19 / 20140171286 - ALKALI-FREE GLASS AND PREPARATION THEREOF | 5 |
Jinjoo Ha | KR | Chungcheongnam-Do | 2013-12-05 / 20130320336 - Oxide Semiconductor Sputtering Target, Method Of Manufacturing Thin-Film Transistors Using The Same, And Thin Film Transistor Manufactured Using The Same | 1 |
Yong Hwa Ha | KR | Daejeon | 2009-12-03 / 20090298829 - MELANOCORTIN RECEPTOR AGONISTS | 1 |
Yong Joon Ha | KR | Daejeon | 2012-02-09 / 20120034532 - Non-Aqueous Electrolyte Solution For Lithium Secondary Battery And Lithium Secondary Battery Comprising The Same | 2 |
Kwi Seok Ha | KR | Daejeon | 2009-10-01 / 20090245453 - DECAY HEAT REMOVAL SYSTEM COMPRISING HEAT PIPE HEAT EXCHANGER | 1 |
Soo-Hyun Ha | KR | Daejeon | 2016-01-28 / 20160028113 - GEL POLYMER ELECTROLYTE COMPOSITION, GEL POLYMER ELECTROLYTE AND ELECTROCHEMICAL DEVICE COMPRISING THE SAME | 5 |
Kyoung Su Ha | KR | Daejeon | 2015-01-15 / 20150018438 - CATALYST ACTIVATION METHOD FOR FISCHER-TROPSCH SYNTHESIS | 7 |
Hyun-Chul Ha | KR | Daejeon | 2014-11-13 / 20140335408 - ANODE ELECTRODES FOR SECONDARY BATTERY AND LITHIUM SECONDARY BATTERY CONTAINING THE SAME | 5 |
Jae Joo Ha | KR | Daejeon | 2015-08-06 / 20150221403 - FACILITY FOR REDUCING RADIOACTIVE MATERIAL AND NUCLEAR POWER PLANT HAVING THE SAME | 4 |
Seon-Yeong Ha | KR | Anyang-Si | 2011-06-23 / 20110151224 - CELLULOSE FILM AND METHOD FOR PRODUCING THE SAME | 1 |
Man Ki Ha | KR | Busan | 2014-02-20 / 20140047852 - AIR CONDITIONER AND CONTROL METHOD THEREOF | 1 |
Young Jin Ha | KR | Suwon-Si | 2016-01-07 / 20160005526 - MULTILAYER INDUCTOR, METHOD OF MANUFACTURING THE SAME, AND BOARD HAVING THE SAME | 3 |
Un-Hwan Ha | KR | Daejeon | 2015-11-26 / 20150338350 - DEVICE FOR MONITORING BIOFILM | 3 |
Man Yeong Ha | KR | Busan | 2015-09-17 / 20150260501 - ICE THICKNESS MEASUREMENT SENSOR | 2 |
Ki Ryong Ha | KR | Daejeon | 2013-10-03 / 20130260804 - APPARATUS AND METHOD FOR WIRELESS NETWORK CONNECTION | 8 |
Young-Youl Ha | KR | Daejeon | 2009-01-15 / 20090014500 - Weight Balancer and Pipe Joining Method | 1 |
Un-Soo Ha | KR | Gyeongsangnam-Do | 2014-03-27 / 20140086345 - NEAR FIELD WIRELESS TRANSMISSION/RECEPTION METHOD AND APPARATUS | 1 |
Seung Hee Ha | KR | Busan | 2014-04-10 / 20140096944 - HEAT EXCHANGER | 1 |
Jung-Eun Ha | KR | Changwon-Si Gyeongsangnam-Do | 2014-10-30 / 20140322495 - INTERIOR FILM COMPRISING THREE-DIMENSIONAL PATTERN, AND METHOD FOR PREPARING SAME | 1 |
Kisoo Ha | KR | Gumi | 2010-08-19 / 20100207926 - METHOD OF DRIVING PLASMA DISPLAY PANEL | 1 |
Man-Jin Ha | KR | Pohang-Si | 2015-06-25 / 20150174651 - Apparatus For Preventing Damage To Casting Rolls In Strip Casting Machine | 2 |
Robert Bao Kim Ha | US | Liberty Township | 2015-02-19 / 20150050321 - STABLE, HIGH SALT CONTAINING SKIN CARE COMPOSITION | 1 |
Won Kyu Ha | KR | Gyeongsangbuk-Do | 2009-07-02 / 20090167650 - ELECTRO-LUMINESCENCE DISPLAY | 1 |
Nam-Su Ha | KR | Anyang-Si | 2015-04-09 / 20150098618 - METHOD AND APPARATUS FOR ESTIMATING ORIENTATION OF BODY, AND COMPUTER READABLE STORAGE MEDIUM OF RECORDING THE METHOD | 3 |
Moon Kyung Ha | KR | Seoul | 2011-06-23 / 20110150899 - SENESCENCE CONTROL COMPOSITION CONTAINING EXTRACELLULAR MATRIX COMPONENTS, AND SENESCENCE CONTROL METHOD FOR SENESCENT CELLS USING SAME | 1 |
Young-Hee Ha | KR | Gyeonggi-Do | 2016-03-03 / 20160063919 - WEARABLE ELECTRONIC DEVICE | 2 |
Sun-Kyu Ha | KR | Ansan-Si | 2012-11-22 / 20120296431 - Prosthetic Intervertebral Disc Implants | 1 |
Kyung Won Ha | KR | Seoul | 2016-03-03 / 20160058920 - Method for Treating Surface of Implant | 3 |
Jong-Bong Ha | KR | Daegu | 2013-07-11 / 20130175539 - HIGH ELECTRON MOBILITY TRANSISTORS AND METHODS OF MANUFACTURING THE SAME | 3 |
Vincent Kwang Yuen Ha | SG | Singapore | 2012-10-11 / 20120259785 - AUTOMATED CUSTOMER RELATIONSHIP MANAGEMENT SYSTEM AND METHOD | 1 |
Long Van Ha | US | Walnut | 2015-02-19 / 20150047429 - APPARATUS FOR DETECTING FLUID TEMPERATURE AND LEVEL IN A CONTAINER | 1 |
Min Seok Ha | KR | Gyeongsangbuk-Do | 2014-05-29 / 20140144609 - EVAPORATOR FOR LOOPED HEAT PIPE SYSTEM AND METHOD OF MANUFACTURING THE SAME | 1 |
Hyeong-Seok Ha | US | Los Gatos | 2015-10-01 / 20150281677 - REAL-TIME AUTOMATIC CONVERSION OF 2-DIMENSIONAL IMAGES OR VIDEO TO 3-DIMENSIONAL STEREO IMAGES OR VIDEO | 1 |
Yong Bum Ha | KR | Hwaseong-Si | 2015-10-01 / 20150280493 - METHOD FOR CONTROLLING POWER SUPPLY AND ELECTRONIC DEVICE | 1 |
Seunghoon Ha | US | Irvine | 2015-02-19 / 20150048828 - FRACTAL RF COILS FOR MAGNETIC RESONANCE IMAGING | 1 |
Seung-Min Ha | KR | Jeollabuk-Do | 2014-10-30 / 20140325685 - METHOD FOR CONTROLLING ACCESS TO DATA AND ELECTRONIC DEVICE THEREOF | 1 |
Sung-Bong Ha | KR | Daegu | 2014-05-29 / 20140145252 - Thin Film Transistor Array Substrate for Digital Photo-Detector | 2 |
Seong Ki Ha | KR | Busan | 2013-06-20 / 20130154625 - DEVICE FOR MEASURING BENDING ANGLE OF CONSTANT VELOCITY JOINT OF DRIVE SHAFT | 2 |
Ji Hye Ha | KR | Anyang-Si | 2014-12-18 / 20140370849 - METHOD AND SYSTEM FOR PROVIDING PHONE DIRECTORY SERVICE FOR MOBILE COMMUNICATION SUBSCRIBER | 5 |
Eric Ha | US | San Jose | 2012-04-05 / 20120082839 - FORMED BUILDING MATERIALS | 1 |
Tae Sin Ha | KR | Seongnam-Si | 2015-06-11 / 20150158175 - WEARABLE ROBOT AND CONTROL METHOD THEOROF | 13 |
Thomas Ha | US | Aliso Viejo | 2015-03-05 / 20150065371 - IMMUNOFLUORESCENCE AND FLUORESCENT-BASED NUCLEIC ACID ANALYSIS ON A SIMGLE SAMPLE | 2 |
Jae-Geun Ha | KR | Seoul | 2015-02-19 / 20150048981 - HUMAN BODY WEARABLE ANTENNA HAVING DUAL BANDWIDTH | 1 |
Jin Man Ha | US | 2012-04-05 / 20120082802 - POWER LOADING SUBSTRATES TO REDUCE PARTICLE CONTAMINATION | 1 | |
Ho Jin Ha | KR | Wonju-Si | 2013-12-26 / 20130346831 - METHOD OF GENERATING FORWARD ERROR CORRECTION PACKET AND SERVER AND CLIENT APPARATUS EMPLOYING THE SAME | 1 |
Gook Hyun Ha | KR | Busan | 2014-06-26 / 20140178139 - METHOD OF MANUFACTURING SUPER HARD ALLOY CONTAINING CARBON NANOTUBES, SUPER HARD ALLOY MANUFACTURED USING SAME, AND CUTTING TOOL COMPRISING SUPER HARD ALLOY | 2 |
Sangdoo Ha | KR | Changwon-Si | 2014-01-16 / 20140015684 - HOME APPLIANCE AND METHOD OF OUTPUTTING SIGNAL SOUND FOR DIAGNOSIS | 2 |
Sang Hoon Ha | KR | Pohang-City | 2011-04-21 / 20110092680 - METHOD OF SEPARATING AND PURIFYING CELLULAR COMPONENTS USING NON-COVALENT BOND BETWEEN CUCURBITAL DERIVATIVE AND GUEST COMPOUND AND APPARATUS USING THE SAME | 1 |
Sang Won Ha | KR | Daejeon | 2013-05-30 / 20130137212 - METHOD OF MANUFACTURING AN ORGANIC THIN FILM TRANSISTOR | 2 |
Heon-Young Ha | KR | Gyeongsangnam-Do | 2011-09-22 / 20110226391 - C+N AUSTENITIC STAINLESS STEEL HAVING HIGH STRENGTH AND EXCELLENT CORROSION RESISTANCE, AND FABRICATION METHOD THEREOF | 1 |
Joo Young Ha | KR | Gyungsangnam | 2009-05-28 / 20090136127 - APPARATUS AND METHOD OF REMOVING COLOR NOISE OF DIGITAL IMAGE | 1 |
Robert (bao Kim) Ha | US | Hamilton | 2013-03-21 / 20130072451 - Low Viscosity Personal Care Composition | 2 |
Jung Su Ha | KR | Suwon-Si | 2016-03-10 / 20160073073 - PORTABLE TERMINAL AND METHOD OF CONTROLLING THE SAME | 1 |
Sang-Wook Ha | KR | Pohang-Si | 2016-03-10 / 20160068923 - High-Carbon Iron-Based Amorphous Alloy Using Molten Pig Iron and Method of Manufacturing the Same | 2 |
Jong Hoon Ha | KR | Suwon-Si | 2016-05-19 / 20160141457 - LIGHT-EMITTING DEVICE | 2 |
Jeongoh Ha | KR | Hwaseong-Si | 2012-03-29 / 20120074595 - SEMICONDUCTOR PACKAGE | 1 |
Dohyuk Ha | US | Lafayette | 2015-12-31 / 20150380988 - MAGNETIC RESONANCE COUPLING ARRANGEMENT | 1 |
Joo Young Ha | KR | Changwon | 2009-02-19 / 20090046928 - Auto white balance method | 1 |
Sung-Won Ha | KR | Seoul | 2011-09-22 / 20110228460 - GASKET AND DISPLAY APPARATUS USING THE SAME | 1 |
Sang-Keun Ha | KR | Busan | 2011-09-22 / 20110229590 - PHARMACEUTICAL COMPOSITION COMPRISING GINGER EXTRACT OR SHOGAOL | 1 |
Doo Soo Ha | KR | Seongnam-Si | 2014-01-16 / 20140016709 - IMAGE SYSTEM | 1 |
Jin-Yong Ha | KR | Seongnam-Si | 2013-11-14 / 20130301057 - METHOD AND APPARATUS FOR EMITTING WAVELENGTH-SWEPT LIGHT | 1 |
Tae-Won Ha | KR | Seongnam-Si | 2016-05-05 / 20160126351 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 11 |
Chung Soo Ha | KR | Yongin-Si | 2013-06-27 / 20130163801 - TRANSPARENT PANEL FOR MOBILE DEVICE, METHOD FOR MANUFACTURING THE SAME, AND MOBILE DEVICE USING THE SAME | 1 |
Byeoung-Ju Ha | KR | Yongin-Si | 2011-05-12 / 20110107570 - DUPLEXER USING AN EMBEDDED PCB AND METHOD OF FABRICATING THE SAME | 1 |
Jung Soo Ha | KR | Yongin-Si | 2011-10-20 / 20110258053 - BILLING METHOD FOR ONLINE ADVERTISING, SYSTEM THEREOF, AND COMPUTER-READABLE RECORDING MEDIUM | 3 |
Hyun-Chul Ha | KR | Yongin-Si | 2012-07-05 / 20120170370 - NONVOLATILE MEMORY DEVICE AND NONVOLATILE MEMORY SYSTEM EMPLOYING SAME | 2 |
Yunkyung Ha | KR | Yongin-Si | 2012-09-13 / 20120231307 - SECONDARY BATTERY | 2 |
Chijung Ha | KR | Suwon-Si | 2013-05-16 / 20130120251 - SYSTEM AND METHOD FOR MUTUALLY CONTROLLING ELECTRONIC DEVICES | 1 |
Jaeyoung Ha | KR | Yongin-Si | 2013-03-21 / 20130071697 - SECONDARY BATTERY | 3 |
Jung-Su Ha | KR | Gumi-Si | 2009-01-29 / 20090027010 - PORTABLE COMMUNICATION DEVICE AND METHOD FOR CHARGING THROUGH DISCERNMENT OF CHARGING CABLE | 1 |
Yun-Mi Ha | KR | Suwon-Si | 2014-04-17 / 20140108950 - USER TERMINAL APPARATUS AND STATUS INFORMATION DISPLAYING METHOD THEREOF | 2 |
Jeong-Kyu Ha | KR | Yongin-Si | 2012-01-26 / 20120021600 - METHOD OF FABRICATING FILM CIRCUIT SUBSTRATE AND METHOD OF FABRICATING CHIP PACKAGE INCLUDING THE SAME | 3 |
Sung Chul Ha | KR | Gumi-Si | 2012-08-09 / 20120200542 - Apparatus And Method For Data Interface Of Flat Panel Display Device | 2 |
Jae-Min Ha | KR | Yongin-Si | 2013-04-11 / 20130088480 - DRIVING METHOD FOR DISPLAY DEVICE | 4 |
Kyung-Jin Ha | KR | Gumi-Si | / - | 1 |
Dong-Soo Ha | KR | Gumi-Si | 2010-01-28 / 20100021199 - MONOLITHIC SEALING MEMBER FOR IMAGE FORMING APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Yong Min Ha | KR | Gumi-Si | 2013-06-27 / 20130162327 - METHOD AND SYSTEM FOR REDUCTION OF OFF-CURRENT IN FIELD EFFECT TRANSISTORS | 2 |
Won-Kyu Ha | KR | Gumi-Si | 2014-02-27 / 20140055327 - Organic Electroluminescent Display Device and Method of Driving the Same | 5 |
Ja Min Ha | KR | Gumi-Si | 2010-05-06 / 20100115433 - METHOD FOR DISPLAYING DEVICE CONNECTED MEDIA SIGNAL SINK AND MEDIA SIGNAL SINK THEREOF | 1 |
Kyungtae Ha | KR | Ulsan | 2012-03-22 / 20120070646 - CONDUCTIVE FLOORING MATERIAL AND A PRODUCTION METHOD THEREFOR | 1 |
Hun-Hwan Ha | KR | Yongin-Si | / - | 1 |
Mikyung Ha | KR | Seoul | 2014-10-09 / 20140300447 - HOME APPLIANCE AND METHOD OF CONTROLLING THE SAME | 2 |
Jeong-Hyun Ha | KR | Seongnam-Si | 2016-03-03 / 20160064731 - CARBON-SILICON COMPOSITE AND MANUFACTURING METHOD THEREOF | 3 |
Yoon-Jeong Ha | KR | Yongin-Si | 2009-03-05 / 20090059857 - Method and apparatus for load balancing in broadband communication system | 1 |
Young-Seok Ha | KR | Seoul | 2012-07-26 / 20120191871 - Method and Apparatus for Selecting Optimum Transfer Protocol | 1 |
Dae-Sung Ha | KR | Seoul | 2012-06-28 / 20120162502 - CAMERA MODULE SOCKET DEVICE | 2 |
Young Hee Ha | KR | Yongin-Si | 2016-05-12 / 20160133223 - DISPLAY DRIVING METHOD, DISPLAY DRIVER INTEGRATED CIRCUIT, AND ELECTRONIC DEVICE COMPRISING THE SAME | 4 |
In-Dae Ha | KR | Suwon-Si | 2014-10-30 / 20140321288 - WIRELESS COMMUNICATION SYSTEM AND TRAFFIC CONTROL METHOD THEREOF | 3 |
Young Mi Ha | KR | Busan | 2016-04-14 / 20160102065 - NOVEL COMPOUND HAVING SKIN-WHITENING, ANTI-OXIDIZING AND PPAR ACTIVITIES AND MEDICAL USE THEREOF | 5 |
Jin-Ok Ha | KR | Suwon-Si | 2013-05-30 / 20130135520 - APPARATUS FOR ADJUSTING AUTOFOCUS AND METHOD OF CONTROLLING THE SAME | 2 |
Hyeong-Seok Victor Ha | CA | Toronto | 2013-03-21 / 20130071009 - DEPTH RANGE ADJUSTMENT FOR THREE-DIMENSIONAL IMAGES | 2 |
Jong Ho Ha | KR | Anyang Si Gyeonggi-Do | 2013-03-21 / 20130069942 - METHOD AND DEVICE FOR CONVERTING THREE-DIMENSIONAL IMAGE USING DEPTH MAP INFORMATION | 1 |
Kyung Man Ha | KR | Gyeonggi-Do | 2014-11-06 / 20140327462 - TEST SOCKET PROVIDING MECHANICAL STABILIZATION FOR POGO PIN CONNECTIONS | 1 |
Sanghoon Ha | JP | Kanagawa | 2015-04-30 / 20150115385 - SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND CAMERA WITH ALTERNATELY ARRANGED PIXEL COMBINATIONS | 10 |
Jongwoo Ha | KR | Seoul | 2012-03-15 / 20120066234 - METHOD AND APPARATUS FOR PROVIDING INTERNET SERVICE IN MOBILE COMMUNICATION TERMINAL | 1 |
Jiuhu Ha | CN | Shanghai | 2012-03-15 / 20120060664 - Microtome Having Means for Reversing a Direction of Rotation | 1 |
Yong Ho Ha | KR | Hwaseong-Si | 2015-09-24 / 20150270177 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 8 |
Nina Ha | KR | Yongin-Si | 2015-09-10 / 20150252030 - N-ACYLHYDRAZONE DERIVATIVES FOR SELECTIVE T CELL INHIBITOR AND ANTI-LYMPHOID MALIGNANCY DRUG | 4 |
Joung Ho Ha | KR | Chungcheongbuk-Do | 2015-03-05 / 20150064508 - BATTERY PACK CONTAINING PCM EMPLOYED WITH SAFETY MEMBER | 2 |
Won Kyu Ha | KR | Paju-Si | 2013-06-13 / 20130147694 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE WITH DATA DRIVER OPERABLE WITH SIGNAL LINE CARRYING BOTH DATA SIGNAL AND SENSING SIGNAL | 2 |
Chang Ho Ha | KR | Suwon-Si | 2013-07-04 / 20130173811 - NETWORK SYSTEM OF HOME APPLIANCE AND NETWORK SETUP METHOD OF THE SAME | 2 |
Viet Thuc Ha | US | Santa Clara | 2015-09-17 / 20150262081 - ESTIMATING REPUTATION SCORES IN REPUTATION SYSTEMS | 2 |
Jae-Heung Ha | KR | Suwon-Si | 2012-08-30 / 20120220077 - THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME | 10 |
Ji-Hoon Ha | KR | Goyang-Si | 2013-06-20 / 20130159719 - APPARATUS AND METHOD FOR SIGNING APPLICATION | 1 |
Youngsang Ha | KR | Hwaseong-Si | 2015-09-17 / 20150258229 - DISPLAY APPARATUS | 1 |
Tae Sin Ha | KR | Seoul | 2011-07-21 / 20110176009 - CLIENT DEVICE AND CONTROL METHOD THEREOF, AND IMAGE SERVICE SYSTEM INCLUDING THE SAME | 10 |
Kyoung Pyo Ha | KR | Suwon-Si | 2009-10-15 / 20090255496 - CONTINUOUS VARIABLE VALVE LIFT DEVICE | 1 |
Kyoung Pyo Ha | KR | Suwon-City | 2014-07-03 / 20140182530 - INSPECTION SYSTEM FOR CONTINUOUS VARIABLE VALVE LIFT DEVICE | 9 |
Sung Woo Ha | KR | Seoul | 2015-05-14 / 20150132160 - ELECTRIC FAN | 1 |
Kyoung Pyo Ha | KR | Suwan-City | 2010-06-10 / 20100139588 - Continuously Variable Valve Lift Apparatus | 1 |
Chan Wan Ha | US | San Ramon | 2013-12-19 / 20130339577 - METHOD FOR READING A MULTILEVEL CELL IN A NON-VOLATILE MEMORY DEVICE | 1 |
Samchul Ha | KR | Seoul | 2012-10-11 / 20120255323 - AIR CONDITIONER | 3 |
Tae Jun Ha | KR | Dangjin | 2013-08-15 / 20130211738 - DEVICE AND METHOD FOR DIAGNOSING CRACKS IN A SOLIDIFIED SHELL IN A MOLD | 1 |
Il-Woo Ha | KR | Daegu | 2009-01-29 / 20090026854 - CONNECTION STRUCTURE AND METHOD OF CONNECTING FIELD COIL AND LEAD WIRES IN VEHICLE ALTERNATOR | 1 |
Seung-Weon Ha | KR | Cheonan-Si | 2015-07-23 / 20150204800 - SURFACE INSPECTION APPARATUS FOR SEMICONDUCTOR CHIPS | 3 |
Edward Ha | US | San Francisco | 2015-08-20 / 20150231240 - ANTI-C16ORF54 ANTIBODIES AND METHODS OF USE THEREOF | 3 |
Jaewook Ha | KR | Dalseong-Goon Daegu | 2015-02-12 / 20150042761 - METHOD, APPARATUS, AND STEREO CAMERA FOR CONTROLLING IMAGE LIGHTNESS | 1 |
Byung Gil Ha | KR | Gimhae-Si | 2010-06-03 / 20100134059 - BRUSHLESS MOTOR APPARATUS | 1 |
Mi Kyung Ha | KR | Changwon-Si | 2013-07-18 / 20130185079 - HOME APPLIANCE, HOME APPLIANCE SYSTEM, AND METHOD FOR OPERATING SAME | 4 |
Dong Woo Ha | KR | Changwon-Si | 2015-09-03 / 20150248952 - HIGH-TEMPERATURE SUPERCONDUCTING WIRE MATERIAL | 7 |
Seung-Hyoung Ha | KR | Changwon-Si | 2010-01-21 / 20100017037 - Capacity modulation compressor and air conditioning system having the same | 3 |
Hong Soo Ha | KR | Changwon-Si | 2012-12-27 / 20120329658 - METHOD OF FORMING CERAMIC WIRE, SYSTEM OF FORMING THE SAME, AND SUPERCONDUCTOR WIRE USING THE SAME | 9 |
Huy T. Ha | US | Oakland | 2016-01-28 / 20160026379 - DISPLAYING REPRESENTATIVE IMAGES IN A VISUAL MAPPING SYSTEM | 2 |
Kyung-Tae Ha | KR | Ulsan | 2013-03-28 / 20130078461 - PLA FLOORING MATERIAL HAVING FABRIC SURFACE | 1 |
Jack Ha | US | Newark | 2014-04-03 / 20140091912 - TECHNIQUES FOR CONTROLLING APPLIANCES | 1 |
Ga Hee Ha | KR | Daejeon | 2012-12-13 / 20120315284 - COMPOSITION FOR ENHANCING TRAIL SENSITIVITY COMPRISING INHIBITORS FOR EXPRESSION OR ACTIVITY OF TIP41 AS A TARGET GENE OF TRAIL SENSITIZER | 1 |
Jeong-Kyu Ha | KR | Hwaseong-Si | 2016-04-21 / 20160111299 - Methods of Fabricating Tape Film Packages | 15 |
Chris Ha | US | Champaign | 2012-12-13 / 20120315121 - Counterweight Attachment And Removal System And Machine Using Same | 1 |
Seung Won Ha | US | Livermore | 2012-12-13 / 20120316996 - METHOD FOR MANAGING AN ONLINE MARKET AND ONLINE MARKET MANAGEMENT SYSTEM FOR PERFORMING THE METHOD | 1 |
Seungwoo Ha | KR | Suwon | 2015-02-12 / 20150042159 - CONVERTER APPARATUS AND METHOD OF ELECTRIC VEHICLE | 1 |
Jimin Ha | US | San Diego | 2013-10-17 / 20130273913 - SYSTEMS AND METHODS FOR EFFICIENT WIRELESS SYSTEM SCANNING | 1 |
Khang Pham Ngoc Ha | US | San Diego | 2014-01-02 / 20140000732 - VALVE ASSEMBLY WITH VALVE POSITION INDICATOR | 1 |
Thanh Ha | US | Santa Clara | 2012-12-13 / 20120314103 - GLARE AND SHADOW MITIGATION BY FUSING MULTIPLE FRAMES | 1 |
Steve Ha | US | Hayward | 2014-03-20 / 20140081111 - MAP AND ABLATE CLOSED-LOOP COOLED ABLATION CATHETER | 1 |
Tae-Hyeun Ha | KR | Suwon-Si | 2016-04-28 / 20160119962 - PAIRING APPARATUS AND METHOD THEREOF | 57 |
Jeong-Ki Ha | KR | Yongin-Si | 2014-02-06 / 20140038025 - SEPARATOR, LITHIUM BATTERY INCLUDING THE SEPARATOR, AND METHOD OF PREPARING THE SEPARATOR | 4 |
Sang-Kwon Ha | KR | Yongin-City | 2014-11-27 / 20140347381 - DATA PROCESSING METHOD AND A DISPLAY DEVICE USING THEREOF | 9 |
Tammy Ha | US | Reseda | 2013-12-12 / 20130330289 - Lip Balm | 1 |
Eric Ha | US | Sacramento | 2014-02-06 / 20140041043 - DIGITAL RIGHTS MANAGEMENT USING DEVICE PROXIMITY INFORMATION | 1 |
Jae-Young Ha | KR | Yongin-Si | 2011-09-29 / 20110236726 - BATTERY PACK | 1 |
Jeoung Lak Ha | KR | Daejeon-Si | 2015-10-22 / 20150304740 - BROADCASTER APPARATUS, CONTENT OPERATOR APPARATUS, AND RECEIVING TERMINAL FOR AUGMENTED BROADCASTING SERVICE, AND AUGMENTED BROADCASTING SERVICE METHOD | 13 |
Samchul Ha | KR | Changwon-Si | 2012-02-23 / 20120043390 - HEAT PUMP | 3 |
In Chul Ha | KR | Geoje-Si | 2015-05-21 / 20150135459 - BLADE MAINTENANCE DEVICE FOR WIND TURBINE | 1 |
Jung Eun Ha | KR | Gimhae-Si | 2014-11-13 / 20140335320 - HIGH GLOSS MOLDING SHEET HAVING METALLIC EFFECT USING ULTRAVIOLET CURABLE RESIN LAYER AND A METHOD FOR MANUFACTURING THE SAME | 1 |
Ji-Hye Ha | KR | Seoul | 2014-11-13 / 20140335898 - METHOD AND APPARATUS FOR PROVIDING INTERNET SERVICE BASED ON USER'S LOCATION INFORMATION IN A COMMUNICATION SYSTEM | 1 |
Joo Yeon Ha | KR | Seoul | 2015-05-21 / 20150137259 - SEMICONDUCTOR DEVICE | 1 |
Jong-Bong Ha | KR | Yongin-Si | 2015-08-06 / 20150221746 - METHODS OF MANUFACTURING HIGH ELECTRON MOBILITY TRANSISTORS | 18 |
Sungwon Ha | US | Palo Alto | 2016-02-18 / 20160049323 - METHOD AND APPARATUS OF PROCESSING WAFERS WITH COMPRESSIVE OR TENSILE STRESS AT ELEVATED TEMPERATURES IN A PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION SYSTEM | 3 |
Jae-Woung Ha | KR | Daejeon | 2015-12-03 / 20150344746 - ACRYLIC EMULSION PRESSURE SENSITIVE ADHESIVE COMPOSITION INCLUDING NANOMETER SCALE LATEX PARTICLES WITH MONOMODAL PARTICLE SIZE DISTRIBUTION AND METHOD OF PREPARING THE SAME (As Amended) | 3 |
Jae-Kook Ha | KR | Yongin-City | 2012-12-20 / 20120319089 - Organic Light Emitting Structures, Methods of Forming Organic Light Emitting Structures, Organic Light Emitting Display Devices and Methods of Manufacturing Organic Light Emitting Display Devices | 2 |
Jeong Myeong Ha | KR | Seoul | 2016-01-28 / 20160024535 - ELECTROCHEMICAL DETOXIFICATION METHOD OF WOOD-BASED HYDROLYSATE FOR PRODUCING BIOCHEMICALS OR BIOFUELS, AND DETOXIFIED WOOD-BASED HYDROLYSATE | 11 |
Taehun Ha | KR | Anyang-Si | 2012-02-16 / 20120036940 - COMPENSATION METHOD FOR STRUCTURAL DEFORMATION OCCURRING DURING CONSTRUCTION OF SUPER TALL BUILDING | 1 |
Tae Young Ha | KR | Sungnam-Si | 2012-02-16 / 20120038397 - METHOD AND APPARATUS OF RESETTING MOBILE DEVICE | 1 |
Gunho Ha | KR | Changwon-Si | 2014-07-03 / 20140182080 - VACUUM CLEANER | 1 |
Eun Ha | KR | Uisan | 2013-03-28 / 20130074811 - FUEL INJECTION PUMP HAVING A SEPARABLE PLUNGER | 1 |
Heon Young Ha | KR | Changwon-Si | 2014-08-07 / 20140219857 - HIGH-PERFORMANCE HIGH-NITROGEN DUPLEX STAINLESS STEELS EXCELLENT IN PITTING CORROSION RESISTANCE | 1 |
Phong V. Ha | US | Chino Hills | 2011-07-14 / 20110170087 - SYSTEM AND METHOD FOR TRANSITIONING FROM A MISSILE WARNING SYSTEM TO A FINE TRACKING SYSTEM IN A DIRECTIONAL INFRARED COUNTERMEASURES SYSTEM | 2 |
Young Soo Ha | KR | Changwon-Si | 2011-06-16 / 20110141739 - LIGHTING APPARATUS | 1 |
Ji-Won Ha | KR | Daegu | 2011-06-16 / 20110141612 - METHOD OF UNLOADING TRANSDUCER IN DATA STORAGE DEVICE AND DISK DRIVE AND STORAGE MEDIUM USING THE METHOD | 1 |
Sang Hoon Ha | KR | Gyunggi-Do | 2011-06-30 / 20110156677 - LOW-DROPOUT REGULATOR | 2 |
Jongsu Ha | KR | Seoul | 2015-05-21 / 20150138634 - MULTIPLE THREE-DIMENSIONAL DISPLAY | 1 |
Manhyo Ha | US | 2011-06-16 / 20110139236 - SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 1 | |
Sung-Min Ha | KR | Seoul-City | 2009-02-19 / 20090047619 - Cordless Complex Root Canal Plugger for Dental Clinic | 1 |
Chung-Soo Ha | KR | Asan-Si | 2015-02-12 / 20150044482 - MULTI-LAYER OPTICAL COATING STRUCTURE HAVING AN ANTIBACTERIAL COATING LAYER | 1 |
Bora Ha | KR | Gangwon-Do | 2015-02-05 / 20150039261 - PHYSICAL-FITNESS TEST SYSTEM USING ACCELERATION SENSOR | 1 |
Yong Tae Ha | KR | Daegu | 2015-05-21 / 20150140311 - METHOD FOR REMOVING ODOR OF ARTIFICIAL LEATHER AND ARTIFICIAL LEATHER MANUFACTURED USING THE SAME | 1 |
Young-Sang Ha | KR | Yongin-Si | 2014-03-13 / 20140070176 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE WITH IMPROVED COLOR PROPERTY | 1 |
Younghee Ha | KR | Yongin-Si | 2016-05-12 / 20160131541 - SYSTEM AND METHOD FOR CONTROLLING TEMPERATURE IN MOBILE DEVICE | 3 |
Sangwoo Ha | KR | Yongin-Si | 2015-12-10 / 20150355506 - LIQUID CRYSTAL DISPLAY | 3 |
Kuen-Dong Ha | KR | Yongin-Si | 2013-10-03 / 20130257696 - FLAT PANEL DISPLAY APPARATUS | 1 |
Jin-Su Ha | KR | Yongin-Si | 2013-08-22 / 20130216934 - ELECTRODE CATALYST FOR FUEL CELL, METHOD OF PREPARING THE SAME, AND MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL INCLUDING ELECTRODE CATALYST | 1 |
Tae Young Ha | KR | Seoul | 2016-03-24 / 20160086083 - CPU CONTROL METHOD AND APPARATUS FOR IMPROVING APPLICATION PROCESSING SPEED AND POWER CONSUMPTION | 2 |
In Woo Ha | KR | Yongin | 2014-08-07 / 20140218365 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Tuan Ha | US | Randolph | 2016-03-03 / 20160064263 - Low Variability Robot | 9 |
Hyun Peal Ha | KR | Hwaseong-Si | 2012-02-09 / 20120032430 - DRIVER KNEE BOLSTER OF AUTOMOBILE | 1 |
Jeoung Lak Ha | KR | Daejeon | 2015-11-05 / 20150317057 - NAVIGATION APPARATUS FOR PROVIDING SOCIAL NETWORK SERVICE (SNS) SERVICE BASED ON AUGMENTED REALITY, METADATA PROCESSOR, AND METADATA PROCESSING METHOD IN AUGMENTED REALITY NAVIGATION SYSTEM | 10 |
Tae-Hyeun Ha | KR | Seoul | 2011-06-09 / 20110134219 - METHOD OF GENERATING STEREOSCOPIC IMAGE SIGNAL AND METHOD OF SCALING THE SAME | 1 |
Michelle Ha | US | Cleveland | 2015-05-21 / 20150140520 - COLOR DISPLAY SYSTEM | 1 |
Suk-Jin Ha | US | Savoy | 2015-10-22 / 20150299755 - METHODS AND COMPOSITIONS FOR IMPROVING SUGAR TRANSPORT, MIXED SUGAR FERMENTATION, AND PRODUCTION OF BIOFUELS | 5 |
Jae-Ho Ha | KR | Dalseo-Gu | 2011-07-28 / 20110181999 - MULTI LAYER CHIP CAPACITOR, AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME | 1 |
Chang Sik Ha | KR | Busan | 2016-04-28 / 20160115144 - NOVEL ACID DIANHYDRIDE, METHOD FOR PREPARING SAME, AND POLYIMIDE PREPARED THEREFROM | 3 |
Jae Won Ha | KR | Yongin | 2011-06-09 / 20110133581 - CONCENTRATED WINDING TYPE DRIVING MOTOR FOR VEHICLE | 1 |
Chae H. Ha | US | Houston | 2015-07-23 / 20150204707 - Welding Fixture | 2 |
Sungdo Ha | KR | Seoul | 2012-02-02 / 20120030129 - HOSPITAL GUIDE SYSTEM AND METHOD FOR PROVIDING HOSPITAL GUIDE SERVICE | 1 |
Jae-Hyeon Ha | KR | Jinju-Si Gyeongsangnam-Do | 2015-05-28 / 20150143809 - ENVIRONMENTALLY FRIENDLY AND HIGH EFFICIENCY SOLID FUEL PRODUCTION METHOD USING HIGH-WATER-CONTENT ORGANIC WASTE, AND COMBINED HEAT AND POWER SYSTEM USING SAME | 1 |
Seung-Hwa Ha | KR | Cheongju-Si | 2013-06-27 / 20130163277 - DISPLAY MODULE AND APPARATUS HAVING THE SAME | 4 |
Job Ha | KR | Suwon-Si | 2015-08-13 / 20150228567 - PACKAGE SUBSTRATE AND SEMICONDUCTOR PACKAGE USING THE SAME | 3 |
Vu Anh Ha | US | Kirkland | 2011-10-13 / 20110252042 - MAKE AND MODEL CLASSIFIER | 1 |
Yong Su Ha | KR | Kyunggi-Do | 2011-10-20 / 20110252929 - TORQUE CONTROL WRENCH FOR BOTH LEFT-HAND THREAD AND RIGHT-HAND THREAD | 1 |
Tuan Ha | US | Irvine | 2015-05-28 / 20150147959 - Integrated Resource Planning for Satellite Systems | 1 |
Vu A. Ha | US | Kirkland | 2013-06-20 / 20130159105 - EXTENDED DURATION ADVERTISING BASED ON INFERRED USER CATEGORIZATION | 2 |
Jin-Ho Ha | KR | Suwon-Si | 2011-01-06 / 20110002113 - BACKLIGHT ASSEMBLY AND DISPLAY DEVICE HAVING THE SAME | 9 |
Taemin Ha | KR | Seoul | 2016-02-04 / 20160033736 - Voice Coil Motor | 7 |
Sang-Myung Ha | KR | Seoul | 2014-07-31 / 20140211907 - BIDIRECTIONAL SHIFT REGISTER | 3 |
Bong Woo Ha | KR | Gwangyang-Si | 2014-10-09 / 20140302311 - Coated Steel Sheet Having Superior Welding, Scratch-Resistance, and Corrosion-Resistance Properties | 1 |
Sookhee Ha | US | Warren | 2011-12-01 / 20110294777 - BETA-LACTAMASE INHIBITORS | 2 |
Dae Han Ha | KR | Jeju-Si | 2015-08-27 / 20150244495 - APPARATUS AND METHOD FOR TRANSMITTING JAMMING SIGNAL | 1 |
Ki Yun Ha | KR | Suwon-Si | 2012-12-13 / 20120312342 - TENT AND CONTROL DEVICE OF TENT CONNECTING ROD | 1 |
Ju Ho Ha | KR | Seoul | 2011-10-20 / 20110256907 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 4 |
Dong Woo Ha | KR | Changwon-City | 2008-09-11 / 20080220976 - Method And Apparatus For Manufacturing Superconducting Tape Through Integrated Process | 1 |
Gun Woo Ha | KR | Seoul | 2010-11-11 / 20100285063 - NOVEL CANINE INFLUENZA VIRUS AND VACCINE THEREFORE | 2 |
Tae-Hwan Ha | KR | Seoul | 2015-07-02 / 20150184727 - POWER TRANSMISSION APPARATUS USING PLANETARY GEAR | 4 |
Mikyung Ha | KR | Changwon-Si | 2014-07-17 / 20140197934 - ONLINE SYSTEM AND METHOD FOR USING THE SAME | 5 |
Jeonghan Ha | KR | Seoul | 2011-08-11 / 20110195490 - CARTRIDGE FOR APPARATUS FOR CHEMICALLY ANALYZING BLOOD AND APPARATUS USING THE SAME | 2 |
Ji-Tae Ha | KR | Seoul | 2010-09-16 / 20100232238 - DUAL PORT MEMORY DEVICE, MEMORY DEVICE AND METHOD OF OPERATING THE DUAL PORT MEMORY DEVICE | 2 |
Ji Hoon Ha | KR | Ansan | 2009-07-02 / 20090167620 - ACCESSORY-TYPE ANTENNA FOR VEHICLE | 1 |
Seong Keun Ha | KR | Yongin-City | 2014-03-06 / 20140068374 - DISPLAY DEVICE AND METHOD OF DETECTING ERROR THEREIN | 1 |
Ki Joon Ha | KR | Seoul | 2012-12-13 / 20120312342 - TENT AND CONTROL DEVICE OF TENT CONNECTING ROD | 1 |
Su Ha | US | Pullman | 2011-03-17 / 20110065017 - CATALYST MATERIALS AND METHODS FOR REFORMING HYDROCARBON FUELS | 1 |
Kyoung-Su Ha | KR | Seoul | 2010-09-09 / 20100225863 - TRANSFLECTIVE LIQUID CRYSTAL DISPLAY DEVICE AND FABRICATING METHOD THEREOF | 4 |
Dong In Ha | KR | Seoul | 2010-08-19 / 20100210247 - MULTI-STANDBY TERMINAL AND METHOD OF PROVIDING CALL WAITING SOUND THEREOF | 3 |
Jae-Ho Ha | KR | Daegu | 2013-09-19 / 20130242461 - MULTI LAYER CHIP CAPACITOR, AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME | 2 |
Kuen-Dong Ha | KR | Yongin-City | 2014-07-03 / 20140186982 - ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF | 8 |
Sung Kyu Ha | US | 2012-07-05 / 20120172990 - Prosthetic Intervertebral Discs Having Substantially Rigid End Plates and Fibers Between Those End Plates | 3 | |
Chung-Eun Ha | US | Honolulu | 2011-02-24 / 20110045520 - FATTY ACID MARKERS FOR THE DIAGNOSIS, PROGNOSIS AND MANAGEMENT OF CARDIOVASCULAR DISEASE | 1 |
Bruce Ha | US | Brockport | 2014-03-06 / 20140063605 - METHOD AND SYSTEM FOR PRODUCING MULTIPLE IMAGES IN A SINGLE IMAGE PLANE USING DIFFRACTION | 5 |
Na-Young Ha | KR | Seoul | 2010-07-01 / 20100165360 - SYSTEM FOR TESTING DISTORTION OF LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Sookhee Nicole Ha | US | Warren | 2012-10-11 / 20120258963 - HYDROXYMETHYL PYRROLIDINES AS BETA 3 ADRENERGIC RECEPTOR AGONISTS | 3 |
Suk Jin Ha | US | Champaign | 2013-04-25 / 20130102046 - XYLOSE-FERMENTING MICROORGANISM | 2 |
Stephen T. Ha | US | Greenville | 2010-12-16 / 20100316168 - Methods and systems to discriminate betwen PSK and FSK signals | 3 |
Jang Ho Ha | KR | Jeonju-Si | 2015-08-27 / 20150241367 - RADIATION IMAGING DEVICE CAPABLE OF MATTER-ELEMENT INFORMATION ACQUISITION AND IMAGE BASED SELECTION | 1 |
Phong Vanthanh Ha | US | Hudson | 2016-02-11 / 20160038345 - CONFORMABLE MEDICAL DRESSING WITH SELF SUPPORTING SUBSTRATE | 2 |
Christopher Ha | US | Champaign | 2015-10-15 / 20150294051 - METHOD AND SYSTEM FOR DETERMINING WELDING SEQUENCES | 4 |
Su-Hyung Ha | KR | Gyeonggi-Do | 2016-01-07 / 20160007084 - METHOD AND APPARATUS FOR SHARING DATA OF ELECTRONIC DEVICE | 1 |
In Woo Ha | KR | Seoul | 2014-06-05 / 20140152781 - DISPLAY APPARATUS AND METHOD | 8 |
Robert Bao Kim Ha | US | Liberty | 2010-09-23 / 20100239510 - SKIN-CARE COMPOSITION COMPRISING DILL EXTRACT | 1 |
Brandon C. Ha | US | Garland | 2010-09-02 / 20100223086 - Determining A Course Of Action While Managing Resources | 1 |
Victor Ha | US | Saint Louis | 2014-06-12 / 20140161969 - CELLULOSIC-BASED RESISTANCE DOMAIN FOR AN ANALYTE SENSOR | 4 |
Chung-Soo Ha | KR | Yongin-City | 2014-09-18 / 20140267953 - TOUCH SCREEN PANEL AND METHOD OF MANUFACTURING THE SAME | 5 |
Yong Min Ha | KR | Seoul | 2012-08-30 / 20120218491 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Jae-Min Ha | KR | Gyeonggi-Do | 2012-12-27 / 20120330061 - PREPARATION METHOD OF N,N'-DIALKYL-3,3'-DITHIODIPROPIONAMIDE | 1 |
Sha Ha | US | Lansdale | 2012-11-29 / 20120301468 - ANTI-MN ANTIBODIES AND METHODS OF USING SAME | 2 |
Minkyu Ha | KR | Seoul | 2015-03-19 / 20150077377 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE OPERATION OF THE MOBILE TERMINAL | 2 |
Jinyong Ha | US | Cambridge | 2014-07-24 / 20140206989 - SYSTEM, METHOD AND COMPUTER-ACCESSIBLE MEDIUM FOR TRACKING VESSEL MOTION DURING THREE-DIMENSIONAL CORONARY ARTERY MICROSCOPY | 2 |
Tuan Ha | US | Randolph | 2016-03-03 / 20160064263 - Low Variability Robot | 9 |
Shirley Ha | US | Lancaster | 2010-01-14 / 20100006112 - FILTER INCLUDING RANDOMLY-ORIENTED FIBERS FOR REDUCTION OF PARTICLE BREAKTHROUGH | 1 |
Stephen Ha | US | Greenville | 2010-01-14 / 20100008457 - METHOD AND COMPUTER PROGRAM FOR IDENTIFYING A TRANSITION IN A PHASE-SHIFT KEYING OR FREQUENCY-SHIFT KEYING SIGNAL | 1 |
William Ha | US | 2009-12-10 / 20090304831 - Laxative Composition and Method | 1 | |
Chau Ha | US | Chicago | 2013-01-03 / 20130004676 - ULTRAVIOLET RADIATION-CURABLE HIGH REFRACTIVE INDEX OPTICALLY CLEAR RESINS | 2 |
Jeung-Hoi Ha | US | Manlius | 2009-08-06 / 20090197300 - MUTUALLY EXCLUSIVE DOMAIN FOLDING MOLECULAR SWITCH AND METHOD OF SYNTHESIS THEREOF | 1 |
Joon Won Ha | US | Lexington | 2009-07-02 / 20090166944 - Duplex Architecture For An Imaging Apparatus | 1 |
Heung Y. Ha | US | Springfield | 2009-04-30 / 20090107029 - ANIMAL TRAP | 1 |
Cuong Ha | US | Chicago | 2009-03-12 / 20090066469 - BATTERY FUSE ASSEMBLY | 1 |
Bo-Keun Ha | US | Athens | 2009-03-05 / 20090064354 - Methods and Compositions for Selecting Soybean Plants Resistant to Southern Root Knot Nematode | 1 |
Michelle Ha | US | San Jose | 2016-03-24 / 20160086208 - SYSTEMS, METHODS, AND ARTICLES OF MANUFACTURE TO MEASURE ONLINE AUDIENCES | 2 |
Jeong-Seok Ha | US | Atlanta | 2009-01-29 / 20090031192 - CHANNEL ENCODING APPARATUS AND METHOD | 1 |
Yong-Gu Ha | KR | Daejeon | 2015-01-29 / 20150032276 - DEVICE AND METHOD FOR SCHEDULING POWER STORAGE DEVICES | 1 |
Nam-Su Ha | KR | Gyeonggi-Do | 2014-11-20 / 20140341428 - APPARATUS AND METHOD FOR RECOGNIZING HUMAN BODY IN HYBRID MANNER | 1 |
Deok Hwan Ha | KR | Bupyeong-Gu | 2009-05-07 / 20090113942 - WASHING MACHINE AND MANUFACTURING METHOD THEREOF | 1 |
Robert Bao Ha | US | Liberty | 2009-01-15 / 20090017080 - PERSONAL CARE KIT HAVING SKIN CARE COMPOSITIONS WITH A READILY PERCEPTIBLE DIFFERENCE | 1 |
Shirley Ha | US | Richmond | 2008-12-25 / 20080314400 - Filter including electrostatically charged fiber material | 1 |
Sang Seon Ha | KR | Seoul | 2014-03-20 / 20140078703 - Printed Circuit Board and Method for Manufacturing the Same | 1 |
Deok Hwan Ha | KR | Incheon-Si | 2010-04-29 / 20100101281 - DRUM TYPE WASHING MACHINE | 1 |
Sung Joo Ha | KR | Ichon | / - | 1 |
Sung Joo Ha | KR | Ichon-Si | / - | 1 |
Jung Soo Ha | KR | Seoul | 2015-06-04 / 20150156169 - METHOD FOR DETERMINING VALIDITY OF COMMAND AND SYSTEM THEREOF | 1 |
Won Ho Ha | KR | Daejeon | 2015-06-04 / 20150150366 - TOOTHBRUSH BRISTLES HAVING TIP WITH DOUBLE STRUCTURE AND TOOTHBRUSH COMPRISING SAME | 1 |
Ga Young Ha | KR | Icheon-Si | 2016-03-17 / 20160079524 - ELECTRONIC DEVICE AND METHOD FOR FABRICATING THE SAME | 8 |
Son Tung Ha | KR | Anyang-Si | 2012-01-19 / 20120012362 - DC POWER CABLE WITH SPACE CHARGE REDUCING EFFECT | 1 |
Hyeong-Seok V Ha | US | Los Gatos | 2014-08-21 / 20140232820 - REAL-TIME AUTOMATIC CONVERSION OF 2-DIMENSIONAL IMAGES OR VIDEO TO 3-DIMENSIONAL STEREO IMAGES OR VIDEO | 1 |
Seung-Hoon Ha | US | Irvine | 2011-03-17 / 20110066026 - RF COIL FOR USE IN MAGNETIC RESONANCE IMAGING IN INTEGRATED SPECT AND MR IMAGING | 1 |
Tae-Seok Ha | KR | Daejeon | 2012-10-04 / 20120249518 - DISPLAY DEVICE | 1 |
Jong Hun Ha | KR | Suwon-Si | 2012-03-08 / 20120056604 - Power supply circuit | 3 |
Ho-Jin Ha | KR | Suwon-Si | 2012-10-25 / 20120272281 - METHOD AND APPARATUS FOR TRANSMITTING MEDIA DATA, AND METHOD AND APPARATUS FOR RECEVING MEDIA DATA | 11 |
Tae-Young Ha | KR | Suwon-Si | 2016-01-28 / 20160024063 - NOVEL 3-(4(BENZYLOXY)PHENYL)HEX-4-INOIC ACID DERIVATIVE, METHOD OF PREPARING SAME AND PHARMACEUTICAL COMPOSITION FOR PREVENTING AND TREATING METABOLIC DISEASE INCLUDING SAME AS EFFECTIVE INGREDIENT | 1 |
Myung-Woo Ha | KR | Changwon City | 2010-04-22 / 20100095601 - REFRIGERATOR AND CONTROL METHOD THEREOF | 1 |
Moon Ho Ha | KR | Seoul | 2016-03-03 / 20160063275 - METHOD OF PROTECTING CARE INFORMATION IN A CARE PROVIDER TERMINAL | 3 |
Yun-Kyung Ha | KR | Suwon-Si | 2011-03-31 / 20110076532 - SECONDARY BATTERY | 2 |
Tae Hee Ha | KR | Suwon-Si | 2013-11-14 / 20130303772 - CRYSTALLINE S-OMEPRAZOLE STRONTIUM HYDRATE, METHOD FOR PREPARING SAME, AND PHARMACEUTICAL COMPOSITION CONTAINING SAME | 3 |
Ki-Woong Ha | KR | Suwon-Si | 2011-01-13 / 20110009058 - METHOD AND APPARATUS FOR MANAGING SOUND VOLUME OF WIRELESS CONNECTION DEVICE IN MOBILE COMMUNICATION TERMINAL | 2 |
Tae-Hoon Ha | KR | Suwon-Si | 2013-12-05 / 20130319018 - MULTI TYPE AIR CONDITIONER AND COOLING AND HEATING CONTROL METHOD THEREOF | 2 |
Jaeyoung Ha | KR | Suwon-Si | 2010-06-24 / 20100159290 - SECONDARY BATTERY | 1 |
Kyeong-Wook Ha | KR | Suwon-Si | 2014-03-20 / 20140078529 - PRINTING METHOD OF ATTACHED FILE, AND IMAGE FORMING APPARATUS AND IMAGE FORMING SYSTEM EMPLOYING THE SAME | 2 |
Sang Su Ha | KR | Ulsan | 2013-09-12 / 20130233838 - Apparatus and method for monitoring resistance welding and system thereof | 1 |
Anna Ha | KR | Suwon-Si | 2016-03-17 / 20160079336 - FLEXIBLE DISPLAY SUBSTRATE, FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Thanh Thien Ha | US | San Jose | 2010-01-14 / 20100006420 - INLINE INTERLAYER HEATER APPARATUS | 2 |
Sang Hyeon Ha | KR | Daejeon | 2014-03-20 / 20140079626 - METHOD FOR CONTROLLING FUEL REFORMER | 1 |
Wai-Leung Ha | HK | Pokfulam | 2010-04-22 / 20100100358 - Thermostat Status Notification Through a Network | 8 |
Hyoung-Chan Ha | US | San Jose | 2015-09-10 / 20150255333 - COBALT DEPOSITION ON BARRIER SURFACES | 12 |
Duy Long Ha | FR | Chambery | 2012-08-16 / 20120205176 - VEHICLE FORMING A SELF-BALANCING HUMAN TRANSPORTER WITH AN INTEGRATED PHOTOVOLTAIC MODULE | 1 |
Youngsuk Ha | KR | Uiwang-Si | 2012-08-16 / 20120206504 - COMPENSATION TABLE GENERATING SYSTEM, DISPLAY APPARATUS HAVING BRIGHTNESS COMPENSATION TABLE, AND METHOD OF GENERATING COMPENSATION TABLE | 1 |
Su-Hyung Ha | KR | Suwon-Si | 2010-03-04 / 20100057850 - SYSTEM, APPARATUS, AND METHOD FOR MOBILE COMMUNITY SERVICE | 1 |
Jeong Wan Ha | KR | Seoul | 2012-08-16 / 20120208230 - Em-Lacquer Water Solution Mixed with Natural Component, Method for Preparing Fermented Anti-Oxidant Material Using the Same, and Method for Processing the Material | 1 |
Donghoon Ha | KR | Seoul | 2012-08-16 / 20120209357 - PORTABLE SKIN TREATMENT DEVICE USING LIGHT AND HEAT AND METHOD OF CONTROLLING THE SAME | 1 |
Kyoung Pyo Ha | KR | Seoul | 2016-03-31 / 20160090877 - CONTINUOUS VARIABLE VALVE DURATION APPARATUS AND ENGINE PROVIDED WITH THE SAME | 3 |
Seok Jin Ha | KR | Gyeongsangnam-Do | 2012-08-16 / 20120206056 - CONSTANT-CURRENT-DRIVE LED MODULE DEVICE | 1 |
Jong-Uk Ha | KR | Suwon-Si | 2012-05-31 / 20120137116 - COMPUTER SYSTEM AND CONTROL METHOD OF THE SAME | 2 |
Seung Woo Ha | KR | Suwon-Si | 2014-12-25 / 20140375155 - MOTOR GENERATOR FOR VEHICLE | 2 |
Dong Geun Ha | KR | Daejon | 2013-01-03 / 20130003910 - HOLD-DOWN SPRING UNIT FOR TOP NOZZLE OF NUCLEAR FUEL ASSEMBLY, AND TOP NOZZLE FOR NUCLEAR FUEL ASSEMBLY EMPLOYING THE HOLD-DOWN SPRING UNIT | 5 |
Monica Ha | US | Burlngame | 2013-01-31 / 20130025016 - FINGERTIP COVER AND METHOD OF DISPENSING SAME | 1 |
Thinh Ha | US | San Jose | 2013-01-17 / 20130015349 - LENS FREE COLLISION CELL WITH IMPROVED EFFICIENCY | 1 |
Sang Woo Ha | KR | Seongnam-Si | 2016-02-04 / 20160033108 - LENS FOR LIGHT EMITTER, LIGHT SOURCE MODULE, LIGHTING DEVICE, AND LIGHTING SYSTEM | 7 |
Min-Cheol Ha | KR | Gyeonggi-Do | 2014-12-11 / 20140361738 - METHOD OF GENERATING LOAD VARIATION FOR DETECTING WIRELESS POWER RECEIVING UNIT IN WIRELESS CHARGING, AND WIRELESS POWER RECEIVING UNIT | 3 |
Daesung Ha | KR | Gyeonggi-Do | 2014-07-24 / 20140204260 - APPARATUS AND METHOD FOR CONTROLLING DISPLAY OF MOBILE TERMINAL | 1 |
Kyungyeon Ha | KR | Seoul | 2015-01-29 / 20150030781 - MULTI-TIP SPARK DISCHARGE GENERATOR AND METHOD FOR PRODUCING NANOPARTICLE STRUCTURE USING SAME | 2 |
Khoi-Nguyen Ha | FR | Saint Ouen L'Aumone | 2012-11-01 / 20120276028 - CURABLE RESIN COMPOSITION FOR CONVERING A FINGERNAIL OR ARTIFICIAL FINGERNAIL | 1 |
Tuan Thuc Ha | US | Randolph | 2016-03-10 / 20160067869 - HIGH CAPACITY ROBOT ARM | 2 |
Jong Yoon Ha | US | Gaithersburg | 2013-03-21 / 20130068293 - SUBSTRATE GEOMETRY FOR THREE DIMENSIONAL PHOTOVOLTAICS FABRICATION | 1 |
Chang Wook Ha | KR | Changnyeong-Gun | 2012-01-12 / 20120010042 - LOAD-SENSITIVE AUTOMATIC TRANSMISSION SYSTEM FOR AGRICULTURAL ELECTRIC VEHICLE | 1 |
Joo Yun Ha | KR | Seoul | 2012-01-12 / 20120008419 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF OPERATING THE SAME | 1 |
Young-Suk Ha | KR | Uiwang-Si | 2014-07-17 / 20140198134 - METHOD OF DISPLAYING AN IMAGE, DISPLAY APPARATUS PERFORMING THE SAME, METHOD AND APPARATUS OF CALCULATING A CORRECTION VALUE APPLIED TO THE SAME | 6 |
Hyoun-Jee Ha | KR | Hwaseong-Si | 2014-12-18 / 20140370713 - METHOD OF FORMING FINE PATTERNS OF A SEMICONDUCTOR DEVICE | 2 |
Sun-Hwa Ha | KR | Suwon-Si | 2010-01-07 / 20100005540 - CONSTITUTIVE PROMOTER LIP3 | 1 |
Dong-Jin Ha | KR | Suwon-Si | 2009-12-24 / 20090316371 - Plasma display module, display device including the same, and associated methods | 1 |
Byeong-Woon Ha | KR | Suwon-Si | 2014-07-31 / 20140211089 - DISPLAY APPARATUS AND CONTROL METHOD OF MODULATING AND DEMODULATING A PLURALITY OF IMAGE SIGNALS | 6 |
Ho Jong Ha | KR | Suwon-Si | 2009-11-12 / 20090279239 - MOBILE TERMINAL HAVING EXTENDIBLE KEYPAD | 1 |
Yu Jeub Ha | KR | Suwon-Si | 2009-08-06 / 20090194090 - Oven | 1 |
Jae-Sang Ha | KR | Suwon-Si | 2009-07-30 / 20090189508 - BACKLIGHT UNIT | 1 |
Myeong-Ju Ha | KR | Suwon-Si | 2009-07-30 / 20090191445 - FUEL CELL SYSTEM | 2 |
Myung Ho Ha | KR | Seoul | 2014-03-27 / 20140087834 - EVENT GAME MACHINE FOR BUSINESS USE | 1 |
Chang W. Ha | US | San Ramon | 2014-03-27 / 20140089623 - COLUMN ADDRESS DECODING | 1 |
Sanghoon Ha | JP | Kanagawa | 2015-04-30 / 20150115385 - SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND CAMERA WITH ALTERNATELY ARRANGED PIXEL COMBINATIONS | 10 |
Kevin Ha | US | Nashville | 2014-04-10 / 20140100667 - SYSTEMS AND METHOD FOR VOLITIONAL CONTROL OF JOINTED MECHANICAL DEVICE BASED ON SURFACE ELECTROMYOGRAPHY | 2 |
Sang-Eun Ha | KR | Suwon-Si | 2015-08-27 / 20150242201 - METHOD FOR UPDATING FIRMWARE AND ELECTRONIC DEVICE THEREOF | 2 |
Do Yong Ha | KR | Seoul | 2011-05-26 / 20110120168 - COMBINED REFRIGERATING/FREEZING AND AIR CONDITIONING SYSTEM | 2 |
Quang K. Ha | US | Corona | 2009-10-22 / 20090263765 - METHODS AND APPARATUS TO PROVIDE TRAINING AGAINST IMPROVISED EXPLOSIVE DEVICES | 2 |
Quoc Huan Ha | US | Costa Mesa | / - | 2 |
Shin Woo Ha | KR | Seoul | 2014-03-13 / 20140070372 - SEMICONDUCTOR THIN FILM STRUCTURE AND METHOD OF FORMING THE SAME | 2 |
Yeong-Ho Ha | KR | Daegu | 2012-10-18 / 20120262473 - IMAGE COMPENSATION DEVICE, IMAGE PROCESSING APPARATUS AND METHODS THEREOF | 4 |
Kwang Hee Ha | KR | Seoul | 2013-09-05 / 20130229572 - CONVERTING IMAGE FORMAT | 1 |
Wonkyu Ha | KR | Gumi-City | 2008-11-27 / 20080291135 - ORGANIC LIGHT EMITTING DEVICE | 1 |
Tae Joong Ha | KR | Cheongju-Si | 2010-01-07 / 20100003607 - Method for Correcting Pattern Critical Dimension in Photomask | 5 |
Yong-Ung Ha | KR | Suwon-Si | / - | 1 |
Ju Ho Ha | KR | Anyang-Si | 2010-04-01 / 20100082846 - USB DEVICE AND METHOD FOR CONNECTING THE USB DEVICE WITH USB HOST | 1 |
Toan N. Ha | US | Irvine | 2012-11-08 / 20120283232 - PROCESS FOR MAKING A PHARMACEUTICAL COMPOSITION | 2 |
Gun Ho Ha | KR | Changwon-Si | 2012-04-05 / 20120079675 - VACUUM CLEANER | 3 |
Duy Long Ha | FR | Chambery-Le-Vieux | 2015-08-20 / 20150236531 - RECHARGING OF A POOL OF BATTERIES | 4 |
Su-Hag Ha | KR | Gyeyang-Gu | 2010-03-04 / 20100053993 - DISPLAY DEVICE | 1 |
Seung-Min Ha | KR | Gwangmyeong-Si | 2014-11-27 / 20140346184 - APPARATUS AND METHOD FOR DISPENSING MEDICATION | 1 |
Soung-Youb Ha | KR | Gunpo-Si | 2011-02-17 / 20110038210 - Electrically Erasable Programmable Read-Only Memory (EEPROM) Cell and Methods for Forming and Reading the Same | 2 |
Seung Kweon Ha | KR | Chungcheongbuk-Do | / - | 1 |
Sangsub Ha | KR | Gumi-City | 2009-01-08 / 20090009078 - PLASMA DISPLAY PANEL AND PLASMA DISPLAY APPARATUS | 1 |
Samchul Ha | KR | Changwon-Shi | 2010-10-07 / 20100251735 - REFRIGERATOR, AND METHOD FOR CONTROLLING OPERATION OF THE SAME | 2 |
Jungeun Ha | KR | Gyeongsangnam-Do | 2012-08-23 / 20120213981 - DECORATION SHEET WITH HIGH WEATHER RESISTANCE AND HAIR LINE | 1 |
Ni Na Ha | KR | Incheon | 2008-09-18 / 20080227860 - Composition Comprising Oleic Acid and the Use Thereof | 2 |
Nam-Chul Ha | KR | Busan-City | 2008-12-18 / 20080312408 - Method of Separating a Peptidoglycan Recognition Protein From a Hemolymph Tenebrio Molitor Larvae | 1 |
Woo-Hwa Ha | KR | Suwon-Si | 2010-05-27 / 20100131753 - IMAGE FORMING APPARATUS, HOST APPARATUS AND ENCRYPTION METHOD OF JOB OBJECT DOCUMENT THEREOF | 1 |
Myeong Ju Ha | KR | Yongin | 2010-04-01 / 20100081020 - FUEL CELL SYSTEM AND APPARATUS FOR SUPPLYING MIXED FUEL AND WATER TO THE SAME | 1 |
Man Lyun Ha | KR | Eumseong-Gun | 2012-07-26 / 20120187304 - PIXEL ARRAY AND IMAGE SENSOR INCLUDING THE SAME | 2 |
Kae-Won Ha | KR | Seongnam-Si | 2016-03-17 / 20160078907 - MEMORY DEVICE CAPABLE OF OPERATION IN WIDE TEMPERATURE RANGE AND DATA PROCESSING SYSTEM AND METHOD OF OPERATING THE SAME | 2 |
Heung Yong Ha | KR | Seoul | 2015-07-02 / 20150183653 - METHOD FOR PREPARING ALKALI METAL CARBONATES FROM INORGANIC MATERIALS INCLUDING ALKALI METAL IONS USING ELECTROLYSIS SYSTEM | 12 |
Man-Jin Ha | KR | Gyeongsangbuk-Do | 2010-01-07 / 20100000968 - METHOD FOR FORMING CONTINUOUS CHANNEL OF THE SURFACE OF CASTING ROLL FOR THE TWIN ROLL STRIP CASTING PROCESS | 2 |
Kyeongmin Ha | KR | Seongnam-City | 2008-12-04 / 20080299964 - TRANSMISSION/RECEPTION CHANNEL MATCHING APPARATUS FOR MOBILE COMMUNICATION TERMINAL AND MOBILE PHONE TEST EQUIPMENT | 2 |
Tae-Hong Ha | KR | Suwon-Si | 2010-08-05 / 20100197103 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 1 |
Kuen-Dong Ha | KR | Yongin-City | 2014-07-03 / 20140186982 - ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF | 8 |
Kiryong Ha | KR | Daejeon-City | 2010-06-10 / 20100146507 - SYSTEM AND METHOD OF DELIVERY OF VIRTUAL MACHINE USING CONTEXT INFORMATION | 3 |
Kil-Sik Ha | KR | Anyang-Si | 2009-08-06 / 20090197527 - SERVICE RELAY DEVICE, SERVICE RECEIVER FOR RECEIVING THE RELAYED SERVICE SIGNAL, AND METHODS THEREOF | 1 |
Juhwa Ha | KR | Asan-Si | 2015-02-05 / 20150036320 - DISPLAY DEVICE | 10 |
Jeong-Hyeon Ha | KR | Suwon-Si | 2010-06-24 / 20100156268 - Phosphor compositions for white discharge cell and plasma display panel using the same | 3 |
Jong-Joo Ha | KR | Daejeon Metropolitan City | 2014-03-06 / 20140066562 - POLYPROPYLENE-BASED RESIN COMPOSITION AND AUTOMOBILE PARTS USING THE SAME | 7 |
Jong Joo Ha | KR | Daejeon-City | 2009-08-20 / 20090209712 - TRANSITION METAL COMPLEXES, CATALYST COMPOSITIONS CONTAINING THE SAME, AND OLEFIN POLYMERIZATION USING THE CATALYST COMPOSITIONS | 1 |
Jong Bong Ha | KR | Daegu-Si | 2011-06-16 / 20110140121 - ENHANCEMENT NORMALLY OFF NITRIDE SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Jae-Heung Ha | KR | Yongin-City | 2015-01-22 / 20150021580 - ORGANIC LIGHT EMITTING DISPLAY | 20 |
Jae-Au Ha | KR | Gwangmyeong-City | 2009-01-01 / 20090004081 - Exhaust gas purifying catalyst composition containing zeolite for reducing hydrogen sulfide | 1 |
Hyun-Wook Ha | KR | Ansan-Si | 2008-09-18 / 20080229030 - Efficient Use of Memory Ports in Microcomputer Systems | 1 |
Hyeon Woo Ha | KR | Bucheon-City | 2009-01-29 / 20090026512 - CMOS image sensor and method for manufacturing the same | 1 |
Heon-Sik Ha | KR | Osan-Si | 2015-01-22 / 20150021592 - DISPLAY SUBSTRATE INCLUDING A THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Kyung-Mi Ha | KR | Suwon-Si | 2013-07-04 / 20130169767 - DISPLAY APPARATUS AND METHOD FOR CONTROLLING THEREOF | 2 |
Dong-Woo Ha | KR | Suwon-Si | 2008-11-13 / 20080278536 - INKJET IMAGE-FORMING APPARATUS AND METHOD THEREOF | 1 |
Young Wan Ha | KR | Seoul | 2014-06-05 / 20140155570 - PROCESS OF BIOLOGICALLY PRODUCING TEREPHTHALIC ACID AND DERIVATIVE THEREOF | 3 |
Heung Yong Ha | KR | Nowon-Gu | 2008-10-02 / 20080241620 - SEPARATOR FOR COOLING MCFC, MCFC INCLUDING THE SAME AND METHOD FOR COOLING MCFC USING THE SEPARATOR | 1 |
Jaechang Ha | KR | Daegu | 2015-06-04 / 20150152896 - THREE-STAGE HYDRAULIC ACTUATOR AND METHOD OF OPERATING THE SAME | 1 |
Seung Woo Ha | KR | Hwaseong | 2014-02-20 / 20140052364 - METHOD AND SYSTEM FOR CONTROLLING OUTPUT OF HYBRID STARTER GENERATOR | 1 |
Hyun Chul Ha | KR | Gyeongsan | 2015-06-04 / 20150152965 - GEAR STAGE DISPLAY DEVICE OF VEHICLE AND METHOD FOR CONTROLLING THE SAME | 1 |
Heon-Soo Ha | KR | Gyeongbuk | 2009-06-11 / 20090147174 - Liquid crystal display module including light-blocking tape | 1 |
Heon Soo Ha | KR | Jung-Ri | 2009-09-17 / 20090231500 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Jung-Sik Ha | KR | Daegu | 2015-06-04 / 20150155163 - SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME | 1 |
Gook Hyun Ha | KR | Busan-Si | 2011-11-24 / 20110284804 - THERMOELECTRIC MATERIAL AND COMPOSITES MADE FROM THERMOELECTRIC MATERIAL AND A METHOD FOR FABRICATING THEREOF | 2 |
Sung Joo Ha | KR | Seongnam | 2013-11-07 / 20130294166 - NON-VOLATILE MEMORY DEVICE AND METHOD FOR DRIVING THE SAME | 1 |
Duk-Sik Ha | KR | Metropolitan City | 2009-11-19 / 20090284698 - Ink composition, color filter manufactured by using the same, and display device comprising the same | 1 |
Doo Han Ha | KR | Anyang | 2011-02-03 / 20110027512 - CONDUCTIVE POLYAMIDE COMPOSITE COMPOSITION AND FUEL TRANSPORT TUBE USING THE SAME | 2 |
Doo Han Ha | KR | Yeosu-Si | 2009-09-24 / 20090240002 - Impact Modifier, Method for Preparing the Same and Scratch Resistant Methacrylate Resin Composition Using the Same | 2 |
Jae-Hwan Ha | KR | Yongin-Si | 2015-06-04 / 20150155562 - NEGATIVE ACTIVE MATERIAL FOR RECHARGEABLE LITHIUM BATTERY, METHOD OF PREPARING SAME, AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 1 |
Myung Hoon Ha | KR | Suwon-Si | 2014-09-11 / 20140255968 - METHOD FOR FABRICATING A PATTERNED SUBSTRATE FOR A CELL CULTURE, A PATTERNED SUBSTRATE FOR CELL CULTURE, AND A CELL CHIP | 1 |
Dong Seog Ha | KR | Anyang-Si | 2010-10-07 / 20100252712 - WIRE SHOCK MOUNT HAVING MOTION GUIDE AND ARTICLE HAVING THE SAME | 1 |
Deog Jin Ha | KR | Geoje-Si | 2010-11-11 / 20100281887 - ANTI-SLOSHING STRUCTURE FOR LNG CARGO TANK | 1 |
Jeong-O Ha | KR | Chungcheongnam-Do | 2008-09-04 / 20080211078 - SEMICONDUCTOR PACKAGES AND METHOD OF MANUFACTURING THE SAME | 1 |
Daejin Ha | KR | Seongnam | 2009-12-03 / 20090297396 - FABRICATION METHOD OF ALLOY PARTS BY METAL INJECTION MOLDING AND THE ALLOY PARTS | 1 |
Dae Chul Ha | KR | Chungeheongnam-Do | 2010-12-02 / 20100303922 - METHOD FOR THE PREPARATION OF BIOCOMPATIBLE POLYMERIC NANOPARTICLES FOR DRUG DELIVERY AND NANOPARTICLES PREPARED THEREBY | 1 |
Jeong-O Ha | KR | Asan-Si | / - | 1 |
Dong Gil Ha | KR | Changwon-Si | 2015-12-10 / 20150355282 - METHOD OF ESTIMATING REMAINING BATTERY ENERGY | 2 |
Seoung-Su Ha | KR | Cheonan-Si | 2012-10-04 / 20120249177 - HANDLER TRAY, SYSTEM AND METHOD OF TESTING AN OBJECT INCLUDING THE SAME | 1 |
Chi Kook Ha | KR | Yangpyeong-Gun | 2010-05-27 / 20100126190 - AIR-CLEANING DEVICE FOR EVAPORATOR OF VEHICLE AND CONTROL METHOD | 1 |
Chan Ki Ha | KR | Incheon | 2014-05-29 / 20140147967 - METHOD OF MANUFACTURING OXIDE THIN FILM TRANSISTOR | 3 |
Byung-Jhip Ha | KR | Kyunggi-Do | 2009-09-03 / 20090221037 - Fusion protein having the enhanced in vivo activity of erythropoietin | 1 |
Dae-Sung Ha | KR | Gyeonggi-Do | 2014-08-21 / 20140232906 - METHOD AND APPARATUS FOR IMAGE PROCESSING | 1 |
Na Rim Ha | KR | Suwon | 2014-08-21 / 20140233150 - MULTILAYER CERAMIC DEVICE | 1 |
Byoung-Mok Ha | KR | Paju | 2009-11-26 / 20090291613 - Apparatus for transferring a liquid crystal display panel | 2 |
Byeoung-Ju Ha | KR | Seognam-Si | 2009-05-07 / 20090114513 - MICRO ELECTROMECHANICAL SYSTEM (MEMS) SWITCH | 1 |
Seong Wook Ha | KR | Busan | 2015-08-20 / 20150235089 - APPARATUS FOR VIDEO TO TEXT CONVERSION USING VIDEO ANALYSIS | 1 |
Jung Ik Ha | KR | Seoul | 2015-08-20 / 20150236625 - APPARATUS AND METHOD OF DRIVING A PLURALITY OF PERMANENT MAGNET SYNCHRONOUS MOTORS USING SINGLE INVERTER | 8 |
Jin Ho Ha | KR | Seoul | 2012-01-05 / 20120004838 - SYSTEM FOR CALCULATING FUEL AMOUNT OF TRAVELLING ROUTE AND METHOD THEREOF | 1 |
Hanh Tuong Ha | US | San Jose | 2010-05-06 / 20100108261 - LOWER ELECTRODE ASSEMBLY OF PLASMA PROCESSING CHAMBER | 1 |
Soo Hyun Ha | KR | Seoul | 2013-11-07 / 20130295659 - CLOSED TYPE PHOTO-BIO REACTING APPARATUS FOR MICROALGAE | 4 |
Ga Young Ha | KR | Icheon-Si | 2016-03-17 / 20160079524 - ELECTRONIC DEVICE AND METHOD FOR FABRICATING THE SAME | 8 |
Junghoon Ha | KR | Seoul | 2016-01-28 / 20160028117 - ELECTROLYTE FOR MAGNESIUM RECHARGEABLE BATTERY AND PREPARATION METHOD THEREOF | 1 |
Seung Kyu Ha | KR | Seoul | 2014-01-30 / 20140030872 - NANO-STRUCTURE MANUFACTURING METHOD USING SACRIFICIAL ETCHING MASK | 1 |
Sang-Min Ha | KR | Yongin-Si | 2015-08-13 / 20150229145 - ENERGY STORAGE SYSTEM AND METHOD FOR DRIVING THE SAME | 1 |
Daewon Ha | KR | Seoul | 2016-05-19 / 20160141392 - METHODS OF MANUFACTURING FINFET SEMICONDUCTOR DEVICES USING SACRIFICIAL GATE PATTERNS AND SELECTIVE OXIDIZATION OF A FIN | 14 |
Kyoung Jin Ha | KR | Uiwang-Si | 2015-12-17 / 20150364690 - COMPOSITION FOR ENCAPSULATING ORGANIC LIGHT EMITTING DIODE DEVICE AND ORGANIC LIGHT EMITTING DIODE DISPLAY USING THE SAME | 19 |
Jeongseok Ha | JP | Tokyo | 2012-06-28 / 20120164846 - Method of Forming Metal Oxide Hardmask | 3 |
Jeongseok Ha | JP | Tama-Shi | 2009-06-04 / 20090142935 - METHOD FOR FORMING SILAZANE-BASED DIELECTRIC FILM | 1 |
Taekyu Ha | KR | Changwon-Si | 2011-10-27 / 20110264932 - HOME APPLIANCE AND METHOD OF OPERATING THE SAME | 1 |
Seong-Jong Ha | KR | Namyangju-Si | 2011-07-21 / 20110176734 - APPARATUS AND METHOD FOR RECOGNIZING BUILDING AREA IN PORTABLE TERMINAL | 3 |
Nam Ha | KR | Yongin-City | 2014-12-04 / 20140357087 - APPARATUS AND METHOD FOR ETCHING ORGANIC LAYER | 1 |
Sung-Joo Ha | KR | Kyoungki-Do | 2010-07-08 / 20100171556 - DIFFERENTIAL AMPLIFIER | 2 |
Eunhee Ha | KR | Seoul | 2011-10-27 / 20110265119 - IMAGE DISPLAY APPARATUS AND METHOD FOR OPERATING THE SAME | 1 |
Hong-Soo Ha | KR | Chang Won-City | 2010-01-28 / 20100022398 - LAMINATION METHOD | 1 |
Robert Ha | US | Saratoga | 2012-10-18 / 20120265803 - PERSONAL CLOUD | 4 |
Jeong Won Ha | KR | Yangsan-City | 2008-08-28 / 20080206560 - PHOSPAHTE COATED STAINLESS STEEL WIRE FOR COLD HEADING AND SELF-DRILLING SCREW USING THE STAINLESS STEEL WIRE | 1 |
Yong-Ho Ha | KR | Gyeonggi-Do | 2012-06-07 / 20120142161 - METHODS FOR MANUFACTURING A PHASE-CHANGE MEMORY DEVICE | 12 |
Yong Soo Ha | KR | Gyeongju-Si | 2014-04-10 / 20140096610 - ULTRASONIC PROBE INCLUDING A BONDED CHEMICAL BARRIER | 1 |
Younghoon Ha | KR | Busan | 2012-09-06 / 20120222999 - WATER PURIFIER | 1 |
Ho Ha | KR | Yeonsu-Gu | 2013-01-10 / 20130008207 - INTEGRATED LOWER-PLATE GLASS AND MANUFACTURING METHOD OF VACUUM MULTI-LAYER GLASS INCLUDING SAME | 1 |
Tae Joong Ha | KR | Daejeon | 2015-08-13 / 20150227040 - PHOTOMASK BLANK AND PHOTOMASK FOR SUPPRESSING HEAT ABSORPTION | 1 |
Hyung Ho Ha | SG | Singapore | 2015-10-15 / 20150293109 - Fluorescent Chemical Dye for Visualization of Neural Stem Cell Symmetric and Asymmetric Division | 2 |
Kyung Min Ha | KR | Seongnam-City | 2008-11-27 / 20080291841 - Mobile Wimax Signal Analyzing Method | 1 |
Jongchul Ha | KR | Seoul | 2016-04-21 / 20160109144 - DEHUMIDIFIER | 5 |
Jae Geun Ha | KR | Daegu | 2012-09-06 / 20120223869 - MICROSTRIP PATCH ANTENNA INCLUDING PLANAR METAMATERIAL AND METHOD OF OPERATING MICROSTRIP PATCH ANTENNA INCLUDING PLANAR METAMATERIAL | 1 |
Dae-Won Ha | KR | Seoul | 2016-02-11 / 20160043222 - Semiconductor Device | 13 |
Jong-Woo Ha | KR | Seoul | 2016-05-12 / 20160134005 - DUPLEXER | 40 |
Jun Seok Ha | US | Goleta | 2013-12-12 / 20130328012 - LIGHT EMITTING DIODE STRUCTURE UTILIZING ZINC OXIDE NANOROD ARRAYS ON ONE OR MORE SURFACES, AND A LOW COST METHOD OF PRODUCING SUCH ZINC OXIDE NANOROD ARRAYS | 4 |
Im-Cheol Ha | TW | Hsinchu City | 2015-04-23 / 20150109858 - MEMORY DEVICE AND COLUMN DECODER FOR REDUCING CAPACITIVE COUPLING EFFECT ON ADJACENT MEMORY CELLS | 2 |
Tae Sun Ha | KR | Yongin | 2014-07-03 / 20140183803 - MOUNTING BUSH | 1 |
Dong Gyung Ha | KR | Busan | 2011-02-03 / 20110029310 - PROCEDURE FOR PROCESSING NOISY SPEECH SIGNALS, AND APPARATUS AND COMPUTER PROGRAM THEREFOR | 3 |
Nam-Chul Ha | KR | Busan | 2014-05-29 / 20140147866 - COMPOUND FOR INHIBITING SNAIL-P53 BINDING AND THERAPEUTIC AGENT FOR CANCER INCLUDING THE COMPOUND AS EFFECTIVE COMPONENT | 4 |
Ki-Ho Ha | KR | Busan | 2013-01-31 / 20130025100 - APPARATUS FOR FASTENING SHOELACE | 3 |
Soo-Hyun Ha | KR | Busan | 2015-10-08 / 20150288034 - NON-AQUEOUS ELECTROLYTE AND ELECTROCHEMICAL DEVICE WITH AN IMPROVED SAFETY | 5 |
Ga-Hee Ha | KR | Busan | 2010-06-24 / 20100162420 - GASTRIC CARCINOMA GENE ZNF312B, A PROTEIN TRANSLATED FROM THE GENE, AND A DIAGNOSTIC KIT AND A SCREENING METHOD FOR ANTICANCER AGENTS USING THE SAME | 1 |
Hyunju Ha | KR | Busan | 2012-12-20 / 20120320161 - DEPTH AND LATERAL SIZE CONTROL OF THREE-DIMENSIONAL IMAGES IN PROJECTION INTEGRAL IMAGING | 2 |
Sang Ahn Ha | KR | Busan | 2009-06-11 / 20090145000 - SYSTEM FOR PURIFYING CONTAMINATED SOIL | 1 |
Hyoung-Chan Ha | US | San Jose | 2015-09-10 / 20150255333 - COBALT DEPOSITION ON BARRIER SURFACES | 12 |
Wonill Ha | US | Thousand Oaks | 2011-04-21 / 20110089531 - Interposer Based Monolithic Microwave Integrate Circuit (iMMIC) | 1 |
Che Hub Ha | KR | Seoul | 2011-11-03 / 20110265802 - DEVICE FOR PREVENTING MOUTH OPENING DURING SLEEP | 1 |
Seung Hwa Ha | KR | Namyangju-Si | 2016-03-17 / 20160077271 - LIGHT GENERATION MEMBER INCLUDING LIGHT DIFFUSION MEMBER AND DISPLAY APPARATUS HAVING THE SAME | 3 |
Keun-Dong Ha | KR | Seongnam-Si | 2013-08-08 / 20130200342 - DISPLAY APPARATUS | 1 |
Jong-Wook Ha | KR | Daejeon | 2015-03-12 / 20150073112 - Hexafluoropropylene Oxide Polymer Compositions and a Preparing Method of Hexafluoropropylene Oxide Polymer Using Hexafluoropropylene Oligomer | 2 |
Yong-Ho Ha | KR | Busan | 2014-04-17 / 20140101972 - SHOCK ABSORBING SHOES WITH TRIANGLE SHOCK ABSORBING SPACE | 1 |
Edward Hyungsuk Ha | US | San Francisco | 2011-03-31 / 20110076287 - NEMORUBICIN METABOLITE AND ANALOG REAGENTS, ANTIBODY-DRUG CONJUGATES AND METHODS | 1 |
Hyung-Wook Ha | KR | Seoul | 2014-08-28 / 20140242461 - ANODE FOR LITHIUM SECONDARY BATTERY AND LITHIUM SECONDARY BATTERY INCLUDING THE SAME | 2 |
Tae-Jung Ha | KR | Seongnam-Si | 2015-01-15 / 20150017568 - REDOX FLOW BATTERY AND CELL FRAME | 2 |
Chang Wan Ha | US | San Ramon | 2016-02-04 / 20160035436 - APPARATUSES AND METHODS FOR OPERATING A MEMORY DEVICE | 27 |
Robert P. Ha | US | Saratoga | 2010-12-02 / 20100306773 - Instant on Platform | 2 |
Jeong Hyun Ha | KR | Gyeonggi-Do | 2015-06-11 / 20150161091 - TERMINAL DEVICE, METHOD OF CONTROLLING DISPLAY OF THE TERMINAL DEVICE, AND COMMUNICATION SYSTEM INCLUDING THE TERMINAL DEVICE | 1 |
Thang Ha | US | Chino Hills | 2010-09-09 / 20100224726 - WALL-MOUNTED POINT-OF-USE AIR CHILLER FOR AIRCRAFT GALLEY CART COMPARTMENT | 1 |
Jin-Yong Ha | KR | Yongin-Si | 2015-01-15 / 20150017506 - BATTERY PACK | 1 |
Cuong Ha | US | Lincolnwood | 2016-03-31 / 20160093451 - LIGHTED CIRCUIT BREAKER STATUS INDICATION DEVICE | 1 |
Young Bin Ha | KR | Seoul | 2012-09-27 / 20120245985 - METHOD OF CONTROLLING SYSTEM AND MOBILE DEVICE FOR PROCESSING PAYMENT AND DATA | 1 |
Dae-Chul Ha | KR | Sejong-Si | 2016-02-04 / 20160030436 - MOSAPRIDE SUSTAINED-RELEASE FORMULATION PROVIDING PHARMACOLOGICAL AND CLINICAL EFFECTS WITH ONCE-DAILY ADMINISTRATION | 1 |
Dae Chul Ha | KR | Chungcheongnam-Do | 2011-12-29 / 20110318275 - MULTIFUNCTIONAL CONTRAST AGENT USING BIOCOMPATIBLE POLYMER AND PREPARATION METHOD | 1 |
Dong-Geun Ha | KR | Yuseong-Gu | 2010-07-01 / 20100166135 - DEBRIS FILTERING BOTTOM SPACER GRID WITH LOUVERS FOR PREVENTING UPLIFT OF FUEL RODS | 1 |
Suk-Woo Ha | CH | Marthalen | 2013-09-19 / 20130239396 - COLD-MOLDING PROCESS FOR LOADING A STENT ONTO A STENT DELIVERY SYSTEM | 1 |
Sung Chul Ha | KR | Yeosu-Si | 2009-03-05 / 20090058788 - APPARATUS AND METHOD OF DRIVING DATA OF LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Chang Wan Ha | US | San Ramon | 2016-02-04 / 20160035436 - APPARATUSES AND METHODS FOR OPERATING A MEMORY DEVICE | 27 |
Kil-Sik Ha | KR | Gyeonggi-Do | 2015-01-15 / 20150016324 - METHOD AND APPARATUS FOR DISCOVERING CENTRAL NODES IN WIRELESS COMMUNICATION SYSTEM | 1 |
Jung Su Ha | KR | Hwaseong-Si | 2015-07-09 / 20150194130 - EARPHONE SYSTEM FOR MOBILE DEVICE AND METHOD FOR OPERATING THE SAME | 3 |
Tae Ho Ha | KR | Goyang | 2015-12-24 / 20150366705 - OPHTHALMIC TREATMENT APPARATUS AND METHOD FOR CONTROLLING SAME | 4 |
Doo-Han Ha | KR | Uiwang-Si | 2014-05-22 / 20140142212 - Thermoplastic Resin Having High Transparency and High Impact Strength and Preventing Low-Temperature Whitening | 33 |
Yongsoo Ha | KR | Gyoungsangnam-Do | 2010-11-11 / 20100281911 - REFRIGERATOR | 1 |
Jiwon Ha | KR | Seoul | 2015-01-15 / 20150016414 - WLAN SYSTEM AND HANDOVER METHOD AND APPARATUS FOR USE THEREIN | 1 |
Jong-Won Ha | KR | Gyeongsangbuk-Do | 2011-01-27 / 20110017000 - SLIDE APPARATUS FOR MOBILE COMMUNICATION TERMINAL | 1 |
Yong-Ho Ha | KR | Hwasung-City | 2011-02-10 / 20110031461 - PHASE CHANGE MEMORY DEVICE | 2 |
Yong-Ho Ha | KR | Hwasung-Si | 2011-12-29 / 20110315946 - NONVOLATILE MEMORY DEVICE | 2 |
Dao Ha | US | Burbank | 2014-07-17 / 20140197587 - WORK HOLDER | 2 |
Wan Kei Ha | CN | Shanghai | 2011-11-03 / 20110270419 - METHOD AND SYSTEM FOR CONTROLLING THE WORKING STATUS OF AN ELECTRIC DEVICE | 1 |
Yongdae Ha | KR | Asan-Si | 2015-10-22 / 20150303081 - Die Bonding Device | 3 |
Hung Ha | US | San Jose | 2010-07-08 / 20100174308 - DEVICES, SYSTEMS AND METHODS USEABLE FOR TREATING SINUSITIS | 4 |
Minna Ha | US | La Canada | 2010-03-25 / 20100072224 - Fillable and/or refillable tube | 1 |
Minna Catherine Ha | US | La Canada | 2010-02-11 / 20100031971 - Cosmetic container | 1 |
Seung-Chul Ha | KR | Gangnam-Gu | 2009-01-08 / 20090008746 - METHOD OF FABRICATING SEMICONDUCTOR HIGH-VOLTAGE DEVICE | 1 |
David D. Ha | US | San Gabriel | 2012-06-21 / 20120155837 - SECURE STORAGE AND REPLAY OF MEDIA PROGRAMS USING A HARD-PAIRED RECEIVER AND STORAGE DEVICE | 3 |
Eun Cheol Ha | KR | Busan | 2015-06-25 / 20150174949 - INTERIOR FILM HAVING TRANSVERSE HAIRLINES FORMED THEREIN AND A METHOD FOR MANUFACTURING THE INTERIOR FILM | 1 |
Dae Sung Ha | KR | Suwon-Si | 2016-03-03 / 20160062732 - METHOD FOR PROVIDING ADDITIONAL FUNCTIONS BASED ON INFORMATION | 1 |
Wing Ha | CA | Markham | 2015-06-25 / 20150175090 - CARGO TRAY ASSEMBLY FOR A VEHICLE | 1 |
Kyung-Soo Ha | KR | Pohang-Shi | 2015-06-25 / 20150175205 - METHOD FOR SELECTING THE AUTOMATIC PARKING MODE USING A STEERING WHEEL | 1 |
Taejin Ha | KR | Gwangju | 2016-02-04 / 20160031536 - BLACK BOX SYSTEM FOR LEISURE VESSEL | 1 |
Chang Ho Ha | KR | Hwasun-Gun | 2015-06-25 / 20150179027 - HOME APPLIANCE AND CONTROLLING METHOD THEREOF | 1 |
Chanki Ha | KR | Incheon | 2013-08-29 / 20130221359 - THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME | 1 |
David Ha | US | Midland | 2011-11-10 / 20110272119 - Thermally Conductive Grease and Methods and Devices in Which Said Grease is Used | 1 |
Jung Chul Ha | KR | Gyeonggi-Do | 2011-11-10 / 20110274635 - WHITENING COMPOSITION FOR EXTERNAL SKIN APPLICATION CONTAINING OLDENLANDIA DIFFUSA WILLD, RHEUM UNDULATUM, AND BROUSSONETIA KAZINOKI EXTRACT | 1 |
Dong-Woo Ha | KR | Hwaseong-Si | 2015-07-02 / 20150182090 - ROBOT CLEANER | 8 |
Sung Mok Ha | KR | Changnyeong-Gun | 2015-07-02 / 20150183490 - LEG TYPE TRAVELING APPARATUS | 1 |
Don-Hyung Ha | US | Ithaca | 2015-07-02 / 20150188128 - Binder-Free And Carbon-Free Nanoparticle Containing Component, Methods and Applications | 1 |
Kyoung Ku Ha | KR | Anyang Gyeonggi-Do | 2015-07-02 / 20150188161 - AIR SUPPLY APPARATUS AND METHOD FOR FUEL CELL | 1 |
Sang-Hyun Ha | KR | Suwon-Si | 2011-11-17 / 20110280173 - APPARATUS AND METHOD FOR PROVIDING MULTIMEDIA BROADCAST/MULTICAST SERVICE IN MOBILE COMMUNICATION SYSTEM | 1 |
Minhun Ha | KR | Seoul | 2016-02-11 / 20160043590 - WIRELESS POWER TRANSFER SYSTEM AND WIRELESS CHARGING SYSTEM | 1 |
Jeoung Lak Ha | KR | Daejeon | 2015-11-05 / 20150317057 - NAVIGATION APPARATUS FOR PROVIDING SOCIAL NETWORK SERVICE (SNS) SERVICE BASED ON AUGMENTED REALITY, METADATA PROCESSOR, AND METADATA PROCESSING METHOD IN AUGMENTED REALITY NAVIGATION SYSTEM | 10 |
Jae-Heung Ha | KR | Yongin-Si | 2016-02-11 / 20160043156 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE | 1 |
Job Ha | KR | Gyunggi-Do | 2013-10-31 / 20130285232 - SEMICONDUCTOR PACKAGE MODULE | 3 |
Na Rim Ha | KR | Suwon-Si | 2016-04-21 / 20160111210 - MULTILAYER CERAMIC DEVICE HAVING A CRACK GUIDE PATTERN | 3 |
Minhun Ha | KR | Goyang | 2014-01-30 / 20140028823 - MOBILE TERMINAL AND CONTROL METHOD THEREOF | 1 |
Tae Hong Ha | US | San Jose | 2015-07-23 / 20150203961 - METHODS FOR FORMING A COBALT-RUTHENIUM LINER LAYER FOR INTERCONNECT STRUCTURES | 4 |
Jong-Moo Ha | KR | Gyeongsangbuk-Do | 2013-02-21 / 20130044044 - Display Device and Fabrication Method Thereof | 1 |
Eun Hee Ha | KR | Seoul | 2013-06-13 / 20130152138 - IMAGE DISPLAY DEVICE AND METHOD FOR OPERATING SAME | 1 |
Jung Myung Ha | KR | Ulsan | 2013-11-07 / 20130296280 - EUTECTIC MIXTURE COMPRISING CELECOXIB AND POLOXAMER | 1 |
Gun Ho Ha | KR | Busan-Si | 2009-12-03 / 20090293915 - VACUUM CLEANER WITH REMOVABLE DUST COLLECTOR, AND METHODS OF OPERATNG THE SAME | 10 |
Vida Ha | US | San Francisco | 2012-09-06 / 20120226579 - FRAUD DETECTION BASED ON SOCIAL DATA | 1 |
Vida Ha | US | Mountain View | 2012-11-01 / 20120278247 - VIRTUAL GOODS INCENTIVE SYSTEM | 2 |
Jang-Hoon Ha | KR | Suwon-Si | 2015-10-08 / 20150287836 - THIN FILM TRANSISTOR, THIN FILM TRANSISTOR PANEL, AND METHOD FOR MANUFACTURING THE SAME | 2 |
Ji-Yeon Ha | KR | Changwon-Si | 2013-12-12 / 20130332420 - DATA MANAGEMENT APPARATUS AND METHOD FOR SURVEILLANCE SYSTEM | 1 |
Vida U. Ha | US | New York | 2013-09-12 / 20130238613 - Blending Mobile Search Results | 3 |
Gyoung-Tae Ha | KR | Seoul | 2011-05-19 / 20110119156 - SETTLEMENT RELAY METHOD AND SYSTEM FOR PERFORMING THE METHOD | 1 |
Young-Suk Ha | KR | Gyeonggi-Do | 2013-07-04 / 20130169619 - METHOD OF DRIVING DISPLAY PANEL AND DISPLAY APPARATUS FOR PERFORMING THE SAME | 1 |
Jeong Cheol Ha | KR | Gyeonggi-Do | 2011-12-22 / 20110311662 - COSMETIC COMPOSITION FOR SKIN MOISTURISATION COMPRISING PINE-RESIN EXTRACT, PINUS DENSIFLORA NEEDLE EXTRACT AND PINUS DENSIFLORA ROOT EXTRACT | 1 |
Young-Jae Ha | KR | Gyeonggi-Do | 2013-10-17 / 20130271514 - LED ELECTRONIC SIGN BOARD CAPABLE OF POWER-SAVING PER PIXEL LINE | 1 |
Won-Kyu Ha | KR | Gyeonggi-Do | 2014-01-23 / 20140022289 - Organic Light Emitting Diode Display Device for Sensing Pixel Current and Pixel Current Sensing Method Thereof | 1 |
Joo Young Ha | KR | Gyeonggi-Do | 2013-12-05 / 20130325313 - DEVICE AND METHOD OF DISPLAYING DRIVING AUXILIARY INFORMATION | 2 |
Jungwoo Ha | US | Los Altos | 2014-04-24 / 20140115604 - METHODS AND SYSTEMS TO IDENTIFY AND REPRODUCE CONCURRENCY VIOLATIONS IN MULTI-THREADED PROGRAMS | 1 |
Jeongseok Ha | KR | Gyeonggi-Do | 2016-02-04 / 20160032453 - SYSTEMS AND METHODS FOR VAPOR DELIVERY | 1 |
Jiwon Ha | KR | Gyeonggi-Do | 2013-10-31 / 20130290054 - METHOD OF MEASURING PROGRESS OF CONSTRUCTION WORK PROCESS USING MOTION SENSOR | 1 |
Kuen-Dong Ha | KR | Suwon-Si | 2009-10-22 / 20090261718 - Organic light emitting diode display | 10 |
Kim Yong Ha | KR | Yongin-Si | / - | 1 |
Kyoochul Ha | KR | Daejeon | 2015-03-12 / 20150068285 - APPARATUS AND METHOD OF MEASURING EFFECTIVE POROSITY USING RADON | 3 |
Daniel B. Haab | US | Springville | 2010-10-07 / 20100253499 - LIGHTING CONTROL SYSTEM | 2 |
Brian Brummeler Haab | US | Jenison | 2014-09-18 / 20140274768 - Glycoforms of MUC5AC and Endorepellin and Biomarkers for Mucinous Pancreatic Cysts | 1 |
Joan Haab | US | Seattle | 2015-06-04 / 20150152481 - COMPOSITIONS AND METHODS FOR DEHYDRATED STORAGE OF ON-BOARD REAGENTS IN MICROFLUIDIC DEVICES | 7 |
Gregor Haab | CH | Baar | 2008-09-04 / 20080209813 - Device For Supporting Displaceable Separation Elements | 1 |
Gregor Haab | CH | Allenwinden | 2015-03-19 / 20150074942 - ADJUSTABLE MOUNTING DEVICE FOR A SLIDING ELEMENT AND SLIDING DEVICE | 12 |
Brian B. Haab | US | Jenison | 2013-01-03 / 20130005598 - Methods for Diagnosing The Malignant Potential of Pancreatic Cystic Lesions | 2 |
Gregor Haab | CH | Allenwinden | 2015-03-19 / 20150074942 - ADJUSTABLE MOUNTING DEVICE FOR A SLIDING ELEMENT AND SLIDING DEVICE | 12 |
Jillian Haac | US | Winston-Salem | 2016-02-11 / 20160038647 - THIN-FILM COATED FLUOROPOLYMER CATHETER | 6 |
Jillian Haac | US | 2015-11-05 / 20150313663 - CONTROLLER FOR ELECTROSURGICAL MEDICAL DEVICES | 1 | |
Torsten Haack | DE | Frankfurt Am Main | 2015-11-12 / 20150322129 - PEPTIDIC DUAL GLP-1/GLUCAGON RECEPTOR AGONISTS DERIVED FROM EXENDIN-4 | 23 |
Oliver Haack | DE | Koblenz | 2011-04-28 / 20110094838 - Retaining Plate for the Hand Brake Lever of a Drum Brake During Initial Assembly for Generating a Lasting Initial Tension of the Bowden Cable | 1 |
Karl-Josef Haack | DE | Langenfeld | 2012-01-05 / 20120004325 - Insecticidal or acaricidal formulations with improved availability on plant surfaces | 3 |
Torsten Haack | DE | Frankfurt Am Main | 2015-11-12 / 20150322129 - PEPTIDIC DUAL GLP-1/GLUCAGON RECEPTOR AGONISTS DERIVED FROM EXENDIN-4 | 23 |
Torsten Haack | DE | Sulzbach | 2015-12-24 / 20150368311 - Exendin-4 Derivatives as Selective Glucagon Receptor Agonists | 2 |
Thomas Haack | CL | Santiago | 2016-02-11 / 20160040050 - REPAIR LIQUID FOR CONVEYOR BELTS | 1 |
Rob Haack | US | Scottsdale | 2015-07-09 / 20150195666 - Device, Method and Software for Measuring Distance To A Sound Generator By Using An Audible Impulse Signal. | 1 |
Raymond Randy Haack | US | Mishicot | 2015-04-30 / 20150114025 - ICE MAKING MACHINE EVAPORATOR WITH JOINED PARTITION INTERSECTIONS | 1 |
Nils Haack | DE | Lubeck | 2014-05-08 / 20140124672 - DEVICE WITH A MEASUREMENT ARRANGEMENT FOR OPTICAL MEASUREMENT OF GASES AND GAS MIXTURES, WITH COMPENSATION OF ENVIRONMENTAL EFFECTS | 1 |
Thomas Haack | CL | Santiago De Chile | 2014-05-15 / 20140135427 - PROCESS FOR DRYING CONCRETE DISPERSANTS | 1 |
Larry P. Haack | US | Ann Arbor | 2016-03-24 / 20160082474 - STRUCTURAL POLYMER INSERT AND METHOD OF MAKING THE SAME | 11 |
Larry Haack | US | Ann Arbor | 2009-06-18 / 20090155604 - METHOD OF COATING A SUBSTRATE FOR ADHESIVE BONDING | 1 |
Ernesto R. Haack | US | Perris | 2009-05-07 / 20090115233 - HEADREST HAVING AN INTEGRATED VIDEO SCREEN | 1 |
Alan E. Haack | US | Roseville | 2013-01-24 / 20130023414 - HERBICIDE GRANULES WITH BUILT-IN ADJUVANT | 2 |
Scott G. Haack | US | Massillon | 2008-10-16 / 20080251102 - CLEANING DEVICE FOR ENDOSCOPIC DEVICES | 1 |
Thomas Haack | DE | Weitzschen | 2012-05-17 / 20120121315 - APPLICATION NOZZLE FOR VISCOUS ADHESIVES | 1 |
Mark D. Haack | US | Ormond Beach | 2015-02-26 / 20150056577 - VEHICLE CREW TRAINING SYSTEM | 1 |
Herbert Haack | US | South Hamilton | 2015-12-24 / 20150369810 - ANAPLASTIC LYMPHOMA KINASE IN KIDNEY CANCER | 15 |
Torsten Haack | DE | Eppingen | 2014-10-23 / 20140314240 - DEVICE AND METHOD FOR SIMULATING SPATIAL SOUND | 1 |
Raymond R. Haack | US | Mishicot | 2012-08-02 / 20120197543 - ANALYZING AN ACOUSTIC WAVE THAT HAS PROPAGATED THROUGH A BODY OF WATER WHILE THE BODY OF WATER IS BEING FROZEN | 2 |
Carsten Haack | CH | Huenenberg See | 2015-02-26 / 20150056111 - PIPETTING DEVICE, MODULAR PIPETTING UNIT, PIPETTING SYSTEM AND METHOD FOR PIPETTING OF FLUID SAMPLES | 5 |
Detlev Haack | DE | Pullach | 2016-03-10 / 20160067189 - ORAL PHARMACEUTICAL COMPOSITION COMPRISING TASTE-MASKED N-ACETYLCYSTEINE | 2 |
Nils Haack | GB | Bristol | 2015-07-09 / 20150190974 - METHOD OF MANUFACTURING A COMPOSITE ELEMENT | 2 |
Peter Haack | DE | Geesthacht | 2013-10-03 / 20130256282 - PROCESS FOR JOINING WORKPIECES MADE OF ZINC-CONTAINING ALUMINUM ALLOYS BY LASER BEAM WELDING | 1 |
Aimo Dirk Haack | DE | Ennigerloh | 2015-09-17 / 20150258576 - GRINDING MILL | 1 |
Jereme Nathan Haack | US | West Richland | 2016-01-07 / 20160006569 - INTELLIGENT SENSOR AND CONTROLLER FRAMEWORK FOR THE POWER GRID | 2 |
Larry Paul Haack | US | Ann Arbor | 2015-11-05 / 20150318527 - PLASMA TREATMENT OF AN ELECTROCHEMICAL MEMBRANE | 2 |
Cord Haack | DE | Beckdorf | 2015-09-17 / 20150259067 - MODULE FOR AN AIRCRAFT | 11 |
Herbert Haack | US | South Hamilton | 2015-12-24 / 20150369810 - ANAPLASTIC LYMPHOMA KINASE IN KIDNEY CANCER | 15 |
Herbert Haack | US | Holliston | 2010-12-02 / 20100304382 - Gene Defects and Mutant ALK Kinase in Human Solid Tumors | 3 |
Scott Haack | US | Chardon | 2015-06-11 / 20150157345 - ENDOSCOPIC SNARE DEVICE | 4 |
Ryan Haack | US | Lawrence | 2010-11-11 / 20100284831 - ADAPTORS FOR MULTISTAGE PUMP ASSEMBLIES | 5 |
Scott G. Haack | US | Chardon | 2010-07-29 / 20100190566 - GOLF PUTTER | 1 |
Larry P. Haack | US | Ann Arbor | 2016-03-24 / 20160082474 - STRUCTURAL POLYMER INSERT AND METHOD OF MAKING THE SAME | 11 |
David P. Haack | US | Hendersonville | 2014-11-27 / 20140348693 - Matrix Riser Breaker Insert | 3 |
Jeff Haack | US | Shoreview | 2010-03-18 / 20100065383 - Motion Control Apparatus | 1 |
E. Mark Haacke | US | Grosse Pointe Farms | 2013-08-01 / 20130195342 - Method of Generating Nuclear Magnetic Resonance Images Using Susceptibility Weighted Imaging and Susceptibility Mapping (SWIM) | 4 |
Michael Haacke | DE | Aachen | 2014-02-13 / 20140042889 - DISCHARGE LAMP WITH HIGH COLOR TEMPERATURE | 16 |
E. Mark Haacke | US | Detroit | 2015-11-12 / 20150323635 - METHOD AND APPARATUS FOR MAGNETIC RESONANCE IMAGING WITH RADIO FREQUENCY PULSES GENERATED ACCORDING TO PHASE CRITERIA | 3 |
Michael Haacke | DE | Aachen | 2014-02-13 / 20140042889 - DISCHARGE LAMP WITH HIGH COLOR TEMPERATURE | 16 |
Ulrich Haacke | DE | Hamburg | 2015-12-03 / 20150345650 - SAFETY VALVE | 1 |
E. Mark Haacke | US | Grosse Point Farms | 2009-04-23 / 20090102481 - COMPLEX THRESHOLD METHOD FOR REDUCING NOISE IN NUCLEAR MAGNETIC RESONANCE IMAGES | 1 |
Ross Haacke | GB | Reigate | 2016-03-31 / 20160091624 - INCREASING SIMILARITY BETWEEN SEISMIC DATASETS | 5 |
Knut Haadem | SE | Helsingborg | 2011-01-27 / 20110022056 - DEVICE FOR TISSUE DAMAGE PROTECTION DURING CHILD DELIVERY | 1 |
Stefan Haaf | DE | Gaukoenigshofen | 2012-03-22 / 20120072049 - COMPUTER-SUPPORTED MONITORING OF AN ENERGY CONSUMPTION OF A MEANS OF TRANSPORTATION | 1 |
Jonathan Haaf | DE | Limbach | 2016-03-17 / 20160075404 - METHOD FOR THE SAFE OPERATION OF A SNOWMOBILE | 1 |
Cristina Haaf | DE | Hemsbach | 2013-01-03 / 20130000516 - SILYLATED AMINO RESINS | 1 |
Volker Haaf | DE | Frankenhardt | 2015-10-08 / 20150289393 - ELECTRONIC UNIT OF A FLUID SENSOR OR VALVE AND FLUID SENSOR OR FLUID VALVE UNIT | 1 |
Oliver Haaf | DE | Kupferzell | 2015-09-24 / 20150267716 - HOUSING FOR AN AXIAL FAN | 5 |
David Haaf | US | San Rafael | 2012-11-08 / 20120279458 - CAT SCRATCHING DEVICE | 9 |
Stefan Haaf | DE | Gaukonigshofen | 2012-03-15 / 20120065818 - METHOD FOR OPERATING A RAIL VEHICLE | 1 |
Hermann Haaf | DE | Heidelbeerg | 2014-02-27 / 20140058905 - CONSISTENT INTERFACE FOR FINANCIAL INSTRUMENT IMPAIRMENT CALCULATION | 1 |
Franz Haaf | DE | Sinsheim-Weiler | 2011-05-12 / 20110107931 - PRINTING PRESS WITHOUT PAPER DURING POWER FAILURE AND METHOD OF OPERATING THE PRINTING PRESS | 1 |
Kamilla Haaf | DE | Sinsheim-Weiler | 2011-05-12 / 20110107931 - PRINTING PRESS WITHOUT PAPER DURING POWER FAILURE AND METHOD OF OPERATING THE PRINTING PRESS | 1 |
Christina Haaf | DE | Schriescheim | 2011-01-06 / 20110003984 - BISPIDON LIGANDS AND THE METAL COMPLEXES THEREOF | 1 |
Siegfried Haaf | DE | Koeln | 2010-11-11 / 20100281882 - REFRIGERATING SYSTEM AND METHOD FOR REFRIGERATING | 1 |
Dietrich Haaf | DE | Stuttgart | 2010-09-23 / 20100236456 - Shuttle Pallet for a Storage System | 1 |
Gerhard Haaf | DE | Stuttgart | 2010-09-23 / 20100237266 - METHOD FOR CONTROLLING A SOLENOID VALVE OF A QUANTITY CONTROLLER IN AN INTERNAL COMBUSTION ENGINE | 1 |
David Haaf | US | San Rafael | 2012-11-08 / 20120279458 - CAT SCRATCHING DEVICE | 9 |
Hermann Haaf | DE | Heidelberg | 2015-10-01 / 20150278937 - SYSTEMS AND METHODS OF PROVIDING KEY FIGURE INFORMATION | 7 |
Siegfried Haaf | DE | Koln | 2011-12-29 / 20110314843 - CO2-REFRIGERATION DEVICE WITH HEAT RECLAIM | 2 |
Peter Haaf | DE | Stuttgart | 2009-01-15 / 20090014050 - Solar module system and method using transistors for bypass | 1 |
Klaus Bernhard Haaf | DE | Kelkheim | 2015-09-03 / 20150245616 - HERBICIDAL AND FUNGICIDAL 5-OXY-SUBSTITUTED 3-PHENYLISOXAZOLINE-5-CARBOXAMIDES AND 5-OXY-SUBSTITUTED 3-PHENYLISOXAZOLINE-5-THIOAMIDES | 4 |
Klaus Bernhard Haaf | DE | Kelheim | 2015-08-06 / 20150216172 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUNDS FOR PLANT DISEASE CONTROL | 1 |
Christina Haaf | DE | Hemsbach | 2014-03-06 / 20140066552 - SILYLATED AMINO RESINS | 8 |
Christina Haaf | DE | Hemsbach | 2014-03-06 / 20140066552 - SILYLATED AMINO RESINS | 8 |
Oliver Haaf | DE | Kunzelsau | 2014-07-31 / 20140209275 - Ventilation Device, In Particular For Heating, Cooling, and/or Humidifying Air In Residential Buildings | 1 |
Volker Haaf | DE | Crailsheim | 2015-06-11 / 20150160060 - CONTROL HEAD | 4 |
Oliver Haaf | DE | Obersulm | 2011-09-15 / 20110223007 - RADIAL FAN WHEEL ARRANGEMENT | 2 |
Richard Allan Haaff | US | Vincennes | 2010-10-07 / 20100252535 - Contact tip for an electrode of a resistance welder | 1 |
Silke Haag | DE | Abstatt | 2011-03-24 / 20110067487 - Method for testing the operability of a tank shutoff valve of a fuel tank system | 4 |
Ulrich Haag | DE | Bisingen | 2016-01-07 / 20160000989 - CARBON DIOXIDE REMOVAL SYSTEM | 2 |
Jan Haag | DE | Nittenau | 2011-02-17 / 20110037372 - SPARK IGNITION DEVICE WITH IN-BUILT COMBUSTION SENSOR | 2 |
Rainer Haag | DE | Berlin | 2015-11-12 / 20150320786 - COMPOUNDS SUITED AS NANOCARRIERS FOR ACTIVE AGENTS AND THEIR USE | 10 |
Rolf Jochen Haag | US | San Jose | 2015-03-12 / 20150073982 - ELECTRONIC WALLET FUND TRANSFER SYSTEM | 1 |
Hans-Joachim A. Haag | DE | Waldbrunn | 2008-08-21 / 20080200274 - Measuring device for measuring hitting parameters of a golf club and associated calibration device | 1 |
Silke Haag | DE | Ilsfeld-Auenstein | 2014-01-16 / 20140013944 - DEVICE FOR SELECTIVELY REGENERATING OR PERFORMING TANK LEAKAGE DIAGNOSIS OF A TANK VENTILATION SYSTEM | 2 |
Michael Haag | DE | Rodenbach | 2015-12-31 / 20150380587 - SOLAR CELL WITH REDUCED ABSORBER THICKNESS AND REDUCED BACK SURFACE RECOMBINATION | 15 |
Achim Haag | DE | Leinfelden-Echterdingen | 2013-07-25 / 20130187581 - Method and arrangement for determining the dynamic state of an electric motor | 1 |
Cornelia Haag | DE | Aubstadt | 2013-06-27 / 20130163908 - BEARING CAGE HAVING LIPOPHOBIC OR OLEOPHOBIC SURFACE(S) | 1 |
William Haag | US | Menlo Park | 2015-09-17 / 20150258039 - PREPARATION OF GGA AND DERIVATIVES THEREOF AND THEIR CO-CRYSTALLIZATION WITH UREA OR THIOUREA | 1 |
Michael R. Haag | US | Dearborn | 2012-01-12 / 20120009355 - METHOD AND APPARATUS FOR STABILIZING A COATING | 1 |
Valentin Haag | DE | Stuttgart | 2013-10-03 / 20130256965 - Clamping and Positioning Modules and Related Clamping Devices for Clamping Sheet Metal Components | 1 |
Walter Haag | DE | Stimpfach | 2013-08-29 / 20130220125 - HOT GAS FILTRATION SYSTEM AND PROCESS FOR REGENERATING SAID SYSTEM | 1 |
Trevor C. Haag | US | Fridley | 2015-04-02 / 20150090310 - LOW-POWERED SYSTEM FOR DRIVING A FUEL CONTROL MECHANISM | 1 |
Ronald H. Haag | US | Lake Orion | 2015-12-10 / 20150355405 - TECHNIQUES AND APPARATUS FOR ILLUMINATING ARTICLES AND/OR GRAPHIC CONTENT | 12 |
Stephane Haag | DE | Frankfurt | 2016-03-10 / 20160068452 - PROCESS AND PLANT FOR PRODUCING OLEFINS FROM OXYGENATES | 2 |
Gottlob Haag | DE | Markgroeningen | 2008-10-16 / 20080251605 - Fuel Injection System | 1 |
Silke Haag | DE | Iisfeld-Ausenstein | 2014-04-24 / 20140109882 - METHOD FOR MONITORING THE LEAK TIGHTNESS OF A FUEL TANK SYSTEM | 1 |
Michael Haag | DE | Mainz | 2010-11-04 / 20100279454 - Method of Manufacturing a Solar Cell | 1 |
René Haag | US | West Chester | 2013-11-07 / 20130296943 - BONE PLATE | 1 |
Kendra L. Haag | US | Chicago | 2013-07-18 / 20130181013 - COMPOSITION AND AEROSOL SPRAY DISPENSER FOR ELIMINATING ODORS IN AIR | 1 |
Werner Haag | CH | Lugnorre | 2015-01-29 / 20150028220 - ELECTRON EXIT WINDOW FOIL | 6 |
Michael Drachmann Haag | DK | Esbjerg V | 2015-05-14 / 20150132140 - EROSION SHIELD FOR A WIND TURBINE BLADE | 3 |
Dieter Haag | CH | Ramlinsburg | 2014-08-07 / 20140221433 - N-[5-(Aminosulfonyl)-4-methyl-1,3-thiazol-2-yl]-N-methyl-2-[4-(2-pyridinyl- )phenyl]acetamide mesylate monohydrate | 1 |
Alexandra Haag | DE | Hemhofen | 2015-10-08 / 20150284865 - COMPOSITION FOR METAL ELECTROPLATING COMPRISING LEVELING AGENT | 7 |
Joseph T. Haag | US | Deleno | 2012-05-10 / 20120112372 - INSULATION FOR A STEAM CARRYING APPARATUS AND METHOD OF ATTACHMENT THEREOF | 1 |
Dominik Haag | DE | Bamberg | 2008-10-23 / 20080262722 - METHOD FOR OPERATING A NAVIGATION DEVICE | 1 |
Thorsten Winfried Haag | DE | Oberpleichfeld | 2008-10-23 / 20080258453 - Page of a printed product having a plurality of information fields arranged in different print columns, and longitudinal perforation device for producing the page | 1 |
David Haag | US | Las Vegas | 2014-02-27 / 20140057697 - GAMING SYSTEMS AND METHODS FOR OPERATING GAMING SYSTEMS | 4 |
Forrest Haag | US | Wilton Manors | 2016-02-11 / 20160038531 - FAST ACTING JOINT RELIEF FORMULATIONS | 1 |
Stefan Haag | CH | Lachen | 2015-07-30 / 20150211064 - ASSAY AND METHOD FOR THE IDENTIFICATION OF INDIVIDUAL RESPONSIVENESS TO IMMUNOGLOBULIN THERAPY | 1 |
Adam M. Haag | US | Saltsburg | 2012-08-09 / 20120199465 - Fluid Pressure Responsive Electric Switch | 1 |
Mathias Haag | DE | Haiterbach | 2013-10-03 / 20130259594 - FIXING ELEMENT | 1 |
Rainer Haag | DE | Berlin | 2015-11-12 / 20150320786 - COMPOUNDS SUITED AS NANOCARRIERS FOR ACTIVE AGENTS AND THEIR USE | 10 |
John E. Haag | US | Clovis | 2014-09-11 / 20140253783 - FOCUS CONTROL FOR PTZ CAMERAS | 1 |
Jon Jerrold Haag | US | Vadnais Heights | 2016-02-04 / 20160032836 - FILTRATION SYSTEM FOR A GAS TURBINE AIR INTAKE AND METHODS | 2 |
Werner Haag | DE | Waghausel | 2014-05-29 / 20140144016 - METHOD AND DEVICE FOR CONNECTING AN ELECTRICAL CONDUCTOR TO AN ELECTRICAL CONTACT PART | 1 |
Jon Jerrold Haag | US | 2016-02-04 / 20160032836 - FILTRATION SYSTEM FOR A GAS TURBINE AIR INTAKE AND METHODS | 1 | |
David Haag | CH | Verrieres | 2013-08-15 / 20130211424 - ADJUSTABLE FIXATION SYSTEM FOR NEUROSURGICAL DEVICES | 1 |
Mikael Haag | FI | Vantaa | 2016-03-24 / 20160083222 - GUIDE RAIL ALIGNMENT SYSTEMS FOR ELEVATORS | 4 |
Anthony M. Haag | US | Houston | 2012-09-27 / 20120245128 - RAPID DETECTION AND QUANTIFICATION OF MODIFICATION OF MEDICINAL COMPOUNDS AND DRUG RESISTANCE ACTIVITY | 1 |
Peter Haag | DE | Billigheim | 2009-03-26 / 20090079986 - METHOD AND DEVICE FOR OBJECT DETECTION IN THE CASE OF A VEHICLE | 1 |
Christian Haag | SE | Karlstad | 2013-07-25 / 20130187382 - METHOD AND APPARATUS FOR CONTROL OF REDUNDANT DEVICES IN A WIND TURBINE | 7 |
Jeremy D. Haag | US | Lebanon | 2014-04-10 / 20140099412 - PROCESS FOR PREPARING AND FORMULATION FOR AERATED BAKED FOOD PRODUCTS AND CHOCOLATE PRODUCTS | 1 |
William Haag | US | San Ramon | 2014-09-18 / 20140275631 - Process for synthesizing GGA and its derivatives | 1 |
Jan Haag | DE | Nittenai | 2009-07-16 / 20090182491 - METHOD AND SYSTEM FOR FILTERING A DISTURBED CYLINDER PRESSURE SIGNAL FROM A CYLINDER IN AN INTERNAL COMBUSTION ENGINE | 1 |
Michael Haag | DE | Abstatt | 2009-11-05 / 20090273145 - CLAMPING DEVICE | 1 |
Rolf Van Haag | DE | Kerken | 2009-01-15 / 20090018002 - DEFLECTION CONTROLLED ROLL | 2 |
Monica Haag | DE | Ludwigshafen | 2015-12-31 / 20150376318 - Method for Producing Water-Absorbing Polymer Particles with High Swelling Rate and High Centrifuge Retention Capacity with Simultaneously High Permeability of the Swollen Gel Bed | 3 |
Christian Haag | US | Novi | 2012-10-11 / 20120259523 - METHOD FOR OPERATING A VEHICLE AND VEHICLE | 1 |
Thomas Haag | DE | Oberhausen-Rheinhausen | 2009-09-17 / 20090230123 - DEVICE AND METHOD FOR DRIVING THE INDUCTION HEATING MEANS OF AN INDUCTION HOB | 1 |
Matthias Haag | DE | Stuttgart | 2009-01-22 / 20090023543 - Gear Mechanism, In Particular Linkage Mechanism | 1 |
Dieter Haag | DE | Radolfzell-Guettingen | 2009-10-08 / 20090253936 - Process for Producing (1RS,3RS,6RS)-6-dimethylaminomethyl-1-(3-methoxyphenyl)-cyclohexane-1,3-d- iol | 1 |
Frieder Haag | DE | Wannweil | 2015-03-05 / 20150059485 - MICROMECHANICAL SENSOR SYSTEM AND CORRESPONDING MANUFACTURING METHOD | 16 |
Michael Haag | US | Denver | 2012-11-29 / 20120302044 - METHOD FOR DEPOSITION OF NANOPARTICLES ONTO SUBSTRATES | 2 |
Marion Haag | DE | Berlin | 2015-06-25 / 20150175970 - CELLS FOR THERAPY OF THE HEART, METHOD OF OBTAINING A CELL PREPARATION, AND CELL PREPARATION | 2 |
Rainer Haag | DE | Berline | 2010-04-01 / 20100081773 - DIVALENT METAL-ION LOADED NANO-TRANSPORT SYSTEM HAVING A DENDRITIC ARCHITECTURE USEFUL FOR THERAPY | 1 |
Rene Haag | US | Berwyn | 2011-09-15 / 20110224671 - VARIABLE ANGLE COMPRESSION PLATE | 1 |
Rene Haag | US | West Chester | 2015-12-24 / 20150366597 - MEDIAL COLUMN FUSION PLATES | 8 |
Michael Drachmann Haag | DK | Esbjerg | 2016-02-18 / 20160046088 - WIND TURBINE BLADE REPAIR METHOD | 1 |
Jens Haag | DE | Heidenheim | 2015-10-15 / 20150292158 - METHOD FOR CONTROLLING THE FORMATION OF A FIBER WEB OF A FIBER OR PAPER PRODUCING PROCESS | 4 |
Thomas Haag | DE | Rodgau | 2010-08-12 / 20100202441 - METHOD AND APPARATUS FOR THE USER-SPECIFIC CONFIGURATION OF A COMMUNICATIONS PORT | 2 |
Bertram Haag | DE | Uhlfeld | 2016-04-28 / 20160115997 - COATED COMPONENT | 5 |
Reiner Haag | DE | Spaichingen | 2010-12-23 / 20100324583 - Surgical Instrument | 1 |
Christian Haag | DE | Mulfingen-Ailringen | 2011-09-15 / 20110223007 - RADIAL FAN WHEEL ARRANGEMENT | 2 |
Uwe Haag | DE | Neuenburg | 2011-01-13 / 20110005289 - INTERCHANGEABLE CARTRIDGE SYSTEM FOR A STRAIGHTENING MACHINE AND METHOD FOR OPERATING SAID STRAIGHTENING MACHINE | 1 |
Guenter Haag | DE | Stuttgart | 2013-10-17 / 20130270728 - Method for Regulating An injection Molding Process | 2 |
Frieder Haag | DE | Wannwell | 2012-02-02 / 20120024396 - Method for Producing a Microfluidic System | 1 |
Michael Haag | DE | Hauptstrasse | 2011-06-02 / 20110130982 - Monitoring System for Power Grid Distributed Power Generation Devices | 1 |
Christian Haag | DE | Mulfingen | 2015-07-02 / 20150188392 - ACTIVE COOLING OF A MOTOR | 3 |
Mikael Norbert Haag | FI | Vantaa | 2008-10-30 / 20080264135 - Kinematic System for the Displacement of Working Units of Machines for Bending and Forming Metallic Sheets | 1 |
Adam D. Haag | US | Woodbury | 2016-04-28 / 20160118448 - ANTIREFLECTIVE OLED CONSTRUCTION | 15 |
Michael Haag | DE | Emmendingen | 2010-12-09 / 20100311549 - Device for Training the Muscles of the Body | 1 |
George Haag | US | Manitou Beach | 2014-10-23 / 20140312264 - Colloidal Sol And Method Of Making Same | 1 |
Mikael Haag | IT | Desenzano Del Garda | 2009-01-29 / 20090030542 - Apparatus and method for handling short run quick changeover fabrication jobs | 1 |
Charles R. Haag | US | Dallas | 2008-08-21 / 20080201190 - System and method for electronic processing of default case files | 1 |
Daniel Haag | DE | Stuttgart | 2015-12-17 / 20150360631 - BODY ARRANGEMENT WITH A PROTECTIVE ARRANGEMENT FOR SAFETY-RELEVANT PARTS IN THE AUTOMOTIVE FIELD | 2 |
Dieter Haag | CH | Lausen | 2010-01-28 / 20100022779 - Isotopically substituted proton pump inhibitors | 2 |
Alessandro Haag | IT | Montesilvano | 2010-07-08 / 20100175113 - Secure System Access Without Password Sharing | 1 |
Michael James Haag | AU | South Australia | 2010-11-04 / 20100276369 - Desalination System | 1 |
Ronald Helmut Haag | US | Lake Orion | 2008-10-09 / 20080246495 - Detection apparatus for a capacitive proximity sensor | 1 |
Jeffrey David Haag | US | Raleigh | 2014-07-17 / 20140201732 - Detection of Unauthorized Use of Virtual Resources | 3 |
Julie A. Haag | US | San Diego | 2009-02-19 / 20090045976 - UTILITY NETWORK AND REVENUE ASSURANCE | 1 |
Philip Steven Haag | US | Orlando | 2011-11-24 / 20110283451 - SHOWER SEAT FRAME AND ASSOCIATED METHODS | 1 |
Stefan Haag | CH | Jona | 2010-12-30 / 20100330568 - ASSAY AND METHOD FOR THE ASSESSMENT OF RESPONDERS AND NON-RESPONDERS TO NK CELL MODULATION BY IMMUNOGLOBULIN THERAPY | 1 |
Elliot J. Haag | US | Madison | 2009-03-05 / 20090057057 - LADDER ACCESSORY | 1 |
Ronald H. Haag | US | Lake Orion | 2015-12-10 / 20150355405 - TECHNIQUES AND APPARATUS FOR ILLUMINATING ARTICLES AND/OR GRAPHIC CONTENT | 12 |
William Haag | US | Berkeley | 2009-11-12 / 20090280102 - COMPOSITIONS FOR ENHANCING THE ANTIBACTERIAL ACTIVITY OF MYELOPEROXIDASE AND METHODS OF USE THEREOF | 1 |
René Haag | US | Berwyn | 2011-08-18 / 20110202093 - Bone Plate | 1 |
Maia B. Haag | US | Deephaven | 2010-02-18 / 20100038894 - PERSONALIZED BOOK | 1 |
Michael Thomas Haag | US | Sunnyvale | 2012-09-20 / 20120235339 - PROCESS EQUIPMENT ARCHITECTURE | 2 |
Joseph T. Haag | US | Delano | 2015-05-28 / 20150145153 - Steam Dispersion System | 3 |
Margaret Louise Haag | US | Washington | / - | 1 |
Stanley P. Haag | US | Highlands Ranch | 2010-12-30 / 20100332185 - ANALYTICAL BANDWIDTH ENHANCEMENT FOR MONITORING TELEMETRIC SIGNALS | 1 |
Stephen Haag | US | Plano | 2015-09-10 / 20150254979 - INTELLIGENTLY PROVIDING USER-SPECIFIC TRAFFIC-RELATED INFORMATION | 3 |
Matthew A. Haag | US | Moon Township | 2011-03-03 / 20110055021 - Affiliate Network Hybrid Tracking System and Method | 1 |
Anthony P Haag | US | Bozeman | 2014-05-15 / 20140131283 - Multiple Uses of Amine Salts for Industrial Water Treatment | 2 |
Dieter Haag | CH | Ramlinsburg Bl | 2015-12-24 / 20150366849 - CRYSTALLINE N-[5-(AMINOSULFONYL)-4-METHYL-1,3-THIAZOL-2-YL]-N-METHYL-2-[4-(2-PYRIDINY- L)PHENYL]ACETAMIDE MONO MESYLATE MONOHYDRATE HAVING A SPECIFIC PARTICLE SIZE DISTRIBUTION RANGE AND A SPECIFIC SURFACE AREA RANGE FOR USE IN PHARMACEUTICAL FORMULATIONS | 2 |
Michael Haag | DE | Karlsruhe | 2013-01-17 / 20130018507 - Control System Of A RobotAANM Haag; MichaelAACI KarlsruheAACO DEAAGP Haag; Michael Karlsruhe DEAANM Munz; HeinrichAACI BergatreuteAACO DEAAGP Munz; Heinrich Bergatreute DEAANM Jacob; DirkAACI MarktoberdorfAACO DEAAGP Jacob; Dirk Marktoberdorf DEAANM Kluger; Hans-PeterAACI StadtbergenAACO DEAAGP Kluger; Hans-Peter Stadtbergen DE | 1 |
Marco Haag | DE | Goennheim | 2015-06-04 / 20150152364 - COMPOSITIONS AND METHODS FOR CLEANING, DISINFECTING, AND SANITIZING THAT ARE EFFLUENT NEUTRAL | 1 |
Rene Haag | US | West Chester | 2015-12-24 / 20150366597 - MEDIAL COLUMN FUSION PLATES | 8 |
Kenneth R. Haag | US | Indianapolis | 2011-12-29 / 20110315569 - VEHICLE SERVICE TAG | 1 |
Stephane Haag | DE | Frankfurt Am Main | 2016-02-18 / 20160046542 - PROCESS FOR PRODUCING SHORT-CHAIN OLEFINS FROM OXYGENATES | 3 |
Jon Haag | US | Vadnais Heights | 2014-05-08 / 20140123621 - ACTUATED BYPASS HOOD FOR GAS TURBINE AIR INLET SYSTEM AND METHODS | 1 |
Adam D. Haag | US | Woodbury | 2016-04-28 / 20160118448 - ANTIREFLECTIVE OLED CONSTRUCTION | 15 |
Tilo Haag | US | 2011-05-26 / 20110124910 - CRYSTALLINE POTASSIUM SALT OF LIPOXIN A4 ANALOGS | 1 | |
Michael Haag | DE | Rodenbach | 2015-12-31 / 20150380587 - SOLAR CELL WITH REDUCED ABSORBER THICKNESS AND REDUCED BACK SURFACE RECOMBINATION | 15 |
Axel-Wermer Haag | DE | Stuttgart | 2015-04-23 / 20150107337 - Circuit system for measuring a sensor element capacitance | 1 |
G. Sean Haag | US | Plymouth | 2011-09-08 / 20110218418 - IDENTIFICATION PATCH FOR A MEDICAL SYSTEM | 2 |
Gerhard Haaga | DE | Ohmden | 2015-03-19 / 20150077749 - DEVICE FOR CALIBRATING A SCATTEROMETER | 7 |
John Haaga | US | Chagrin Falls | 2008-08-28 / 20080206187 - METHOD FOR TREATING A NEOPLASTIC DISORDER | 1 |
Robert Luis Haaga | US | Dayton | 2011-02-24 / 20110041259 - STABLE ODORANT SYSTEMS | 2 |
Rebecca Haaga | US | Chagrin Falls | 2016-01-07 / 20160000913 - TARGETED TREATMENT OF ANEROBIC CANCER | 1 |
Donald Haaga | US | Portland | 2014-01-09 / 20140010404 - WATERMARKING DIFFERENT AREAS OF DIGITAL IMAGES WITH DIFFERENT INTENSITIES | 6 |
John R. Haaga | US | Chagrin Falls | 2016-01-07 / 20160000913 - TARGETED TREATMENT OF ANEROBIC CANCER | 2 |
Kari Haaga | FI | Tampere | 2016-04-07 / 20160097528 - ARRANGEMENT AND METHOD IN SODA RECOVERY BOILER | 3 |
Silke Haag-Diergarten | DE | Frankfurt Am Main | 2013-01-24 / 20130023545 - CYCLOALKYLOXYCARBOXYLIC ACID DERIVATIVES | 1 |
Randolph B. Haagens | US | Bellevue | 2014-06-26 / 20140181026 - READ-ONLY OPERATIONS PROCESSING IN A PAXOS REPLICATION SYSTEM | 2 |
Janus A.j. Haagensen | US | Menlo Park | 2013-03-07 / 20130060188 - PHOTOCATALYTIC DISINFECTION OF IMPLANTED CATHETERS | 2 |
Sven Haagensen | NO | Porsgrunn | 2009-08-20 / 20090206043 - Method and a Device for Collection of Floating Waste on a Water Surface | 1 |
Frank Droescher Haagensen | US | Raleigh | 2011-07-07 / 20110165617 - Enzymatic Hydrolysis Of Pretreated Lignocellulose-Containing Material With Distillers Dried Grains | 2 |
John Haager | US | Bonney Lake | 2015-10-29 / 20150310219 - SYSTEMS AND METHODS FOR SECURITY HARDENING OF DATA IN TRANSIT AND AT REST VIA SEGMENTATION, SHUFFLING AND MULTI-KEY ENCRYPTION | 2 |
Heinrich Haager | AT | Wein | 2013-10-24 / 20130282924 - METHOD FOR ADDRESSING MESSAGES IN A COMPUTER NETWORK | 1 |
John C. Haager | US | Bonney Lake | 2013-06-06 / 20130145277 - GRAPHICAL USER INTERFACE FOR ELECTRONIC FILE SHARING | 3 |
Alexander Haager | AT | Mistelbach | 2011-06-23 / 20110148067 - APPARATUS FOR STORING AIR | 1 |
Annette Haagerup | DK | Ry | 2009-12-03 / 20090297563 - Diagnosis And Treatment of Immune-Related Diseases | 1 |
Jason Haaheim | US | Chicago | 2012-12-13 / 20120317684 - USING OPTICAL DEFLECTION OF CANTILEVERS FOR ALIGNMENT | 3 |
Lars Reinhardt Haaheim | NO | Bergen | 2008-08-28 / 20080206790 - Assay for determining the presence or amount of newly synthesized antibodies | 1 |
Jason R. Haaheim | US | Chicago | 2012-11-22 / 20120295030 - HIGH DENSITY, HARD TIP ARRAYS | 5 |
Paul G. Haahr | US | San Francisco | 2013-08-15 / 20130212092 - Multi-Stage Query Processing System and Method for Use with Tokenspace Repository | 2 |
Paul Haahr | US | San Francisco | 2015-06-11 / 20150161086 - GENERATING DESCRIPTIVE TEXT FOR IMAGES | 17 |
Rasmus Grønbek Haahr | DK | Gentofte | 2013-03-07 / 20130060098 - MONITORING DEVICE | 2 |
Arne Haahr | DK | Ringkobing | 2015-06-11 / 20150159624 - WIND TURBINE ROTOR BLADE WITH A CONE ANGLE AND A METHOD OF MANUFACURING A WIND TURBINE ROTOR BLADE WITH A CONE ANGLE | 2 |
Paul Haahr | US | San Francisco | 2015-06-11 / 20150161086 - GENERATING DESCRIPTIVE TEXT FOR IMAGES | 17 |
Anne-Mette Haahr | DK | Birkerod | / - | 1 |
Anne-Mette Haahr | DK | Birkeroed | 2013-10-31 / 20130287850 - FORMULATIONS AND METHODS FOR THE CONTROLLED RELEASE OF ACTIVE DRUG SUBSTANCES | 6 |
Paul Haahr | US | San Franciso | 2010-05-06 / 20100114874 - PROVIDING SEARCH RESULTS | 1 |
Tari Haahtela | FI | Helsinki | 2010-04-08 / 20100086552 - ALLERGEN-BINDING IGE MONOCLONAL ANTIBODIES AND METHOD FOR PREPARING HYPOALLERGENS | 1 |
Juha Haaja | FI | Espoo | 2015-12-31 / 20150377222 - ACTUATOR AND METHOD FOR IMPROVING AN ACTUATOR | 3 |
Tobias Haak | DE | Hockenheim | 2014-09-11 / 20140259177 - EFFICIENTLY SEGREGATING DATA FROM EXTERNALLY ACCESSIBLE SYSTEMS | 1 |
Raymond Haak | US | Santa Fe | 2011-12-08 / 20110297271 - handheld controller for filling wine barrels | 1 |
Steve Haak | US | Rochester | 2014-02-06 / 20140036312 - Photofinisher Status Notification System and Method | 1 |
Steffen Haak | DE | Karlsruhe | 2013-03-21 / 20130073735 - Semantic- and Preference-Based Planning of Cloud Service Templates | 2 |
Peter Haak | US | Chicago | 2012-10-18 / 20120260397 - Tear away light emulating light reflecting safety vest/poncho | 1 |
Peter Haak | DE | Willich | 2009-01-22 / 20090019910 - MAKING AND COILING ROD AND WIRE | 2 |
Sebastian Haak | DE | Frankfurt | 2013-05-23 / 20130126303 - BAGGAGE SCREENING DEVICE | 1 |
Ulrich Haak | DE | Frankfurt | 2014-01-02 / 20140000344 - MEMS-Microviscometer | 1 |
Wouter Haak | NL | Amsterdam | 2009-07-09 / 20090177546 - SYSTEM AND METHOD FOR CATEGORY-BASED CONTEXTUAL ADVERTISEMENT GENERATION AND MANAGEMENT | 2 |
Juergen Haak | DE | Stuttgart | 2009-09-03 / 20090217623 - Automated Operation Application Module, In Particular For A Packaging Machine | 1 |
Marcel B. Haak | NL | Av Loppersum | 2010-05-13 / 20100116829 - POLYISOCYANURATE RIGID FOAMS AND PROCESSES FOR THEIR PRODUCTION AND USE | 1 |
Matthias Haak | DE | Oldenburg | 2013-05-30 / 20130136672 - Electrically Conductive Pipette Tip | 2 |
Theodorus Menno Haak | NL | Maassluis | 2012-10-04 / 20120251286 - Device for emergency lightering of a container ship and use of said device | 1 |
Jason D. Haak | US | Sioux Falls | 2012-02-16 / 20120041377 - Catheter Anchoring System, Apparatus and Method | 1 |
Juergen Haak | NL | Roermond | 2015-02-19 / 20150047733 - PRESSURE RELIEF VALVE FOR A PACKAGING CONTAINER | 2 |
Juergen Haak | NL | Weert | 2012-11-22 / 20120292319 - PRESSURE RELIEF VALVE FOR A PACKAGING CONTAINER | 1 |
James Allen Haak | US | Irvine | 2012-02-02 / 20120030708 - Portable Media Device and Method for Presenting Viewing Content During Travel | 3 |
Ronald P. Haak | US | Menlo Park | 2010-03-25 / 20100076368 - Electrotransport Delivery Device Having Improved Safety and Reduced Abuse Potential | 1 |
Christopher A. Haak | US | Oakdale | 2015-02-12 / 20150044456 - PRIMER COMPOSITIONS FOR OPTICAL FILMS | 7 |
Albert C. Haak | US | Holland | 2010-10-21 / 20100264684 - VISOR MADE FROM A VEHICLE PANEL MATERIAL | 1 |
Ralf Haak | DE | Worrstadt | 2012-01-12 / 20120006354 - COMPOSITION AND METHOD FOR CLEANING SURFACES | 1 |
Albert Charles Haak | US | Hollan | 2014-11-13 / 20140333097 - VEHICLE PANEL | 2 |
Christopher A. Haak | US | Pine Springs | 2015-09-10 / 20150251395 - DURABLE EXTRUDED DYED POLYESTER FILMS | 1 |
Timo Haakana | FI | Espoo | 2014-10-23 / 20140311296 - METHOD FOR LEACHING A SULPHIDIC METAL CONCENTRATE | 1 |
Sami Haakana | FI | Espoo | 2016-05-12 / 20160130764 - COMPOSITION OF PRECIPITATED CALCIUM CARBONATE, METHOD OF PRODUCING THE SAME AND THE USES THEREOF | 1 |
Timo Haakana | FI | Pori | 2013-03-07 / 20130060075 - METHOD AND APPARATUS FOR HOMOGENISING AND STABILISING AN IRON-BEARING RESIDUE | 1 |
Heli Haakana | FI | Espoo | 2016-02-18 / 20160046980 - TRANSPOSON NUCLEIC ACIDS COMPRISING A CALIBRATION SEQUENCE FOR DNA SEQUENCING | 3 |
Markku Haakana | FI | Forssa | 2009-05-07 / 20090113589 - BULLETPROOF VEST | 1 |
Heli T. Haakana | FI | Espoo | 2015-02-12 / 20150045257 - METHODS AND TRANSPOSON NUCLEIC ACIDS FOR GENERATING A DNA LIBRARY | 1 |
Katarina Haakansson | DK | Bagsvaerd | 2014-10-02 / 20140294853 - ANTAGONISTIC DR3 LIGANDS | 3 |
Patrick Philipp Haake | DE | Vreden | 2012-06-21 / 20120153196 - TORQUE SUPPORT FOR A VALVE LOCK | 1 |
Russell S. Haake | US | Dallas | 2015-04-16 / 20150101790 - TIME DELAYED SECONDARY RETENTION MECHANISM FOR SAFETY JOINT IN A WELLBORE | 1 |
Mathias Haake | DE | Hamburg | 2015-12-24 / 20150367941 - SYSTEM FOR MOVING LOADS | 1 |
Volker Haake | DE | Berlin | 2015-10-15 / 20150291971 - METHOD FOR PRODUCING A TRANSGENIC CELL WITH INCREASED GAMMA-AMINOBUTYRIC ACID (GABA) CONTENT | 8 |
Derek Robert Haake | US | Akron | 2013-01-03 / 20130006713 - METHOD FOR AGGREGATING PRICING INFORMATION AND ASSIGNING A FAIR MARKET VALUE TO GOODS SOLD IN A PEER-TO-PEER E-COMMERCE TRANSACTION | 1 |
John M. Haake | US | St. Charles | 2013-05-02 / 20130105447 - PUDDLE FORMING AND SHAPING WITH PRIMARY AND SECONDARY LASERS | 1 |
Thomas Haake | DE | Ellwangen | 2015-01-22 / 20150024290 - METAL-AIR BUTTON CELLS AND METHOD OF MANUFACTURING THE SAME | 2 |
David A. Haake | US | Los Angeles | 2015-11-19 / 20150329599 - PROTEINS WITH REPETITIVE BACTERIAL-IG-LIKE (BIG) DOMAINS PRESENT IN LEPTOSPIRA SPECIES | 8 |
Fred K. Haake | US | Palm Beach Gardens | 2015-05-07 / 20150125681 - High Temperature Imaging Media for Digital Image Correlation | 1 |
Flemming Dan Haake | DK | Hellerup | 2013-09-26 / 20130248527 - PACKAGING SYSTEM FOR FOOD ARTICLES | 1 |
John A. Haake | US | Waunakee | 2014-10-30 / 20140322414 - APPARATUS AND METHOD FOR MOLDING AND CHILLING CHEESE | 1 |
Volker Haake | US | Berlin-Charlottenburg | 2009-11-05 / 20090276912 - Polynucleotides and Polypeptides in Plants | 1 |
Mathias Haake | HK | Hong Kong Midlevels | 2012-09-13 / 20120232267 - PROCESS FOR CONTINUOUS HYDROGENATION OR HYDROGENATING AMINATION | 2 |
David A. Haake | US | Los Angeles | 2015-11-19 / 20150329599 - PROTEINS WITH REPETITIVE BACTERIAL-IG-LIKE (BIG) DOMAINS PRESENT IN LEPTOSPIRA SPECIES | 8 |
Manfred Haake | DE | Marburg-Cappel | 2012-05-03 / 20120108484 - CLEANING AGENT CONTAINING CARBAMIDE AND/OR AT LEAST A DERIVATIVE THEREOF | 2 |
Dennis Haake | DE | Ritterhude | 2010-10-21 / 20100264275 - Bubble trap for a fuel tank in a spacecraft | 1 |
Volker Haake | DE | Berlin | 2015-10-15 / 20150291971 - METHOD FOR PRODUCING A TRANSGENIC CELL WITH INCREASED GAMMA-AMINOBUTYRIC ACID (GABA) CONTENT | 8 |
Mathias Haake | DE | Mannheim | 2010-05-13 / 20100119423 - APPARATUS AND PROCESS FOR REMOVING CARBON MONOXIDE FROM A HYDROGENOUS GAS STREAM | 1 |
Oliver Haake | DE | Stadtlohn | 2013-06-20 / 20130152352 - Method for Coding a Lock and a Blank for Same | 1 |
David A. Haake | US | Culver City | 2015-04-16 / 20150104789 - ANTIBIOTIC SUSCEPTIBILITY TESTING USING PROBES FOR PRERIBOSOMAL RNA | 3 |
Oliver Henri Haake | DE | Stadtlohn | 2012-06-21 / 20120153196 - TORQUE SUPPORT FOR A VALVE LOCK | 1 |
Hans-Martin Haake | DE | Erkrath | 2014-01-16 / 20140017185 - Hair Care Agent | 7 |
André Werner Haake | DE | Stadtlohn | 2012-06-21 / 20120153196 - TORQUE SUPPORT FOR A VALVE LOCK | 1 |
Andre Haake | DE | Stadtlohn | 2013-06-20 / 20130152352 - Method for Coding a Lock and a Blank for Same | 1 |
Patrick Haake | DE | Vreden | 2013-06-20 / 20130152352 - Method for Coding a Lock and a Blank for Same | 1 |
Markus Haake | CH | Bern | 2015-01-22 / 20150023999 - Novel Targets of Acinetobacter Baumannii | 1 |
Monique Haakensen | CA | Saskatoon | 2013-11-28 / 20130316417 - PROCESS FOR THE CONVERSION OF GLYCEROL TO 1,3-PROPANEDIOL | 1 |
Jesse R. Haakenson | US | St. Paul | 2012-10-18 / 20120264096 - BPH LASER ABLATION SIMULATION | 1 |
William P. Haakenson | US | St. Louis | 2015-11-26 / 20150335020 - COMPOSITIONS AND METHODS FOR CONTROLLING NEMATODES | 4 |
Casey Anderson Haakenson | DE | Hamburg | 2013-06-06 / 20130144974 - METHOD AND SYSTEM FOR FACILITATING PUSH NOTIFICATION | 1 |
William P. Haakenson, Jr. | US | Saint Louis | 2010-08-19 / 20100210849 - Compositions and Methods for Controlling Nematodes | 1 |
William P. Haakenson, Jr. | US | St. Louis | 2016-05-12 / 20160130229 - ACETYL-COA CARBOXYLASE MODULATORS | 8 |
William P. Haakenson, Jr. | US | St. Louis | 2016-05-12 / 20160130229 - ACETYL-COA CARBOXYLASE MODULATORS | 8 |
Mary Haak-Frendscho | US | Newark | 2014-01-02 / 20140004125 - IL-1BETA BINDING ANTIBODIES AND FRAGMENTS THEREOF | 13 |
Ville Haaksluoto | FI | Launonen | 2014-10-09 / 20140299422 - METHOD AND ARRANGEMENT FOR MONITORING THE OPERATING CONDITION OF A TRANSPORT SYSTEM | 1 |
Sabine Haala | DE | Hanau | 2013-12-26 / 20130344021 - Cosmetic Or Pharmaceutical Composition Comprising Modified Polyorganosiloxanes | 1 |
David M. Haala | US | Sleepy Eye | 2008-12-11 / 20080301962 - FRAMING TOOL | 1 |
Markus Haala | DE | Erlangen | 2008-10-23 / 20080262647 - Speed Monitoring Method In An Automation System For A Conveyor Installation | 1 |
Josef Haala | DE | Oberammergau | 2015-12-24 / 20150366823 - PHARMACEUTICAL COMPOSITIONS INCORPORATING LOW-DOSE DRUGS | 1 |
Karlyle Haaland | US | 2013-08-15 / 20130211717 - UNIVERSAL REMOTE TERMINAL UNIT FOR TRACKING THE STATUS AND POSITION OF SELF-PROPELLED IRRIGATION SYSTEMS | 1 | |
Torfinn Haaland | NO | Spangereid | 2011-01-27 / 20110021814 - METHOD FOR REDUCING AMINOISOPHTHALIC ACID BISAMIDE RELATED IMPURITIES IN PREPARATION OF NON-IONIC X-RAY CONTRAST AGENTS | 1 |
Harald Haaland | NO | Oslo | 2011-06-30 / 20110156324 - SIDEWALL AND BOTTOM ELECTRODE ARRANGEMENT FOR ELECTRICAL SMELTING REACTORS AND METHOD FOR FEEDING SUCH ELECTRODES | 1 |
Peter Haaland | US | Arlington | 2015-08-27 / 20150238308 - HIGH PERFORMANCE SELECTIVE LIGHT WAVELENGTH FILTERING | 3 |
Eric J. Haaland | US | Waverly | 2014-10-16 / 20140305398 - CYLINDER HEAD COMPRISING A SHROUD | 1 |
John E. Haaland | US | Saint Paul | 2013-05-02 / 20130110580 - SYSTEM AND METHOD OF PROVIDING PRODUCT QUALITY AND SAFETY | 4 |
David M. Haaland | US | Albuquerque | 2009-08-13 / 20090203588 - Outcome prediction and risk classification in childhood leukemia | 1 |
Anne-Helene Haaland | NO | Nesoddtangen | 2012-05-24 / 20120125196 - METHOD FOR RECLAIMING OF CO2 ABSORBENT AND A RECLAIMER | 3 |
Peter Haaland | US | Fraser | 2016-04-07 / 20160096164 - SPACE-FILLING POLYHEDRAL SORBENTS | 3 |
Peter D. Haaland | US | Louisville | 2014-11-20 / 20140338929 - Fire Suppression Systems | 1 |
Ingerlise Evans Haaland | NO | Kjeller | 2009-01-15 / 20090018323 - METHOD FOR EXTRACTING NUCLEIC ACID FROM BLOOD | 1 |
Perry D. Haaland | US | Chapel Hill | 2009-05-14 / 20090124008 - PEPTIDES FOR USE IN CULTURE MEDIA | 1 |
Andrew C. Haaland | US | Park City | 2009-11-26 / 20090291935 - X-NITRO COMPOUNDS, PHARMACEUTICAL COMPOSITIONS THEREOF AND USES THEREOF | 1 |
Torfinn Haaland | NO | Lindesnes Fabrikker | 2015-10-01 / 20150274645 - PREPARATION OF INTERMEDIATES OF X-RAY CONTRAST AGENTS | 1 |
Ilkka Haalisto | FI | Rauma | 2013-04-04 / 20130082131 - BLADE BIT FOR CRUSHER ROTOR | 1 |
Jeong-Wan Haam | KR | Yongin-Si | 2014-10-23 / 20140315076 - RECHARGEABLE BATTERY | 4 |
Seungjoo Haam | KR | Seoul | 2016-01-28 / 20160022824 - NANOPARTICLE COMPRISING HYDROPHOBIC DRUG CONJUGATED TO CATIONIC POLYMER AND HYDROPHILIC DRUG CONJUGATED TO ANIONIC POLYMER | 9 |
Seungjoo Haam | KR | Seoul | 2016-01-28 / 20160022824 - NANOPARTICLE COMPRISING HYDROPHOBIC DRUG CONJUGATED TO CATIONIC POLYMER AND HYDROPHILIC DRUG CONJUGATED TO ANIONIC POLYMER | 9 |
Seung Joo Haam | KR | Seoul | 2013-07-18 / 20130183249 - Stimulus Sensitive Magnetic Nanocomposite Using Pyrene Polymer, and Contrast Medium Composition Containing the Nanocomposite | 3 |
Alje Haan | NL | Cj Leeuwarden | 2014-12-18 / 20140367329 - Anaerobic Water Purification System and Method for Treating a Liquid | 1 |
Rene Johan Haan | NL | Amsterdam | 2012-07-26 / 20120190885 - PROCESS FOR PREPARING AN ESTER | 3 |
Theodore M. Haan | US | Byron Center | 2015-04-23 / 20150107171 - SLIDING DOOR ASSEMBLY | 7 |
Henderikus A. Haan | US | North Tonawanda | 2012-10-25 / 20120270333 - Apparatus and Methods for Controlling Application of a Substance to a Substrate | 7 |
Werner Haan | AT | Weisskirchen An Der Traun | 2011-12-29 / 20110315640 - SYSTEM FOR DEWATERING GRANULATES | 1 |
Lucas John Haan | US | Rapid City | 2012-10-11 / 20120258684 - Automated Alert Generation in Response to a Predetermined Communication on a Telecommunication Device | 2 |
Henderikus A. Haan | US | 2015-06-11 / 20150158297 - Method of Operating a Printing Device and an Image Generation Kit | 3 | |
Hank Haan | US | North Tonawanda | 2011-11-03 / 20110267389 - METHODS FOR PRINTING A PRINT MEDIUM, ON A WEB, OR A PRINTED SHEET OUTPUT | 1 |
Ben Rudolf De Haan | NL | Voorburg | 2014-01-23 / 20140023762 - Antimicrobial Composition | 4 |
Henk Haan | US | North Tonawanda | 2012-09-13 / 20120227603 - METHODS FOR PRINTING A PRINTED OUTPUT OF A PRESS AND VARIABLE PRINTING | 3 |
Johannes Pieter Haan | NL | Amsterdam | 2014-11-20 / 20140343333 - PROCESS FOR CONVERTING A SOLID BIOMASS MATERIAL | 9 |
Nicholas Matthew Haan | GB | Cambridge | 2010-05-06 / 20100113289 - Method and system for non-competitive copy number determination by genomic hybridization DGH | 1 |
Robert Edgar Haan | NL | Gorinchem | 2015-07-30 / 20150210802 - POLY(2-HYDROXYALKANOIC ACID) AND METHOD OF ITS MANUFACTURE | 2 |
Nicholas Haan | GB | Cambridge | 2011-09-22 / 20110230362 - COMPARATIVE GENOMIC HYBRIDIZATION ARRAY METHOD FOR PREIMPLANTATION GENETIC SCREENING | 1 |
Gyung-Hee Haan | KR | Seoul | 2016-02-04 / 20160029870 - Dish-Washing Apparatus | 16 |
Kenneth Haan | US | Fort Lauderdale | 2015-12-24 / 20150367909 - DUAL DRIVE BICYCLE | 1 |
John Haan | US | Fullerton | 2013-09-12 / 20130236809 - Direct Formate Fuel Cell Employing Formate Salt Fuel, An Anion Exchange Membrane, And Metal Catalysts | 1 |
Kenneth Dalton Haan | US | Fort Lauderdale | 2014-05-08 / 20140125032 - Dual Drive Bicycle | 1 |
Johannes Pieter Haan | NL | Amsterdam | 2014-11-20 / 20140343333 - PROCESS FOR CONVERTING A SOLID BIOMASS MATERIAL | 9 |
Lutana Haan | US | Boise | 2013-08-01 / 20130192601 - Flow-Inflating Mask Interface for Noninvasive Positive Pressure Ventilation | 1 |
Jesper Mortensen Haaning | US | Redwood City | 2010-10-14 / 20100260741 - Factor VII or VIIa Polypeptide Variants | 1 |
Jesper Haaning | DK | Birkeroed | 2016-05-05 / 20160120954 - Pharmaceutical Composition Suitable for Treatment of Haemophilia | 4 |
Jesper Mortensen Haaning | DK | Birkeroed | 2010-12-30 / 20100330669 - FVII OR FVIIA VARIANTS | 2 |
Niko Haanketo | FI | Nokia | 2015-07-23 / 20150202927 - VEHICLE TIRE | 1 |
Douglas Haanpaa | US | Dexter | 2016-03-31 / 20160093097 - ORIENTATION INVARIANT OBJECT IDENTIFICATION USING MODEL-BASED IMAGE PROCESSING | 11 |
Paulus C. H. A. Haans | NL | Weert | 2009-10-01 / 20090243611 - RADIO FREQUENCY COIL WITH TRANSMISSION LINE END-RINGS | 1 |
Jurgen Haans | NL | Helmond | 2011-08-04 / 20110189902 - Contact member for electrical connectors | 2 |
Paulus Cornelius Hendrikus Adrianus Haans | NL | Eindhoven | 2010-07-01 / 20100164498 - INTEGRATED-CIRCUIT LOW-NOISE AMPLIFIER | 2 |
Wouter Haans | NL | The Hague | 2011-10-20 / 20110255974 - CONFIGURABLE WINGLET FOR WIND TURBINE BLADES | 2 |
Victor Haans | NL | Rotterdam | 2013-06-13 / 20130147257 - WHEEL BEARING ASSEMBLY COMPRISING A JOINT AND CORRESPONDING METHOD OF MANUFACTURE | 2 |
Wouter Haans | NL | Den Haag | 2012-05-31 / 20120134813 - ACTIVE FLOW CONTROL SYSTEM AND METHOD FOR OPERATING THE SYSTEM TO REDUCE IMBALANCE | 13 |
Paulus Cornelius Hendrikus Adrianus Haans | NL | Best | 2009-05-14 / 20090121717 - RF ANTENNA WITH INTEGRATED ELECTRONICS | 1 |
Jan Hendrik Haanstra | NL | Nijmegen | 2014-04-17 / 20140103992 - BIASING IN CMOS INVERTER | 4 |
Wolfgang Haap | DE | Loerrach | 2016-04-14 / 20160102105 - BACE1 INHIBITORS | 14 |
Heli Haapakoski | FI | Oulu | 2016-05-19 / 20160139631 - WRIST DEVICE EFFICIENCY | 1 |
Keith A. Haapala | US | Bristol | 2009-11-05 / 20090273121 - CLOSURE FOR CONTAINER FOR HOLDING BIOLOGICAL SAMPLES | 1 |
Kenneth Haapala | US | Plymouth | 2014-09-11 / 20140254040 - HEAD-MEDIUM CONTACT DETECTION USING INTRODUCED HEAT OSCILLATION | 1 |
Markus Haapala | FI | Vantaa | 2009-06-25 / 20090159790 - METHOD AND SYSTEM FOR DESORBING AND IONIZING CHEMICAL COMPOUNDS FROM SURFACES | 1 |
Kenneth Arthur Haapala | US | Plymouth | 2015-12-31 / 20150380040 - NEAR-FIELD TRANSDUCER EFFICIENCY MONITORING SYSTEM | 3 |
Tomi Haapala | FI | Kempele | 2009-01-15 / 20090015502 - NEW ANTENNA STRUCTURE AND A METHOD FOR ITS MANUFACTURE | 1 |
Teemu Haapala | FI | Vtt | 2012-09-20 / 20120239173 - PHYSICAL ACTIVITY-BASED DEVICE CONTROL | 1 |
Kenneth A. Haapala | US | Plymouth | 2016-02-18 / 20160049169 - SERVO PARAMETERIZATION FOR MULTI-SENSOR READER | 1 |
Sami Haapala | FI | Simpele | 2011-03-17 / 20110061826 - Method for producing fiber product | 1 |
Olov Haapalahti | SE | Solna | 2012-06-21 / 20120154096 - Transformer | 1 |
Teuvo Haapalahti | FI | Oulunsalo | 2015-12-24 / 20150369268 - Fastener and Method for Attaching the Fastener to a Glazing Element | 1 |
Jouko Haapalahti | FI | Kempele | 2016-03-24 / 20160084852 - METHODS OF DETERMINATION OF ACTIVATION OR INACTIVATION OF ATRIAL NATRIURETIC PEPTIDE (ANP) AND BRAIN NATRIURETIC PEPTIDE (BNP) HORMONAL SYSTEMS | 2 |
Antti Haapalainen | FI | Helsinki | 2010-09-09 / 20100225303 - METHOD AND DEVICE FOR MULTICHANNEL MULTIFREQUENCY ANALYSIS OF AN OBJECT | 1 |
Atte Haapalinna | FI | Espoo | 2015-05-28 / 20150145105 - HIGH-RESISTIVE SILICON SUBSTRATE WITH A REDUCED RADIO FREQUENCY LOSS FOR A RADIO-FREQUENCY INTEGRATED PASSIVE DEVICE | 1 |
Antti Haapalinna | FI | Turku | 2008-08-28 / 20080207719 - Treatment of Epilepsy | 1 |
Jani Haapamaki | US | San Diego | 2015-04-02 / 20150092364 - Transmission Line Structure and Method of Attaching Transmission Line Structure to Conductive Body | 1 |
Tom Haapanen | US | Pine Brook | 2012-10-18 / 20120266073 - DEVICE MANAGEMENT SYSTEM INCLUDING REPORTER SERVER | 2 |
Brian Haapanen | US | Broken Arrow | 2014-05-22 / 20140139349 - Systems and Methods for Detecting and Communicating Failure of Integral Surge Suppression in Drive Systems for Downhole Equipment | 1 |
Tom Haapanen | CA | Heidelberg | 2016-05-12 / 20160134763 - OFFLOADED DATA ENTRY FOR SCANNED DOCUMENTS | 5 |
Jaakko Haapanen | FI | Jarvenpaa | 2016-05-12 / 20160130105 - Slitter-winder for Winding of Pulp Webs | 3 |
Tom Haapanen | CA | Heldelberg | 2014-08-07 / 20140223316 - DEVICE MANAGEMENT SYSTEM, APPARATUS AND METHOD CONFIGURED FOR CUSTOMIZING A POWER FILTER | 1 |
Markku Haapaniemi | FI | Helsinki | 2016-03-03 / 20160060077 - ELEVATOR | 9 |
Markku Haapaniemi | FI | Helsinki | 2016-03-03 / 20160060077 - ELEVATOR | 9 |
Karoliina Haapaniemi | AU | Linz | 2009-01-01 / 20090004489 - Extrusion Coating Polyethylene | 1 |
Mikko Markus Haapaniemi | FI | Tampere | 2013-11-07 / 20130294319 - Base Station, Radio Device, and Methods for Transmitting Data in a Radio Communications System | 1 |
Andrew J. Haapapuro | US | Schaumburg | 2009-04-16 / 20090094817 - Directional Microphone Assembly | 1 |
Andrew J. Haapapuro | US | Arlington Heights | 2014-09-11 / 20140254852 - ELECTRONIC EARPLUG WINDSCREEN | 3 |
Andy Haapapuro | US | Arlington Heights | 2015-02-19 / 20150047651 - COMPACT HIGH FIDELITY EARPLUG | 1 |
Andrew Haapapuro | US | Arlington Heights | 2014-07-17 / 20140198926 - ELECTRONIC EARPLUG FOR PROVIDING COMMUNICATION AND PROTECTION | 1 |
Asko Haapapuro | FI | Oulu | 2010-03-11 / 20100061431 - POWER SAVING IN A RADIO FREQUENCY MODEM | 1 |
Juhani Haaparanta | FI | Tampere | 2011-06-30 / 20110158125 - METHOD AND APPARATUS FOR GENERATING AND UTILIZING COMMUNICATIONS SHORTCUTS | 2 |
Vesa Haapea | FI | Raahe | 2014-10-23 / 20140312187 - TEST ADAPTER | 1 |
Kyösti Haapoja | FI | Valkeakoski | 2010-06-03 / 20100132902 - PAPER AND METHOD FOR MAKING PAPER | 2 |
Kyösti Haapoja | FI | Valkeakoski | 2010-06-03 / 20100132902 - PAPER AND METHOD FOR MAKING PAPER | 2 |
Kyösti Haapoja | FI | Valkeakoski | 2010-06-03 / 20100132902 - PAPER AND METHOD FOR MAKING PAPER | 2 |
Kyösti Haapoja | FI | Valkeakoski | 2010-06-03 / 20100132902 - PAPER AND METHOD FOR MAKING PAPER | 2 |
Helmut Haar | AT | Kainbach | 2008-08-28 / 20080204194 - Rfid Transponder | 1 |
Hans-Peter Haar | DE | Wiesloch | 2014-12-11 / 20140361112 - Tape Magazine for a Hand-Held Device | 43 |
Shawn Haar | US | Kihei | 2015-04-09 / 20150097467 - STRAIN AMPLIFICATION STRUCTURE AND SYNTHETIC JET ACTUATOR | 3 |
Rainer Haar | DE | Bonn | 2015-10-22 / 20150303670 - BUSBAR SYSTEM ESPECIALLY FOR LONG VERTICAL PATHS | 3 |
Tilmann Haar | DE | Hassfurt | 2012-10-18 / 20120260937 - METHOD AND DEVICE FOR CLEANING A SURFACE | 1 |
Christopher M. Haar | US | Pasadena | 2015-01-15 / 20150018559 - Chelating Carbene Ligand Precursors and Their Use in the Synthesis of Metathesis Catalysts | 7 |
Steven M. Haar | US | White Lake | 2015-06-25 / 20150176733 - SPACE SAVING TELESCOPING PIPE TO TRANSPORT FLUID | 2 |
Stefan Haar | DE | Pinneberg | 2009-02-05 / 20090031874 - METHOD FOR OPERATING A PLATE SYSTEM FOR STAMPING PRESSES AND CONNECTION ELEMENT FOR CARRYING OUT SAID METHOD | 1 |
Joseph P. Haar | US | Edwardsville | 2010-02-11 / 20100035910 - Process for the Preparation of Quaternary N-Alkyl Morphinan Alkaloid Salts | 1 |
William Ryan Haar | US | Wichita | 2013-08-01 / 20130192026 - DOOR ESCAPE | 3 |
William Ryan Haar | US | Valley Center | 2014-06-12 / 20140158440 - WORK VEHICLE | 1 |
Maral Haar | DE | Hamburg | 2012-06-28 / 20120161647 - MEDICAL LIGHTING DEVICE AND PROCESS FOR OPERATING A MEDICAL LIGHTING DEVICE | 4 |
Jonathan Haar | US | Cambridge | 2015-10-22 / 20150300321 - TRANSPORTABLE SYSTEM FOR SELF-CONTAINED ENERGY MICRO-GRID WITH WIND TURBINE | 6 |
Thomas Haar | DE | Halstenbek | 2012-07-05 / 20120171381 - Method and Device for Applying a Sealing Compound to a Surface | 2 |
David Haar | DE | Stuttgart | 2010-09-16 / 20100229810 - COOLING APPARATUS FOR A MOTOR VEHICLE | 1 |
Andreas Haar | DE | Zell Am Harmersbach | 2011-06-30 / 20110154954 - MACHINING TOOL BLANK | 1 |
Antti Haarahiltunen | FI | Perttula | 2014-08-28 / 20140238490 - METHOD FOR DECREASING AN EXCESS CARRIER INDUCED DEGRADATION IN A SILICON SUBSTRATE | 2 |
Tuomo Haarakangas | FI | Oulu | 2011-01-06 / 20110001684 - MULTIRESONANCE HELIX ANTENNA | 1 |
Terri Haarala | US | Frisco | 2008-09-18 / 20080222768 - Nursing privacy screen | 1 |
Brett Haarala | US | Farmingham | 2009-06-25 / 20090158597 - Medical Tubing Cutter | 1 |
Brett Haarala | US | Framingham | 2016-03-10 / 20160067472 - CATHETER ADAPTER APPARATUS | 16 |
Jutta Haaramo | FI | Espoo | / - | 1 |
Ville Haaramo | FI | Espoo | 2011-06-09 / 20110136431 - Group communication device and method | 1 |
Karl Frieldrick Haarburger | DE | Freiburg | 2011-06-30 / 20110154683 - DRYING APPARATUS AND DRYING METHOD FOR SOLAR MODULES | 1 |
Karl-Friedrich Haarburger | DE | Freiburg | 2011-09-01 / 20110212640 - ELECTRIC, WATER VAPOR DIFFUSION RESISTANT PIN-AND-SOCKET CONNECTOR | 1 |
Tobias Haarburger | DE | Celle | 2014-10-02 / 20140290721 - FLOATING COVER SHEET HAVING A SOLAR MODULE | 1 |
Karl Friedrich Haarburger | DE | Merzhausen | 2014-11-13 / 20140331472 - APPARATUS FOR THE INDUSTRIAL PRODUCTION OF PHOTOVOLTAIC CONCENTRATOR MODULES | 1 |
Daniel J. Haarburger | US | Boulder | 2015-07-09 / 20150192244 - SYSTEMS AND METHODS FOR DEVICE HOLDERS | 4 |
Martin Haardt | DE | Ilmenau | 2014-07-03 / 20140185564 - METHOD FOR SDMA TRANSMISSION IN MULTICARRIER MU MIMO SYSTEM AND BASE STATION | 1 |
Gregory Haardt | US | San Carlos | 2016-05-19 / 20160140355 - USER TRUST SCORES BASED ON REGISTRATION FEATURES | 5 |
Martin Haardt | CA | Coldbrook | 2009-03-05 / 20090060900 - Methods for Screening Compounds That Modulate Lipid Metabolism | 1 |
Martin Haardt | DE | Plaue | 2010-03-04 / 20100054113 - Method for Selection of an Optimized Number of Subscribers in Mobile Radio Systems | 1 |
Martin Haardt | DE | Ilmanau | 2014-07-03 / 20140185700 - METHOD AND APPARATUS FOR LINEAR PRECODING IN MULTI-USER MULTIPLE-INPUT MULTIPLE-OUTPUT SYSTEM | 1 |
Michael Haardt | DE | Titz | 2014-06-05 / 20140152885 - Method And Apparatus For Calibrating A Camera Lens Flange To Sensor Distance | 1 |
Martin Haardt | CA | Edmonton | 2008-09-11 / 20080220500 - Human Elongase Genes, Uses Thereof, and Compounds for Modulating Same | 1 |
Mirko Haardt | DE | Wilnsdorf | 2013-04-18 / 20130094112 - PHOTOVOLTAIC INSTALLATION | 1 |
Joshua Haarer | US | Hugo | 2014-03-20 / 20140075753 - IMPLANTABLE LEADS WITH A CONDUCTOR COIL HAVING TWO OR MORE SECTIONS | 5 |
Steven R. Haarer | US | Whitesville | 2015-10-01 / 20150275575 - POWER ASSIST MODULE FOR ROLLER SHADES | 11 |
Joshua C. Haarer | US | Flagstaff | 2016-01-07 / 20160001045 - DILATOR SYSTEMS AND METHODS | 2 |
Steven R. Haarer | US | Maceo | 2015-07-30 / 20150211293 - COVER FOR ARCHITECTURAL OPENINGS | 4 |
Ron Haarer | US | Farmington Hills | 2013-10-17 / 20130270359 - High pressure washer apparatus and cart | 1 |
Dietrich Haarer | DE | Bayreuth | 2015-08-13 / 20150226715 - TIME TEMPERATURE INDICATOR | 6 |
Steven R. Haarer | US | Whitesville | 2015-10-01 / 20150275575 - POWER ASSIST MODULE FOR ROLLER SHADES | 11 |
Stephen R. Haarer | US | Whitesville | 2012-10-25 / 20120267060 - SPRING MOTOR FOR DRIVE FOR COVERINGS FOR ARCHITECTURAL OPENINGS | 2 |
Stephen R. Haarer | US | Maceo | 2013-12-26 / 20130340949 - CORD DRIVE FOR COVERINGS FOR ARCHITECTURAL OPENINGS | 2 |
Cornelis Haaring | NL | Maasland | 2013-10-10 / 20130269048 - CUCUMBER WITH INCREASED NUMBER OF FRUITS | 1 |
Jarkko Haarjärvi | FI | Tampere | 2015-01-29 / 20150032167 - BIOABSORBABLE ,ORIENTED, DEFORMABLE FIXATION MATERIAL AND PLATE | 1 |
Joseph P. Haar, Jr. | US | Edwardsville | 2015-04-09 / 20150099773 - PROCESS FOR THE PREPARATION OF QUATERNARY N-ALKYL MORPHINAN ALKALOID SALTS | 8 |
Joseph P. Haar, Jr. | US | Edwardsville | 2015-04-09 / 20150099773 - PROCESS FOR THE PREPARATION OF QUATERNARY N-ALKYL MORPHINAN ALKALOID SALTS | 8 |
Geert Haarlemmer | FR | Montbonnot Saint Martin | 2013-05-23 / 20130125412 - Sludge Drying Method and Installation | 1 |
Monique Haarman | NL | Vriezenveen | 2016-03-24 / 20160082054 - SYNBIOTIC COMPOSITION FOR INFANTS | 1 |
Monique Haarman | NL | Wageningen | 2011-04-21 / 20110091445 - MODULATION OF INTESTINAL FLORA OF HIV PATIENTS | 2 |
Wolfram Haarmann | DE | Pfullingen | 2011-06-30 / 20110155678 - WALL RAIL SYSTEM | 4 |
Klaus H. Haarmann | US | Frisco | 2013-10-03 / 20130255847 - FABRICATING A PHASE CHANGE BLOOD COOLING SYSTEM | 3 |
Timothy K. Haarmann | US | Jemez Pueblo | 2012-10-18 / 20120264353 - METHOD FOR TRAINING HONEYBEES TO RESPOND TO OLFACTORY STIMULI AND ENHANCEMENT OF MEMORY RETENTION THEREIN | 1 |
Thomas Haarmann | DE | Alsbach-Hahnlein | 2015-12-17 / 20150361510 - GENES/GENETIC ELEMENTS ASSOCIATED WITH MATING IMPAIRMENT IN TRICHODERMA REESEI QM6A AND ITS DERIVATIVES AND PROCESS FOR THEIR IDENTIFICATION | 1 |
Heike Haarmann | FR | Obersaasheim | 2008-11-06 / 20080272903 - Safety switching device and method for monitoring a hazardous area defined by motor-driven components | 1 |
Uwe Haarmann | DE | Remscheid | 2008-09-04 / 20080211241 - STRIKER DRIVING ASSEMBLY FOR A MOTOR VEHICLE DOOR LOCK | 1 |
Anna Marie Haarmann Genannt Thiemann | DE | Buesingen | 2015-08-06 / 20150216695 - CATHETER HAVING A SHEATH INCLUDING A WIRE LAYER | 2 |
Hans-Christian Haarmann-Kuhn | DE | Bruhl | 2015-05-07 / 20150121903 - DEVICE FOR SUPPLYING GAS | 1 |
Anna Marie Haarmann-Thiemann | DE | Busingen | 2016-02-25 / 20160051386 - Balloon Catheter Having Hydraulic Actuator | 1 |
Michael Brian Haarsma | CA | London | 2011-07-07 / 20110167157 - METHOD AND SYSTEM OF ASSIGNING ACCESS CONNECTIONS | 1 |
Philip Haarstad | US | Minneapolis | 2014-09-18 / 20140277419 - Anti-Paravalvular Leakage Component for a Transcatheter Valve Prosthesis | 1 |
Philip J. Haarstad | US | Chanhassen | 2015-07-30 / 20150209107 - COOLED MICROWAVE DENERVATION CATHETER CONFIGURATION | 10 |
Philip Haarstad | US | Chanhassen | 2015-12-03 / 20150343124 - Coupling System, Applicator Tool, Attachment Ring and Method for Connecting a Conduit to Biological Tissue | 4 |
Philip J. Haarstad | US | Minneapolis | 2011-06-23 / 20110152904 - CUTTING DEVICE AND METHOD OF VESSEL HARVESTING | 1 |
Phillip Haarstad | US | Chanhassen | 2012-08-30 / 20120221021 - COUPLING SYSTEM, APPLICATOR TOOL, ATTACHMENT RING AND METHOD FOR CONNECTING A CONDUIT TO BIOLOGICAL TISSUE | 1 |
Philip J. Haarstad | US | Chanhassen | 2015-07-30 / 20150209107 - COOLED MICROWAVE DENERVATION CATHETER CONFIGURATION | 10 |
Jacobus Haartsen | NL | Hardenberg | 2011-05-05 / 20110103315 - Coordinated Signaling of Scheduling Information for Uplink and Downlink Communications | 14 |
Jaap Haartsen | NL | Eindhoven | 2012-03-29 / 20120077291 - OCCUPANCY SENSOR | 3 |
Jacobus Haartsen | NL | Hardenburg | 2009-05-14 / 20090122775 - METHODS AND ELECTRONIC DEVICES FOR WIRELESS AD-HOC NETWORK COMMUNICATIONS USING RECEIVER DETERMINED CHANNELS AND TRANSMITTED REFERENCE SIGNALS | 2 |
Jacobus Cornelis Haartsen | NL | Hardenberg | 2014-04-17 / 20140105124 - Method and Arrangement in a Telecommunication System | 38 |
Jacobus Cornelius Haartsen | NL | Hardenberg | 2013-03-21 / 20130072209 - Mobile Assisted Channel Selection in Devices Having Multiple Radio Transceivers | 3 |
Jaap R. Haartsen | NL | Eindhoven | 2011-04-28 / 20110094314 - PRESSURE SENSOR, SENSOR PROBE COMPRISING A PRESSURE SENSOR, MEDICAL APPARATUS COMPRISING A SENSOR PROBE AND A METHOD OF FABRICATING A SENSOR PROBE | 1 |
Jacobus Cornelis Haartsen | SE | Se-237 32 | 2015-05-21 / 20150139047 - RADIO TRANSCEIVER ON A CHIP | 2 |
Jacobus Cornelis Haartsen | NL | Bg Hardenberg | 2015-07-02 / 20150189678 - Technique for Performing a Random Access Procedure over a Radio Interface | 4 |
Jaap Roger Haartsen | NL | Eindhoven | 2012-11-15 / 20120285447 - NEBULIZER | 4 |
Jacob Roger Haartsen | NL | Nijmegen | 2012-11-15 / 20120285236 - METHOD OF USING A TEMPERATURE-BASED AEROSOL DETECTOR | 1 |
Jacob Roger Haartsen | NL | Eindhoven | 2015-10-01 / 20150273164 - NEBULIZER | 12 |
Jacobus Haartsen | NL | Bg Hardenberg | 2010-04-29 / 20100103892 - Method and Arrangements for Scheduling Transmission Resources with Variable Guard Intervals | 1 |
Jacobus Cornelis Haartsen | SE | Staffanstorp | 2012-02-02 / 20120028595 - Radio Transceiver on a Chip | 2 |
Jacob Roger Haartsen | NL | Eindhoven | 2015-10-01 / 20150273164 - NEBULIZER | 12 |
Jacobus C. Haartsen | NL | Hardenberg | 2011-01-13 / 20110009114 - Mobile Assisted Relay Selection in a Telecommunications System | 5 |
Christian Haas | DE | Heidelberg | 2014-01-02 / 20140006303 - Consistent Interface for Customer Contract and Customer Contract Template - Message Set 1 | 9 |
Joel C. Haas | US | Jupiter | 2014-05-22 / 20140138954 - Hydrokinetic Energy Conversion System with Buoyancy and Ballast Controls to Harness Underwater Currents for the Generation of Electrical Power | 3 |
Hans E. Haas | US | Solon | 2010-09-09 / 20100225069 - OIL RESISTANT RTV SILICONE | 1 |
Michael S. Haas | US | Naperville | 2015-02-12 / 20150044333 - BREATH FRESHENING CONFECTIONERY PRODUCTS AND METHODS OF MAKING AND USING SAME | 10 |
Darryl Haas | US | Burns Flat | 2016-03-31 / 20160090795 - PIPE ELEVATOR HANDLE CLAMPS | 1 |
Len Haas | US | Clayton | 2011-04-14 / 20110085890 - HARMONIZATION OF MULTIPLE GEAR TRAIN CONFIGURATIONS | 1 |
Michael R. Haas | US | Columbus | 2011-03-03 / 20110047964 - METHODS, SYSTEMS, AND APPARATUSES OF SCR DIAGNOSTICS | 1 |
Arthur L. Haas | US | New Orleans | 2015-07-30 / 20150210998 - METHODS OF MODULATING UBIQUITIN LIGASE ACTIVITY | 1 |
Robert G. Haas | US | Hopewell Junction | 2011-03-03 / 20110049759 - VACUUM TRANSITION FOR SOLDER BUMP MOLD FILLING | 1 |
Robert G. Haas | US | Wappingers Falls | 2012-09-27 / 20120241116 - REMOVING MATERIAL FROM DEFECTIVE OPENING IN GLASS MOLD | 5 |
Deborah C. Haas | US | Coventry | 2010-11-25 / 20100294599 - WIRELESS ELEVATOR HALL FIXTURES INTEGRAL WITH HALL DOOR FRAME | 1 |
Megan Haas | US | Miami | 2010-11-18 / 20100291834 - Wheeled Toy | 1 |
David J. Haas | US | Suffern | 2012-02-23 / 20120042479 - Clip for fabrics | 4 |
Ralf Haas | DE | Pfinztal | 2012-12-06 / 20120308413 - TOOTHED WHEEL AND PUMP AGGREGATE WITH SUCH A TOOTHED WHEEL | 1 |
David J. Haas | US | Weare | 2013-04-18 / 20130093416 - Magnetic Field Sensor and Method Used in a Magnetic Field Sensor That Adjusts a Sensitivity and/or an Offset Over Temperature | 10 |
Daniel R. Haas | US | Crestwood | 2010-10-28 / 20100269468 - Panel Filter | 2 |
Michael Edward Haas | US | New Port Richey | 2010-07-22 / 20100185417 - Remote Monitoring of SCADA Ready Field Test Switches | 2 |
Andreas Haas | AT | Schorfling | 2016-02-11 / 20160038125 - GUIDED SEMIAUTOMATIC ALIGNMENT OF ULTRASOUND VOLUMES | 1 |
Norman Haas | US | Mt. Kisco | 2013-01-10 / 20130011016 - DETECTION OF OBJECTS IN DIGITAL IMAGES | 4 |
Alvin E. Haas | US | Mentor | 2016-02-11 / 20160040090 - Engine Lubricants Containing a Polyether | 1 |
Jeannine Haas | US | Ridgewood | 2010-06-24 / 20100161484 - Methods, Apparatus and Computer Program Products for Interfacing Automatic Bill Payment Systems with Card Issuer Database Systems | 1 |
Thomas Haas | US | 2015-12-10 / 20150353963 - PROCESS FOR PREPARING AN ALPHA, OMEGA-ALKANEDIOL | 2 | |
Benjamin Haas | CH | Wabern | 2016-03-31 / 20160093110 - CONTOURING TOOL HAVING AUTOMATIC INTERPOLATION AND EXTRAPOLATION | 3 |
Thomas Haas | DE | Winsen (luhe) | 2014-09-04 / 20140245928 - O/W Wax Dispersions and Gypsum Products Obtainable From These | 1 |
Christopher Joseph Haas | US | Middletown | 2010-06-17 / 20100152003 - Portable lower-body stretching apparatus | 1 |
Helga Haas | DE | Lampertheim | 2016-02-04 / 20160032189 - POLYMERISABLE COMPOUNDS AND THE USE THEREOF IN LIQUID-CRYSTAL DISPLAYS | 3 |
Bertrand Haas | US | Cambridge | 2010-04-01 / 20100080471 - System and method for paper independent copy detection pattern | 1 |
Harry Haas | US | Atlanta | 2010-03-04 / 20100052655 - Self Contained Kilowatt-Hour meter Integral to Standard Load Center | 1 |
Mary Kathryn Haas | US | Emmaus | 2014-08-28 / 20140242813 - Low K Precursors Providing Superior Integration Attributes | 10 |
Olav M. Haas | US | Paw Paw | 2010-01-21 / 20100015295 - METHOD OF PREVENTING STALING IN BAKED GOODS | 1 |
Martin C. Haas | US | Hudson | 2010-01-07 / 20100004916 - Process Analyzer | 1 |
Kenneth John Haas | US | Whitewater | 2009-12-31 / 20090328203 - PARAMETRIC BASED CONDITIONAL ACCESS CODES FOR ACCESS CONTROL APPLICATIONS | 1 |
Michael Haas | US | New Port Richey | 2009-12-17 / 20090309754 - WIRELESS CURRENT TRANSFORMER | 1 |
Robert Haas | CH | Zurich | 2015-09-24 / 20150268861 - SCHEDULING REQUESTS IN A SOLID STATE MEMORY DEVICE | 10 |
Alfred M. Haas | US | Hyattsville | 2009-11-26 / 20090292661 - Compact Circuits and Adaptation Techniques for Implementing Adaptive Neurons and Synapses with Spike Timing Dependent Plasticity (STDP). | 4 |
Theodore O. Haas | US | Haverford | 2009-10-22 / 20090261614 - Cover for windshields, windshield wipers, and air intake manifolds | 1 |
Tiffani Haas | US | Pikesville | / - | 1 |
Norman C. Haas | US | Overland Park | 2009-07-30 / 20090189462 - Power Control Device | 1 |
William Haas | US | Norfolk | 2009-06-04 / 20090139932 - WATER PURIFICATION SYSTEM AND METHOD USING REVERSE OSMOSIS REJECT STREAM IN AN ELECTRODEIONIZATION UNIT | 1 |
Sebastian Haas | US | 2009-04-30 / 20090108797 - METHOD FOR DRIVING AN ASYNCHRONOUS MOTOR AND PUMP ARRANGEMENT WITH ASYNCHRONOUS MOTOR | 1 | |
Gordon Haas | US | Hoboken | 2009-04-23 / 20090102123 - Board Game and Method of Playing | 1 |
Colby M. Haas | US | Providence | 2009-03-12 / 20090064452 - Powered carpet scrubbing and combing machine | 1 |
Geoffrey R. Haas | US | Spartanburg | 2009-02-05 / 20090032063 - Solid cleaning composition and method of use | 1 |
Jason Haas | US | Somerville | 2009-01-15 / 20090019078 - Multi-Sided Media Viewer and Technique for Media Association | 1 |
Randy P. Haas | US | Clarkston | 2015-07-23 / 20150202944 - WATERTIGHT VEHICLE AIRDUCT SYSTEM | 3 |
Magali Haas | US | Corinth | 2008-12-25 / 20080317883 - METHODS FOR TREATING DEPRESSION | 1 |
Andreas Haas | AT | Gratkorn | 2014-09-11 / 20140251929 - Barrel-Shaped Foldable and Lowerable Display Arrangement | 1 |
Ronald L. Haas | US | Kirkland | 2013-08-15 / 20130211316 - METHOD AND APPARATUS FOR TREATMENT OF INTRACRANIAL HEMORRHAGES | 3 |
Marci B. Haas | US | Ft. Lauderdale | 2015-10-15 / 20150289573 - SELF SANITIZING FACE MASKS AND METHOD OF MANUFACTURE | 2 |
Günter Haas | DE | Mulfingen | 2014-09-11 / 20140253170 - Electronic Control Circuit Comprising Power Transistors And Method For Monitoring The Service Life Of The Power Transistors | 1 |
Clint R. Haas | US | Tucson | 2015-10-08 / 20150283572 - Irrigation System | 5 |
Frank Joseph Haas | US | Northville | 2008-11-27 / 20080290828 - SYSTEM AND METHOD FOR DETECTING A STALLED MOTOR | 1 |
William J. Haas | US | Flower Mound | 2012-09-13 / 20120228279 - CONTROLLABLE THERMAL WARMING DEVICES | 1 |
William S. Haas | US | Bartonville | 2012-09-13 / 20120228279 - CONTROLLABLE THERMAL WARMING DEVICES | 1 |
Matthias Haas | DE | Leichlingen | 2011-12-15 / 20110306643 - TRIGLYCERIDE-CONTAINING DORMANCY SPRAYS | 1 |
Hermann Haas | DE | Raesfeld | 2011-12-15 / 20110305736 - Stratiform Perforated Biomatrices | 1 |
Thomas Haas | DE | Lower Saxony | 2009-08-06 / 20090194004 - O/W wax dispersions and plaster products obtainable from them | 1 |
Thomas Haas | DE | Frankfurt | 2009-12-03 / 20090294727 - AQUEOUS HYDROGEN PEROXIDE SOLUTIONS AND METHOD OF MAKING SAMEU | 1 |
Roger Haas | US | Scotts Valley | 2014-03-06 / 20140062804 - STRAND MOUNTABLE ANTENNA ENCLOSURE FOR WIRELESS COMMUNICATION ACCESS SYSTEM | 2 |
Thomas Haas | DE | Muenster | 2016-05-19 / 20160138061 - FATTY ACID AND DERIVATIVES PRODUCTION | 47 |
Alfred M. Haas | US | Oakhurst | 2014-11-27 / 20140350696 - ILG | 13 |
John D. Haas | US | Roseville | 2014-08-28 / 20140239148 - PRODUCTION TOOL TO MAKE ABRASIVE PARTICLES WITH GROOVES | 13 |
Elizabeth Haas | US | Scarsdale | 2011-05-19 / 20110119635 - SYSTEMS AND METHODS FOR ENHANCING MANAGEMENT EFFECTIVENESS | 1 |
Thomas Haas | DE | Donaustauf | 2015-09-03 / 20150247780 - Method and Device for Estimating a Profile Depth of a Tire | 9 |
Thomas Haas | DE | Karlsrube | 2010-09-30 / 20100249903 - STENT | 1 |
Thomas Haas | DE | Simmelsdorf | 2011-04-07 / 20110080073 - MOTOR DRIVE WITH A DEVICE FOR SAFE FITTING WITH A CIRCUIT BREAKER | 1 |
Kristy Haas | US | Brighton | 2016-05-19 / 20160136171 - Combination Therapy Comprising A CDK4/6 Inhibitor and a PI3K Inhibitor for Use in the Treatment of Cancer | 3 |
Frédéric Haas | FR | Lutterbach | 2010-12-02 / 20100300428 - WALL FIREPLACE FOR SOLID FUEL, TO BE APPLIED TO, OR INTEGRATED INTO, A WALL | 1 |
Martin Haas | US | Columbia | 2016-05-12 / 20160130703 - COLD SPRAY NOZZLES | 5 |
Martin Haas | DE | Hessen | 2010-07-15 / 20100178252 - PRODUCTS AND METHODS FOR DISCLOSING CONDITIONS IN THE ORAL CAVITY | 1 |
Martin Haas | DE | Eschborn | 2011-12-29 / 20110315572 - Toothbrush Charging Station With Brush Storage Device | 4 |
Kenneth A. Haas | US | Sleepy Hollow | 2012-09-20 / 20120236105 - METHOD AND APPARATUS FOR MORPHING A USER DURING A VIDEO CALL | 1 |
Martin Haas | DE | Frankfurt/main | 2010-12-16 / 20100313373 - TOOTHBRUSH AND METHOD OF MANUFACTURING IT | 3 |
Martin Haas | DE | Freiburg | 2011-04-07 / 20110080169 - Method for position dependent change in the magnetization in an object in a magnetic resonance experiment | 2 |
Martin Haas | DE | Oberstenfeld | 2012-10-04 / 20120248358 - Magnet Assembly for a Solenoid Valve and Corresponding Solenoid Valve | 5 |
Martin Haas | DE | Frankfurt | 2013-01-10 / 20130007969 - Cleaning Section For An Electric Oral Hygiene Device | 2 |
Martin Haas | DE | Darmstadt | 2014-04-24 / 20140109782 - METHOD AND DEVICE FOR PRODUCING AND TRANSFERRING DIFFRACTIVE MICROSTRUCTURES TO A PRINTING MATERIAL AND PRINTING PRESS HAVING THE DEVICE | 4 |
Jordan C. Haas | CA | Richmond | 2014-08-28 / 20140238351 - Method And Apparatus For Pumping Fuel To A Fuel Injection System | 1 |
David Joseph Haas | US | Mahwah | 2012-01-19 / 20120014263 - SYSTEMS AND METHODS OF SNOOPING CONNECTIVITY FAULT MESSAGES TO CONFIGURE MAINTENANCE END POINT FOR ALARM SUPPRESSION MESSAGES | 4 |
David J. Haas | US | Concord | 2015-07-02 / 20150185293 - Methods and Apparatus for Sensor Diagnostics | 1 |
Michael Haas | DE | Hochstadt | 2015-07-02 / 20150184559 - COVER WITH OIL STORAGE FUNCTION FOR A HOUSING OF AN ELECTROHYDRAULIC VALVE DRIVE OF AN INTERNAL COMBUSTION ENGINE | 2 |
Herwig Haas | CA | Vancouver | 2013-09-12 / 20130236812 - DURABLE FUEL CELL WITH PLATINUM COBALT ALLOY CATHODE CATALYST AND SELECTIVELY CONDUCTING ANODE | 4 |
Alfons Haas | DE | Sankt Roman/wolfach | 2015-01-15 / 20150013510 - DEVICE FOR THE FINE MACHINING OF A CIRCUMFERENTIAL WORKPIECE SURFACE AND METHOD FOR OPERATING THE DEVICE | 1 |
Johann Haas | AT | Klosterneuburg | 2015-05-21 / 20150135972 - INSTALLATION FOR PRODUCING SANDWICH COOKIES AND THE LIKE | 5 |
Josef Haas | AT | Vienna | 2011-02-10 / 20110030566 - INSTALLATION FOR PRODUCING SANDWICH COOKIES AND THE LIKE | 2 |
Johannes Haas | AT | Vienna | 2011-02-10 / 20110030566 - INSTALLATION FOR PRODUCING SANDWICH COOKIES AND THE LIKE | 2 |
Bernd Haas | AT | Neustift | 2009-07-30 / 20090193154 - Method for Installing an Auxiliary Unit on a Computer | 1 |
Anton Haas | AT | Ardagger | 2012-11-08 / 20120279370 - PUNCHING TOOL | 2 |
Peter Haas | US | San Jose | 2012-12-20 / 20120323551 - SYSTEMS AND METHODS FOR HIGHLY PARALLEL PROCESSING OF PARAMETERIZED SIMULATIONS | 2 |
Harald Haas | GB | Edinburgh | 2015-11-05 / 20150318925 - COMMUNICATION APPARATUS AND METHOD | 11 |
Peter Jay Haas | US | San Jose | 2012-12-27 / 20120331025 - SYSTEMS AND METHODS FOR LARGE-SCALE RANDOMIZED OPTIMIZATION FOR PROBLEMS WITH DECOMPOSABLE LOSS FUNCTIONS | 10 |
Thomas Haas | DE | Muenster | 2016-05-19 / 20160138061 - FATTY ACID AND DERIVATIVES PRODUCTION | 47 |
Thomas Haas | DE | Karlsruhe | 2015-11-05 / 20150313734 - STENT | 2 |
Kandice Renee Haas | US | Santa Rosa | 2011-10-27 / 20110264560 - IN-PRODUCT NAVIGATION SYSTEM AND METHOD | 1 |
David Hartley Haas | US | Fremont | 2011-06-23 / 20110152327 - Pre-Mixed, Ready-To-Use Pharmaceutical Compositions | 5 |
Mary Kathryn Haas | US | Emmaus | 2014-08-28 / 20140242813 - Low K Precursors Providing Superior Integration Attributes | 10 |
Peter J. Haas | US | San Jose | 2015-08-06 / 20150220529 - SPLIT ELIMINATION IN MAPREDUCE SYSTEMS | 15 |
Wally Haas | CA | Newfoundland And Labrador | 2014-09-11 / 20140255028 - SUB-RATE MAPPING FOR LOWEST-ORDER OPTICAL DATA UNIT | 1 |
Dieter Haas | US | San Jose | 2016-02-11 / 20160043319 - ACTIVELY-ALIGNED FINE METAL MASK | 15 |
Jeffrey S. Haas | US | San Ramon | 2011-01-06 / 20110002822 - EXPLOSIVES TESTER | 1 |
Kevin Haas | US | Sunnyvale | 2010-12-30 / 20100332493 - SEMANTIC SEARCH EXTENSIONS FOR WEB SEARCH ENGINES | 1 |
Peter Jay Haas | US | San Jose | 2012-12-27 / 20120331025 - SYSTEMS AND METHODS FOR LARGE-SCALE RANDOMIZED OPTIMIZATION FOR PROBLEMS WITH DECOMPOSABLE LOSS FUNCTIONS | 10 |
Doug Haas | US | Lancaster | 2011-08-04 / 20110188702 - VARIABLE FAN FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 3 |
Herwig Haas | CA | New Westminster | 2015-11-12 / 20150325859 - FUEL CELL WITH SELECTIVELY CONDUCTING ANODE | 2 |
Philip E. Haas | US | Moss Beach | 2011-10-20 / 20110256126 - IL-17A/F Heterologous Polypeptides and Therapeutic Uses Thereof | 1 |
Wally Haas | CA | Mt. Pearl | 2013-11-07 / 20130294555 - METHOD AND APPARATUS FOR DESKEWING DATA TRANSMISSIONS | 1 |
Kurt Haas | US | Bentonville | 2014-09-11 / 20140257883 - ENTERPRISE RESOURCE SEARCH AND RESERVATION SYSTEM | 1 |
Gernot Haas | DE | Eisenberg | 2014-12-04 / 20140356189 - VACUUM DEVICE FOR PLANTS FOR THE PROCESSING OF CONTAINERS, AND METHOD FOR CONTROLLING A VACUUM DEVICE | 1 |
Christopher K. Haas | US | St. Paul | 2015-05-21 / 20150140292 - LASER-PERSONALIZABLE SECURITY ARTICLES | 10 |
Ulrich Johannes Haas | DE | Stein | 2013-11-14 / 20130303372 - PLANT GROWTH REGULATION | 2 |
Robert Haas | CH | Adliswil | 2016-01-07 / 20160004456 - SELECTIVE SPACE RECLAMATION OF DATA STORAGE MEMORY EMPLOYING HEAT AND RELOCATION METRICS | 23 |
Juergen Haas | US | San Diego | 2013-10-17 / 20130271602 - MOTION EVENT RECOGNITION SYSTEM AND METHOD | 1 |
Roland Haas | AT | St. Georgen/gusen | 2011-06-09 / 20110131791 - MACHINE TOOL | 2 |
Christian Haas | AT | Gross St. Florian | 2011-03-17 / 20110064238 - MICROPHONE/SPEAKER DEVICE | 2 |
Stefan Haas | AT | Modling | 2010-05-13 / 20100116602 - Magnetic rail brake device | 1 |
Peter J. Haas | US | San Jose | 2015-08-06 / 20150220529 - SPLIT ELIMINATION IN MAPREDUCE SYSTEMS | 15 |
Monika Haas | DE | Frankfurt | 2012-01-12 / 20120006354 - COMPOSITION AND METHOD FOR CLEANING SURFACES | 1 |
Heinrich Haas | DE | Munchen | 2014-07-24 / 20140205657 - METHOD OF PRODUCING A CATIONIC LIPOSOMAL PREPARATION COMPRISING A LIPOPHILIC COMPOUND | 8 |
Thomas Haas | DE | Donaustauf | 2015-09-03 / 20150247780 - Method and Device for Estimating a Profile Depth of a Tire | 9 |
Christine Haas | CA | London | 2014-03-20 / 20140076816 - APPARATUS AND CIRCULATING FLUIDIZED BED SYSTEM | 1 |
Tobias Haas | CH | Zurich | 2013-09-26 / 20130250487 - SWITCH BAY FOR HIGH-VOLTAGE SWITCHGEAR ASSEMBLY, AND METHOD FOR INSTALLATION THEREOF | 1 |
Harry Price Haas | US | Atlanta | 2016-05-19 / 20160137087 - EVSE-BASED ENERGY AUTOMATION, MANAGEMENT, AND PROTECTION SYSTEMS AND METHODS | 4 |
Andreas Haas | DE | Essingen | 2015-06-04 / 20150152740 - COMPRESSOR WHEEL | 1 |
Alfred M. Haas | US | Oakhurst | 2014-11-27 / 20140350696 - ILG | 13 |
Nicolas Haas | AT | Hohenruppersdorf | 2013-05-30 / 20130136150 - Device for Measuring the Flash Point of Liquids and Solids | 1 |
Daniel Haas | US | Pasadena | 2011-06-23 / 20110147210 - Method and apparatus for processing electrochemical signals | 2 |
Jeff E. Haas | US | Glendale | 2011-09-15 / 20110223981 - User-Device-Implemented Contest with Alert Feature | 3 |
Gregory Alan Haas | US | Schaumburg | 2010-07-22 / 20100185356 - Compiling Source Information From A Motor Vehicle Data System and Configuring A Telematic Module | 1 |
Mark R. Haas | US | Geneva | 2009-03-05 / 20090060771 - Method of manufacturing crankshaft bushing | 1 |
George William Haas | US | Mount Prospect | 2009-12-17 / 20090311404 - Natural Sweetener And Methods Of Manufacturing Thereof | 2 |
Michael S. Haas | US | Naperville | 2015-02-12 / 20150044333 - BREATH FRESHENING CONFECTIONERY PRODUCTS AND METHODS OF MAKING AND USING SAME | 10 |
George W. Haas | US | Mount Prospect | 2013-03-14 / 20130064944 - Heat-Stable Concentrated Milk Product | 4 |
Jason Haas | US | Urbana | 2009-10-15 / 20090260057 - Method for distributing a list of certificate revocations in a vanet | 2 |
Karl-Heinz Haas | DE | Wurzburg | 2012-01-19 / 20120015576 - Antimicrobially Treated and/or Stain-Repellant Planar Substrates and Method for Producing the Same | 1 |
Wolfgang Haas | CA | Kitchener | 2012-01-19 / 20120017094 - MANAGING USER ACCOUNTS | 1 |
Julia Haas | US | Boulder | 2015-11-26 / 20150336970 - MACROCYCLIC COMPOUNDS AS TRK KINASE INHIBITORS | 15 |
Micheal Haas | US | Denver | 2009-10-22 / 20090262111 - Method and Apparatus for Perspective Inversion | 1 |
Brian D. Haas | US | Englewood | 2010-05-06 / 20100114323 - KNEE PROSTHESIS KIT WITH WINGED SLEEVES AND MILLING GUIDE | 1 |
William Robert Haas | US | Fort Collins | 2010-03-04 / 20100051020 - Solar absorber fence system | 1 |
Ewgeni Haas | DE | Hamburg | 2013-10-03 / 20130261356 - METHOD FOR PRODUCING ENVIRONMENTALLY-FRIENDLY PLASTICIZERS | 1 |
Wolfgang Haas | DE | Stuttgart | 2015-12-24 / 20150372499 - Power Transmission Arrangement | 2 |
Allan Kayser Haas | US | Erie | 2013-08-01 / 20130197810 - MONITORING OF DRINKING WATER AQUIFERS DURING POSSIBLE CONTAMINATION OPERATIONS | 1 |
Kevin Haas | US | Los Gatos | 2014-06-26 / 20140181101 - PROVIDING OBJECTIVE AND PEOPLE RESULTS FOR SEARCH | 3 |
Benjamin Haas | US | San Francisco | 2013-04-18 / 20130097055 - METHODS AND SYSTEMS FOR TRANSFERRING LIQUOR LICENSES | 1 |
Nathaniel Haas | US | San Francisco | 2013-04-18 / 20130097055 - METHODS AND SYSTEMS FOR TRANSFERRING LIQUOR LICENSES | 1 |
G. William Haas | US | New York | 2013-01-24 / 20130023811 - BANDAGE FOR THE PREVENTION OF A BOIL | 1 |
Darren W. Haas | US | Minnetonka | 2014-07-31 / 20140212256 - ANTI-STICK HISTOLOGICAL SPECIMEN SLIDE FEEDER AND METHOD | 8 |
Robert Haas | CH | Reuschlikon | 2011-06-02 / 20110131231 - METHOD TO EFFICIENTLY LOCATE META-DATA STRUCTURES ON A FLASH-BASED STORAGE DEVICE | 1 |
Gunther Haas | FR | St. Egreve | 2009-08-20 / 20090206764 - Driver for Controlling a Light Emitting Element, in Particular an Organic Light Emitting Diode | 1 |
Dieter Haas | US | San Jose | 2016-02-11 / 20160043319 - ACTIVELY-ALIGNED FINE METAL MASK | 15 |
Josef Haas | DE | Schrobenhausen | 2013-06-06 / 20130140091 - CONSTRUCTION WORK UNIT AND METHOD FOR ERECTING A MAST | 3 |
Ludwig Haas | DE | Weil Der Stadt | 2011-10-20 / 20110253331 - MIXTURE, COMPOSITION AND PROCESS FOR SURFACE TREATMENT OF PAPER | 1 |
Philippe Haas | FR | Toulouse | 2009-11-19 / 20090287787 - Process for transmitting data between at least one cockpit display screen and at least one remote client system | 3 |
Gunther Karl Haas | FR | St Gregoire | 2010-07-15 / 20100177291 - FILM PRINTING SYSTEM AND METHOD UTILIZING A DIGITAL LIGHT PROCESSING IMAGER OR ORGANIC LIGHT EMITTING DIODE | 1 |
Laurent Rene Nicolas Haas | FR | Thionville | 2010-07-29 / 20100186865 - VARIABLE FOOTPRINT FOR A PNEUMATIC TIRE | 1 |
Carl L. Haas | US | Walkersville | 2016-05-12 / 20160135154 - Communication System and Method for a Train | 5 |
Frederic Haas | FR | Lutterbach | 2011-10-13 / 20110247533 - FUME BOX FOR A DOMESTIC HEATING APPLIANCE USING SOLID FUEL | 3 |
Gunther Haas | FR | Saint-Egreve | 2011-02-17 / 20110037791 - DISPLAY DEVICE BASED ON PIXELS WITH VARIABLE CHROMATIC COORDINATES | 3 |
Jeffrey Haas | US | San Ramon | 2015-09-17 / 20150260741 - TEST SWIPE FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 13 |
Josef Haas | AT | Leobendorf | 2016-05-12 / 20160128343 - Method For Producing A Baked Product Having An Essentially Sealed Separating Surface | 26 |
Tobias Haas | DE | Koln | 2015-09-10 / 20150251517 - HEAT EXCHANGER FOR REFRIGERANT CIRCUITRY | 8 |
Troy Haas | US | Chandler | 2014-11-13 / 20140337127 - CLIENT BRIDGE | 1 |
Ulrich Johannes Haas | CH | Stein | 2016-03-17 / 20160073633 - CROP ENHANCEMENT | 27 |
Douglas Haas | US | Lancaster | 2015-09-17 / 20150260741 - TEST SWIPE FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 10 |
Gene F. Haas | US | Camarillo | 2016-03-10 / 20160070274 - AUTOMATIC MACHINE TOOL COOLANT MIXER | 7 |
Brian Haas | US | San Jose | 2010-08-19 / 20100208272 - METHOD AND APPARATUS FOR MEASURING SHAPE OR THICKNESS INFORMATION OF A SUBSTRATE | 1 |
Kevin Haas | US | San Jose | 2011-03-24 / 20110072001 - SYSTEMS AND METHODS FOR PROVIDING ADVANCED SEARCH RESULT PAGE CONTENT | 4 |
Juergen Haas | AT | Marchtrenk | 2012-10-18 / 20120262596 - IMAGE FOCUSING DEVICES AND METHODS FOR CONTROLLING AN IMAGE FOCUSING DEVICE | 3 |
Gebhard Haas | DE | Altusried | 2013-08-08 / 20130202377 - METHOD FOR OPERATING A MACHINE TOOL AND MACHINE TOOL | 1 |
Edmund J. Haas | US | Benton City | 2012-10-11 / 20120255701 - SYSTEMS AND METHODS FOR CASTING METALLIC MATERIALS | 1 |
Dwight Haas | US | Highland Village | 2012-10-11 / 20120257189 - THREE WAY DESKTOP UV COUNTERFEIT DETECTOR | 1 |
Stefan Michael Haas | DE | Berkshire | 2011-06-16 / 20110143938 - Herbicide Composition | 1 |
Hans-Joachim Haas | DE | Elpersheim | 2011-06-16 / 20110143589 - CONNECTING APPARATUS | 1 |
Rebecca Haas | US | Somerville | 2015-02-12 / 20150042131 - ALL-IN-ONE ENTERTAINMENT CAR SEAT | 1 |
Werner Haas | PT | Oeiras | 2011-09-29 / 20110237546 - METHOD FOR TREATING A MAMMAL BY ADMINISTRATION OF A COMPOUND HAVING THE ABILITY TO RELEASE CO | 1 |
Robert Haas | US | Ossining | 2014-01-30 / 20140032817 - VALID PAGE THRESHOLD BASED GARBAGE COLLECTION FOR SOLID STATE DRIVE | 3 |
Johann Haas | AT | Koestendorf | 2016-02-04 / 20160031154 - TRANSPORT SYSTEM, PLASTICS-PROCESSING JOINING SYSTEM, METHOD FOR OPERATING A PLASTICS-PROCESSING JOINING SYSTEM AND PRODUCT PRODUCED THEREBY | 2 |
Peter Haas | US | Chicago | 2012-08-02 / 20120197685 - GEOGRAPHICAL INFORMATION SYSTEM AND METHOD FOR ACCESSING AND DISPLAYING AFFORDABILITY DATA | 1 |
Steven B. Haas | US | New York | 2015-07-02 / 20150182344 - KNEE PROSTHESIS | 3 |
Benjamin Haas | US | Reno | 2014-11-06 / 20140329467 - WIRELESS COMMUNICATIONS CAPABLE POWER DISTRIBUTION UNIT AND TECHNIQUES FOR COMMUNICATING THEREWITH | 1 |
Michael W. Haas | US | Trafford | 2012-09-27 / 20120240933 - PRESSURE SUPPORT SYSTEM WITH MASK FIT FEEDBACK DISPLAY | 2 |
Edwin G. Haas | US | Sayville | 2012-03-15 / 20120063569 - Two-Axis Sagittal Focusing Monochromator | 1 |
Guenter Haas | DE | Kaufering | 2014-12-04 / 20140352155 - HAND-HELD ELECTRIC POWER TOOL WITH A SUCTION ADAPTER | 9 |
Stefan Haas | SG | Singapore | 2014-01-16 / 20140017356 - METHOD FOR PROVIDING A WHOLE GRAIN CEREAL BASED EXTRACT | 1 |
Stefan Haas | CH | Mumpf | 2010-11-11 / 20100286154 - ANTIHELMINTIC PASTE | 1 |
Bertrand Haas | US | New Haven | 2010-07-01 / 20100169649 - Image encryption for print-and-scan channels using pixel position permutation | 17 |
Simon Haas | CH | Guensberg | 2010-09-16 / 20100229404 - ASSEMBLED FOOTPLATE FOR HAND-HELD MACHINE TOOLS | 1 |
Ulrich Johannes Haas | CH | Stein | 2016-03-17 / 20160073633 - CROP ENHANCEMENT | 27 |
Ulrich Johannes Haas | DE | Basel | 2012-11-22 / 20120295789 - PLANT GROWTH REGULATION | 1 |
Christian Haas | DE | Kelkheim | 2012-07-26 / 20120189097 - TRANSPORT BIN IN AN X-RAY INSPECTION SYSTEM | 1 |
Alfred Haas | CH | Ettingen | 2010-06-17 / 20100147871 - Container Arrangement | 1 |
Benjamin Haas | CH | Bern | 2009-12-24 / 20090316975 - ANATOMIC ORIENTATION IN MEDICAL IMAGES | 1 |
Uwe Haas | DE | Wernau/n. | 2015-05-14 / 20150133624 - Use of carbodiimide-containing compositions for controlling pot life | 3 |
Bertrand Haas | US | Somerville | 2011-06-23 / 20110148958 - SYSTEM AND METHOD FOR PROVIDING GRAPHIC SECURITY TO A DOCUMENT AT THE TIME OF PRINTING | 1 |
Ulrike Haas | DE | Graefelfing | 2013-12-26 / 20130344531 - METHOD OF EXAMINING TISSUE GROWTH AND CONDITIONING OF CELLS ON A SCAFFOLD AND A PERFUSION BIOREACTOR | 1 |
Axel Haas | DE | Oberstenfeld | 2013-05-09 / 20130114166 - INVERTER FOR AN ELECTRIC MACHINE AND METHOD FOR OPERATING AN INVERTER FOR AN ELECTRIC MACHINE | 2 |
Robert Haas | CH | Rueschilikon | 2013-05-23 / 20130132663 - READING FILES STORED ON A STORAGE SYSTEM | 1 |
Matthew P. Haas | US | Duluth | 2014-09-18 / 20140261360 - DE-COCKING MECHANISM FOR A BOW | 2 |
Rouven Haas | AT | Wien | 2011-09-15 / 20110220234 - APPARATUS FOR TAKING IN POWDER MATERIAL AND GRANULES AND CAPSULE THEREFOR | 1 |
Claudius Haas | DE | Steinach | 2014-01-16 / 20140013977 - POWDERING DEVICE FOR A PRINTING PRESS AND METHOD FOR OPERATING THE POWDERING DEVICE | 3 |
Michael Haas | US | Columbus | 2015-12-17 / 20150361846 - System Variation Adaption for Feed-Forward Controller | 6 |
Robert Haas | CH | Rueschlikon | 2015-03-12 / 20150074343 - LOGIC DEVICE | 26 |
Robert Haas | CH | Zurich | 2015-09-24 / 20150268861 - SCHEDULING REQUESTS IN A SOLID STATE MEMORY DEVICE | 10 |
Robert Haas | DE | Marquartstein | 2011-06-30 / 20110155494 - ELECTRICAL POWER SUPPLY UNIT AND METHOD FOR CHARGING ACCUMULATORS OF AN ELECTRIC POWER SUPPLY UNIT AND LIGHT ELECTRIC VEHICLE WITH ELECTRIC POWER SUPPLY UNIT | 2 |
Robert Haas | CH | Adliswil | 2016-01-07 / 20160004456 - SELECTIVE SPACE RECLAMATION OF DATA STORAGE MEMORY EMPLOYING HEAT AND RELOCATION METRICS | 23 |
Juergen Haas | DE | Schoenaich | 2014-06-12 / 20140164462 - RESIDUE-BASED ERROR DETECTION FOR A PROCESSOR EXECUTION UNIT THAT SUPPORTS VECTOR OPERATIONS | 1 |
Robert Haas | CH | Adilswil | / - | 1 |
Connor John Haas | US | Peoria | 2015-12-03 / 20150345642 - THIN FILM COATING ON MECHANICAL FACE SEALS | 2 |
Zachary P. Haas | US | Seattle | 2014-02-06 / 20140036068 - THERMAL DETECTION SYSTEMS, METHODS, AND DEVICES | 2 |
Norman Haas | US | Mount Kisco | 2014-12-04 / 20140353372 - SMART SCANNING SYSTEM | 23 |
Reiner Haas | DE | Metzingen | 2012-04-19 / 20120093605 - Lance Unit And Spindle Comprising The Same | 1 |
Jerome Haas | FR | Montgeron | 2015-04-30 / 20150119813 - SHEATH PROTECTING A CANNULA, AND SAFETY SYRINGE COMPRISING SAID SHEATH | 1 |
Roland Haas | AT | St. Georgen An Der Gusen | 2015-04-30 / 20150117973 - TRANSFER CENTER FOR MACHINING AT LEAST ONE WORKPIECE | 1 |
Douglas Haas | US | Lancaster | 2015-09-17 / 20150260741 - TEST SWIPE FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 10 |
Martin Haas | DE | Steinheim | 2014-09-18 / 20140265471 - Child Safety Seat | 1 |
Evan Kurtis Haas | US | San Francisco | 2012-04-19 / 20120096078 - SYSTEMS AND METHODS FOR ARCHIVING MEDIA ASSETS | 1 |
Deann M. Haas | US | Pewaukee | 2013-03-14 / 20130066197 - SYSTEM AND METHOD FOR BLOOD VESSEL STENOSIS VISUALIZATION AND NAVIGATION | 1 |
Mike Haas | DE | Mannheim | 2013-03-14 / 20130064758 - Process For The Organotemplate-Free Synthetic Production Of A Zeolitic Material Using Recycled Mother Liquor | 1 |
Hardy Haas | DE | Ditzingen-Schoeckingen | 2015-02-26 / 20150057888 - METHOD FOR REDUCING THE STEERING TORQUE OF A TWO-WHEELER WHEN NEGOTIATING CURVES | 2 |
Volker Haas | DE | Tamm | 2012-04-26 / 20120097030 - DEVICE AND METHOD FOR DRYING FUEL, IN PARTICULAR FOR A MOTOR VEHICLE | 1 |
Stefan Haas | DE | Baesweiler | 2012-09-20 / 20120234366 - METHOD FOR THE PRODUCTION AND SERIES CONNECTION OF PHOTOVOLTAIC ELEMENTS TO GIVE A SOLAR MODULE AND SOLAR MODULE | 2 |
Thorsten Haas | DE | Berlin | 2012-04-26 / 20120098842 - METHOD FOR REPRESENTATION OF SAFETY-RELEVANT INFORMATION ON A DISPLAY AND APPARATUS FOR THE APPLICATION OF THE METHOD | 1 |
Tobias Haas | DE | Bad Heilbrunn | 2013-05-16 / 20130120753 - MEASURING DEVICE FOR DETERMINING A VEGETATION INDEX VALUE OF PLANTS | 1 |
Heinrich Haas | DE | Mainz | 2015-03-26 / 20150086612 - RNA Formulation for Immunotherapy | 2 |
Markus Haas | DE | Sensbachthal | 2014-07-24 / 20140203539 - METHOD AND DEVICE FOR THE SERIAL PRODUCTION OF A VEHICLE ASSEMBLY, BEARING UNIT, VEHICLE STEERING WHEEL AND HORN MODULE FOR A STEERING WHEEL ASSEMBLY AND STEERING WHEEL ASSEMBLY | 1 |
Kevin A. Haas | US | St. Louis Park | 2015-12-24 / 20150366225 - METHOD OF PRODUCING A FROZEN DOUGH, AND RELATED PRODUCTS | 2 |
Benjamin M. Haas | CH | Wabern | 2014-09-18 / 20140270426 - CONSTRUCTIVE ANATOMIC GEOMETRY | 1 |
Steven Michael Haas | US | Shakopee | 2013-09-26 / 20130253780 - System and Method for Paver Screed Endgate Control | 1 |
Steven Haas | IL | Kochav Yair | 2014-11-27 / 20140350588 - OBSTRUCTION DEVICE | 6 |
Matthew Haas | US | Duluth | 2014-07-03 / 20140182146 - EYE ALIGNMENT ASSEMBLY | 4 |
Joe Haas | US | Northbrook | 2012-05-03 / 20120103013 - VAPOR AND LIQUID FLOW CONTROL IN A DIVIDING WALL FRACTIONAL DISTILLATION COLUMN | 1 |
Richard E. Haas | US | Milford | 2013-11-07 / 20130294978 - CHEMICAL DISSOLVING DISPENSER | 3 |
Jeffrey Haas | US | 2012-06-28 / 20120164030 - CHEMICAL RESERVOIR FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 2 | |
Douglas Haas | US | 2012-05-03 / 20120107949 - TEST SWIPE FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 1 | |
Thorsten Haas | AT | Oberperfuss | 2014-05-08 / 20140128325 - VON WILLEBRAND FACTOR OR FACTOR VIII AND VON WILLEBRAND FACTOR FOR THE TREATMENT OF COAGULOPATHY INDUCED BY INHIBITORS OF THROMBOCYTES | 1 |
Herbert Haas | AT | Graz | 2012-07-19 / 20120180693 - Roll compensation system for rail vehicles | 1 |
Erik Haas | DE | Maitenbeth | 2015-03-05 / 20150059188 - CUTTING APPARATUS | 1 |
Jan Haas | DE | Walldorf | 2015-03-05 / 20150065355 - Epigenetic Signatures as Marker for Cardiomyopathies and Myocardial Insufficiencies | 1 |
Johann Haas | DE | Schechen/pfaffenhofen | 2015-03-05 / 20150063971 - Method and Device for Horizontally Transferring Layers of Articles Between Adjacent Modules | 1 |
Frantisek Haas | CZ | Jablonec Nad Nisou | 2013-11-28 / 20130316190 - ZINC-IRON ALLOY LAYER MATERIAL | 1 |
Jürgen Haas | DE | Knittlingen | 2012-07-26 / 20120191243 - ROBOT ARRANGEMENT, IN PARTICULAR IN A PAINTING BOOTH | 2 |
Richard M. Haas | US | Wellesley | 2016-03-03 / 20160063324 - GEOGRAPHICAL AREA CONDITION DETERMINATION | 5 |
Anne K. Haas | US | Warrenville | 2011-09-01 / 20110213626 - SYSTEM AND METHOD FOR EFFICIENT CLAIM ASSIGNMENT | 1 |
Alexander Haas | DE | Munich | 2015-08-27 / 20150239947 - CELL-PENETRATING PEPTIDES AND USES THEREOF | 2 |
Leah Haas | US | Brighton | 2014-07-31 / 20140214450 - DATA RECONCILIATION FROM TRUSTED SOURCES | 1 |
Daniel Haas | US | Rootstown | 2014-10-23 / 20140312794 - THREE-WAY OMNI-DIRECTIONAL LED LAMP DRIVER CIRCUIT | 1 |
Jurgen Haas | DE | Knittlingen | 2014-04-24 / 20140109830 - PAINTING DEVICE AND ASSOCIATED METHOD | 2 |
Frank-Martin Haas | DE | Wiesloch | 2014-10-30 / 20140324917 - RECLAMATION OF EMPTY PAGES IN DATABASE TABLES | 3 |
Roman Haas | DE | Hausach | 2014-10-09 / 20140301803 - Mounting Assembly | 1 |
Eckhard Haas | DE | Koeln | 2008-10-23 / 20080257318 - Exhaust- gas recirculation control responsive to a load signal at an in line injection pump | 1 |
Fridolin Haas | DE | Kirchzarten | 2008-10-30 / 20080268566 - Method For Re-Crystallization Of Layer Structures By Means Of Zone Melting, A Device For This Purpose And Use Thereof | 1 |
Dieter Haas | DE | Bruchkoebel | 2013-03-07 / 20130055953 - SUBSTRATE SUPPORT, SUBSTRATE PROCESSING DEVICE AND METHOD OF PLACING A SUBSTRATE | 3 |
Steven M. Haas | US | Shakopee | 2014-10-09 / 20140301784 - SCREED WALKWAY | 1 |
Juergen Haas | US | Poway | 2015-11-12 / 20150324636 - INTEGRATED SENSOR AND VIDEO MOTION ANALYSIS METHOD | 2 |
Uwe Haas | DE | Plochingen | 2008-12-25 / 20080316306 - Robot System Equipped with a Tool, Camera and Light Source | 1 |
Heinz-Ulrich Haas | DE | Olfen | 2009-01-22 / 20090021339 - HIGH-VOLTAGE FUSE | 1 |
Tobias Haas | DE | Koeln | 2009-01-22 / 20090019861 - AIR CONDITIONING UNIT FOR MOTOR VEHICLES AND METHOD FOR ITS OPERATION | 1 |
Karl-Heinz Haas | DE | Achstetten | 2009-01-29 / 20090029014 - System and Method For Monitoring Manufactured Pre-Prepared Meals | 1 |
Jochen Haas | DE | Freiberg | 2009-03-12 / 20090066113 - WINDOW ARRANGEMENT FOR A MOTOR VEHICLE | 2 |
Thomas Haas | DE | Berg | 2013-01-24 / 20130022809 - Multilayer Ceramic Structure and Method for Producing the Same | 1 |
Thomas Haas | DE | Winsin Clinhe | 2013-11-21 / 20130305962 - O/W Wax Dispersions and Gypsum Products Obtainable From These | 1 |
Wally Haas | CA | St. John'S | 2014-12-04 / 20140359297 - Systems and Methods for Intermediate Message Authentication in a Switched-Path Network | 5 |
Christopher K. Haas | US | St.paul | 2011-07-14 / 20110170193 - RETROREFLECTIVE ARTICLES IN THE FORM OF GARMENTS, FIBERS AND FILAMENTS | 1 |
Siegfried Haas | DE | St. Georgen | 2009-05-14 / 20090125656 - Method and Arrangement for the Automatic Configuration of a Master-Slave Field Bus System | 1 |
Sebastian Haas | DE | Munich | 2009-05-21 / 20090129941 - METHOD FOR CONTROLLING A PUMP ARRANGEMENT, AND PUMP ARRANGEMENT | 1 |
Gunter Haas | DE | Kaufering | 2009-07-02 / 20090165312 - Hand-held power tool | 2 |
David Haas | US | Schaumburg | 2011-07-14 / 20110170932 - PRINTER BRACKET | 1 |
Uwe Haas | DE | Stammham | 2013-06-06 / 20130139903 - EXPANSION RESERVOIR FOR A COOLANT CIRCUIT | 2 |
Wally Haas | CA | Mount Pearl | 2015-09-17 / 20150261611 - FORWARD ERROR CORRECTION WITH CONFIGURABLE LATENCY | 16 |
Benjamin Haas | DE | St. Georgen | 2009-10-15 / 20090256508 - ELECTRONICALLY COMMUTATED MOTOR | 2 |
Gernod Haas | DE | Eisenberg | 2009-11-19 / 20090283177 - METHOD AND DEVICE FOR THE CONTROLLED FOAMING OF A PRODUCT INTRODUCED IN BOTTLES OR SIMILAR CONTAINERS | 1 |
Hartmut Haas | DE | Bevern | 2009-11-26 / 20090291121 - CAPSULE AND COATED CAPSULES AS A DELIVERY SYSTEM FOR DIETARY SUPPLEMENTS AND THERAPEUTIC MATERIALS | 1 |
Heinz Haas | DE | Regensburg | 2015-12-03 / 20150349222 - Reflector Trough for an Optoelectronic Semiconductor Component | 3 |
Peter Haas | DE | Eschach | 2014-12-25 / 20140373313 - DEVICE FOR ANCHORING A BELT LOCK | 6 |
Alexander Haas | DE | Donaueschigen | 2010-01-07 / 20100004749 - INTERVERTEBRAL DISK PROSTHESIS SYSTEM | 1 |
Josef Haas | AT | Leobendrof | 2015-11-12 / 20150320053 - MIXING APPARATUS | 1 |
Alfons Haas | DE | Wolfach | 2010-01-07 / 20100003903 - DEVICE FOR PROCESSING THE SURFACE OF SPHERICAL SHELLS | 1 |
Klaus Haas | DE | Ludwigshafen | 2012-04-19 / 20120094566 - METHOD FOR PRODUCING A SWELLING NONWOVEN FABRIC | 2 |
Christian Haas | DE | Heidelberg | 2014-01-02 / 20140006303 - Consistent Interface for Customer Contract and Customer Contract Template - Message Set 1 | 9 |
Karl-Heinz Haas | DE | Achtstetten | 2010-04-01 / 20100078427 - DEVICE FOR CONVERTING INDUCTIVELY TRANSMITTED ELECTRIC POWER, AND METHOD FOR THE PRODUCTION OF SUCH A DEVICE | 2 |
Michael Haas | DE | Hoechstadt | 2010-04-01 / 20100077975 - SWITCHABLE CUP TAPPET | 3 |
Gerhard Haas | DE | Waldbrunn | 2010-07-01 / 20100168910 - AUTOMATED STORE ARRANGEMENT AND METHOD FOR STORING AND DISPENSING MEDICAMENTS | 1 |
Thomas Haas | DE | Münster | 2016-05-19 / 20160137969 - METHOD FOR STORING EXCESS ENERGY | 1 |
Rudi Haas | DE | Hargesheim | 2011-07-14 / 20110173705 - METHOD AND SYSTEM OF PROCESSING ANNOTATED MULTIMEDIA DOCUMENTS USING GRANULAR AND HIERARCHICAL PERMISSIONS | 1 |
Guenter Haas | DE | Kaufering | 2014-12-04 / 20140352155 - HAND-HELD ELECTRIC POWER TOOL WITH A SUCTION ADAPTER | 9 |
Herbert Haas | DE | Oberkirch | 2013-01-10 / 20130008283 - POT-SHAPED HOUSING PART, ESPECIALLY FOR A HUB PART OF A HYBRID DRIVE | 3 |
Harald Haas | DE | Bremen | 2012-01-19 / 20120014466 - METHOD AND COMMUNICATION SYSTEM DEVICE FOR THE GENERATION OR PROCESSING OF FODM SYMBOLS IN A TRANSMISSION SYSTEM WITH SPREAD USSER DATA | 3 |
Michel Haas | DE | Dormagen | 2010-10-21 / 20100266481 - PROCESSES FOR THE OXIDATION OF A GAS CONTAINING HYDROGEN CHLORIDE | 5 |
Heinrich Haas | DE | Meckenbeuren | 2010-11-04 / 20100279605 - METHOD AND DEVICE FOR DATA AND/OR ENERGY TRANSMISSION | 1 |
Michael Haas | DE | Karsbach | 2008-10-30 / 20080265643 - Seat Belt Fitting For A Reversible Seat | 1 |
Alexander Haas | DE | Donaueschingen | 2015-03-05 / 20150066086 - IMPLANT FOR STABILIZING SPINOUS PROCESSES | 9 |
Stefan Haas | DE | Kraichtal | 2009-10-22 / 20090265314 - SECURE FILE SEARCHING | 1 |
Jens Haas | DE | Ludwigshafen | 2012-04-05 / 20120080120 - METHOD FOR PASSIVATING A METALLIC SURFACE | 2 |
Roland Haas | DE | Hofhelm | 2014-12-04 / 20140355920 - BEARING ASSEMBLY | 2 |
Stefan Haas | DE | Quarnbek / Ot Flemhude | 2015-08-27 / 20150241306 - DETECTING MISFIRING IN A GASEOUS FUEL OPERATED INTERNAL COMBUSTION ENGINE | 2 |
Gerhard Haas | DE | Dittelbrunn | 2011-02-10 / 20110033147 - Bearing Assembly | 3 |
Heinrich Haas | DE | Munchen | 2014-07-24 / 20140205657 - METHOD OF PRODUCING A CATIONIC LIPOSOMAL PREPARATION COMPRISING A LIPOPHILIC COMPOUND | 8 |
Tobias Haas | DE | Koln | 2015-09-10 / 20150251517 - HEAT EXCHANGER FOR REFRIGERANT CIRCUITRY | 8 |
Ludwig Haas | DE | Altdorf | 2011-07-21 / 20110174101 - HEIGHT-ADJUSTABLE ACTUATION DEVICE | 1 |
Alfred Haas | DE | Eppelheim | 2014-06-26 / 20140174987 - METHOD FOR HANDLING PRODUCT FLUID FLOWS | 7 |
Helmut Haas | DE | Schladen-Beuchte | 2011-03-24 / 20110067527 - METHOD FOR PRODUCTION OF NIOBIUM AND TANTALUM POWDER | 2 |
Thorsten Haas | DE | Marburg | 2011-05-12 / 20110112023 - VON WILLEBRAND FACTOR OR FACTOR VIII AND VON WILLEBRAND FACTOR FOR THE TREATMENT OF COAGULOPATHY INDUCED BY INHIBITORS OF THROMBOCYTES | 1 |
Helmut Haas | DE | Achim | 2016-03-03 / 20160064150 - METHOD FOR PRODUCING LOW-OXYGEN VALVE-METAL SINTERED BODIES HAVING A LARGE SURFACE AREA | 4 |
Peter J. Haas | US | New York | 2013-11-14 / 20130300079 - Reclining loop frame stacking / swivel chair | 1 |
Wolfgang Haas | AT | Perchtoldsdorf | 2012-05-24 / 20120129563 - Method for Setting of a Transmission Power | 1 |
Peter Haas | DE | Haan | 2012-09-06 / 20120225278 - METHOD FOR PRODUCING A FOAM COMPOSITE ELEMENT | 7 |
Jeffrey Haas | US | San Ramon | 2015-09-17 / 20150260741 - TEST SWIPE FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 13 |
Heinz Haas | US | 2012-07-05 / 20120169232 - MOTOR VEHICLE HEADLIGHT ELEMENT | 1 | |
Earl Haas | US | Franklin | 2014-09-18 / 20140260480 - Methods for Manufacture of Pipe Element Having Shoulder, Groove and Bead | 3 |
Chad Haas | US | Powell | 2012-06-07 / 20120139227 - BOUND EDGE TABS FOR NOTEBOOK | 1 |
Herwig Haas | CA | Surrey | 2014-01-30 / 20140030625 - VOLTAGE REVERSAL TOLERANT FUEL CELL WITH SELECTIVELY CONDUCTING ANODE | 3 |
David Haas | US | Warrington | 2013-10-10 / 20130268358 - METHODS AND APPARATUS FOR OFFERING SERVICES FOR SALE OVER THE INTERNET | 1 |
Alexander Haas | DE | Muenchen | 2015-09-17 / 20150258209 - COVALENTLY LINKED ANTIGEN-ANTIBODY CONJUGATES | 4 |
Doug Haas | US | 2012-06-28 / 20120164030 - CHEMICAL RESERVOIR FOR PORTABLE EXPLOSIVE OR DRUG DETECTION SYSTEM | 1 | |
Paulina Haas | AT | Vienna | 2014-10-09 / 20140303013 - METHODS OF DIAGNOSING AND TREATING VASCULAR ASSOCIATED MACULOPATHY AND SYMPTOMS THEREOF | 1 |
David J. Haas | US | Weare | 2013-04-18 / 20130093416 - Magnetic Field Sensor and Method Used in a Magnetic Field Sensor That Adjusts a Sensitivity and/or an Offset Over Temperature | 10 |
Douglas D. Haas | US | Sparta | 2015-12-31 / 20150374297 - MODULAR INSTRUMENTED FLOOR COVERING | 4 |
N. Quentin Haas | US | Burlington | 2012-06-14 / 20120148115 - Mobile Biometrics Information Collection and Identification | 1 |
Kevin L. Haas | US | Los Gatos | 2014-05-15 / 20140136504 - MULTIDIMENSIONAL SEARCH ARCHITECTURE | 2 |
Juergen Haas | DE | Knittlingen | 2011-04-28 / 20110094444 - PAINTING STATION COMPRISING A MEASURING CELL FOR MEASURING THE LAYER THICKNESS | 6 |
Juergen Haas | DE | Oberwolfach | 2014-02-20 / 20140047896 - Apparatus and method for correcting an offset | 3 |
Robert Haas | CH | Rueschlikon | 2015-03-12 / 20150074343 - LOGIC DEVICE | 26 |
Darren W. Haas | US | Minnetonka | 2014-07-31 / 20140212256 - ANTI-STICK HISTOLOGICAL SPECIMEN SLIDE FEEDER AND METHOD | 8 |
John D. Haas | US | Roseville | 2014-08-28 / 20140239148 - PRODUCTION TOOL TO MAKE ABRASIVE PARTICLES WITH GROOVES | 13 |
Christopher K. Haas | US | Cottage Grove | 2011-01-13 / 20110008570 - INTEGRALLY FOAMED MICROSTRUCTURED ARTICLE | 1 |
Christopher K. Haas | US | St. Paul | 2015-05-21 / 20150140292 - LASER-PERSONALIZABLE SECURITY ARTICLES | 10 |
David P. Haas | US | Brooklyn Park | 2015-01-29 / 20150030913 - CONTOURED BATTERY FOR IMPLANTABLE MEDICAL DEVICES AND METHOD OF MANUFACTURE | 4 |
Kevin Haas | US | St. Louis Park | 2009-01-15 / 20090017171 - PROOF AND PAN DOUGH TEMPLATE SYSTEM | 1 |
Tobin J. Haas | US | Excelsior | 2008-10-16 / 20080253696 - POUCH ARRANGEMENT FOR DISTRIBUTING MATERIAL AND METHODS | 1 |
Thomas Roger Haas | US | Santa Cruz | 2015-04-09 / 20150097567 - Dual-radiation non-ferrous metal prospecting system | 1 |
Hansjoerg W. Haas | CA | Burlington | 2011-02-24 / 20110046910 - Method and System for Sample Testing | 1 |
Harald Haas | GB | Edinburgh | 2015-11-05 / 20150318925 - COMMUNICATION APPARATUS AND METHOD | 11 |
Werner E. Haas | PT | Oeiras | 2014-07-31 / 20140212514 - PREVENTION OF GASTRIC ULCER BY CARBON MONOXIDE | 2 |
Petrus J.a. Haas | NL | Utrecht | 2010-11-25 / 20100298539 - NOVEL POLYPEPTIDES AND USE THEREOF | 1 |
Rodney Haas | TH | Bangkok | 2012-04-26 / 20120102372 - UNIVERSAL SERIAL BUS HUB WITH WIRELESS COMMUNICATION TO REMOTE PERIPHERAL DEVICES | 3 |
David Haas | IL | Kochav Yair | 2010-06-24 / 20100161831 - OPTIMIZING CONTENT AND COMMUNICATION IN MULTIACCESS MOBILE DEVICE EXHIBITING COMMUNICATION FUNCTIONALITIES RESPONSIVE OF TEMPO SPATIAL PARAMETERS | 1 |
Eduard Haas | LI | Balzers | 2010-04-08 / 20100084419 - REFILLABLE SINGLE-HAND DISPENSER FOR TABLETS | 1 |
Herwig R. Haas | CA | Vancouver | 2011-11-03 / 20110269040 - SHUTDOWN METHODS AND DESIGNS FOR FUEL CELL STACKS | 2 |
Marijke Haas | NL | Groningen | 2010-03-04 / 20100055146 - CYCLIC ANGIOTENSIN ANALOGS | 1 |
Hansjoerg Werner Haas | CA | Burlington | 2009-10-01 / 20090247417 - METHOD AND SYSTEM FOR DRUG SCREENING | 1 |
Jeffrey Haas | CA | Toronto | 2008-11-13 / 20080277131 - EXCAVATING METHOD AND APPARATUS | 1 |
Josef Haas | AT | Wien | 2012-11-01 / 20120275260 - MIXING APPARATUS | 1 |
Johannes Haas | AT | Wien | 2016-05-12 / 20160128343 - Method For Producing A Baked Product Having An Essentially Sealed Separating Surface | 28 |
Sebastian Haas | DE | Langquaid | 2013-10-24 / 20130281072 - OUTPUT OF MESSAGES RECEIVED BY A FIRST RECEIVING APPLIANCE AND BY A SECOND RECEIVING APPLIANCE | 1 |
Alexander Haas | DE | Donaueschingen | 2015-03-05 / 20150066086 - IMPLANT FOR STABILIZING SPINOUS PROCESSES | 9 |
Julia Haas | US | Boulder | 2015-11-26 / 20150336970 - MACROCYCLIC COMPOUNDS AS TRK KINASE INHIBITORS | 15 |
Tracey Haas | US | Austin | 2013-10-17 / 20130275524 - Time Sensitive Audio and Text Feedback Process for a Prioritized Media Rich Digital Messaging System | 1 |
Dylan S. Haas | US | Yorktown Heights | 2016-01-28 / 20160022563 - Stable Silk Protein Fragment Compositions | 7 |
Connor Haas | US | Peoria | 2016-03-17 / 20160076128 - Thermal Spray Coating for Mechanical Face Seals | 3 |
Daniel G. Haas | US | Rockford | 2016-03-10 / 20160069369 - SHEET MATERIAL FASTENER DEVICE | 1 |
Josef Haas | AT | Leobendorf | 2016-05-12 / 20160128343 - Method For Producing A Baked Product Having An Essentially Sealed Separating Surface | 26 |
Wilhelm Haas | US | Cambridge | 2015-10-15 / 20150293058 - ACCURATE AND INTERFERENCE-FREE MULTIPLEXED QUANTITATIVE PROTEOMICS USING MASS SPECTROMETRY | 2 |
Harald Haas | DE | Munich | 2014-04-24 / 20140113644 - METHOD FOR CONTROLLING OPERATION WITHIN A CELL OF A WIRELESS CELLULAR NETWORK, BASE STATION AND WIRELESS CELLULAR NETWORK | 3 |
Daniel D. Haas | AU | Gympie | 2014-04-03 / 20140090567 - T-MOLDING ROLLER | 1 |
Norman Haas | US | Mount Cisco | 2014-03-13 / 20140072173 - LOCATION DETERMINATION FOR AN OBJECT USING VISUAL DATA | 1 |
Johannes Haas | AT | Wien | 2016-05-12 / 20160128343 - Method For Producing A Baked Product Having An Essentially Sealed Separating Surface | 28 |
Alexander Haas | DE | Spraitbach | 2014-01-30 / 20140028126 - ELECTRIC DRIVE MOTOR FOR A WORK APPARATUS | 1 |
Wally Haas | CA | Mount Pearl | 2015-09-17 / 20150261611 - FORWARD ERROR CORRECTION WITH CONFIGURABLE LATENCY | 16 |
Daniel Haas | US | Berkley | 2014-07-10 / 20140195312 - SYSTEM AND METHOD FOR MANAGEMENT OF PROCESSING WORKERS | 1 |
John E. Haas | US | Hebron | / - | 1 |
Geraldine Haas | US | Katy | 2015-03-19 / 20150081223 - MICROSEISMIC SURVEY | 1 |
Erich Haas | DE | Flachslanden | 2013-12-19 / 20130338750 - CONNECTING DEVICE | 1 |
James Edmond Haas | US | Loveland | 2013-12-05 / 20130319625 - FIBROUS STRUCTURES AND METHODS FOR MAKING SAME | 1 |
Wilfried Haas | DE | Ditzingen | 2014-07-24 / 20140202972 - Profile carriers and related methods | 1 |
Jakob Haas | DE | Selb | 2016-01-07 / 20160006333 - LINEAR ACTUATOR | 2 |
Andreas Haas | DE | Rheinfelden | 2014-05-22 / 20140139325 - PROCESS AND DEVICE FOR THE TRANSFER OF SIGNALS | 1 |
Oliver Haas | DE | Kassel | 2013-04-11 / 20130088252 - METHOD FOR DIAGNOSIS OF CONTACTS OF A PHOTOVOLTAIC SYSTEM AND APPARATUS | 1 |
N. Quentin Haas | US | Arlington | 2013-05-23 / 20130131994 - METHOD AND APPARATUS FOR MOBILE DISASTER VICTIM IDENTIFICATION | 1 |
Thorsten Haas | DE | Stuttgart | 2013-11-21 / 20130310553 - METHOD FOR PRECIPITATING AND RE-DISSOLVING BETA-GLUCAN | 1 |
Thomas Haas | DE | Dettingen | 2011-07-28 / 20110179755 - Particle separating device for an aerosol stream | 1 |
Steven Haas | US | New York | 2015-08-20 / 20150230876 - Systems and Methods for Determining Suitability of a Mechanical Implant for a Medical Procedure | 2 |
Terry T. Haas | US | Tomball | 2014-12-18 / 20140372745 - BOOTING A SERVER USING A REMOTE READ-ONLY MEMORY IMAGE | 1 |
Robert Haas | CH | Ruschlikon | 2011-03-17 / 20110066788 - CONTAINER MARKER SCHEME FOR REDUCING WRITE AMPLIFICATION IN SOLID STATE DEVICES | 1 |
Travis William Haasch | US | Rochester | 2008-10-23 / 20080263226 - DYNAMIC PACKET TRAINING | 3 |
Frank M. Haase | DE | Markdorf | 2012-10-18 / 20120261014 - FLUID ACCUMULATOR CHAMBER | 2 |
Debra A. Haase | US | Larsen | 2015-04-16 / 20150105744 - VISUALLY-COORDINATED ABSORBENT PRODUCT | 2 |
Stuart Haase | US | Bloomington | 2014-07-31 / 20140213380 - Table ball game | 1 |
Roxana Haase | DE | Bad Pyrmont | 2015-10-01 / 20150279507 - ENAMELLED WIRE | 1 |
Robert Haase | US | White Lake | 2013-01-17 / 20130019252 - NAVIGATION APPLICATION INTERFACEAANM Haase; RobertAACI White LakeAAST MIAACO USAAGP Haase; Robert White Lake MI USAANM Oder; RainerAACI VS-SchwenningenAACO DEAAGP Oder; Rainer VS-Schwenningen DEAANM Montealegre; StevenAACI Farmington HillsAAST MIAACO USAAGP Montealegre; Steven Farmington Hills MI USAANM Boufelliga; RhitaAACI Farmington HillsAAST MIAACO USAAGP Boufelliga; Rhita Farmington Hills MI US | 2 |
Andreas Robert Haase | US | Park City | 2012-03-22 / 20120070556 - DUTCH OVEN COOK STATION APPARATUS AND METHOD | 1 |
Robert Haase | US | Farmington Hills | 2015-04-30 / 20150116342 - START-UP PROCESSING TASK DISTRIBUTION AMONG PROCESSING UNITS | 1 |
Rene Haase | US | Chicago | 2015-09-17 / 20150262225 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR CALCULATING PROFIT FOR A PROMOTION AND MARKETING SERVICE | 1 |
Eric Haase | NL | The Hague | 2009-07-09 / 20090177386 - Navigation device and method | 1 |
Franz P. Haase | US | Wolfeboro | 2013-02-21 / 20130042549 - BUILDING ARCHITECTURE FOR RESIDENTIAL DWELLING | 1 |
Ryan E. Haase | US | Grand Rapids | 2014-12-11 / 20140361593 - CHAIR WITH A SWIVEL BACK SUPPORT | 1 |
Andreas Haase | DE | Frankfurt | 2008-09-04 / 20080211485 - Process for Identification of Compounds for Modulating the Activity of Sodium/Calcium Exchange Transporter | 1 |
Oliver Haase | US | Fair Haven | 2010-04-15 / 20100091765 - APPARATUS AND METHOD FOR ENABLING OPTIMIZED GATEWAY SELECTION FOR INTER-WORKING BETWEEN CIRCUIT-SWITCHED AND INTERNET TELEPHONY | 1 |
Candice Marie Haase | US | Missouri City | 2010-07-08 / 20100173355 - Means for sequestration and conversion of COx and NOx, CONOx | 2 |
Craig Haase | US | Macomb Township | 2010-07-29 / 20100189493 - SPACER SEALANT ARTICLES | 1 |
Andreas Haase | US | Park City | 2013-05-09 / 20130111711 - INTERLOCKING KEY ATTACHMENT TAB AND METHOD OF USE | 1 |
Wolfgang Haase | DE | Reinheim | 2013-09-05 / 20130228720 - CHIRAL COMPOUNDS, CHOLESTERIC AND FERROELECTRIC LIQUID CRYSTAL COMPOSITIONS COMPRISING THESE CHIRAL COMPOUNDS, AND LIQUID CRYSTAL DISPLAYS COMPRISING THESE LIQUID CRYSTAL COMPOSITIONS | 1 |
Sascha Haase | DE | Wermelskirchen | 2011-10-27 / 20110260618 - METHOD AND APPARATUS FOR OPERATING A LIGHTING DEVICE OF A VEHICLE | 1 |
Walter Haase | DE | Hildrizhausen | 2013-11-21 / 20130310987 - BUILDING AUTOMATION SYSTEM | 1 |
Alexander S. Haase | US | Ypsilanti | 2015-11-05 / 20150313475 - VEHICLE SEAT WITH INTEGRATED SENSORS | 1 |
Bjorn Haase | DE | Stuttgart | 2016-04-14 / 20160104979 - Intrinsically safe wireless dongle for a field device | 9 |
Dirk Haase | DE | Leutenbach | 2014-04-03 / 20140095050 - Hybrid Drive Train, Hybrid Vehicle, and Operating Method | 6 |
Wayne C. Haase | US | Sterling | 2014-03-13 / 20140070942 - PASS-TRACKER: APPARATUS AND METHOD FOR IDENTIFYING AND LOCATING DISTRESSED FIREFIGHTERS | 2 |
Gaddi S. Haase | US | Albuquerque | 2015-06-11 / 20150158240 - IMPRINT LITHOGRAPHY TEMPLATE AND METHOD FOR ZERO-GAP IMPRINTING | 1 |
Edward H. Haase | US | Thompson Falls | 2011-12-29 / 20110317861 - COMBINATION LOW VOLTAGE LIGHT / SPEAKER FIXTURE | 1 |
Klaus Haase | DE | Telgte | 2013-08-08 / 20130202891 - ELASTOMER BINDING MATERIALS MADE WITH NATURAL OIL BASED POLYOLS | 1 |
James M. Haase | US | Maplewood | 2015-12-17 / 20150359963 - Drug Infusion System and Method | 22 |
Peter Haase | DE | Isselburg | 2013-03-28 / 20130078152 - Device for Conditioning Process Gases for the Heat Treatment of Metallic Work Pieces in Industrial Furnaces | 3 |
Frank Juergen Haase | DE | Hamburg | 2010-12-09 / 20100307428 - FUEL COMPOSITION | 1 |
Guenter Haase | DE | Roetgen | 2010-11-04 / 20100280126 - Method of Inhibiting Inflammatory Pain | 2 |
Henning Haase | DE | Weissensberg | 2011-11-03 / 20110267816 - PANE ASSEMBLY | 1 |
Michael A. Haase | US | Saint Paul | 2014-07-24 / 20140202515 - BOOSTER FILMS FOR SOLAR PHOTOVOLTAIC SYSTEMS | 9 |
Barbara Haase | DE | Berlin | 2016-04-21 / 20160109365 - TURBIDIMETER | 1 |
Guenther Haase | DE | Roetgen | 2013-05-02 / 20130109733 - Combination of Selected Analgesics and Cox-II Inhibitors | 2 |
Zachary S. Haase | US | Sterling | 2014-03-13 / 20140070942 - PASS-TRACKER: APPARATUS AND METHOD FOR IDENTIFYING AND LOCATING DISTRESSED FIREFIGHTERS | 2 |
Dirk Haase | DE | Leipzig | 2014-12-18 / 20140371872 - COSMETIC PROSTHESIS COVER | 1 |
Peter Heller Haase | US | Santa Cruz | 2015-08-06 / 20150218024 - WATER TREATMENT DEVICES | 1 |
Axel Haase | DE | Erlangen | 2016-04-28 / 20160119976 - Electric Heater and Method for Operating an Electric Heater | 1 |
Wayne C. Haase | US | Acton | 2010-09-30 / 20100242771 - Precision pyrotechnic display system and method having increased safety and timing accuracy | 1 |
Chet S. Haase | US | Pleasanton | 2013-12-05 / 20130321430 - Systems and Methods for Providing and Using Animations | 2 |
Antje Haase | IT | Genova | 2012-06-28 / 20120165968 - METHOD AND SOFTWARE FOR CONTROLLING JUST IN TIME ITEM PRODUCTION VIA KANBAN CARDS | 1 |
Frerk Haase | DE | Harrislee | 2013-04-25 / 20130099580 - SOLAR POWER PLANT WITH INCREASED OPERATING LIFE | 1 |
Edward A. Haase | US | South Milwaukee | 2013-11-21 / 20130305544 - KNOCKOUT DRIVER APPARATUS | 5 |
Ordwin Haase | DE | Taufkirchen | 2014-04-24 / 20140112028 - METHOD AND A CIRCUIT ARRANGEMENT FOR DETERMINING A DEMAGNETIZATION ZERO CURRENT TIME | 1 |
Alfried Haase | DE | Ahrensburg | 2012-06-28 / 20120163547 - INTEGRATED X-RAY SOURCE HAVING A MULTILAYER TOTAL INTERNAL REFLECTION OPTIC DEVICE | 1 |
Detlev Haase | DE | Frankfurt | 2010-09-16 / 20100234227 - MICROEMULSION CONCENTRATES | 2 |
Richard David Haase | US | Denver | 2012-10-25 / 20120271937 - AUTOMATED TOPOLOGY CHANGE DETECTION AND POLICY BASED PROVISIONING AND REMEDIATION IN INFORMATION TECHNOLOGY SYSTEMS | 1 |
Michael Albert Haase | US | St. Paul | 2008-08-28 / 20080206914 - Patterning self-aligned transistors using back surface illumination | 1 |
Gerald M. Haase | US | Greenwood Village | 2011-07-14 / 20110172179 - Micronutrient formulations for radiation applications | 2 |
Michael Nash Haase | US | Woodland Park | 2012-05-17 / 20120124204 - APPLICATION INFRASTRUCTURE PLATFORM (AIP) | 1 |
Staci M. Haase | US | Tampa | 2009-01-01 / 20090006251 - UNIVERSAL ROLLOVER ACCOUNT | 1 |
Dirk Haase | DE | Jena | 2015-12-10 / 20150351934 - PROSTHESIS ELEMENT AND METHOD FOR PRODUCING A PROSTHESIS ELEMENT | 1 |
Michael Haase | DE | Erbach | 2016-03-03 / 20160065037 - ELECTRONIC FLUID PUMP FOR A MOTOR VEHICLE | 1 |
Andreas Haase | DE | Bremen | 2015-05-07 / 20150122986 - MASS SPECTROMETER WITH LASER SPOT PATTERN FOR MALDI | 6 |
Christoph Haase | AT | Asperhofen | 2010-12-02 / 20100299953 - SUPPORT BAND | 2 |
Thorsten Haase | DE | Lubeck | 2010-05-13 / 20100116273 - DEVICE FOR SUPPLYING A RESPIRATOR WITH BREATHING GAS | 2 |
Markus Haase | DE | Osnabrueck | 2010-01-28 / 20100019204 - SURFACE TREATMENT METHOD FOR NANOPARTICLES | 1 |
Helmut Haase | DE | Fehrbelling/ot Linum | 2010-01-21 / 20100015272 - PELLETING MACHINE | 1 |
Claus Gert Haase | DE | Recklinghausen | 2009-12-10 / 20090306024 - Combination preparations of o-acetylsalicylic acid salts | 1 |
Bjoern Haase | DE | Stadtgart | 2009-11-05 / 20090273769 - MEASURING DEVICE | 1 |
Helmut Haase | DE | Linum | 2009-10-22 / 20090263532 - Rotary Tableting Press | 1 |
Bjoen Haase | DE | Stuttgart | 2009-09-03 / 20090219165 - DISTANCE MEASURING DEVICE AND METHOD FOR DETERMINING A DISTANCE | 1 |
Hans Haase | DE | Sonsbeck | 2013-06-20 / 20130154456 - HOUSING FOR A MONITOR WITH OR WITHOUT A KEYBOARD | 1 |
Gunnar Haase | DE | Wedel | 2009-08-06 / 20090194641 - Exterior Mechanical Interlock for a Linear Actuator | 2 |
Sherry Haase | US | Lincoln | 2009-03-12 / 20090069870 - Ionic foot bath array | 2 |
Jürg Haase | CH | Bettingen | 2010-08-19 / 20100209466 - MEROCYANINE DERIVATIVES | 5 |
Josef Haase | DE | Griesingen | 2009-05-28 / 20090133628 - VACUUM DEVICE FOR CONTINUOUS PROCESSING OF SUBSTRATES | 1 |
Reinhold A. Haase | US | Hastings | 2011-11-17 / 20110277530 - Fence Clip Installation Tool | 1 |
Wolfgang Haase | DE | Sailauf | 2009-05-21 / 20090126496 - Ultrasound Probe Arrangement | 1 |
Arne Haase | DE | Harrislee | 2012-09-20 / 20120238650 - PLANT AND METHOD FOR THE RECYCLING OF PLASTICS, PREFERABLY PET | 5 |
Steven Haase | US | Lincoln | 2009-03-12 / 20090069870 - Ionic foot bath array | 2 |
Wolfgang Haase | GB | Reinheim | 2011-09-29 / 20110233463 - CHIRAL COMPOUNDS, CHOLESTERIC AND FERROELECTRIC LIQUID CRYSTAL COMPOSITIONS COMPRISING THESE CHIRAL COMPOUNDS, AND LIQUID CRYSTAL DISPLAYS COMPRISING THESE LIQUID CRYSTAL COMPOSITIONS | 1 |
Andreas Haase | RU | Moscow | 2013-06-20 / 20130157856 - Agrochemical Mixtures for Increasing the Health of a Plant | 1 |
Jürg Haase | CH | Bettingen | 2010-08-19 / 20100209466 - MEROCYANINE DERIVATIVES | 3 |
Karl-Heinz Haase | DE | Pfungstadt | 2013-10-10 / 20130265564 - OPTICAL STRAIN GAUGE | 5 |
Chet Spencer Haase | US | Pleasanton | 2013-12-19 / 20130335425 - Systems and Methods for Combining Animations | 1 |
Charles Stephen Haase | US | Delaware | 2015-03-12 / 20150069822 - METHOD AND APPARATUS FOR A PASSIVE VEHICLE WHEEL SHUTTER SYSTEM | 1 |
Gerald Haase | US | Greenwood Village | 2016-02-25 / 20160050969 - Micronutrient formulation in electronic cigarettes | 2 |
Michael Haase | DE | Grunenplan | 2009-01-15 / 20090014492 - Device for the separative machining of components made from brittle material | 1 |
Andreas Haase | DE | Mannheim | 2009-01-08 / 20090011937 - Use of Fungicides for Increasing the Quality and Optionally the Quantity of Oil-Plant Products | 1 |
Michael A. Haase | US | Saint Paul | 2014-07-24 / 20140202515 - BOOSTER FILMS FOR SOLAR PHOTOVOLTAIC SYSTEMS | 9 |
Stefan Haase | DE | Muenchen | 2016-05-12 / 20160133958 - Exhaust Air Guide of a Fuel Cell Stack in a Motor Vehicle | 7 |
Detlev Haase | DE | Frankfurt A.m. | 2008-12-11 / 20080305953 - Liquid Formulations Containing Dialkyl Sulfosuccinate And Hydroxyphenylpyruvate Dioxygenase Inhibitors | 1 |
Michael Harald Haase | DE | Koeln | 2015-10-15 / 20150294239 - SYSTEMS, METHODS AND APPARATUS FOR ONLINE MANAGEMENT OF A TRAVEL ITINERARY | 1 |
Rich Haase | US | Broomfield | 2013-12-26 / 20130346617 - METHODS AND SYSTEMS FOR ALLOCATING AND PROVISIONING COMPUTING RESOURCES | 1 |
Charles Haase | US | Dalaware | 2011-12-22 / 20110309649 - COVER FOR A TAILGATE ROD PASSAGE | 1 |
Chet Haase | US | Pleasanton | 2015-11-12 / 20150325026 - Methods and Systems for Adjusting Animation Duration | 2 |
Torsten Haase | DE | Saarbrucken | 2015-07-16 / 20150199346 - HIERARCHICAL DATABASE REPORT GENERATION WITH AUTOMATED QUERY GENERATION FOR PLACEHOLDERS | 2 |
Andreas Haase | DE | Chemnitz | 2013-06-13 / 20130151001 - CALIBRATION METHOD FOR A SPHERICAL MEASUREMENT PROBE | 1 |
Michael A. Haase | US | St. Paul | 2015-10-22 / 20150301295 - MULTI-CHANNEL OPTICAL CONNECTOR WITH COUPLING LENSES | 38 |
Cornelia Haase | DE | Walldorf | 2014-06-19 / 20140172788 - Systems and Methods for In-Memory Database Processing | 2 |
Robert Haase | US | San Pedro | 2016-04-14 / 20160104773 - Semiconductor Structure Having Integrated Snubber Resistance and Related Method | 2 |
Martin Haase | US | New York | 2016-02-25 / 20160051954 - HIGHER ORDER MULTIPLE EMULSIONS | 1 |
Edward Haase | US | Henderson | 2016-04-14 / 20160105738 - FIXTURE HOUSING FOR RECESSED SPEAKER | 2 |
Jordan D. Haase | US | Irwin | 2013-08-01 / 20130196575 - MEDIA BLASTING CARRIAGE FOR A STATOR SLOT | 1 |
Bjorn Haase | DE | Stuttgart | 2016-04-14 / 20160104979 - Intrinsically safe wireless dongle for a field device | 9 |
Richard Alan Haase | US | Missouri City | 2013-07-18 / 20130181460 - Zero carbon energy from hydrocarbon fuels and sunlight | 10 |
Charles Haase | US | Raymond | 2009-04-23 / 20090100958 - Tension Compensating Assembly for Mechanical Control Cables | 1 |
Joachim Haase | DE | Hannover | 2015-09-17 / 20150258960 - DEVICE COMPRISING A GAS GENERATOR TO PRODUCE A FLOW OF COMPRESSED GAS | 2 |
Wolfgang Haase | DE | Bayern | 2011-11-24 / 20110283799 - Planar Probe Head and Ultrasonic Testing Device and Method for a Component | 1 |
Christian Haase | DE | Berlin | 2015-10-22 / 20150299133 - NEUROTENSIN RECEPTOR LIGANDS | 1 |
Karl Haase | DE | Speyer | 2011-06-30 / 20110160848 - METHODS FOR CROSSING A HEART VALVE | 1 |
Stefanie Haase | DE | Bretnig-Hauswalde | 2013-08-15 / 20130211141 - MONITORING OF THE STOICHIOMETRIC RATIO IN THE REACTION OF NITROAROMATICS WITH HYDROGEN | 5 |
Ralf Haase | DE | Aachen | 2015-10-22 / 20150298133 - GRINDING DEVICE | 2 |
Mark C. Haase | US | Katy | / - | 1 |
Bjoern Haase | DE | Stuttgart | 2012-01-19 / 20120013918 - Optical Receiver Lens and Optical Distance Measuring Device | 13 |
Daniel Haase | DE | Arnsberg | 2012-05-24 / 20120126645 - Frequency Converter for Controlling an Electric Motor | 1 |
Charles Haase | US | Delaware | 2009-07-16 / 20090179475 - FOLDING HEADREST | 1 |
Edward H. Haase | US | La Qunta | 2009-08-20 / 20090207607 - SCREW-IN LED LIGHT AND SOUND BULB | 1 |
Christoph Haase | DE | Viersen | 2008-10-02 / 20080243288 - Method for the Production of a Fancy Yarn | 1 |
Ashley T. Haase | US | North Oaks | 2012-01-19 / 20120014917 - METHODS OF TREATING HIV PATIENTS WITH ANTI-FIBROTICS | 1 |
Stephen Haase | US | Falls Church | 2009-11-26 / 20090292407 - SOLAR-POWERED AIRCRAFT WITH ROTATING FLIGHT SURFACES | 1 |
Delphine Haase | DE | Wentorf | 2012-05-03 / 20120107415 - COMBINED DISINFECTION AND DECONTAMINATION AGENT HAVING INCREASED EFFECTIVENESS | 1 |
Stefan Haase | DE | Schwabhausen | 2015-04-23 / 20150111123 - Exhaust Air Guide of a Fuel Cell Stack in a Motor Vehicle | 1 |
Stefanie Haase-Fernando | CA | Calgary | 2010-03-25 / 20100075385 - O-Methyltransferases of Tetrahydrobenzylisoquinoline Alkaloid Biosynthesis in Papaver Somniferum | 1 |
Michelle Nicole Haase Pastel | US | Horseheads | 2013-09-19 / 20130239622 - MASK AND METHOD FOR SEALING A GLASS ENVELOPE | 4 |
Adrian Haaser | DE | Moerlenbach | 2014-09-25 / 20140287193 - COVERING LAYER FOR A SANITARY ARTICLE | 1 |
Norbert Haaser | DE | Markdorf | 2014-02-13 / 20140041733 - BREATHER OF A HYDRAULIC OR ELECTROHYDRAULIC CONTROL DEVICE | 1 |
James D. Haaser | US | Lancaster | 2015-10-29 / 20150307303 - PACKAGING MACHINE WITH VARIABLE-WIDTH BELT SYSTEM | 5 |
Frederic Gardner Haaser | US | Cincinnati | 2011-05-05 / 20110102765 - FIBER-OPTIC BASED THRUST LOAD MEASUREMENT SYSTEM | 2 |
Douglas D. Haas, Jr. | US | Sparta | 2010-06-17 / 20100152629 - INTEGRATED SYSTEM TO ASSIST IN THE REHABILITATION AND/OR EXERCISING OF A SINGLE LEG AFTER STROKE OR OTHER UNILATERAL INJURY | 1 |
Franklin M. Haas, Jr. | US | Hamilton | 2013-02-28 / 20130051434 - SYSTEM AND METHOD FOR MITIGATING SEVERE MULTIPATH INTERFERENCE FOR GEOLOCATION & NAVIGATION | 1 |
Roy R. Haas, Jr. | US | San Diego | 2015-02-12 / 20150045192 - HAND HELD EXERCISE AND FITNESS DEVICE | 1 |
Benjamin J. Haasl | US | Forest Lake | 2016-04-28 / 20160114157 - DELIVERY DEVICES AND METHODS FOR LEADLESS CARDIAC DEVICES | 28 |
Benjamin J. Haasl | US | Forest Lake | 2016-04-28 / 20160114157 - DELIVERY DEVICES AND METHODS FOR LEADLESS CARDIAC DEVICES | 28 |
Michael Jay Haasl | US | Appleton | 2009-09-24 / 20090235800 - Perforation anvil | 1 |
Sjoerd Haasl | SE | Stockholm | 2012-09-13 / 20120229317 - METHOD FOR USING A SENSOR SYSTEM HAVING A SINGLE-BIT QUANTIZER AND A MULTI-BIT FEEDBACK LOOP | 1 |
Benjamin L. Haasl | US | Forest Lake | 2015-02-19 / 20150051616 - LEADLESS CARDIAC PACING DEVICES | 1 |
Sjoerd Haasl | SE | Arsta | 2015-07-09 / 20150194718 - GAP WAVEGUIDE STRUCTURES FOR THZ APPLICATIONS | 1 |
Ville Haaslahti | FI | Turku | 2009-03-26 / 20090081662 - Homogeneous Luminescence Bioassay | 1 |
Benjamin J. Haass | US | Raleigh | 2011-10-13 / 20110249525 - Circuits, Systems and Methods for Adjusting Clock Signals Based on Measured Performance Characteristics | 1 |
Timo Haass | DE | Buettelborn | 2008-11-20 / 20080282825 - Ball screw and method for displacing a threaded spindle in a ball screw | 1 |
Uwe Haass | DE | Darmstadt | 2011-01-06 / 20110003530 - DRIVING TOY HAVING AN ELECTRIC DRIVE MOTOR | 4 |
Michael H. Haass | US | Albuquerque | 2009-01-15 / 20090018415 - Methods and Apparatuses for Noninvasive Determinations of Analytes using Parallel Optical Paths | 1 |
Michael J. Haass | US | Albuquerque | 2010-06-24 / 20100160747 - SELECTION OF PREFERRED SAMPLING LOCATION ON HAND VIA MINIMIZATION OF SAMPLING ERROR, AND OPTICAL ALIGNMENT FOR REPEATABLY SAMPLING TISSUE | 1 |
Michael A. Haass | US | Bellingham | 2012-08-30 / 20120217806 - CHARGE EQUALIZATION SYSTEMS AND METHODS | 1 |
Michael U. Haass | US | Albuquerque | 2010-05-06 / 20100113899 - Alignment System for Optically Sampling a Hand | 1 |
Christian Haass | DE | Icking | 2015-12-17 / 20150361166 - DIPEPTIDE-REPEAT PROTEINS AS THERAPEUTIC TARGET IN NEURODEGENERATIVE DISEASES WITH HEXANUCLEOTIDE REPEAT EXPANSION | 1 |
Frank Haaß | DE | Erzhausen | 2014-12-04 / 20140353880 - PROCESS FOR SINTERING THERMOELECTRIC MATERIALS | 11 |
Carolina Haass-Koffler | US | San Francisco | 2011-02-03 / 20110027909 - Chimera Compositions and Methods of Use | 1 |
Saskia Jacoba Petronella Haast | NL | Huizen | 2015-10-29 / 20150307898 - VECTORS WITH MODIFIED INITIATION CODON FOR THE TRANSLATION OF AAV-REP78 USEFUL FOR PRODUCTION OF AAV | 5 |
Marc Antonius Maria Haast | NL | Eindhoven | 2012-10-25 / 20120268722 - Radiation Detector, Method of Manufacturing a Radiation Detector, and Lithographic Apparatus Comprising a Radiation Detector | 5 |
Juergen Friedrich Haastert | DE | Hamburg | / - | 1 |
Tom Haastert | DE | Berlin | 2013-08-29 / 20130224617 - Coolant Circuit for a Fuel Cell System and Method for Changing out Ion Exchanger Material | 1 |
Tom Haastert | DE | Stuttgart | 2014-03-13 / 20140072894 - COOLANT CYCLE FOR A FUEL CELL SYSTEM AND METHOD FOR OPERATING A COOLANT CYCLE | 1 |
Niko Haatainen | FI | Iisalmi | 2013-12-19 / 20130336498 - Data transfer method and system for loudspeakers in a digital sound reproduction system | 2 |
Niko Haatainen | FI | Mansikka-Aho | 2009-02-12 / 20090043491 - POWER SAVING SYSTEM FOR NAVIGATION DEVICE | 1 |
Niko Haatainen | FI | Nilsiä | 2009-08-27 / 20090216439 - INTELLIGENT VEHICLE TRACKING | 1 |
Niko Haatainen | FI | Nilsia | 2010-03-18 / 20100070160 - METHOD FOR DETECTING DEFECTS IN NAVIGATION DATA | 3 |
Tomi Haatainen | FI | Espoo | 2009-08-13 / 20090200707 - METHOD OF FABRICATING GRAPHENE STRUCTURES ON SUBSTRATES | 1 |
Timothy Jon Haataja | US | Lakeville | 2016-05-05 / 20160124170 - RACK AND CHASSIS FOR FIBER OPTIC SLIDING ADAPTER MODULES | 4 |
Timothy Haataja | US | Prior Lake | 2014-03-27 / 20140086545 - Slidable Fiber Optic Connection Module with Cable Slack Management | 10 |
Jarkko Haataja | FI | Kempele | 2013-05-02 / 20130110265 - PERFORMANCE INTENSITY ZONES | 1 |
Timothy Haataja | US | Prior Lake | 2014-03-27 / 20140086545 - Slidable Fiber Optic Connection Module with Cable Slack Management | 10 |
Kristi Haataja | US | Tracy | 2014-05-29 / 20140147860 - Acoustic Cytometry Methods and Protocols | 1 |
Timothy J. Haataja | US | Prior Lake | 2012-09-20 / 20120234987 - Coupler for Cable Trough | 9 |
Atte Haataja | FI | Kajaani | 2016-05-12 / 20160129302 - EXERCISE ROLLER DEVICE WITH REMOVABLY FIXABLE SUPPORT | 1 |
Timothy J. Haataja | US | Prior Lake | 2012-09-20 / 20120234987 - Coupler for Cable Trough | 9 |
Jarkko Haataja | FI | Tuusula | 2012-01-12 / 20120010478 - Analyzing Physiological State for Fitness Exercise | 4 |
Timothy Haataja | US | Lakeville | 2015-10-29 / 20150309277 - MANAGED CONNECTIVITY IN CABLE SPOOL ASSEMBLIES | 2 |
Timothy Jon Haataja | US | Prior Lake | 2016-05-19 / 20160139354 - Slidable Fiber Optic Connection Module with Cable Slack Management | 4 |
Liisa Haavanlammi | FI | Espoo | 2015-05-28 / 20150147248 - PROCESS AND EQUIPMENT FOR PRODUCING PURE LITHIUM-CONTAINING SOLUTION | 4 |
Taisto Haavasoja | FI | Helsinki | 2012-11-08 / 20120283944 - Method and system for mapping road conditions by means of terminals | 2 |
Ola Haavik | NO | Oslo | 2011-05-12 / 20110109629 - RENDERING IMPROVEMENT FOR 3D DISPLAY | 1 |
Frode Haavind | NO | Stavanger | 2014-05-01 / 20140116692 - Well Treatment | 1 |
Ari Haavisto | FI | Lempaala | 2010-05-13 / 20100116555 - NOISE-DAMPENING SHIELD STRUCTURE FOR ROCK DRILLING APPARATUS | 2 |
Valtteri Haavisto | FI | Turku | 2013-12-05 / 20130319039 - DEVICE FOR SEPARATING DROPLETS | 1 |
Sanna Haavisto | FI | Kotka | 2014-09-11 / 20140255660 - PROTECTIVE MATERIAL AND USE OF SAME | 1 |
Jouni Haavisto | FI | Vantaa | 2015-10-29 / 20150312715 - ARRANGEMENT AND METHOD FOR LOCATION BASED CONTENT PROVISION | 1 |
Bing Hab | CN | Shenzhen | 2015-02-05 / 20150035878 - LCD PANEL AND METHOD FOR DRIVING THE LCD PANEL | 1 |
Masanori Haba | JP | Osaka | 2011-02-17 / 20110037375 - CARBON FILM HAVING SHAPE SUITABLE FOR FIELD EMISSION | 2 |
Belgacem Haba | US | Cupertino | 2012-01-05 / 20120001670 - Clock Routing in Mulitiple Channel Modules and Bus Systems | 3 |
Charles A. Haba | US | Massillon | 2012-12-06 / 20120304543 - MOVABLE BARRIER SEAL ASSEMBLY | 3 |
Erez Haba | US | Redmond | 2014-06-19 / 20140173138 - Clock Synchronization Using Correlation Events | 4 |
Chaz Haba | US | Sherman Oaks | 2010-02-04 / 20100028723 - POWER CELL APPARATUS WITH THREE DIMENSIONAL INTERCONNECT | 2 |
Charles A. Haba | US | Troy | 2010-08-19 / 20100207370 - Plastic Bracket Countermeasure For Door Side Impact | 1 |
Haydar Haba | US | Burlingame | 2012-04-26 / 20120102208 - MULTI-LAYER STACK PLATFORM FOR CLOUD COMMUNICATIONS | 5 |
Toshifumi Haba | JP | Kobe-Shi | 2015-12-24 / 20150368426 - RUBBER COMPOSITION AND PNEUMATIC TIRE HAVING TREAD MANUFACTURED FROM SAID RUBBER COMPOSITION | 3 |
Shin-Ichi Haba | JP | Kyoto | 2011-02-17 / 20110039966 - POLYURETHANE FOAM AND POLISHING PAD | 1 |
Masanori Haba | JP | Tokyo | 2014-12-25 / 20140376698 - STEREO X-RAY GENERATING DEVICE | 5 |
Kazuhiko Haba | JP | Chiba | 2010-11-11 / 20100286351 - ABA TRIBLOCK COPOLYMER AND PROCESS FOR PRODUCING THE SAME | 1 |
Yasuhiro Haba | JP | Tokyo | 2013-08-01 / 20130196254 - MANUFACTURING METHOD OF MEMBRANE ELECTRODE ASSEMBLY | 3 |
Yoshifumi Haba | JP | Nagoya-Shi | 2013-05-23 / 20130132576 - Network Device, Method of Controlling Network Device, and Recording Medium on Which Program for Controlling Network Device Is Recorded | 5 |
Yuki Haba | JP | Shizuoka-Ken | 2010-08-12 / 20100200679 - FUEL INJECTION CONTROL DEVICE OF ENGINE (AS AMENDED) | 1 |
Toshio Haba | JP | Tokai | 2012-03-22 / 20120067507 - FINE PATTERN MOLD | 7 |
Kenya Haba | JP | Toyokawa-Shi | 2014-06-05 / 20140155121 - PORTABLE TERMINAL APPARATUS, COOPERATIVE IMAGE PROCESSING SYSTEM, METHOD FOR DISPLAYING SCREEN DATA, AND RECORDING MEDIUM | 2 |
Shoko Haba | JP | Toyokawa-Shi | 2014-05-29 / 20140146359 - IMAGE FORMING APPARATUS AND RECORDING MEDIUM | 9 |
Osamu Haba | JP | Yonezawa-Shi | 2012-03-08 / 20120059120 - Carbon Nanotube Grafted with Low-Molecular Weight Polyaniline and Dispersion Thereof | 2 |
Shinji Haba | JP | Shiojiri-Shi | 2009-10-01 / 20090244232 - PRINTING APPARATUS, TARGET TRANSPORT DEVICE, AND TARGET TRANSPORT METHOD | 1 |
Noriaki Haba | JP | Hiroshima-Shi | 2009-03-05 / 20090058128 - Tonneau cover device for vehicle | 1 |
Noriaki Haba | JP | Hiroshima | 2008-11-13 / 20080277957 - TONNEAU COVER DEVICE OF VEHICLE | 1 |
Daisuke Haba | JP | Seki-Shi | 2015-10-15 / 20150290822 - RAZOR | 5 |
Shinichi Haba | JP | Kyoto | 2015-04-02 / 20150093900 - CHEMICAL MECHANICAL POLISHING COMPOSITION FOR POLISHING SILICON WAFERS AND RELATED METHODS | 1 |
Bao Tuan Haba | US | Newark | 2011-08-25 / 20110208562 - BUSINESS INTELLIGENCE DASHBOARDS FOR PERFORMANCE ANALYSIS | 2 |
Shinji Haba | JP | Nagano | 2011-08-25 / 20110205278 - CONVEYING APPARATUS, RECORDING APPARATUS, AND CONVEYING METHOD | 1 |
Yuki Haba | JP | Mishima-Shi | 2016-04-21 / 20160108839 - EXHAUST PURIFICATION DEVICE FOR INTERNAL COMBUSTION ENGINE | 4 |
Takahisa Haba | JP | Yamagata | 2011-09-22 / 20110228949 - SPEAKER DEVICE, AND AUTOMOBILE | 1 |
Shoko Haba | JP | Toyokawa-Shi | 2014-05-29 / 20140146359 - IMAGE FORMING APPARATUS AND RECORDING MEDIUM | 9 |
Yasuhiro Haba | JP | Ehime | 2011-11-17 / 20110279752 - OPTICAL FILM AND LIQUID CRYSTAL DISPLAY DEVICE COMPRISING SAME | 2 |
Yasuhiro Haba | JP | Niihama-Shi | 2013-03-07 / 20130057806 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Yasuhiro Haba | JP | Taito-Ku | 2013-02-21 / 20130045438 - PRODUCING METHOD OF FUEL CELL MEMBRANE ELECTRODE ASSEMBLY AND PRODUCING APPARATUS OF THE SAME | 1 |
Yuki Haba | JP | Aichi | 2016-04-21 / 20160108839 - EXHAUST PURIFICATION DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Tomonori Haba | JP | Tokyo | 2011-12-01 / 20110295973 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, INFORMATION COMMUNICATION APPARATUS, METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Eisuke Haba | JP | Tsukuba | 2015-08-06 / 20150217287 - METHOD FOR MANUFACTURING CATALYST FOR CARBON NANOTUBE SYNTHESIS | 1 |
Eisuke Haba | JP | Tsukuba-Shi | 2016-01-07 / 20160002033 - DEVICE FOR SIMULTANEOUSLY PRODCING CARBON NANOTUBES AND HYDROGEN | 7 |
Belgacem Haba | US | Saratoga | 2016-03-31 / 20160093339 - STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS | 302 |
Shlomi Haba | IL | Petah Tiqva | 2014-10-02 / 20140298346 - MANAGEMENT OF TASK ALLOCATION IN A MULTI-CORE PROCESSING SYSTEM | 2 |
Eisuke Haba | JP | Tsukuba-Shi, Ibaraki | 2015-10-29 / 20150307983 - DRUM SPUTTERING DEVICE | 1 |
Belgacem Haba | US | San Jose | 2013-04-04 / 20130082374 - STUB MINIMIZATION USING DUPLICATE SETS OF SIGNAL TERMINALS IN ASSEMBLIES WITHOUT WIREBONDS TO PACKAGE SUBSTRATE | 3 |
William T. Habacivch | US | Mechanicsburg | 2009-06-04 / 20090139459 - Canine certification method | 1 |
Kenji Habaguchi | JP | Musashino-Shi | 2011-03-17 / 20110062943 - TIMING DETECTION DEVICE | 1 |
Katsuhito Habaguchi | JP | Kanagawa | 2012-10-04 / 20120250067 - IMAGE FORMING SYSTEM AND COMPUTER READABLE MEDIUM STORING PROGRAM | 4 |
Masayuki Habaguchi | JP | Saitama | 2012-11-15 / 20120290569 - NAVIGATION DEVICE | 1 |
Masayuki Habaguchi | JP | Utsunomiya-Shi | 2008-12-04 / 20080300775 - System And Method For Selectively Filtering And Providing Event Program Information | 1 |
Masayuki Habaguchi | US | Rolling Hills Estates | 2010-07-01 / 20100165909 - System, Method and Computer Program Product for Receiving Data from a Satellite Radio Network | 2 |
Kenji Habaguchi | JP | Tokyo | 2010-08-05 / 20100199003 - FIELD CONTROL SYSTEM | 4 |
Masayuki Habaguchi | JP | Wako-Shi | 2010-08-26 / 20100217560 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING PROGRAM | 1 |
Masayuki Habaguchi | US | Torrance | 2011-12-15 / 20110307143 - Method And System For Facilitating The Exchange Of Information Between A Vehicle And A Remote Location | 1 |
Claudine Habak | CA | Saint-Laurent | 2015-08-27 / 20150238083 - METHOD AND SYSTEM FOR OPTICALLY INVESTIGATING A TISSUE OF A SUBJECT | 2 |
Franz Haban | DE | Frontenhausen | 2010-11-04 / 20100276503 - Fixed carriageway for rail vehicles | 1 |
Scott T. Haban | US | Austin | 2015-04-30 / 20150117573 - Radio Frequency (RF) Receivers With Whitened Digital Clocks And Related Methods | 1 |
Scott Haban | US | Austin | 2011-04-28 / 20110099310 - CONTROLLING PASSTHROUGH OF COMMUNICATION BETWEEN MULTIPLE BUSES | 4 |
Scott Thomas Haban | US | Austin | 2015-05-21 / 20150139370 - INTEGRATED CIRCUIT WITH INTER-CHIP LINK FOR BOOT-UP | 7 |
Rita Habar | HU | Budapest | 2013-03-07 / 20130059374 - Novel Therapeutical Tools and Methods for Treating Blindness | 2 |
Tomoko Habara | JP | Tokyo | 2014-11-13 / 20140337250 - INFORMATION PROVIDING SYSTEM, SERVER DEVICE, RECORDING MEDIUM, AND CONTROL METHOD | 1 |
Hideta Habara | JP | Musaashino | 2011-08-25 / 20110204890 - ANTENNA DEVICE AND MAGNETIC RESONANCE IMAGING DEVICE | 1 |
Ryosuke Habara | JP | Tokyo | 2014-12-18 / 20140366700 - SHEET PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Hirofumi Habara | JP | Kanagawa | 2011-02-24 / 20110044028 - BACKLIGHT DEVICE | 1 |
Hideta Habara | JP | Tokyo | 2015-10-08 / 20150285876 - MAGNETIC RESONANCE IMAGING APPARATUS AND ANTENNA DEVICE | 5 |
Hideta Habara | JP | Musashino | 2013-08-29 / 20130221968 - ANTENNA DEVICE AND MAGNETIC RESONANCE IMAGING DEVICE | 11 |
Shunsuke Habara | JP | Hiroshima-City | 2015-03-19 / 20150075468 - VALVE GEAR OF ENGINE | 2 |
Noriko Habara | JP | Zama-Shi | 2009-02-26 / 20090054354 - DRUG AND FOOD OR DRINK FOR IMPROVING PANCREATIC FUNCTIONS | 2 |
Shunsuke Habara | JP | Toyota-Shi | 2013-06-06 / 20130139770 - METHOD AND APPARATUS FOR CONTROLLING A VARIABLE VALVE SYSTEM | 3 |
Hirohito Habara | JP | Kosai-Shi | 2014-11-06 / 20140327303 - GROUND CONNECTION STRUCTURE | 2 |
Shunsuke Habara | JP | Higashihiroshima-Shi | 2013-04-18 / 20130092111 - CONTROL DEVICE OF ACTUATOR | 2 |
Toshifumi Habara | JP | Osaka | 2011-03-31 / 20110075003 - SOLID-STATE IMAGING DEVICE AND CAMERA INCLUDING THE SAME | 3 |
Yasuaki Habara | JP | Haga-Gun | 2009-03-05 / 20090062023 - Propeller Shaft | 1 |
Hideta Habara | JP | Musashino | 2013-08-29 / 20130221968 - ANTENNA DEVICE AND MAGNETIC RESONANCE IMAGING DEVICE | 11 |
Tomoko Habara | JP | Minato-Ku | 2014-11-13 / 20140337251 - INFORMATION PROVIDING SYSTEM, SERVER DEVICE, RECORDING MEDIUM, AND CONTROL METHOD | 2 |
Shyam Habarakada | US | Seattle | 2012-08-02 / 20120198531 - MULTI-DEVICE SESSION PAIRING USING A VISUAL TAG | 1 |
Helene Habarou | FR | Rueil Malmaison | 2009-03-12 / 20090066316 - Electrokinetic Method for Determining the Electrostatic Charge State of a Porous Membrane During Filtering and the Use Thereof | 1 |
Georges Habarou | FR | Le Bouscat | 2012-03-29 / 20120073306 - GAS TURBINE COMBUSTION CHAMBER MADE OF CMC MATERIAL AND SUBDIVIDED INTO SECTORS | 7 |
Susan Habas | US | Boulder | 2013-12-05 / 20130323878 - LIQUID PRECURSOR INKS FOR DEPOSITION OF IN-SE, GA-SE AND IN-GA-SE | 1 |
Jean-Pierre Habas | FR | Saint Faust | 2009-09-24 / 20090239081 - Method for welding two opaque elements of polymer material stable at high temperatures | 1 |
Jean-Pierre Habas | FR | Montpellier | 2015-10-08 / 20150284502 - EPOXY RESINS CROSSLINKABLE AT ROOM TEMPERATURE | 1 |
Jean-Pierre Habas | FR | Montpellier Cedex 5 | 2011-09-08 / 20110215194 - ELECTRONIC POWER MODULE FOR AN AIRCRAFT ACTUATOR | 1 |
Jean-Pierre Habas | FR | Grabels | 2014-03-27 / 20140083636 - SHEET OF VEGETABLE WOOL FIBER IMPREGNATED WITH AN EXPOXIDIZED VEGETABLE OIL | 1 |
Noa Habas | IL | Tel Aviv | 2012-12-20 / 20120319981 - VISUAL AND TACTILE DISPLAY | 1 |
Alain Habas | FR | Orleix | 2008-11-13 / 20080281567 - Gearbox Simulation Test Bench | 1 |
Susan E. Habas | US | Boulder | 2012-05-24 / 20120129332 - METHOD FOR FORMING METAL CONTACTS | 1 |
Andrew G. Habas | US | Saint Charles | 2013-05-02 / 20130107058 - System and Method for Handling Audiovisual Equipment Issues | 1 |
Tadayuki Habasaki | JP | Kanagawa | 2010-08-05 / 20100193869 - Semiconductor device having electro-static discharge protection element | 1 |
Toshimi Habasaki | JP | Kanagawa | 2011-08-18 / 20110198766 - METHOD AND APPARATUS FOR PRODUCING OPTICAL FIBER | 1 |
Toshimi Habasaki | JP | Yokohama-Shi | 2010-04-22 / 20100095708 - EQUIPMENT AND METHOD FOR MANUFACTURING AN OPTICAL FIBER | 1 |
Alan Habash | US | Houston | 2012-08-23 / 20120210499 - Vented Coveralls | 1 |
Louis Habash | US | Newport Coast | 2015-12-17 / 20150359782 - NITROXIDES FOR USE IN TREATING OR PREVENTING DIABETES AND OBESITY | 4 |
Louis Habash | US | Newport Beach | 2012-02-23 / 20120046314 - NITROXIDES FOR USE IN TREATING OR PREVENTING NEOPLASTIC DISEASE | 5 |
Aghazadeh Habashi | CA | Edmonton | 2008-10-16 / 20080255104 - Nsaid Compositions | 1 |
Hisashi Habashi | JP | Kanagawa | 2015-07-02 / 20150184010 - INK, INK CARTRIDGE, AND INKJET RECORDING APPARATUS | 8 |
Nader M. Habashi | US | Annapolis | 2016-03-10 / 20160070875 - On-Line Healthcare Consultation Services System and Method of Using Same | 4 |
Hisashi Habashi | JP | Kanagawa | 2015-07-02 / 20150184010 - INK, INK CARTRIDGE, AND INKJET RECORDING APPARATUS | 8 |
Nader Habashi | US | Annapolis | 2015-03-12 / 20150068526 - Ventilator Apparatus and System of Ventilation | 1 |
Hisashi Habashi | JP | Isehara-Shi | 2011-12-08 / 20110300353 - INK FOR RECORDING, AND INK CARTRIDGE, INK RECORDED MATTER, INKJET RECORDING APPARATUS AND INKJET RECORDING METHOD USING THE SAME | 4 |
Jennifer Pardo Habashi | US | Annapolis | 2014-05-29 / 20140148498 - METHODS AND COMPOSITIONS FOR THE TREATMENT OF MARFAN SYNDROME AND ASSOCIATED DISORDERS | 1 |
Jennifer Habashi | US | Annapolis | 2010-02-11 / 20100034806 - Methods and Compositons for the Treatment of Marfan Syndrome and Associated Disorders | 1 |
Hiromu Habashita | JP | Mishima-Gun | 2014-09-25 / 20140288034 - COMPOUND CAPABLE OF BINDING S1P RECEPTOR AND PHARMACEUTICAL USE THEREOF | 7 |
Hiromu Habashita | JP | Osaka | 2014-01-23 / 20140023636 - AMINOCARBOXYLIC ACID DERIVATIVE AND MEDICINAL USE THEREOF | 5 |
Tarek M. Habashy | US | Burlington | 2015-10-15 / 20150293252 - WIRELESS LOGGING OF FLUID FILLED BOREHOLES | 33 |
Tarek Habashy | US | Burlington | 2016-02-04 / 20160033673 - ESTIMATING PETROPHYSICAL PARAMETERS AND INVASION PROFILE USING JOINT INDUCTION AND PRESSURE DATA INVERSION APPROACH | 14 |
Takesi Habasita | JP | Utsunomiya-Shi | 2011-01-13 / 20110005708 - HEAT EXCHANGER EQUIPPED WITH PARTITIONING MEMBERS FOR USE IN A VEHICULAR AIR CONDITIONING APPARATUS | 1 |
Takesi Habasita | JP | Utsunomiya-Shi, Tochigi-Ken | 2011-01-13 / 20110005730 - VEHICULAR AIR CONDITIONING APPARATUS | 1 |
Etsuro Habata | TW | New Taipei City | 2014-05-08 / 20140126896 - ELECTRICAL HEATING DEVICE AND EQUIPMENT WITH PLUGGABLE HEATING MODULE | 4 |
Etsuro Habata | TW | Ying Ko | 2011-09-15 / 20110220638 - FINNED CERAMIC HEATER | 1 |
Yuriko Habata | JP | Higashiyamato-Shi | 2009-10-29 / 20090270399 - NOVEL PYRIDAZINE DERIVATIVES AND MEDICINES CONTAINING THE SAME AS EFFECTIVE INGREDIENTS | 2 |
Motoharu Habata | JP | Wakayama-Shi | 2014-03-06 / 20140063164 - LIQUID EJECTING APPARATUS | 2 |
Yugo Habata | JP | Ibaraki | 2009-03-19 / 20090075334 - POLYPEPTIDES, THEIR PRODUCTION AND USE | 1 |
Koji Habata | JP | Osaka | 2014-10-23 / 20140313553 - MARK DETECTION APPARATUS AND METHOD FOR DETECTING MARK | 2 |
Youhei Habata | JP | Toyota-Shi | 2009-10-01 / 20090243227 - OIL SEAL AND POWER TRANSMISSION APPARATUS | 1 |
Judith Maria Habazettl | CH | Richen | 2010-06-24 / 20100160175 - Catalysis of the cis/trans-isomerisation of secondary amide peptide compounds | 1 |
Michael E. Habben | US | Sammamish | 2014-07-10 / 20140195489 - LOGICAL REPLICATION IN CLUSTERED DATABASE SYSTEM WITH ADAPTIVE CLONING | 4 |
Jeffrey Habben | US | Urbandale | 2015-09-24 / 20150267220 - Maize RING-H2 Genes and Methods of Use | 2 |
Rick L. Habben | US | Sterling | 2012-12-13 / 20120311871 - VIBRATOR MOTOR | 2 |
Jeffrey Erwin Habben | US | Urbandale | 2015-10-08 / 20150284737 - MODULATION OF ACC SYNTHASE IMPROVES PLANT YIELD UNDER LOW NITROGEN CONDITIONS | 1 |
Jeffrey E. Habben | US | Urbandale | 2014-06-12 / 20140165228 - IDENTIFICATION OF DIURNAL RHYTHMS IN PHOTOSYNTHETIC AND NON-PHOTOSYNTHETIC TISSUES FROM ZEA MAYS AND USE IN IMPROVING CROP PLANTS | 15 |
Michael Habben | US | Sammamish | 2011-07-07 / 20110167038 - Dispatching Conflicting Data Changes | 1 |
Jeffrey E. Habben | US | Urbandale | 2014-06-12 / 20140165228 - IDENTIFICATION OF DIURNAL RHYTHMS IN PHOTOSYNTHETIC AND NON-PHOTOSYNTHETIC TISSUES FROM ZEA MAYS AND USE IN IMPROVING CROP PLANTS | 15 |
Eric Habben | US | Coarsegold | 2009-09-24 / 20090235599 - Laminated structural insulated panel with perforated foam core and method of making same | 1 |
Andrew Charles Habbershaw | GB | Romford | 2010-01-21 / 20100011612 - Method and apparatus for drying rooms within a building | 1 |
Isam Habboush | US | Lexington | 2014-09-25 / 20140288974 - METHODS AND APPARATUS FOR ANALYZING SPECIFICITY IN CLINICAL DOCUMENTATION | 4 |
Joseph Peter Habboushe | US | Gladwyne | 2010-07-01 / 20100166810 - COMBINATION TABLET WITH CHEWABLE OUTER LAYER | 1 |
Joseph P. Habboushe | US | New York | 2015-12-10 / 20150352129 - ASPIRIN FORMULATION FOR INCREASED EFFICACY | 2 |
Joseph Habboushe | US | New York | 2015-06-11 / 20150157291 - ELECTRONIC STETHOSCOPE | 4 |
Joseph Habboushe | US | New Hyde Park | 2014-06-05 / 20140153730 - ELECTRONIC STETHOSCOPE | 1 |
Joseph Habboushe | US | Gladwyne | 2009-11-12 / 20090279708 - ELECTRONIC STETHOSCOPE APPARATUS | 1 |
Joseph Peter Habboushe | US | New York | 2016-02-04 / 20160030354 - COMBINATION TABLET WITH CHEWABLE OUTER LAYER | 2 |
Raghavendra Habbu | IN | Bangalore | 2013-05-23 / 20130128045 - DYNAMIC LIINE-DETECTION SYSTEM FOR PROCESSORS HAVING LIMITED INTERNAL MEMORY | 1 |
Kenza Habchi-Tounsi | FR | Rueil-Malmaison | 2010-04-08 / 20100083696 - METHOD FOR PURIFYING A GAS MIXTURE CONTAINING ACID GASES | 1 |
Ahmad Habda | US | Middlefield | 2010-04-15 / 20100094340 - COATING COMPOSITIONS | 1 |
Markus Habdank | DE | Ulm | 2011-12-22 / 20110308915 - DEVICE FOR DIVIDING A TRANSPORT STREAM OF UPRIGHT CONTAINERS | 1 |
Peter Habdank | DE | Heiningen | 2014-05-22 / 20140137489 - FASTENING SYSTEM FOR FASTENING SOLAR MODULES | 2 |
Martin Habdank | DE | Heiningen | 2015-10-08 / 20150288324 - SUPPORT STRUCTURE FOR SOLAR MODULES | 3 |
Tsuyoshi Habe | JP | Sasayama-Shi | 2014-06-19 / 20140171496 - COMPOSITION AND METHOD FOR PROMOTING HAIR GROWTH | 3 |
Masaru Habe | JP | Tokyo | 2009-02-12 / 20090040319 - Digital still camera and image blur correction apparatus | 1 |
Toshinori Habe | JP | Kashima-Gun | 2013-05-23 / 20130129964 - Knitted Fabric for Hook-and-Loop Fastener | 1 |
Hiroaki Habe | JP | Tokyo | 2011-12-22 / 20110309837 - CHUCK MECHANISM OF CHARGE/DISCHARGE TESTING DEVICE FOR FLAT-RECHARGEABLE BATTERIES | 1 |
Nadine Habeck | DE | Schonberg | 2011-06-16 / 20110139387 - RELEASE AGENT AND USE FOR THE PRODUCTION OF COMPOSITE MOULDINGS | 1 |
Harold O. Habeck | US | Mountain View | 2015-11-12 / 20150323340 - NAVIGATION APPLICATION WITH SEVERAL NAVIGATION MODES | 5 |
Andreas Habeck | DE | Undenheim | 2016-02-04 / 20160031745 - SEPARATION OF TRANSPARENT WORKPIECES | 3 |
William H.b. Habeck | US | Redwood City | 2013-04-04 / 20130086337 - MAINTAINING A TIMESTAMP-INDEXED RECORD OF MEMORY ACCESS OPERATIONS | 1 |
Ted A. Habeck | US | Fishkill | 2009-04-09 / 20090094667 - Method and Apparatus for Automatic Determination of Authorization Requirements While Editing or Generating Code | 1 |
Carsten Habeck | DE | Freiburg | 2011-01-20 / 20110011414 - HIGHLY COHESIVE COMPOSITE POLYMER MATERIALS, PREPARATION THEREOF AND, E.G., CIGARETTE FILTERS COMPRISING SAME | 1 |
Andreas Habeck | DE | Budenheim | 2009-01-01 / 20090001118 - Method and Device for Separating Plates from Mechanically Brittle and Nonmetal Materials | 1 |
Mathias Habeck | DE | Munchen | 2011-12-01 / 20110293520 - NEW DRUG FOR INHIBITING AGGREGATION OF PROTEINS INVOLVED IN DISEASES LINKED TO PROTEIN AGGREGATION AND/OR NEURODEGENERATIVE DISEASES | 1 |
Dirk Habeck | DE | Langenargen | 2009-07-09 / 20090173563 - DRIVETRAIN FOR VEHICLES WITH ALL-WHEEL DRIVE AND A TRANSMISSION ARRANGED ALONG THE TRAVEL DIRECTION | 1 |
Manfred Karl Heinrich Habeck | ZA | Somerset West | 2011-11-03 / 20110266053 - CARTRIDGE FOR BREAKING ROCK | 1 |
Christopher Habeck | US | Oakland | 2008-10-02 / 20080237248 - Combination Air Freshener and Fluid Dispenser | 1 |
Hinrich Alexander Habeck | DE | Tuebingen | 2008-12-25 / 20080317738 - Mylks as Modifiers of Branching Morphogenesis and Methods of Use | 2 |
Michael John Habeck | US | Eagan | 2014-09-18 / 20140281334 - Address Resource Mapping In A Shared Memory Computer System | 1 |
Jerome C. Habeck | US | Westfield | 2008-09-11 / 20080216424 - Window joining system | 1 |
Paul Habeck | US | Temecula | 2012-08-09 / 20120200470 - Corrugated Horn Antenna with Enhanced Frequency Range | 3 |
Jason D. Habedank | US | St. Peters | 2016-02-18 / 20160047532 - Electromagnetic Radiation Shielding Assembly | 1 |
Klaus-Dieter Habedank | DE | Stadthagen | 2010-05-06 / 20100109388 - VEHICLE SEAT | 3 |
Jacob Habeeb | US | Westfield | 2009-11-19 / 20090287022 - Lubricating compositions containing ashless catalytic antioxidant additives | 1 |
Jacob Joseph Habeeb | US | Westfield | 2013-01-24 / 20130023455 - Lubricating Compositions Containing Polyetheramines | 10 |
Jacob J. Habeeb | US | Westfield | 2011-09-22 / 20110230680 - LUBRICATING COMPOSITIONS CONTAINING ASHLESS CATALYTIC ANTIOXIDANT ADDITIVES | 13 |
Jacob Joseph Habeeb | US | Westfield | 2013-01-24 / 20130023455 - Lubricating Compositions Containing Polyetheramines | 10 |
Jacob Joseph Habeeb | US | Jamul | 2014-09-18 / 20140274837 - METHOD FOR IMPROVING EMULSION CHARACTERISTICS OF ENGINE OILS | 1 |
Jacob J. Habeeb | CA | Westfield | 2009-01-29 / 20090029889 - Hydrocarbon fluids with improved pour point | 1 |
Cam E. Habeger | US | Big Lake | 2016-03-10 / 20160066920 - SPRING FOR MOVEABLE JAWS OF DEVICE AND DELIVERY SYSTEM FOR RELEASING THERAPAUTIC APPLIANCE | 1 |
Jason A. Habeger | US | Belleville | 2008-10-23 / 20080257057 - Device for fatigue testing an implantable medical device | 1 |
Craig F. Habeger | US | Chillicothe | 2009-05-28 / 20090133382 - Electrically regenerated exhaust particulate filter for an engine system and operating strategy therefor | 1 |
Cam Habeger | US | Big Lake | 2010-12-02 / 20100305502 - SYSTEMS AND METHODS FOR MEDICAL DEVICE ADVANCEMENT AND ROTATION | 1 |
Jason Andrew Habeger | US | Carlsbad | 2010-11-11 / 20100286658 - INVENTORY SPARING CATHETER SYSTEMS AND METHODS | 3 |
Charles C. Habeger, Jr. | US | Burien | 2009-06-25 / 20090159488 - Container having top and bottom reinforcement | 1 |
Abraham P. Habegger | US | Warsaw | 2016-02-18 / 20160045322 - TIBIAL BEARING COMPONENT FOR A KNEE PROSTHESIS WITH IMPROVED ARTICULAR CHARACTERISTICS | 9 |
Roger Habegger | CH | Lupsingen | 2011-05-26 / 20110121115 - AGITATOR BALL MILL | 1 |
Chirstophe Habegger | CH | Reconvilier | 2015-11-19 / 20150333597 - VALVE PROVIDED WITH A MULTIPHASE LINEAR ACTUATOR FOR HIGH PRESSURE DOSING | 1 |
Millard A. Habegger | US | Boulder | 2010-06-17 / 20100147964 - Highly sensitive airflow direction sensing | 1 |
Daniel Habegger | CH | Weiningen | 2009-10-29 / 20090265857 - Cushion for Supporting Patients and for Preventing Pressure Sores | 1 |
Virgile Habegger | CH | Savigny | 2008-11-06 / 20080273952 - Automatic Parking Lot | 1 |
Marco Habegger | CH | Bern | 2014-06-12 / 20140158785 - METHOD FOR CONVERTING A BALLASTED TRACK INTO A SLAB TRACK | 1 |
Daniel Habegger | CH | Zurich | 2009-09-17 / 20090230742 - Pneumatic Vehicle Seat | 2 |
Abraham Habegger | US | Warsaw | 2014-06-12 / 20140163687 - TIBIAL BEARING COMPONENT FOR A KNEE PROSTHESIS WITH IMPROVED ARTICULAR CHARACTERISTICS | 1 |
Kenneth Habegger | US | Naperville | 2013-11-07 / 20130295434 - FAIL SAFE DAMAGE RESISTANT BATTERY MATRIX | 1 |
Donald J. Habegger | US | Findlay | 2013-10-03 / 20130260446 - COMPOSTING DEVICE | 1 |
Abraham P. Habegger | US | Milford | 2012-04-26 / 20120101504 - FLEXIBLE ATTACHMENT FOR AN EXTRAMEDULLARY SURGICAL INSTRUMENT | 2 |
Christophe Habegger | CH | Reconvilier | 2015-11-19 / 20150333597 - VALVE PROVIDED WITH A MULTIPHASE LINEAR ACTUATOR FOR HIGH PRESSURE DOSING | 1 |
Abraham P. Habegger | US | Warsaw | 2016-02-18 / 20160045322 - TIBIAL BEARING COMPONENT FOR A KNEE PROSTHESIS WITH IMPROVED ARTICULAR CHARACTERISTICS | 9 |
Peter Habel | DE | Nurnberg | 2013-07-25 / 20130186229 - METHOD FOR OPERATING AN ACCELERATOR PEDAL UNIT FOR MOTOR VEHICLES | 1 |
André Habel | AT | Vienna | 2010-11-25 / 20100297170 - VACCINES | 1 |
Ulrike Habel | DE | Muenchen | 2014-07-24 / 20140202601 - FORGED TiAl COMPONENTS, AND METHOD FOR PRODUCING SAME | 1 |
Frank Habel | DE | Hohnstorf | 2012-05-31 / 20120132100 - VARIABLE CAPACITY IRRITATION BODY | 1 |
Tom Habel | US | Marco Island | 2016-04-07 / 20160097166 - RAIL GAUGE-PLATE INSULATOR | 2 |
Thorsten Habel | DE | Hanau | 2013-05-23 / 20130125383 - METHOD OF AND APPARATUS FOR PROCESSING AN OBJECT | 1 |
Michael Edward Habel | US | Charlotte | 2013-05-16 / 20130122442 - THROUGH-PORT OXY-FUEL BURNER | 2 |
Kai Habel | DE | Guestrow | 2015-08-06 / 20150222357 - APPARATUS AND METHOD FOR RETRIEVEING DATA FROM A WDM SIGNAL, TRANSMITTER AND METHOD FOR TRANSMITTING A WDM SIGNAL, AND WDM SYSTEM | 1 |
André Habel | AT | Vienna | 2010-11-25 / 20100297170 - VACCINES | 2 |
Michael Joseph Habel | US | Ann Arbor | 2013-12-19 / 20130334753 - WORKPIECE HOLDING FIXTURE FOR MACHINING MULTIPLE PRISMATIC PARTS | 1 |
Frank Habel | DE | Freiberg | 2015-10-15 / 20150292111 - METHOD FOR PRODUCING III-N SINGLE CRYSTALS, AND III-N SINGLE CRYSTAL | 8 |
Richard Habel | CA | Quebec | 2014-12-25 / 20140375770 - METHOD AND APPARATUS FOR DETECTION OF FOREIGN OBJECT DEBRIS | 2 |
Richard Habel | CA | Quebec City | 2012-07-26 / 20120189309 - PROTECTED LIGHT SOURCE FOR MULTIPLE WAVELENGTH DIVISION MULTIPLEXED PASSIVE OPTICAL NETWORKS (WDM-PONS) | 3 |
Tom Habel | US | 2013-09-12 / 20130233935 - RAIL GAUGE-PLATE INSULATOR | 1 | |
Martin Regis Habel | US | San Diego | 2009-12-31 / 20090321607 - Three point mounting arrangement for a power system | 1 |
Michael J. Habel | US | Ann Arbor | 2013-01-24 / 20130019479 - Casting-Integrated Control Body Processing | 1 |
Ralf Habel | CH | Zurich | 2014-09-18 / 20140267275 - BIDIRECTIONAL POINT DISTRIBUTION FUNCTIONS FOR RENDERING GRANULAR MEDIA | 2 |
Heiko Habel | DE | Herne | 2011-03-03 / 20110052430 - FLUID MACHINE | 1 |
Stephan Habel | DE | Berg | 2011-04-14 / 20110084726 - ADJUSTABLE HOLD FLIP FLOP AND METHOD FOR ADJUSTING HOLD REQUIREMENTS | 7 |
Wolfgang Habel | DE | Berlin | 2009-12-10 / 20090303460 - Reinforcement Element With Sensor Fiber, Monitoring System, And Monitoring Method | 1 |
Thorsten Habel | DE | Walzbachtal | 2011-07-21 / 20110175744 - Systems and Method for the Mobile Evaluation of Cushioning Properties of Shoes | 3 |
Frank Habel | DE | Freiberg | 2015-10-15 / 20150292111 - METHOD FOR PRODUCING III-N SINGLE CRYSTALS, AND III-N SINGLE CRYSTAL | 8 |
Thorsten Habel | DE | Walzbachtel | 2011-11-03 / 20110269517 - DETECTING AND PROVIDING PLAYER INFORMATION WITH SENSOR AT THE PLAYER SIDE | 2 |
Roland Habel | DE | Bergisch Gladbach | 2008-10-30 / 20080267784 - Van Wheel of Turbine Comprising a Vane and at Least One Cooling Channel | 1 |
Andre Habel | DE | Hamburg | 2015-08-27 / 20150238592 - RECOMBINANT MEASLES VIRUS EXPRESSING CHIKUNGUNYA VIRUS POLYPEPTIDES AND THEIR APPLICATIONS | 1 |
Stephan Habel | DE | Wendelstein Bei Nurnberg | 2015-01-29 / 20150033357 - METHOD FOR IMPROVING THE FUNCTIONAL SECURITY AND INCREASING THE AVAILABIILTY OF AN ELECTRONIC CONTROL SYSTEM, AND ELECTRONIC CONTROL SYSTEM | 1 |
Jeff Habel | US | Alameda | 2015-07-16 / 20150198573 - METHOD AND SYSTEM FOR LIQUID CHROMATOGRAPHY DATA ANALYSIS | 1 |
Ghassan Habelrih | CA | Montreal | 2015-10-29 / 20150310717 - FIRE DETECTION | 1 |
Patrick M. Haben | US | Corvalis | 2014-09-18 / 20140264171 - CONTINUOUS FLOW REACTOR FOR THE SYNTHESIS OF NANOPARTICLES | 1 |
Herwig Habenbacher | AT | Feldkirch-Tosters | 2016-02-25 / 20160057400 - METHOD AND DEVICE FOR DISPLAYING OBJECTS AND OBJECT DATA OF A DESIGN PLAN | 3 |
Klaus-Dieter Habendank | DE | Stadthagen | 2009-01-01 / 20090001796 - VEHICLE SEAT | 1 |
Joel F. Habener | US | Newton | 2009-05-28 / 20090138978 - Use of bridge-1 and activators and inhibitors thereof in the treatment of insulin deficiency and diabetes | 1 |
Joel F. Habener | US | Newton Center | 2015-05-14 / 20150133384 - C-Terminal Fragments of Glucagon-Like Peptide-1 (GLP-1) | 4 |
Joel F. Habener | US | Newton Centre | 2012-04-26 / 20120101038 - USE OF GLP-1, GLP-1 DERIVATIVES OR GLP-1 FRAGMENTS FOR SKIN REGENERATION, STIMULATION OF HAIR GROWTH, OR TREATMENT OF DIABETES | 2 |
Soenke Habenicht | DE | Hamburg | 2016-05-05 / 20160126162 - PACKAGE WITH MULTIPLE I/O SIDE-SOLDERABLE TERMINALS | 6 |
Adi Haber | IL | Haifa | 2015-10-15 / 20150290214 - METHOD OF TREATMENT OF DISEASE | 3 |
Elliott J. Haber | US | Fall City | 2011-06-16 / 20110145435 - Reputation Based Redirection Service | 1 |
Nicholas Joseph Haber | CA | Montreal | 2015-02-12 / 20150044649 - SYSTEMS AND METHODS FOR DETECTION OF BEHAVIOR CORRELATED WITH OUTSIDE DISTRACTIONS IN EXAMINATIONS | 1 |
Meir Haber | IL | Or Akiva | 2012-12-27 / 20120329132 - CROSS-LINKED COMPOSITIONS | 1 |
Joel A. Haber | US | Pasadena | 2015-10-15 / 20150292095 - OXYGEN EVOLUTION REACTION CATALYSIS | 1 |
Daniel A. Haber | US | Chestnut Hill | 2014-09-25 / 20140287956 - Biomarkers of Cancer | 9 |
Constance Haber | US | Marrysville | 2011-07-21 / 20110178581 - Therapeutic Methods Using Electromagnetic Radiation | 1 |
Jerome Haber | US | Weston | 2016-02-18 / 20160045280 - MULTI-LAYER SURGICAL GUIDE | 10 |
Mark Haber | US | Atlanta | 2010-01-28 / 20100019040 - PORTABLE SCANNER DEVICE WITH INTEGRAL AUDIO OUTPUT | 1 |
Dan Haber | US | Campbell | 2014-12-18 / 20140366360 - INSTALLATION FIXTURE FOR ELASTOMER BANDS AND METHODS OF USING THE SAME | 2 |
Ludwig Christian Haber | US | Rutland | 2010-07-29 / 20100189924 - APPARATUS AND METHOD FOR DIAMOND FILM GROWTH | 1 |
Elliott Jeb Haber | US | Fall City | 2016-03-17 / 20160080400 - FILE REPUTATION EVALUATION | 6 |
Josef Haber | DE | Limburgerhof | 2012-01-12 / 20120009238 - METHOD FOR TREATMENT OF CROP WITH AN ENCAPSULATED PESTICIDE | 1 |
Wayne Haber | US | Alpharetta | 2015-08-20 / 20150234834 - Metric Gathering and Reporting System for Identifying Database Performance and Throughput Problems | 2 |
Jeff Haber | US | 2010-06-10 / 20100145795 - Directing internet shopping traffic and tracking revenues generated as a result thereof | 1 | |
Kristin Haber | US | 2010-06-10 / 20100145795 - Directing internet shopping traffic and tracking revenues generated as a result thereof | 1 | |
Kenneth Haber | US | Frederick | 2015-12-31 / 20150377758 - IMAGING MICROVISCOMETER | 2 |
Kenneth S. Haber | US | Frederick | 2014-01-02 / 20140002662 - PARTICLE CHARACTERIZATION | 5 |
Daniel A. Haber | US | Chestnut Hill | 2014-09-25 / 20140287956 - Biomarkers of Cancer | 9 |
Eben M. Haber | US | Cupertino | 2014-08-28 / 20140244359 - USING MEDIA INFORMATION FOR IMPROVING DIRECT MARKETING RESPONSE RATE | 7 |
Eben Merriam Haber | US | Cupertino | 2010-12-02 / 20100306348 - METHOD AND SYSTEM FOR DISPLAYING AND COMPARING STORAGE ZONING CONFIGURATIONS | 3 |
Joel Haber | US | Pasadena | 2014-01-09 / 20140011342 - METHODS AND APPARATUSES FOR FORMING SEMICONDUCTOR FILMS | 1 |
Itamar Haber | IL | Rehovot | 2016-05-12 / 20160134486 - SYSTEMS, METHODS, AND MEDIA FOR PRESENTING METRIC DATA | 1 |
Bernd Haber | US | Phoenix | 2012-11-01 / 20120278135 - TEST OPERATION AND REPORTING SYSTEM | 1 |
Eben Haber | US | Cupertino | 2015-04-02 / 20150095311 - CROWD-POWERED SELF-IMPROVING INTERACTIVE VISUALANALYTICS FOR USER-GENERATED OPINION DATA | 2 |
Stuart A. Haber | US | New York | 2012-08-09 / 20120204246 - ESTABLISHING A SECURE CHANNEL WITH A HUMAN USER | 1 |
Darrell Haber | US | Benicia | 2009-03-05 / 20090063979 - Banner interface video function navigation | 1 |
George T. Haber | US | Los Altos Hills | 2013-05-30 / 20130137389 - Radio Receiver Power Management Systems and Methods | 2 |
Marlene Haber | US | Atlanta | 2010-01-28 / 20100019040 - PORTABLE SCANNER DEVICE WITH INTEGRAL AUDIO OUTPUT | 1 |
Raymond G. Haber | US | Ambler | 2009-10-22 / 20090260557 - ENSURING INSTALLATION OF DRAIN PLUG WHEN LAUNCHING AQUATIC VESSEL | 1 |
Elliot Jeb Haber | US | Fall City | 2009-07-23 / 20090187442 - FEEDBACK AUGMENTED OBJECT REPUTATION SERVICE | 1 |
Ronnie Marcia Haber | US | New York | 2010-11-04 / 20100276305 - DUCK-A-DENT | 1 |
Richard C. Haber | US | Troy | 2015-04-23 / 20150110153 - TEMPERATURE SENSOR FOR RETAIL ENVIRONMENTS | 1 |
Daniel Haber | US | Columbus | 2016-01-07 / 20160004840 - PROGRESSION ANALYTICS SYSTEM | 2 |
Christopher L. Haber | US | Kalamazoo | 2014-11-20 / 20140343003 - METHOD FOR TREATING LYME DISEASE | 1 |
Edgar Haber | US | Salisbury | 2009-01-08 / 20090012020 - Inhibiting formation of atherosclerotic lesions | 1 |
Stuart Haber | US | New York | 2014-12-18 / 20140372105 - Submatch Extraction | 6 |
Carol Haber | US | Salisbury | 2009-01-08 / 20090012020 - Inhibiting formation of atherosclerotic lesions | 1 |
Lauric Haber | US | White Plain | 2010-10-07 / 20100255010 - ANTI-HER ANTIBODIES | 1 |
Greg Haber | US | Woodbury | 2015-11-05 / 20150314753 - PORTABLE, SELF-CONTAINED ANTI-THEFT ALARM SYSTEM FOR THE CARGO COMPARTMENT OF A VEHICLE | 8 |
Todd C. Haber | US | Alpharetta | 2008-12-04 / 20080296480 - Method and Apparatus for Multiple Scan Rate Swept Wavelength Laser-Based Optical Sensor Interrogation System with Optical Path Length Measurement Capability | 1 |
Gadi Haber | IL | Nesher | 2015-12-24 / 20150370567 - METHOD AND APPARATUS FOR PERFORMANCE EFFICIENT ISA VIRTUALIZATION USING DYNAMIC PARTIAL BINARY TRANSLATION | 4 |
Ken Haber | US | Frederick | 2015-12-17 / 20150362421 - PARTICLE CHARACTERIZATION | 2 |
Lauric Haber | US | Tarrytown | 2014-07-10 / 20140193414 - ANTI-HER ANTIBODIES | 1 |
David Haber | US | Armonk | 2014-11-20 / 20140338859 - COOLING TRAY | 2 |
Robin Haber | US | Highland Park | 2011-11-10 / 20110274807 - Elastomeric multi-function squeezer/strainer device | 2 |
Kristin Haber | US | Austin | 2014-01-09 / 20140012687 - Directing Internet Shopping Traffic and Tracking Revenues Generated as a Result Thereof | 1 |
Wayne Howard Haber | US | Alpharetta | 2015-08-06 / 20150222652 - System and Method for Identification and Blocking of Unwanted Network Traffic | 1 |
Jeff Haber | US | Austin | 2014-01-09 / 20140012687 - Directing Internet Shopping Traffic and Tracking Revenues Generated as a Result Thereof | 1 |
Bryan Haber | US | Lynnwood | 2014-09-18 / 20140279272 - Methods and Systems for Sorting Items That Are Received for Further Shipment | 1 |
Ludwig Christian Haber | US | Rensselaer | 2011-12-15 / 20110305579 - AIRFOIL HAVING REDUCED WAKE | 5 |
Eldad Haber | CA | Vancouver | 2014-05-29 / 20140149037 - METHOD AND SYSTEM FOR SURVEYING A DISTRIBUTION OF CHARGEABILITY IN A VOLUME OF EARTH | 1 |
Meir Haber | IL | Or Aklva | 2011-05-12 / 20110110882 - CROSS-LINKED COMPOSITIONS | 1 |
Marc Haber | IL | Efrat | 2011-03-31 / 20110078660 - Metamodeling Contextual Navigation of Computer Software Applications | 1 |
Gad Haber | IL | Haifa | 2011-03-31 / 20110078424 - OPTIMIZING PROGRAM CODE USING BRANCH ELIMINATION | 1 |
Aviad Haber | IL | Netania | 2011-03-03 / 20110050874 - CMOS Image Sensor Pixel Without Internal Sample/Hold Circuit | 1 |
Gad Haber | IL | Nesher | 2013-02-28 / 20130054494 - EFFICIENT DATA PROFILING TO OPTIMIZE SYSTEM PERFORMANCE | 7 |
Meir Haber | IL | Netanya | 2009-07-23 / 20090186107 - Orally Administrable Films and Preparation Thereof | 1 |
Greg Haber | US | Wodbury | 2014-01-23 / 20140020435 - CARGO DOOR SIDE LOCK ASSEMBLY | 1 |
Michael Haber | DE | Berlin | 2008-10-09 / 20080245221 - Brake Booster for Motor Vehicles | 2 |
Steffen Haber | DE | Frankfurt | 2009-12-17 / 20090309075 - USAGE OF BORATE SALTS | 2 |
Stefan Haber | DE | Primasens | 2011-01-20 / 20110012415 - LOCKING MECHANISM FOR A VEHICLE SEAT | 3 |
Damon Andrew Haber | US | Manhattan Beach | 2015-03-05 / 20150067458 - SYSTEM, METHOD, AND APPARATUS FOR DOCUMENTING THE CONDITION OF TANGIBLE PROPERTY | 2 |
Greg Haber | US | Woodbury | 2015-11-05 / 20150314753 - PORTABLE, SELF-CONTAINED ANTI-THEFT ALARM SYSTEM FOR THE CARGO COMPARTMENT OF A VEHICLE | 8 |
Joseph Andrew Haber | US | Newton | 2012-05-03 / 20120102785 - MAGNETICALLY-SUPPORTED ARTICLE OF FOOTWEAR | 1 |
Aviad Haber | US | Netania | 2013-08-08 / 20130201312 - Endoscope System Using CMOS Image Sensor Having Pixels Without Internal Sample/Hold Circuit | 1 |
Daniel A. Haber | US | Chesnut Hill | 2015-06-25 / 20150176081 - METHOD TO DETERMINE RESPONSIVENESS OF CANCER TO EPIDERMAL GROWTH FACTOR RECEPTOR TARGETING TREATMENTS | 3 |
Stephen B. Haber | US | Westford | 2015-01-22 / 20150023873 - EVALUATION OF PRESENCE OF AND VULNERABILITY TO ATRIAL FIBRILLATION AND OTHER INDICATIONS USING MATRIX METALLOPROTEINASE-BASED IMAGING | 2 |
Jerome Haber | US | Weston | 2016-02-18 / 20160045280 - MULTI-LAYER SURGICAL GUIDE | 10 |
Michael Haber | US | 2013-05-09 / 20130113271 - BRAKE ACTUATING UNIT FOR ACTUATING A MOTOR VEHICLE BRAKE SYSTEM | 1 | |
Grant Haber | US | Spring Valley | 2015-09-24 / 20150268171 - METHOD AND APPARATUS FOR THE DETECTION OF EXPLOSIVES | 1 |
Steffen Haber | DE | Gross-Gerau | 2011-01-13 / 20110008992 - PLUG-IN SYSTEM FOR TRAILER VEHICLES | 1 |
Tyler Haber | US | Weston | 2016-05-19 / 20160137841 - STABLE ETHYLSILICATE POLYMERS AND METHOD OF MAKING THE SAME | 1 |
Darrell Haber | US | Martinez | 2014-05-29 / 20140150024 - Programming Contextual Interactive User Interface for Television | 3 |
Ralf Michael Haber | DE | Wehringen | 2009-12-24 / 20090318047 - Monofilaments Modified with Perfluoropolyethers | 1 |
Kenneth S. Haber | US | 2011-09-29 / 20110238327 - SPECTROMETRIC CHARACTERIZATION OF HETEROGENEITY | 1 | |
Marc N. Haber | IL | Efrat | 2015-05-28 / 20150150015 - ELIMINATING EXECUTION OF JOBS-BASED OPERATIONAL COSTS OF RELATED REPORTS | 3 |
Eric Andreas Haber | IL | Kibbutz Kfar Menahem | 2015-12-03 / 20150350800 - SYSTEM AND METHOD FOR TESTING | 4 |
Stefan Haber | DE | Pirmasens | 2015-02-12 / 20150041611 - LOCKING DEVICE AND VEHICLE SEAT | 6 |
Elliot J. Haber | US | Fall City | 2012-02-23 / 20120047461 - Reputation-Based Safe Access User Experience | 1 |
Lauric Haber | US | San Carlos | 2014-02-27 / 20140056899 - ANTI-HER ANTIBODIES | 2 |
Darrel Haber | US | Martinez | 2014-11-27 / 20140351858 - Interactive User Interface for Television Applications | 3 |
Charlotte Haberaecker | US | Annandale | 2014-04-03 / 20140095378 - SYSTEM FOR APPLYING QUALITY CONTROL TESTS TO TRANSACTION DATASETS | 3 |
Michael Haberberger | DE | Ginsheim-Gustavsburg | 2012-07-12 / 20120174371 - CLAMPING DEVICE FOR TANK ASSEMBLY | 1 |
Georg Haberberger | DE | Bubenreuth | 2012-07-05 / 20120167568 - STEAM POWER PLANT | 2 |
Thomas E. Haberberger | US | Saint Marys | 2012-05-24 / 20120128522 - COMPONENTS FOR EXHAUST SYSTEM, METHODS OF MANUFACTURE THEREOF AND ARTICLES COMPRISING THE SAME | 1 |
Thomas Haberberger | US | Hayward | 2011-10-13 / 20110251124 - REGULATION OF MINERAL AND SKELETAL METABOLISM | 5 |
Kyle M. Haberberger | US | Lees Summit | 2015-09-17 / 20150260451 - LIQUEFIED NATURAL GAS FACILITY EMPLOYING AN OPTIMIZED MIXED REFRIGERANT SYSTEM | 1 |
Mark S. Haberbusch | US | Amherst | 2008-12-25 / 20080314050 - NO-VENT LIQUID HYDROGEN STORAGE AND DELIVERY SYSTEM | 1 |
Monika Haberecht | DE | Ludwigshafen | 2015-02-05 / 20150038668 - Branched Polyesters Based On Citric Acid, Their Preparation And Use | 21 |
André Haberecht | DE | Hamburg | 2012-08-09 / 20120200136 - SAFETY SEAT SUSPENDED ON A STRAP FRAMEWORK | 1 |
Monika Haberecht | DE | Ludwigshafen | 2015-02-05 / 20150038668 - Branched Polyesters Based On Citric Acid, Their Preparation And Use | 21 |
Joerg Haberecht | DE | Freiberg | 2010-11-25 / 20100294197 - Methods For Producing Epitaxially Coated Silicon Wafers | 3 |
Andre Haberecht | DE | Hamburg | 2009-03-19 / 20090072606 - FOOT SUPPORT FOR A SAFETY SEAT | 1 |
Tassilo Habereder | DE | Grenzach-Wyhlen | 2010-01-28 / 20100022425 - Corrosion Inhibiting Composition For Non-Ferrous Metals | 1 |
Andrew M. Haberek | US | Baldwinsville | 2012-09-06 / 20120222896 - MODULAR DEVICE HOUSING ASSEMBLY | 1 |
Tommy Haberer | DE | Berlin | 2009-10-22 / 20090261070 - Insulating Nozzle, Comprising a First Material and a Second Material | 1 |
Markus Haberer | DE | Osnabruck | 2015-07-09 / 20150191853 - Polymer Fiber and Nonwoven | 7 |
Thomas Haberer | DE | Frankfurt | 2011-12-15 / 20110303857 - Fast Scanning of a Target Region | 1 |
Sven N. Haberer | US | Houston | 2013-12-05 / 20130325351 - Smart Flowback Alarm to Detect Kicks and Losses | 1 |
Dietmar Haberer | DE | Reutlingen | 2015-08-20 / 20150232328 - Method for producing a wafer equipped with transparent plates | 3 |
Barbara R. Haberer | US | Hartford | 2016-02-18 / 20160046584 - COMPOSITIONS AND METHODS OF USING THE COMPOSITIONS FOR PLAQUE SOFTENING | 4 |
Daniel Haberer | DE | Forchheim | 2010-05-06 / 20100114007 - DERMATOLOGICAL TREATMENT APPARATUS | 2 |
Markus Haberer | DE | Berlin | 2009-05-28 / 20090136606 - DEVICE FOR THE MANUFACTURE OF POLYETHYLENE-BASED, SOFT NONWOVEN FABRIC | 2 |
Tyler Haberer | US | Southfield | 2015-12-24 / 20150369170 - CYLINDER HEAD GASKET WITH COMPRESSION LIMITER AND FULL BEAD LOADING | 4 |
Manfred Haberer | DE | Riegel | 2015-04-16 / 20150105873 - Optoelektronischer Sicherheitssensor | 7 |
Kevin Haberern | US | Cary | 2014-08-07 / 20140217435 - Light Emitting Diodes with Low Junction Temperature and Solid State Backlight Components Including Light Emitting Diodes with Low Junction Temperature | 14 |
Kevin Ward Haberern | US | Cary | 2015-08-13 / 20150228876 - MULTI-SEGMENT MONOLITHIC LED CHIP | 3 |
Kevin Haberern | US | Cary | 2014-08-07 / 20140217435 - Light Emitting Diodes with Low Junction Temperature and Solid State Backlight Components Including Light Emitting Diodes with Low Junction Temperature | 14 |
Kevln Haberern | US | Cary | 2013-12-12 / 20130328096 - Semiconductor Light Emitting Diodes with Crack-Tolerant Barrier Structures and Methods of Fabricating the Same | 1 |
Kevin W. Haberern | US | Cary | 2015-09-03 / 20150249196 - HIGH VOLTAGE MONOLITHIC LED CHIP WITH IMPROVED RELIABILITY | 6 |
Alon Haberfeld | IL | Mazkeret Batia | 2008-08-28 / 20080209584 - Pepper Plants Having Fruit With Altered Vitamin Content | 1 |
Karl Haberger | DE | Martinsried | 2013-06-20 / 20130153970 - TRANSISTOR STRUCTURE, METHOD FOR MANUFACTURING A TRANSISTOR STRUCTURE, FORCE-MEASURING SYSTEM | 1 |
Markus Haberger | DE | Muenchen | 2012-07-05 / 20120172255 - ES-MS OF GLYCOPEPTIDES FOR ANALYSIS OF GLYCOSYLATION | 2 |
Markus Haberger | DE | Munchen | 2011-05-19 / 20110117601 - Glycosylation Profile Analysis | 1 |
Cam E. Haberger | US | Big Lake | 2015-11-19 / 20150327868 - RETRACTABLE AND RAPID DISCONNECT, FLOATING DIAMETER EMBOLIC COIL PRODUCT AND DELIVERY SYSTEM | 1 |
Sandra L. Haberichter | US | Greenfield | 2015-12-03 / 20150344541 - PLATELET TARGETED TREATMENT | 1 |
Ramona Haberkamm | DE | Traunreut | 2012-03-08 / 20120056732 - PORTABLE, IN PARTICULAR MEDIA-ENABLED CONSOLE | 1 |
William C. Haberkamp | US | Cookeville | 2014-04-24 / 20140110354 - Composite Filter Media Utilizing Bicomponent Fibers | 6 |
William Haberkamp | US | Cookeville | 2014-02-06 / 20140034564 - MULTI-COMPONENT FILTER MEDIA WITH CONTROL RELEASED ADDITIVES | 2 |
William Henry Haberkamp | US | Rochester Hills | 2015-12-10 / 20150352937 - SOFT FRONT COCKPIT COVER | 3 |
Günter Haberkorn | DE | Birgland/schwend | 2010-02-25 / 20100048171 - METHOD FOR FRAUD RECOGNITION IN THE CASE OF ROAMING CONNECTIONS IN MOBILE COMMUNICATIONS NETWORKS | 1 |
Niko Haberkorn | DE | Recklinghausen | 2013-12-19 / 20130338324 - PROCESS FOR POLYMERIZATION OF A DIENE | 1 |
Norman J. Haberkorn | US | Parker | 2010-04-01 / 20100082116 - ANATOMICALLY-CONFIGURED ADJUSTABLE UPPER EXTREMITY PROSTHETIC DEVICE | 1 |
Niko Haberkorn | DE | Dorsten | 2015-10-29 / 20150307640 - POLYBUTADIENE HAVING EPOXY GROUPS | 1 |
Melanie Haberkorn | DE | Haag | 2014-07-24 / 20140205919 - GAS DIFFUSION LAYER WITH IMPROVED ELECTRICAL CONDUCTIVITY AND GAS PERMEABILITY AND PROCESS OF MAKING THE GAS DIFFUSION LAYER | 1 |
Günter Haberkorn | DE | Birgland/schwend | 2010-02-25 / 20100048171 - METHOD FOR FRAUD RECOGNITION IN THE CASE OF ROAMING CONNECTIONS IN MOBILE COMMUNICATIONS NETWORKS | 1 |
Edgar Haberkorn | DE | Jossgrund | 2010-06-17 / 20100147217 - INTEGRATION OF A PROCESSING BENCH IN AN INLINE COATING SYSTEM | 3 |
Uwe Haberkorn | DE | Schwetzingen | 2016-04-28 / 20160114054 - CONJUGATES FOR PROTECTION FROM NEPHROTOXIC ACTIVE SUBSTANCES | 8 |
Claus Haberkorn | DE | Dielheim | 2012-04-19 / 20120090726 - Method and Apparatus for Safety-Compliant Emptying and Filling of a Reagent Container | 5 |
Uwe Haberkorn | DE | Schwetzingen | 2016-04-28 / 20160114054 - CONJUGATES FOR PROTECTION FROM NEPHROTOXIC ACTIVE SUBSTANCES | 8 |
Ernst Haberkorn | DE | Moosburg | 2011-12-29 / 20110319655 - CATALYST SUPPORT, PROCESS FOR ITS PREPARATION AND USE | 1 |
Marc E. Haberkorn | US | Raleigh | 2011-06-09 / 20110138067 - Cluster View Performance | 3 |
Laure Haberkorn | FR | Bois-Colombes | 2010-11-11 / 20100286225 - DIAZENIUMDIOLATE COMPOUNDS, A PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM. | 1 |
Rouven Haberkorn | DE | Darmstadt | 2015-02-19 / 20150048737 - MOTOR VEHICLE HEADLAMP | 2 |
Norm Haberkorn | US | Parker | 2012-09-27 / 20120241535 - WATER ATOMIZATION AND MIST DELIVERY SYSTEM | 1 |
Robert Haberkorn | US | 2011-12-29 / 20110316301 - Mosaic panel kit and method | 1 | |
Guenter Haberkorn | DE | Birgland/schwend | 2015-02-12 / 20150044999 - METHOD FOR PREVENTING FRAUD OR MISUSE WHEN USING A SPECIFIC SERVICE OF A PUBLIC LAND MOBILE NETWORK BY A USER EQUIPMENT, SUBSCRIBER IDENTITY MODULE AND APPLICATION PROGRAM | 3 |
Nikolas Haberl | DE | Sinzheim | 2013-07-04 / 20130171009 - FAN SYSTEM AND METHOD FOR CONTROLLING A FAN MOTOR | 12 |
Peter Haberl | DE | Hirschau | 2010-07-29 / 20100186231 - Method for producing a metal-ceramic substrate for electric circuits on modules | 1 |
Bernd Haberl | DE | Weissach Im Tal | 2012-05-24 / 20120124760 - EXCHANGEABLE SWEEPING BRUSH DEVICE AND SWEEPER HAVING SUCH A SWEEPING BRUSH DEVICE | 2 |
Martin Haberl | DE | Munich | 2009-10-01 / 20090247861 - CALIBRATION METHOD FOR AXIALLY DETERMINATE MEDICAL INSTRUMENTS | 1 |
Udo Haberl | DE | Baesweiler | 2011-08-04 / 20110189752 - COMPLEX | 4 |
Uda Haberl | DE | Baesweiler | 2008-12-11 / 20080305985 - Isosteric Transormation | 1 |
Martin Haberl | DE | Munchen | 2009-01-01 / 20090003673 - DETERMINING CORRESPONDENCE OBJECT PAIRS FOR MEDICAL NAVIGATION | 1 |
Karl R. Haberl | US | Tyngsboro | 2013-09-12 / 20130238783 - PERSONALIZED NAVIGATION SHORTCUTS | 2 |
Roland Haberl | DE | Ingolstadt | 2015-06-04 / 20150154864 - METHOD FOR OPERATING A MOTOR VEHICLE, IN WHICH A USER IS WARNED OF HAZARDOUS SITUATIONS BASED ON DATA OBTAINED FROM OUTSIDE AND INSIDE THE VEHICLE, AND MOTOR VEHICLE OPERATED ACCORDINGLY | 2 |
Jeffrey S. Haberl | US | College Station | 2012-05-17 / 20120118146 - SYSTEM AND METHOD FOR EFFICIENT MULTI-STAGE AIR DEHUMIDIFICATION AND LIQUID RECOVERY | 4 |
Karl R. Haberl | US | Kirkland | 2011-04-28 / 20110099465 - BUTTERFLY DIAGRAMS ENABLING MULTI-DIMENSIONAL PERFORMANCE ANALYSIS | 1 |
Johann Haberl | SE | Skarholmen | 2011-04-28 / 20110097159 - TUBING CONDUIT SYSTEM, A METHOD FOR CONTROL THEREOF AND THE USE THEREOF | 2 |
Christian Haberl | DE | Renningen | 2009-04-30 / 20090112488 - Method for determining characteristic values of a suspended driven axis, especially of a machine tool, as well as suitable applications, corresponding facilities and their use | 1 |
Samuel T. Haberl | US | Ann Arbor | 2016-02-11 / 20160042664 - ELECTRONICS DEMONSTRATION AND TUTORIAL MODE SYSTEM | 1 |
Ernst Johannes Haberl | DE | Berlin | 2015-09-24 / 20150265448 - SYSTEM FOR RESHAPING SKULL | 1 |
Ernst-Johannes Haberl | US | 2015-09-24 / 20150265448 - SYSTEM FOR RESHAPING SKULL | 1 | |
Hannes Haberl | DE | Berlin | 2013-07-25 / 20130190832 - Three Dimensional Life-Size Model of a Child's Skull And Method Using Said Model | 1 |
Franz Haberl | DE | Wallerfing | 2015-02-12 / 20150042123 - DRIVE WHEEL ARRANGEMENT FOR TWO PIVOT COMPONENTS OF A TOP OF A CONVERTIBLE VEHICLE | 7 |
Albert Haberl | DE | Bad Friedrichshall | 2008-10-30 / 20080264247 - Lightweight Piston | 2 |
Walter Haberl | AT | Nussdorf | 2015-08-27 / 20150239716 - METHOD FOR SIGNALING THE DANGER OF A CRANE TIPPING | 3 |
Maxine E. Haberl | CA | Burnaby | 2011-06-23 / 20110151123 - Pigmented Phase Change Inks Containing Low Molecular Weight Pigment Dispersants | 2 |
David Haberland | AU | New South Wales | 2011-08-25 / 20110203876 - Narrow Aisle Stacker Crane | 1 |
Bernd Haberland | DE | Fellbach | 2014-11-06 / 20140328204 - APPARATUS, METHOD AND COMPUTER PROGRAM FOR ROUTING DATA PACKETS | 4 |
Bernd Haberland | DE | Stuttgart | 2015-05-21 / 20150139100 - METHOD FOR OPERATING A NETWORK ELEMENT OF A WIRELESS COMMUNICATION NETWORK AND NETWORK ELEMENT | 2 |
Ralf Haberland | DE | Dresden | 2015-12-17 / 20150362417 - Contact Angle Measuring Instrument | 2 |
Udo Haberland | DE | Holzgerlingen | 2015-10-22 / 20150301157 - METHOD FOR DETECTING INTERFERENCE IN A RECEIVED SIGNAL OF A RADAR SENSOR, DRIVER ASSISTANCE DEVICE AND MOTOR VEHICLE | 9 |
Martin Haberland | AU | Queensland | 2013-08-22 / 20130213589 - Screen Assembly for a Window or Door Opening | 2 |
Kolja Haberland | DE | Berlin | 2010-11-18 / 20100290500 - METHOD FOR CALIBRATING A PYROMETER, METHOD FOR DETERMINING THE TEMPERATURE OF A SEMICONDUCTING WAFER AND SYSTEM FOR DETERMINING THE TEMPERATURE OF A SEMICONDUCTING WAFER | 1 |
Gary W. Haberland | US | Winter Park | 2014-07-10 / 20140191152 - TROCAR AND CANNULA ASSEMBLY HAVING IMPROVED CONICAL VALVE, AND METHODS RELATED THERETO | 1 |
Juergen Haberland | DE | Haltern Am See | 2015-01-22 / 20150024106 - pH-adjusted sweetener | 3 |
Bernhard Lewis Haberland | US | Palm City | 2014-11-27 / 20140345609 - PORTABLE OXYGEN CONCENTRATOR | 3 |
Annekathrin Haberland | DE | Berlin | 2014-06-05 / 20140155466 - USE OF APTAMERS IN THERAPY AND/OR DIAGNOSIS OF AUTOIMMUNE DISEASES | 1 |
Karen L. Haberland | US | Sewell | 2016-01-07 / 20160001039 - MEDICAL DEVICE CURVING APPARATUS, SYSTEM, AND METHOD OF USE | 1 |
Martin Haberland | AU | Wynnum | 2015-08-20 / 20150233172 - ASSEMBLY FOR CLOSING AN OPENING | 1 |
Udo Haberland | DE | Holzgerlingen | 2015-10-22 / 20150301157 - METHOD FOR DETECTING INTERFERENCE IN A RECEIVED SIGNAL OF A RADAR SENSOR, DRIVER ASSISTANCE DEVICE AND MOTOR VEHICLE | 9 |
Bemd Haberland | CN | Shanghai | 2011-09-08 / 20110219281 - METHOD FOR TRIGGERING RETRANSMISSION IN A MULTICAST SYSTEM AND APPARATUS IMPLEMENTING THE METHOD | 1 |
Martin Haberland | AU | Wynnum West | 2015-09-03 / 20150247363 - SPOOL ASSEMBLY | 1 |
Tanja Haberlander | DE | Munchen | 2013-08-01 / 20130197709 - HOUSEHOLD APPLIANCE HAVING A CONTROLLER FOR AN ELECTRICAL ENERGY SUPPLY NETWORK HAVING AN ASSOCIATED DATA NETWORK AND METHOD FOR OPERATING A CONTROLLER OF A HOUSEHOLD APPLIANCE ON SAID ENERGY SUPPLY NETWORK | 3 |
Knut Haberland-Schlösser | DE | Bochum | 2011-03-10 / 20110060754 - METHOD AND DEVICE FOR ORGANIZING USER PROVIDED INFORMATION WITH META-INFORMATION | 1 |
Knut Haberland-Schlösser | DE | Bochum | 2011-03-10 / 20110060754 - METHOD AND DEVICE FOR ORGANIZING USER PROVIDED INFORMATION WITH META-INFORMATION | 1 |
Rodney J. Haberle | US | Zimmermann | 2016-02-11 / 20160038733 - MECHANICAL FEEDTHROUGHS FOR IMPLANTABLE MEDICAL DEVICE | 1 |
Thomas Haberle | DE | Gmund-Durnbach | 2014-12-18 / 20140366728 - PROCESS AND APPARATUS FOR SEPARATING METAL CARBONYLS FROM GAS MIXTURES | 1 |
Hans Haberle | DE | Gailingen | 2015-12-10 / 20150353770 - LIQUID-APPLIED WATERPROOFING MEMBRANE FOR ROOFS COMPRISING A LONG-CHAIN ALDIMINE | 1 |
Hans Haberlein | DE | Gersthofen | 2010-12-23 / 20100324176 - BIODEGRADABLE COMPOSITE SYSTEM AND THE USE THEREOF | 1 |
Jurgen Haberlein | DE | Murrhardt | 2009-04-09 / 20090090754 - Belt arrangement for carrying a portable handheld work apparatus | 2 |
Ingo Haberlein | DE | Weilheim | 2008-09-04 / 20080213196 - ENZYME CONTAINING COMPOSITION, PROCESS OF PRODUCING SAID COMPOSITION AND ITS USE | 1 |
Marcela Haberlova | AT | Linz | 2011-05-19 / 20110114762 - FUEL INJECTOR HAVING A HIGH-PRESSURE INLET | 1 |
Matthew T. Haberly | US | Copan | 2009-12-31 / 20090326168 - Multiple component feed methods and systems | 1 |
Shelby J. Haberman | US | Highland Park | 2014-10-23 / 20140317032 - Systems and Methods for Generating Automated Evaluation Models | 1 |
Mandy Haberman | GB | Aldenham | 2016-02-04 / 20160030290 - FEEDING APPARATUS | 2 |
Charles Travis Scott Haberman | US | Kirkland | 2014-09-11 / 20140258930 - DYNAMIC VALIDATION OF SELECTABLE DATA | 1 |
Ron Efraim Haberman | US | Sunnyvale | 2015-02-26 / 20150058973 - MECHANISM FOR ASSOCIATING ANALOG INPUT DEVICE GESTURE WITH PASSWORD FOR ACCOUNT ACCESS | 2 |
Kimberly Eng Haberman | US | Concord | 2015-04-30 / 20150120615 - UNIFIED CATALOG MANAGEMENT OF BUSINESS PRODUCTS AND SERVICES | 1 |
Michael Haberman | US | Austin | 2016-02-04 / 20160032997 - NEGATIVE STIFFNESS HONEYCOMB MATERIAL | 1 |
Kenneth M. Haberman | US | St. Paul | 2011-12-15 / 20110305519 - SYSTEM AND PROCESS FOR EX-SITU SOIL REMEDIATION | 1 |
Gili Haberman | IL | Givataim | 2011-06-16 / 20110143001 - DATE KERNEL PREPARATION | 1 |
Rebecca Haberman | US | Baltimore | 2016-02-11 / 20160038463 - METHODS AND COMPOSITIONS FOR IMPROVING COGNITIVE FUNCTION | 9 |
Barbara Haberman | US | Goffstown | 2014-10-23 / 20140310969 - Tape measure holder | 1 |
Michael R. Haberman | US | Austin | 2014-03-06 / 20140060193 - DEVICES, SYSTEMS, AND METHODS FOR NON-DESTRUCTIVE TESTING OF MATERIALS AND STRUCTURES | 1 |
Ron Haberman | BE | Antwerp | 2010-12-09 / 20100309915 - VIRTUAL LEASED LINE ADDRESS RESOLUTION PROTOCOL CACHE FOR CUSTOMER EDGE INTERNET PROTOCOL ADDRESSES | 1 |
Ron E. Haberman | US | Sunnyvale | 2015-01-29 / 20150029857 - PER-CLASS SCHEDULING WITH RATE LIMITING | 3 |
David Haberman | US | Delray Beach | 2013-07-18 / 20130183705 - CONVERSION OF ALGAE TO LIQUID METHANE, AND ASSOCIATED SYSTEMS AND METHODS | 3 |
Brian Haberman | US | Hillsborough | 2014-07-31 / 20140211604 - Method and Apparatus for the Fast Detection of Connectivity Loss Between Devices in a Network | 7 |
Frank Haberman | IL | Rishon Lezion | 2010-04-29 / 20100105707 - METHOD OF TREATING OR PREVENTING OXIDATIVE STRESS-RELATED DISEASE | 1 |
Robert L. Haberman | US | Battle Lake | 2013-10-24 / 20130279982 - Watercraft Lift System | 1 |
Michael Haberman | US | Mahomet | 2013-12-05 / 20130321446 - Methods And Systems For Visualizing Data | 1 |
Rebecca Haberman | US | Baltimore | 2016-02-11 / 20160038463 - METHODS AND COMPOSITIONS FOR IMPROVING COGNITIVE FUNCTION | 9 |
Seth Haberman | US | New York | 2015-12-24 / 20150373394 - METHODS, SYSTEMS, AND COMPUTER-READABLE MEDIA FOR DETERMINING OUTCOMES FOR PROMOTIONAL DIGITAL ON-SCREEN GRAPHICS | 43 |
Louis J. Haberman | US | Denville | / - | 1 |
David Haberman | US | La Jolla | 2012-08-23 / 20120214135 - Automated Improvised Explosive Device Training System | 1 |
Thomas William Haberman | US | Tucson | 2008-10-09 / 20080245567 - ELECTRICAL BIFURCATED SPLICE | 1 |
Vicki Haberman | US | Atlanta | 2010-07-15 / 20100179844 - INFORMATION REPORTING SYSTEM FOR MANAGING A FLEET OF AN INDUSTRIAL VEHICLES | 1 |
Eric R. Haberman | US | White Oak | 2014-06-12 / 20140161219 - STEAM GENERATOR DUAL HEAD SLUDGE LANCE | 8 |
David Haberman | US | Cannon Falls | 2014-12-18 / 20140366865 - Grill riser | 1 |
William G. Haberman | US | Glen Head | 2010-12-30 / 20100327714 - Multi-Media Workstation Having a Master Rail System | 2 |
Eric R. Haberman | US | White Oak | 2014-06-12 / 20140161219 - STEAM GENERATOR DUAL HEAD SLUDGE LANCE | 8 |
Ron Haberman | US | Mountain View | 2009-04-09 / 20090094639 - TARGETED/ADDRESSABLE ADVERTISEMENT INSERTION | 2 |
Assaf Haberman | IL | Ramat Yishay | 2014-11-13 / 20140332473 - MULTISTAGE FILTRATING PRE-TREATMENT FOR DESALINATION OF OILFIELD PRODUCED WATER | 1 |
Ron E. Haberman | US | San Jose | 2014-05-01 / 20140119173 - MULTIPATH VIRTUAL ROUTER REDUNDANCY | 2 |
Matthew T. Haberman | US | Mahtomedi | 2013-02-14 / 20130037456 - SEAL SYSTEMS FOR GRAIN SEPARATORS | 2 |
Joerg Habermann | IT | Pomezia (rome) | 2010-01-14 / 20100009959 - Pentacyclic Indole Derivatives as Antiviral Agents | 3 |
Michael Habermann | DE | Bernshausen | 2012-03-29 / 20120079625 - Method for protecting living plants from harmful insects via a sheetlike structure | 2 |
Charles J. Habermann | US | Bloomington | 2015-11-26 / 20150338213 - Adaptive Manufacturing System | 2 |
Sebastian Habermann | DE | Werneck | 2015-06-25 / 20150176322 - ACTUATING METHOD AND ACTUATING APPARATUS FOR A VEHICLE PART | 1 |
Paul Habermann | DE | Frankfurt Am Main | 2014-05-22 / 20140142023 - Pharmaceutical Composition for Treating A Metabolic Syndrome | 6 |
Christian Habermann | DE | Boeblingen | 2016-03-03 / 20160062905 - HIERARCHICAL CACHE STRUCTURE AND HANDLING THEREOF | 9 |
Nadja Habermann | DE | Erfurt | 2015-09-24 / 20150270785 - POWER CONVERTER AND METHOD FOR SETTING THE OPERATION AND THE START-UP OF A POWER CONVERTER | 1 |
Joseph Richard Habermann | US | Minneapolis | 2015-07-09 / 20150193353 - Compressed Level Two Block Buffer Metadata Cache | 1 |
Sven Habermann | CA | Maple Ridge | 2010-09-23 / 20100237103 - PERSONAL DEFENSE SPRAY DEVICE | 1 |
Joe Habermann | US | Minneapolis | 2013-04-11 / 20130091092 - FRONT END INTELLIGENCE FOR MONITORING BACK END STATE | 2 |
Paul Habermann | DE | Eppstein | 2015-08-20 / 20150231210 - Pharmaceutical Composition for Treating a Metabolic Syndrome | 4 |
Dirk Habermann | DE | Kirchzarten | 2015-01-22 / 20150024540 - Device and Method for Producing Thin Films | 8 |
Jeffrey Ronald Habermann | US | Yorba Linda | 2013-10-24 / 20130282661 - PARALLEL DATABASE BACKUP AND RESTORE | 2 |
Herbert Habermann | DE | Biebergemuend | 2011-09-08 / 20110217552 - PROCESS FOR PREPARING AN ALUMINIUM OXIDE POWDER HAVING A HIGH ALPHA-AL2O3 CONTENT | 1 |
Dirk Habermann | DE | Kirchzaarten | 2011-07-07 / 20110162709 - METHOD FOR THE TREATMENT OF SUBSTRATES, SUBSTRATE AND TREATMENT DEVICE FOR CARRYING OUT SAID METHOD | 1 |
Christian Habermann | DE | Stuttgart | 2012-08-16 / 20120210188 - HANDLING CORRUPTED BACKGROUND DATA IN AN OUT OF ORDER EXECUTION ENVIRONMENT | 4 |
Joerg Habermann | IT | Pomesia (rome) | 2009-04-23 / 20090105227 - Thienopyrroles As Antiviral Agents | 1 |
Joerg Habermann | IT | Rome | 2010-03-25 / 20100076046 - Antiviral Indoles | 3 |
Rolf Habermann | DE | Bergen | 2011-09-29 / 20110232591 - COOLING SYSTEM FOR A COMBUSTION ENGINE | 5 |
Christian Habermann | DE | Boeblingen | 2016-03-03 / 20160062905 - HIERARCHICAL CACHE STRUCTURE AND HANDLING THEREOF | 9 |
Dirk Habermann | DE | Kirchzarten | 2015-01-22 / 20150024540 - Device and Method for Producing Thin Films | 8 |
Christian Habermann | DE | Burk | 2009-06-25 / 20090161477 - DOUGH PROCESSING MACHINE | 1 |
Dirk Habermann | DE | Freudenstadt | 2014-12-11 / 20140361407 - SILICON MATERIAL SUBSTRATE DOPING METHOD, STRUCTURE AND APPLICATIONS | 1 |
Joerg Habermann | DE | Munchen | 2015-09-03 / 20150246902 - SUBSTITUED BENZOFURAN COMPOUNDS AND METHODS OF USE THEREOF FOR THE TREATMENT OF VIRAL DISEASES | 4 |
Kai-Uwe Habermann | DE | Muelheim | 2016-03-17 / 20160075097 - PRESS ROLL | 3 |
Viktoria Habermann | DE | Wolfsburg | 2015-04-16 / 20150101556 - GLOW TIME CONTROL DEVICE | 1 |
Johan F. Habermann | US | Lake Forest | 2014-09-25 / 20140289043 - ONLINE ADVERTISEMENT PROVISIONING | 1 |
Jens Habermann | DE | Celle | 2015-12-24 / 20150369817 - PLATELET BIOMARKERS IN CANCER DIAGNOSIS | 4 |
Klaus Habermann | DE | Langebruck | 2009-04-23 / 20090104588 - Topographic Map that Can be Visually Perceived in a Three-Dimensional Manner | 1 |
Andrew Habermas | US | Bloomington | 2011-05-26 / 20110121256 - PROGRAMMABLE RESISTIVE MEMORY CELL WITH FILAMENT PLACEMENT STRUCTURE | 5 |
Stephen Habermas | US | Beverly Hills | 2011-07-14 / 20110171900 - SATELLITE RADIO FILE BROADCAST METHOD | 1 |
Andrew David Habermas | US | Bloomington | 2014-09-11 / 20140254338 - NANOIMPRINT LITHOGRAPHY FOR THIN FILM HEADS | 2 |
Andrew D. Habermas | US | Eden Prairie | 2014-04-03 / 20140091130 - METHOD AND APPARATUS FOR COUPLING A LASER DIODE TO A MAGNETIC WRITER | 1 |
Andrew D. Habermas | US | Bloomington | 2012-04-26 / 20120099407 - METHOD AND APPARATUS FOR COUPLING A LASER DIODE TO A MAGNETIC WRITER | 1 |
James Habermehl | US | Midland | 2013-08-01 / 20130197133 - Biodegradable Hydrophobic Cellulosic Substrates And Methods For Their Production Using Halosilanes | 2 |
Evgenij Habermehl | DE | Hamburg | 2009-08-20 / 20090206200 - ASSEMBLY AND METHOD FOR STOWING AWAY AND REMOVING A SURVIVAL KIT IN A PASSENGER CABIN OF AN AIRCRAFT | 1 |
Jason Habermehl | CA | Quebec | 2015-02-05 / 20150039245 - SYSTEM AND METHOD OF NON-DESTRUCTIVE INSPECTION WITH A VISUAL SCANNING GUIDE | 5 |
Jason Habermehl | CA | Stoneham | 2011-05-19 / 20110118991 - MULTI-FREQUENCY BOND TESTING | 2 |
Steve Habermehl | US | Roseville | 2014-07-24 / 20140205987 - APPARATUS AND METHOD FOR ENHANCING ACADEMIC PLANNING AND TRACKING VIA AN INTERACTIVE REPOSITORY DATABASE | 1 |
Neil Keith Habermehl | US | Irvine | 2015-10-15 / 20150291191 - TRAIN COMMUNICATION NETWORK | 6 |
Jason Habermehl | CA | Quebec City | 2013-10-31 / 20130283918 - METHOD AND SYSTEM OF USING 1.5D PHASED ARRAY PROBE FOR CYLINDRICAL PARTS INSPECTION | 1 |
Neil K. Habermehl | US | Irvine | 2015-10-08 / 20150286258 - TEMPERATURE CONTROL SYSTEM FOR TRANSMITTER CHIP | 1 |
Bernt Habermeier | US | San Francisco | 2014-02-06 / 20140036023 - CONVERSATIONAL VIDEO EXPERIENCE | 3 |
Peter Habermeier | DE | Scheyern | 2012-11-22 / 20120295006 - Cream Cheese Product And Its Method Of Preparation | 3 |
Michael Habermeier | DE | Muenchen | 2016-01-28 / 20160023572 - Activation Method for an Electric Machine | 1 |
Alexander Habermeier | FR | Montpellier | 2016-03-17 / 20160073933 - METHOD FOR THE AUTOMATED AND ASSISTED ACQUISITION OF ANATOMICAL SURFACES | 2 |
Peter Anton Habermeier | DE | Scheyern | 2009-02-12 / 20090041920 - Fine Textured Dairy Product and Process for its Preparation | 1 |
Joerg Habermeier | US | San Francisco | 2010-04-15 / 20100094865 - SYSTEMS AND METHODS FOR DISTRIBUTING AND MAINTAINING PRODUCT OR SERVICE INFORMATION | 1 |
Stephan T. Habermeyer | US | Brookline | 2010-10-28 / 20100274579 - Dynamic, Interactive, Web-Based Treatment System | 1 |
Jason R. Habernal | US | Magnolia | 2015-03-05 / 20150060143 - REMOTELY CONTROLLED APPARATUS FOR DOWNHOLE APPLICATIONS AND METHODS OF OPERATION | 5 |
Gunther Haberpeuntner | AT | Linz | 2008-10-30 / 20080266161 - ANALOG-TO-DIGITAL CONVERTER, RECEIVER ARRANGEMENT, FILTER ARRANGEMENT AND SIGNAL PROCESSING METHOD | 1 |
Rik Habers | CH | Zurich | 2012-02-02 / 20120029360 - NEEDLE WITH INTEGRATED FIBERS IN THE CUTTING FACETS OF THE BEVEL | 1 |
Dean W. Habersetze | US | Everett | 2010-04-22 / 20100095624 - Apparatus and Method for Joining Building Components | 1 |
Vernon Habersetzer | US | Rogers | 2011-01-20 / 20110011760 - LIVE DEVICE SEIZURE KIT | 1 |
Philippe Habersetzer | FR | Rixheim | 2008-10-23 / 20080261178 - PROCESS FOR PROVIDING A TOPOGRAPHY TO THE SURFACE OF A DENTAL IMPLANT | 1 |
Francois Habersetzer | FR | Illkirch-Graffenstaden | 2009-04-23 / 20090104188 - ANTI-HEPATITIS C VIRUS ANTIBODY AND USES THEREOF | 1 |
Phillippe Habersetzer | FR | Rixheim | 2015-02-26 / 20150056574 - PROCESS FOR PROVIDING A TOPOGRAPHY TO THE SURFACE OF A DENTAL IMPLANT | 2 |
Carsten Habersetzer | DE | Norten-Hardenberg | 2012-06-28 / 20120160032 - VORTEX FLOWMETER WITH OPTIMIZED TEMPERATURE DETECTION | 1 |
Rodney Habershon | AU | Hope Island | 2015-08-13 / 20150225025 - VEHICLE DRAG REDUCTION APPARATUS | 1 |
Rodney Habershon | US | 2015-08-13 / 20150225025 - VEHICLE DRAG REDUCTION APPARATUS | 1 | |
Wells D. Haberstich | US | Loveland | 2016-01-28 / 20160022298 - DETACHABLE END EFFECTOR AND LOADER | 43 |
James K. Haberstock | US | Phoenix | 2009-05-21 / 20090132157 - SYSTEMS AND METHODS FOR CONSTRUCTING VARIABLE OFFSET PATHS | 2 |
James Kenneth Haberstock | US | Phoenix | 2015-06-11 / 20150159500 - SYSTEM AND METHOD FOR TURBINE BLADE CLEARANCE CONTROL | 2 |
Dirk Haberstock | DE | Kandelburg | 2011-08-18 / 20110200894 - Fuel cell system | 1 |
Dirk Haberstock | CH | Winterthur | 2009-08-27 / 20090214914 - Plant with High-Temperature Fuel Cells and Clamping Device for a Cell Stack | 1 |
Emanuel Haberstock | DE | Bernstadt | 2015-06-25 / 20150175264 - SOUND PROTECTION DEVICE FOR SEPARATING OFF A SPACE IN AN AIRCRAFT | 1 |
Karen Marie Haberstroh | US | Barrington | 2008-10-09 / 20080249607 - Biocompatable Nanophase Materials | 1 |
Markus Haberstroh | DE | Landsberg | 2015-03-05 / 20150061221 - Stacker Wheel for Stacking Sheets | 1 |
Frank Haberstroh | DE | Waldkirch | 2015-04-16 / 20150105873 - Optoelektronischer Sicherheitssensor | 1 |
Klaus Haberstroh | DE | Ludwigshafen | 2011-03-31 / 20110076687 - FLUORESCENCE STANDARD, AND THE USE THEREOF | 1 |
Klaus Haberstroh | DE | Bodman-Ludwigshafen | 2011-03-24 / 20110067489 - SAMPLE HOLDER AND METHOD OF USING THE SAME | 3 |
Martin Haberstroh | US | 2009-01-01 / 20090006248 - Transfer of Title Through Intermediary | 1 | |
James A. Haberstroh | US | Vernon Hills | 2014-07-10 / 20140190124 - MODULAR STRAP FEED HEAD WITH FORMING WHEELS | 9 |
James A. Haberstroh | US | Vernon Hills | 2014-07-10 / 20140190124 - MODULAR STRAP FEED HEAD WITH FORMING WHEELS | 9 |
Charles Haberstroh | US | San Diego | 2012-03-08 / 20120058454 - Sketching Devices having Improved Geometry | 3 |
Martin P. Haberstroh | US | San Diego | 2012-03-08 / 20120058454 - Sketching Devices having Improved Geometry | 3 |
Marc Haberstroh | US | San Jose | 2015-07-09 / 20150191784 - Fast-Indexing Filter Wheel and Method of Use | 3 |
Markus Haberstroh | DE | Landsberg Am Lech | 2013-10-24 / 20130277910 - APPARATUS FOR STACKING SHEET MATERIAL | 1 |
Tobias Haberstroh | DE | Munchen | 2013-10-24 / 20130277910 - APPARATUS FOR STACKING SHEET MATERIAL | 1 |
Andrea K. Haber-Stuk | US | Mattawan | 2015-09-24 / 20150266910 - PHENICOL ANTIBACTERIALS | 2 |
Cédric Habert | FR | Le Fay Saint Quentin | 2013-08-08 / 20130199695 - METHOD FOR PRODUCING A TRIM ELEMENT HAVING A DECORATIVE AREA WITH A PARTICULAR APPEARANCE | 1 |
Marie-Odile Habert | FR | Paris | 2011-02-24 / 20110046451 - METHOD AND AUTOMATED SYSTEM FOR ASSISTING IN THE PROGNOSIS OF ALZHEIMER'S DISEASE, AND METHOD FOR TRAINING SUCH A SYSTEM | 1 |
Cédric Habert | FR | Le Fay Saint Quentin | 2014-03-27 / 20140087095 - Element Having a Decorative Surface | 2 |
Joseph Habert | US | Brooklyn | 2016-02-04 / 20160029705 - Dual Layer Slipper Sock and Method of Manufacturing Same | 3 |
Mathieu Habert | CH | Rheinfelden | 2015-08-27 / 20150241094 - POWER ELECTRONICS COOLING | 8 |
Didier Habert | FR | Paris | 2014-01-16 / 20140015519 - Flow Valve and Method of Manufacturing such a Valve | 1 |
Patrice Habert | FR | Cerny | 2010-06-03 / 20100138119 - METHOD FOR CONTROLLING THE OPERATION OF A POWER UNIT GROUP | 2 |
Mathieu Habert | CH | Rheinfelden | 2015-08-27 / 20150241094 - POWER ELECTRONICS COOLING | 8 |
Mathieu Habert | CH | Baden Daettwil | 2016-02-25 / 20160054074 - COOLING ELEMENT | 2 |
Rodney J. Haberte | US | Zimmerman | 2014-10-16 / 20140309719 - Lead End Having Slotted Member | 1 |
Estelle Habert-Ortoli | FR | Paris | 2011-06-23 / 20110147333 - DEVICE FOR PACKAGING TWO PRODUCTS TO BE MIXED AND FOR DISPENSING THE MIXTURE OF THESE PRODUCTS | 1 |
Rosemary Habeshaw | GB | Hertfordshire | 2011-07-21 / 20110178469 - INJECTION DEVICE | 3 |
Roderick Habeshaw | GB | Dundee | 2014-07-03 / 20140187945 - Ultrasound Probe | 1 |
Geir Habesland | NO | Oslo | 2014-12-04 / 20140352979 - COLLAR | 2 |
Jörg Habetha | DE | Aachen | 2009-10-01 / 20090248829 - INTERCONNECTION OF WIRELESS NETWORKS USING A MASTER/SLAVE NODE | 4 |
Joerg Habetha | NL | Eindhoven | 2010-12-23 / 20100324405 - ELECTRODE FOR ACQUIRING PHYSIOLOGICAL SIGNALS OF A RECIPIENT | 1 |
Jörg Habetha | DE | Aachen | 2011-08-11 / 20110194519 - DISTRIBUTED RESOURCE RESERVATION IN A WIRELESS ADHOC NETWORK | 2 |
Joerg Habetha | DE | Aachen | 2016-03-24 / 20160088654 - METHOD FOR ACCESS TO A MEDIUM BY A MULTI-CHANNEL DEVICE | 10 |
Thomas G. Habetler | US | Snellville | 2014-12-04 / 20140358456 - METHOD AND SYSTEM EMPLOYING FINITE STATE MACHINE MODELING TO IDENTIFY ONE OF A PLURALITY OF DIFFERENT ELECTRIC LOAD TYPES | 9 |
Thomas G. Habetler | US | Snellville | 2014-12-04 / 20140358456 - METHOD AND SYSTEM EMPLOYING FINITE STATE MACHINE MODELING TO IDENTIFY ONE OF A PLURALITY OF DIFFERENT ELECTRIC LOAD TYPES | 9 |
Gaston Habets | US | Pleasant Hill | 2015-10-15 / 20150290205 - COMPOUNDS AND METHODS FOR KINASE MODULATION, AND INDICATIONS THEREFOR | 4 |
Danny Habets | BE | Genk | 2011-10-13 / 20110247458 - Method for Influencing the Properties of Cast Iron | 2 |
Gilbert Lucien Gertrud Marie Habets | GB | Berkshire | 2010-03-04 / 20100050752 - METHOD AND DEVICE TO MEASURE, TEST AND/OR MONITOR TURBINE PERFORMANCE | 1 |
Sander Habets | NL | Berghem | 2008-11-06 / 20080273179 - Projection System and Method for Operating a Projection System | 1 |
Raymond J.e. Habets | NL | Eindhoven | 2008-09-25 / 20080232661 - Method and Apparatus Featuring Simple Click Style Interactions According To a Clinical Task Workflow | 1 |
Raymond Joseph Elisabeth Habets | NL | Eindhoven | 2015-07-30 / 20150213608 - TUBULAR STRUCTURE TRACKING | 8 |
Leonard Hubertus Alphonsus Habets | NL | Sneek | 2009-12-17 / 20090308806 - Anaerobic purification device | 1 |
Emanuel Habets | NL | Spardorf | 2015-10-29 / 20150310857 - APPARATUS AND METHOD FOR PROVIDING AN INFORMED MULTICHANNEL SPEECH PRESENCE PROBABILITY ESTIMATION | 1 |
Joseph Hubert Marie Habets | NL | Oirsbeek | 2015-04-30 / 20150119693 - IMAGE GUIDED RADIATION THERAPY APPARATUS | 2 |
Raymond J. E. Habets | NL | Eindhoven | 2010-05-13 / 20100121846 - FILTER BY EXAMPLE | 1 |
Roberto Arnoldus Dominicus Maria Habets | NL | Echt | 2015-05-14 / 20150132564 - COMPOSITION AND PROCESS FOR MAKING A POROUS INORGANIC OXIDE COATING | 2 |
Philippe Habets | NL | Maastricht | 2010-06-24 / 20100155204 - MULTI-STABLE MICRO ELECTROMECHANICAL SWITCHES AND METHODS OF FABRICATING SAME | 1 |
Raymond Joseph Elisabeth Habets | NL | Eindhoven | 2015-07-30 / 20150213608 - TUBULAR STRUCTURE TRACKING | 8 |
Gaston G. Habets | US | Pleasant Hill | 2014-12-04 / 20140357612 - COMPOUNDS FOR KINASE MODULATION, AND INDICATIONS THEREFOR | 1 |
Emanuel Habets | DE | Spardorf | 2016-02-04 / 20160037283 - APPARATUS AND METHOD FOR CENTER SIGNAL SCALING AND STEREOPHONIC ENHANCEMENT BASED ON A SIGNAL-TO-DOWNMIX RATIO | 6 |
Raymond J. Habets | NL | Eindhoven | 2011-09-29 / 20110235891 - VESSEL ANALYSIS | 1 |
Roberto Arnoldus Dominicus Maria Habets | NL | Geleen | 2013-08-08 / 20130202895 - INORGANIC OXIDE COATING | 1 |
Boris Habets | DE | Dresden | 2015-01-15 / 20150019192 - Method and Apparatus for Simulation of Lithography Overlay | 4 |
Veerle Habex | BE | Gullegem | 2013-11-28 / 20130316348 - HERBICIDE TOLERANT COTTON PLANTS AND METHODS FOR IDENTIFYING SAME | 11 |
Veerle Habex | BE | Belgium | 2013-03-07 / 20130059300 - Insect Resistant Cotton Plants And Methods For Identifying Same | 1 |
Veerle Habex | BE | Gullegem | 2013-11-28 / 20130316348 - HERBICIDE TOLERANT COTTON PLANTS AND METHODS FOR IDENTIFYING SAME | 11 |
Gregory J. Habgood | US | Merrimac | 2010-12-09 / 20100311773 - NOVEL ANABASEINE DERIVATIVES, PHARMACEUTICAL COMPOSITIONS AND METHODS OF USE THEREOF | 2 |
Abdelkrim Habi | CA | Pierrefonds | 2015-05-14 / 20150133385 - PEPTIDE COMPOUNDS DERIVED FROM MELANOTRANSFERRIN AND USES THEREOF | 5 |
Abdelkrim Habi | CA | Ville D'Anjou | 2009-07-09 / 20090176869 - Halogenated Rhodamine Derivatives and Applications Thereof | 1 |
Mohammed Habib | FR | Saint Etienne | 2014-11-20 / 20140342349 - USE OF AT LEAST ONE BIOMARKER FOR THE IN VITRO PROGNOSIS OR DIAGNOSIS OF LYMPHOPROLIFERATIVE EPISODES ASSOCIATED WITH THE EPSTEIN-BARR VIRUS (EBV) | 1 |
Wassim S. Habib | US | Dover | 2012-02-02 / 20120025849 - INTRUSION DETECTION AND TRACKING SYSTEM | 3 |
Hakem Mohamedali Habib | CA | Oshawa | 2009-06-11 / 20090149166 - METHOD, SYSTEM AND APPARATUS FOR CONVEYING AN EVENT REMINDER | 1 |
Mohamadinejad Habib | US | Barlett | 2011-04-28 / 20110094315 - Method and apparatus for sensing applied forces | 1 |
Mohamed Abdel-Aziz Habib | SA | Dhahran | 2015-09-24 / 20150267611 - APPLICATIONS OF OXY-FUEL COMBUSTION TECHNOLOGY INTO GAS TURBINE COMBUSTORS AND ION TRANSPORT MEMBRANE REACTORS | 9 |
Michel Habib | IL | Ramat Gan | 2015-11-19 / 20150327699 - VACUUM PACKAGING | 2 |
Sana Habib | PK | Islamabad | 2014-05-15 / 20140133520 - NETWORK MANAGEMENT SYSTEM FOR FREQUENCY HOPPED TACTICAL RADIOS | 1 |
Sami Habib | US | 2011-06-09 / 20110137978 - CAPACITY PLANNING TOOL FOR THE WEB | 1 | |
Ahsan Habib | US | Roanoke | 2015-09-03 / 20150245608 - CHITOOLIGOSACCHARIDES AND METHODS FOR USE IN ENHANCING PLANT GROWTH | 10 |
Laurent Habib | IL | Moshav Shapira | 2013-03-28 / 20130075611 - ANTENNA FOR USE IN THZ TRANSCEIVERS | 3 |
Aaqib Mohammed Habib | US | Pittsford | 2014-12-11 / 20140364711 - ALL-IN-ONE ANALYTE SENSOR IN A DETACHABLE EXTERNAL MOBILE DEVICE CASE | 1 |
Nagy Habib | GB | London | 2013-08-15 / 20130211176 - ENHANCED ABLATION APPARATUS | 8 |
Walib Habib | US | Crystal | 2011-03-24 / 20110070169 - Effervescent Oral Fentanyl Dosage Form and Methods of Administering Fentanyl | 1 |
Walid Habib | US | Brooklyn Park | / - | 2 |
Nazmul Habib | US | Colchester | 2015-09-17 / 20150262653 - METHODS AND CIRCUITS FOR GENERATING PHYSICALLY UNCLONABLE FUNCTION | 3 |
Naomi Habib | US | Cambridge | 2014-07-03 / 20140186843 - METHODS, SYSTEMS, AND APPARATUS FOR IDENTIFYING TARGET SEQUENCES FOR CAS ENZYMES OR CRISPR-CAS SYSTEMS FOR TARGET SEQUENCES AND CONVEYING RESULTS THEREOF | 1 |
Ayman Habib | CA | Calgary | 2009-06-18 / 20090154793 - DIGITAL PHOTOGRAMMETRIC METHOD AND APPARATUS USING INTERGRATED MODELING OF DIFFERENT TYPES OF SENSORS | 1 |
Youssef M. Habib | US | Lancaster | 2012-02-09 / 20120034524 - Nano-Composite Anode for High Capacity Batteries and Methods of Forming Same | 4 |
Tony F. Habib | US | Lancaster | 2016-01-07 / 20160001202 - REMOTE SUBMERGED CHAIN CONVEYOR | 7 |
Farooq Habib | CA | Vancouver | 2015-10-08 / 20150288974 - VIDEO ACQUISITION AND PROCESSING SYSTEMS | 2 |
Martine Habib | US | Woodside | 2009-04-23 / 20090106793 - Multimedia Presentation Resumption within an Environment of Multiple Presentation Systems | 3 |
Adnan Mohsin Habib | US | Tracy | 2012-06-07 / 20120143597 - System and Methods for Evaluating Feature Opinions for Products, Services, and Entities | 3 |
Robert H. Habib | LB | Beirut | / - | 1 |
May Habib | US | San Francisco | 2016-04-07 / 20160098261 - Remote Localization Platform | 1 |
Ibrahim Habib | FR | Le Kremlin-Bicêtre | 2016-03-24 / 20160083481 - FUSION PROTEINS AND IMMUNOCONJUGATES AND USES THEREOF | 1 |
Walid Habib | US | Crystal | 2011-03-31 / 20110073518 - Generally Linear Effervescent Oral Fentanyl Dosage Form and Methods of Administering | 1 |
Toni S. Habib | US | Marlborough | 2012-02-02 / 20120025849 - INTRUSION DETECTION AND TRACKING SYSTEM | 3 |
Ahsan Habib | US | Los Gatos | 2010-09-02 / 20100220631 - Method for Bring-Up of Voice Over Internet Protocol Telephones | 1 |
Nabil Habib | LB | Beirut | / - | 1 |
Tariq Habib | CA | Toronto | 2013-06-20 / 20130159194 - SYSTEMS AND METHODS FOR AUTHENTICATING BENEFIT RECIPIENTS | 1 |
Mohamed Habib | CA | Sherbrooke | 2013-05-16 / 20130123790 - BONE CEMENT DELIVERY SYSTEM | 1 |
Atif Habib | US | Folsom | 2012-06-14 / 20120147682 - MEMORY ELEMENTS HAVING CONFIGURABLE ACCESS DUTY CYCLES AND RELATED OPERATING METHODS | 1 |
Nagy Adly Habib | GB | London | 2013-08-22 / 20130218155 - METHOD OF USING ELECTROSURGICAL DEVICE FOR RESTRICTING LOSS OF BLOOD DURING SURGERY | 3 |
Muhammad Habib | US | Palmyra | 2009-10-01 / 20090241342 - Clipper having cutting jaws for cutting nails and preventing nail clippings formed thereby from scattering by having the cutting jaws be a part of a receptacle for the nail clippings when the cutting jaws are closed | 1 |
Nazmul Habib | US | Essex Junction | 2010-12-16 / 20100318313 - MEASUREMENT METHODOLOGY AND ARRAY STRUCTURE FOR STATISTICAL STRESS AND TEST OF RELIABILTY STRUCTURES | 1 |
Walid A. Habib | US | Maple Grove | / - | 2 |
Nagy A. Habib | GB | London | 2011-10-27 / 20110262392 - MEDIUM DERIVED FROM STEM CELLS AS A PHARMACEUTICAL COMPOSITION | 1 |
Nagy Habib | UK | London | 2010-02-25 / 20100049191 - TISSUE ABLATOR | 1 |
Rana Khalid Habib | US | Katy | 2009-07-30 / 20090188666 - Method And System For Completing A Well | 1 |
Nagy Habib | GB | London | 2013-08-15 / 20130211176 - ENHANCED ABLATION APPARATUS | 8 |
Nazmul Habib | US | South Burlington | 2016-01-28 / 20160026517 - SYSTEM INTEGRATOR AND SYSTEM INTEGRATION METHOD WITH RELIABILITY OPTIMIZED INTEGRATED CIRCUIT CHIP SELECTION | 30 |
Muhammad Habib | US | South Plainfield | 2010-03-18 / 20100064528 - Scissors for accommodating different sized hands and for requiring a minimal force to close | 1 |
Mohamed Abdel-Aziz Habib | SA | Dhahran | 2015-09-24 / 20150267611 - APPLICATIONS OF OXY-FUEL COMBUSTION TECHNOLOGY INTO GAS TURBINE COMBUSTORS AND ION TRANSPORT MEMBRANE REACTORS | 9 |
Nagy Habib | GB | Hitchin | 2012-09-13 / 20120232326 - LUMENAL REMODELLING DEVICE AND METHODS | 1 |
Walid A. Habib | SA | Riyadh | 2015-02-19 / 20150050336 - Taste Masking System For Non-Plasticizing Drugs | 3 |
Ahsan Habib | US | Roanoke | 2015-09-03 / 20150245608 - CHITOOLIGOSACCHARIDES AND METHODS FOR USE IN ENHANCING PLANT GROWTH | 10 |
Taufiq Habib | US | 2010-07-22 / 20100181483 - Through-substrate optical imaging device and method | 1 | |
Pierre Habib | FR | Paris | 2011-05-19 / 20110116868 - METHOD FOR CONSTRUCTING AN UNDERGROUND TUNNEL OR HOLE TO CREATE AN IMPERVIOUS PLUG FOR THE STORAGE OF HAZARDOUS, PARTICULARLY RADIOACTIVE, WASTE | 1 |
Babur Habib | US | New York | 2015-05-28 / 20150149346 - SYSTEMS AND METHODS FOR SUPPORTING CHARITABLE CONTRIBUTIONS | 1 |
Wassim S. Habib | US | Sudbury | 2011-03-17 / 20110063110 - INTRUSION DETECTION AND TRACKING SYSTEM | 1 |
Md Ahsan Habib | US | Sunnyvale | 2011-09-29 / 20110238290 - NAVIGATION SYSTEM WITH IMAGE ASSISTED NAVIGATION MECHANISM AND METHOD OF OPERATION THEREOF | 1 |
Phillippe Habib | US | Mountain View | 2010-02-04 / 20100026086 - TWO-WIRE ADDRESSING SCHEME | 1 |
Md Ahsan Habib | US | Santa Clara | 2015-10-01 / 20150276415 - NAVIGATION SYSTEM WITH DEVICE RECOGNITION MECHANISM AND METHOD OF OPERATION THEREOF | 5 |
Mohamed A. Habib | SA | Dhahran | 2015-10-08 / 20150285534 - SOLAR COLLECTOR WITH OPTIMAL PROFILE FOR ENERGY DISTRIBUTION ON A TUBULAR RECEIVER | 4 |
Hussam Habib | US | Danville | 2012-05-24 / 20120131085 - SYSTEM AND METHOD FOR PROVIDING ACCESS TO A WORK | 2 |
Youssef Habib | US | Lancaster | 2010-08-05 / 20100193768 - SEMICONDUCTING NANOWIRE ARRAYS FOR PHOTOVOLTAIC APPLICATIONS | 3 |
Kazi Rubaiat Habib | SG | Singapore | 2014-07-17 / 20140201682 - ENGAGING PRESENTATION THROUGH FREEFORM SKETCHING | 1 |
Babur Habib | US | Santa Clara | 2013-02-14 / 20130042173 - Integrated electronic compilation of study material | 2 |
Babur Habib | US | San Francisco | 2015-12-31 / 20150378535 - APPARATUS AND METHOD FOR DIGITAL CONTENT NAVIGATION | 6 |
Rana Khalid Habib | CN | Shekou | 2013-05-30 / 20130133885 - Formation Fluid Sampling | 1 |
Shukry J. Habib | US | Stanford | 2014-06-19 / 20140171356 - CHEMICALLY IMMOBILIZED WNT PROTEIN AND METHODS OF USE | 1 |
Riyaz Habibbhai | US | Kirkland | 2015-11-12 / 20150324193 - Remotable Project | 3 |
Salaheldin Habibi | SA | Riyadh | 2013-09-19 / 20130245218 - PROCESS FOR MAKING A SOLID CATALYST COMPONENT FOR ETHYLENE POLYMERIZATION AND COPOLYMERIZATION | 1 |
Imam Habibi | FR | Nice | 2015-10-08 / 20150286960 - MEDIA INPUT RESERVATION SYSTEM | 1 |
Masood Habibi | US | Beverly Hills | 2015-04-02 / 20150090287 - Curling Iron | 4 |
Hamid Habibi | US | Holland | 2016-04-28 / 20160114731 - SUN VISOR AND VANITY MIRROR ASSEMBLY FOR VEHICLE | 42 |
Eddie Habibi | US | Houston | 2015-11-19 / 20150330872 - METHOD AND SYSTEM FOR AUTOMATION, SAFETY AND RELIABLE OPERATION PERFORMANCE ASSESSMENT | 1 |
Masoud Habibi | DE | Schwieberdingen | 2015-12-03 / 20150346045 - PRESSURE SENSOR MODULE | 7 |
Maryam Habibi | US | Fremont | 2013-07-11 / 20130178129 - Toy Connector System | 1 |
Hamid Habibi | US | Calabasas | 2013-09-26 / 20130248496 - PRECISION RIBBON RESISTANCE WELDING SYSTEM | 2 |
Sasan Habibi Naini | CH | Rikon | 2014-04-03 / 20140091094 - SET OF MULTICOMPONENT CARTRIDGES | 15 |
Sasan Habibi Naini | CH | Rikon | 2014-04-03 / 20140091094 - SET OF MULTICOMPONENT CARTRIDGES | 15 |
Sasan Habibi-Naini | DE | Rikon | 2014-11-27 / 20140346133 - Childproof Closure for a Dispensing Apparatus | 1 |
Sasan Habibi-Naini | DE | Fischerhude | 2015-12-31 / 20150374459 - METHOD FOR MANUFACTURING A CARTRIDGE FOR CONTAINING AND METERABLY DISPENSING A FLOWABLE DENTAL MATERIAL AND SUCH A CARTRIDGE | 3 |
Edward T. Habib, Jr. | US | Ellicott City | 2011-09-15 / 20110224068 - LOW SMALL MESOPOROUS PEAK CRACKING CATALYST AND METHOD OF USING | 1 |
Edward Thomas Habib, Jr. | US | Ellicott City | 2011-09-15 / 20110220549 - Low Small Mesoporous Peak Cracking Catalyst and Method of Using | 1 |
Alex Habibvand | US | Orange | 2016-03-17 / 20160076585 - DOUBLE ROW PRELOADED BALL BEARING WITH SPACER BALLS | 17 |
Sarry Habiby | US | Middletown | 2013-01-17 / 20130016837 - OCDM-BASED ALL OPTICAL MULTI-LEVEL SECURITY | 2 |
Bahman Habibzadeh | US | North Bethesda | 2015-05-07 / 20150125769 - METHOD OF OPERATING A FUEL CELL POWER SYSTEM | 1 |
Dejan S. Habic | US | Windham | 2012-08-02 / 20120197566 - INSTRUMENTATION FOR MEASUREMENT OF CAPACITANCE AND RESISTANCE AT HIGH RESISTANCE VALUES WITH IMPROVED DYNAMIC RANGE AND METHOD FOR USING SAME | 1 |
Edgar Habich | CH | Merenschwand | 2012-04-26 / 20120100340 - FLAT SUBSTRATE WITH ORGANIC BASIS, USE OF SUCH A SUBSTRATE, AND METHOD | 1 |
Christian Habich | DE | Gravenwiesbach | 2015-11-26 / 20150336672 - METHOD FOR PROTECTING A PASSENGER AND ARRANGEMENT CONSISTING OF A FOLDING TABLE AND A SEAT SCREEN | 1 |
Bohdan Habich | PL | Warsaw | 2010-02-11 / 20100032501 - Water mist generating head | 1 |
Mathias Habich | DE | Mannheim | 2012-07-05 / 20120174064 - MANAGEMENT OF OBJECTS WITHIN A META-DATA REPOSITORY | 1 |
Dana Berlinde Habich | DE | Erlangen | 2012-01-05 / 20120003485 - Monolayers of organic compounds on metal oxide surfaces or metal surfaces containing oxide and component produced therewith based on organic electronics | 1 |
Andreas Habich | DE | Speyer | 2014-01-23 / 20140023712 - Aqueous Transparent Oil-In-Water Emulsion Comprising an Emulsified Carotenoid | 2 |
Tilo Habicher | DE | Speyer | 2015-05-07 / 20150125936 - LACCASES FOR BIO-BLEACHING | 7 |
Michael Franz Habicher | CA | Waterloo | 2011-12-29 / 20110319124 - METHOD AND APPARATUS FOR IMPROVING POWER AMPLIFIER EFFICIENCY IN WIRELESS COMMUNICATION SYSTEMS HAVING HIGH PEAK TO AVERAGE POWER RATIOS | 3 |
Michael F. Habicher | CA | Cambridge | 2011-11-24 / 20110285346 - MULTIFUNCTIONAL CHARGER SYSTEM AND METHOD | 8 |
Michael F. Habicher | CA | Toronto | 2012-11-22 / 20120293113 - MULTIFUNCTIONAL CHARGER SYSTEM AND METHOD | 2 |
Michael Habicher | CA | Toronto | 2012-12-27 / 20120329411 - Method and Apparatus for Improving Power Amplifier Efficiency in Wireless Communication Systems Having High Peak to Average Power Ratios | 1 |
Michael F. Habicher | CA | Cambridge | 2011-11-24 / 20110285346 - MULTIFUNCTIONAL CHARGER SYSTEM AND METHOD | 8 |
Michael F. Habicher | CA | Waterloo | 2010-05-13 / 20100117595 - Method and Apparatus for Handling a Charging State in a Mobile Electronic Device | 2 |
Annika Habicht | DE | Bohmte | 2011-01-27 / 20110021652 - COARSE-CELL POLYURETHANE ELASTOMERS | 1 |
Joerg Habicht | DE | Sinzheim | 2014-08-21 / 20140234425 - AQUEOUS FUNGICIDAL COMPOSITION AND USE THEREOF FOR COMBATING HARMFUL MICROORGANISMS | 2 |
Jörg Habicht | DE | Sinzheim | 2012-12-06 / 20120308840 - FORMULATION AND USE THEREOF | 2 |
Daniel Charles Habicht | US | Peachtree City | 2015-04-30 / 20150114909 - LIGHT WEIGHT ORIENTED NET ASSEMBLY FOR OIL CAPTURE AND CONTAINMENT | 2 |
Geoff Habicht | US | Baltimore | 2014-07-03 / 20140182777 - METHOD OF MAKING COMPOSITE STONE PANELS | 2 |
Gernot Habicht | DE | Gera | 2010-10-21 / 20100266686 - ANTI-AMYLOID ANTIBODIES AND THEIR USE IN DIAGNOSIS AND THERAPY OF AMYLOID DISEASES | 1 |
Jörg Habicht | DE | Sinzheim | 2015-04-16 / 20150104487 - FORMULATION AND USE THEREOF | 2 |
Todd Habicht | CA | Winnipeg | 2016-02-25 / 20160053190 - Processing Diesel Fuel From Waste Oil | 2 |
Barney Habicht | CA | Winnipeg | 2014-07-24 / 20140202926 - System and Method for Processing Diesel Fuel from Waste Oil | 1 |
Bernhard G. Habicht (deceased) | CA | Winnipeg | 2016-02-25 / 20160053190 - Processing Diesel Fuel From Waste Oil | 1 |
Jacques Alexandre Habif | FR | Paris | 2011-06-23 / 20110147287 - Membrane Fixation System for a Swimming-Pool Cleaning Apparatus | 1 |
Jacques-Alexandre Habif | FR | Garches | 2009-07-30 / 20090188788 - Method for Chlorinating Water by Electrolysis and/or Light Projection for Pool Operating with Mechanical Energy of the Delivery Nozzle | 1 |
Jonathan Lenahan Habif | US | Arlington | 2012-03-29 / 20120076503 - SYSTEMS AND METHODS FOR QUANTUM ILLUMINATION DETECTION FOR OPTICAL COMMUNICATIONS AND TARGET DETECTION | 2 |
David Vazquez Del Mercado Habif | MX | Mexico City | 2010-10-14 / 20100263055 - METHOD AND SYSTEM FOR CONTROLLING THE USE OF AN ELECTRONIC DEVICE | 1 |
Jonathan L. Habif | US | Arlington | 2015-12-31 / 20150377738 - SYSTEM AND METHOD FOR OPTICALLY READING A SENSOR ARRAY | 3 |
Dana Marie Vajen Habig | US | Minneapolis | 2014-09-18 / 20140263459 - PRODUCT AND DISPENSING EQUIPMENT HOUSING | 1 |
Michael Habig | DE | Kiel | 2011-11-03 / 20110269239 - Apparatus for Automatically Performing Analyses | 1 |
Michael M. Habig | US | Minneapolis | 2013-06-20 / 20130156351 - REUSABLE BAG | 1 |
Ellen Habig | DE | Berlin | 2012-06-28 / 20120160698 - POLYMERS HAVING TERMINAL AMINO GROUPS AND USE THEREOF AS ADDITIVES FOR ZINC AND ZINC ALLOY ELECTRODEPOSITION BATHS | 3 |
Jeffrey W. Habig | US | Boise | 2016-04-14 / 20160102371 - EVENT-SPECIFIC DETECTION METHODS | 2 |
Meghan E. Habig | US | Sheridan | 2008-11-06 / 20080271684 - ANIMAL WASTE COLLECTION APPARATUS | 1 |
Nariman Habili | AU | Eveleigh, Nsw | 2016-03-03 / 20160065859 - AUTOMATIC EXPOSURE CONTROL FOR CAMERAS | 1 |
Jean De La Croi Habimana | BE | Lede | 2012-07-26 / 20120186631 - ENCAPSULATION OF SOLAR CELLS | 1 |
Jean De La Croix Habimana | BE | Maurage | 2015-08-13 / 20150225557 - PRODUCTION OF BLEND OF POLYOLEFIN AND ORGANOPOLYSILOXANE | 1 |
Yolonda P. Habimana | US | Frisco | 2009-03-12 / 20090064412 - Baby Diaper-Changing Pad Cover | 1 |
Jean Da La Croix Habimana | BE | Morlanwelz | 2008-11-13 / 20080276983 - Encapsulation of Photovoltaic Cells | 1 |
Jean De La Croix Habimana | BE | Morlanwelz | 2012-11-08 / 20120283346 - Modified Polyolefins | 3 |
Theodore G. Habing | US | Tustin | 2014-02-20 / 20140051552 - ELLIPTICAL MOTION EXERCISER | 11 |
Douglas John Habing | US | Long Beach | 2013-12-19 / 20130337981 - HYBRID RESISTANCE SYSTEM | 1 |
Theodore G. Habing | US | Tustin | 2014-02-20 / 20140051552 - ELLIPTICAL MOTION EXERCISER | 11 |
Douglas J. Habing | US | Long Beach | 2011-04-21 / 20110092343 - Single Apparatus Converging/Diverging Exercise Machine | 2 |
Theodore Gerard Habing | US | Tustin | 2015-08-06 / 20150216309 - ADJUSTABLE LOUNGE GARDEN SWING | 1 |
Masanobu Habiro | JP | Tsukuba | 2011-02-03 / 20110027994 - POLISHING SLURRY FOR CMP | 1 |
Masanobu Habiro | JP | Hitachi-Shi | 2011-11-10 / 20110275285 - POLISHING SOLUTION FOR CMP AND POLISHING METHOD USING THE POLISHING SOLUTION | 2 |
Uwe Habisreitinger | DE | Freundenstadt | 2013-12-19 / 20130334839 - Floor Module for a Motor Vehicle with a Variable Driving Concept | 1 |
Uew Habisreitinger | DE | Freudenstadt | / - | 1 |
Uwe Habisreitinger | DE | Freudenstadt | 2014-03-27 / 20140082918 - Method for Assembling Motor Vehicles | 2 |
Uwe Habisreitinger | DE | Lossburg | 2011-06-02 / 20110130859 - Method and Device for Carrying out a Work Operation on a Moving Workpiece | 3 |
Uwe Habisreitinger | DE | Freudenstadt/dietersweiler | 2012-12-06 / 20120308343 - Assembly Device | 1 |
Peter A. Habitz | US | Essex Junction | 2011-03-03 / 20110055793 - TIMING CLOSURE ON MULTIPLE SELECTIVE CORNERS IN A SINGLE STATISTICAL TIMING RUN | 3 |
Peter Anton Habitz | US | Hinesburg | 2013-01-10 / 20130014075 - DESIGN-DEPENDENT INTEGRATED CIRCUIT DISPOSITION | 2 |
Arthur Habitz | US | Berkley | 2012-06-07 / 20120138562 - TAMPER EVIDENT, CHILD RESISTANT CONTAINER | 3 |
Peter A. Habitz | US | Hinesburg | 2015-03-19 / 20150082260 - MODELING MULTI-PATTERNING VARIABILITY WITH STATISTICAL TIMING | 43 |
Arthur W. Habitz | US | Berkley | 2009-02-12 / 20090039051 - Molded Plastic Container Assembly With Tear Strip | 1 |
Rok Habjan | US | 2015-02-05 / 20150034752 - DUAL SELF-WINDING RETRACTABLE DEVICE FOR A WIRE OF A HEADPHONE | 1 | |
Nada Habka | FR | Paris | 2014-11-20 / 20140338744 - Process For Texturing The Surface Of A Silicon Substrate, Structured Substrate And Photovoltaic Device Comprising Such A Structured Substrate | 1 |
Wolfram Hable | DE | Neumarkt | 2015-09-17 / 20150264796 - Electronic module and method of manufacturing the same | 6 |
Brock A. Hable | US | Woodbury | 2016-03-17 / 20160077637 - MESH PATTERNS FOR TOUCH SENSOR ELECTRODES | 11 |
Karl-Heinz Hable | DE | Vilsbiburg | 2011-12-29 / 20110319655 - CATALYST SUPPORT, PROCESS FOR ITS PREPARATION AND USE | 1 |
Daniel T. Hable | US | Seattle | 2011-03-10 / 20110060801 - AUTOMATIC INTEGRATION OF A MAIL SERVER WITH INTERNET SERVER (IS) | 1 |
Christopher T. Hable | US | Romeo | 2016-03-10 / 20160068726 - ADHESIVE COMPOSITION AND ARTICLE INCLUDING THE SAME | 4 |
Christopher Hable | US | Romeo | 2012-05-10 / 20120111488 - HANDLING LAYER AND ADHESIVE PARTS FORMED THEREWITH | 4 |
Daniel Thaddeus Hable | US | Seattle | 2011-12-01 / 20110295960 - MOBILE WIRELESS COMMUNICATIONS DEVICE FOR STORING E-MAIL SEARCH RESULTS AND ASSOCIATED METHODS | 1 |
Brock A. Hable | US | Woodbury | 2016-03-17 / 20160077637 - MESH PATTERNS FOR TOUCH SENSOR ELECTRODES | 11 |
Brock A. Hable | US | St. Paul | 2010-03-04 / 20100051692 - DETECTION AND TRACKING OF ENVIRONMENTAL PARAMETERS | 1 |
Reed Hablinski | US | Houston | 2013-05-02 / 20130106757 - FIRST RESPONSE AND SECOND RESPONSE | 1 |
Christopher Habliston | US | San Jose | 2016-03-03 / 20160066040 - WATCH NEXT SERVICE | 8 |
Elodie Hablot | FR | Mangiennes | 2015-10-15 / 20150291741 - POLYOLS FROM PROTEIN BIOMASS | 1 |
Elodie Hablot | US | Lansing | 2014-06-19 / 20140171535 - POLYOLS FROM BIOMASS AND POLYMERIC PRODUCTS PRODUCED THEREFROM | 1 |
Annette Habluetzel | IT | Camerino (mc) | 2013-11-07 / 20130296370 - COMPOSITION COMPRISING A PHOTOACTIVATABLE LARVICIDE | 1 |
Robert P. Hablutzel | US | West Hartford | 2011-11-17 / 20110279713 - Photo Sensor Array Using Controlled Motion | 2 |
Yaron Habot | US | Teaneck | 2009-01-15 / 20090019324 - METHOD AND APPARATUS FOR ANALYZING SERIAL DATA STREAMS | 1 |
Abdel Haboub | US | Richmond | 2014-06-12 / 20140161223 - HIGH-TEMPERATURE STRAIN CELL FOR TOMOGRAPHIC IMAGING | 1 |
Harith Haboubi | GB | Cambridge | 2013-08-29 / 20130223635 - LOW POWER AUDIO DETECTION | 1 |
Husam Haboush | AE | Al Ain | 2012-08-23 / 20120210820 - LOWER EXTREMITY VEHICLE NAVIGATION CONTROL SYSTEM | 1 |
Jody L. Habra | US | Roseville | 2009-07-30 / 20090188366 - Gift Wrap Paper Cutter | 1 |
G. Wouter Habraken | US | Austin | 2012-03-29 / 20120074223 - CARD CREDENTIAL METHOD AND SYSTEM | 3 |
Else Habraken | NL | The Hague | 2014-11-06 / 20140328979 - UNIT DOSE PACKAGE FOR NUTRITIONAL PRODUCT | 1 |
Rob Habraken | NL | Sh Nunen | 2015-10-22 / 20150300568 - SYSTEM AND METHOD FOR MANUFACTURING PRESSURE UNITS | 1 |
Gied Habraken | NL | Valkenswaard | 2016-04-21 / 20160111207 - WIRELESS POWER CONNECTOR AND WIRELESS POWER CONNECTOR SYSTEM | 6 |
Gijsbrecht Jacobus Maria Habraken | DE | Mannheim | 2013-12-05 / 20130320588 - PROCESS FOR CONNECTING TWO PLASTICS ELEMENTS TO GIVE A COMPONENT | 1 |
Jan Habraken | US | Brooklyn | 2014-02-06 / 20140033658 - DRY GOODS PORTION CONTROL CONTAINER | 1 |
Serge Habraken | BE | Comblain-Au-Pont | 2014-12-04 / 20140354952 - SYSTEM FOR AN OBSERVATION OF AN EYE AND ITS SURROUNDING AREA | 3 |
Maurice Habram | DE | Kempten | 2010-08-05 / 20100195940 - TUBULAR BAG PROVIDED WITH A COVER | 2 |
Said Habrih | DE | Muenchen | 2011-09-22 / 20110228694 - METHOD AND DEVICE FOR THE ANALYSIS OF CODE DOMAIN POWER AND CODE DOMAIN ERROR POWER | 1 |
Mark Andrew Habrle | US | Pewaukee | 2010-01-21 / 20100011525 - Telescoping Collapsible Bath Brush | 1 |
Dietrich Habs | DE | Schriesheim | 2013-06-27 / 20130163707 - Method for Producing Isotopes, in particular Method for Producing Radioisotopes by Means of Gamma-Beam Irradiation | 1 |
Jana Habsuda | AU | Knoxfield | 2010-12-09 / 20100307951 - Starch Nanocomposite Materials | 1 |
Abraha Habtemariam | GB | Edinburgh | 2013-03-14 / 20130065864 - NOVEL IRIDIUM/RHODIUM ANTI-CANCER COMPOUNDS | 5 |
Tesfaye G. Habtemariam | US | Alpharetta | 2013-12-19 / 20130338534 - TISSUE EXTRACTION DEVICE | 1 |
Tsegaye Habtemariam | US | Tuskegee | 2014-11-20 / 20140342366 - MODIFICATION OF SAMPLE PREPARATION TO DIFFERENTIATE LIVE AND DEAD BACTERIA BY POLYMERASE CHAIN REACTION ASSAY | 1 |
Aida Habtezion | US | Sunnyvale | 2014-04-24 / 20140112882 - Methods to treat pancreatic inflammation and associated lung injury through regulation of pancreatic interleukin-22 expression | 1 |
Kazuto Habu | JP | Hyogo | 2013-06-20 / 20130155718 - LIGHT-TRANSMITTING DECORATIVE GLASS, DECORATIVE GLASS, AND METHOD AND APPARATUS FOR MANUFACTURING DECORATIVE GLASS | 1 |
Toshiya Habu | JP | Kyoto Pref. | 2011-09-29 / 20110235033 - EMISSION SPECTROPHOTOMETER | 1 |
Tetsushi Habu | JP | Hiratsuka-Shi | 2013-04-25 / 20130102942 - INGROWN NAIL CORRECTION TOOL, METHOD FOR MANUFACTURING INGROWN NAIL CORRECTION TOOL, AND METHOD FOR IMPROVING DURABILITY AND CORRECTIVE FORCE OF INGROWN NAIL CORRECTION TOOL | 1 |
Yuichi Habu | JP | Kanagawa | 2015-08-20 / 20150235112 - INFORMATION PROCESSING DEVICE AND INFORMATION PROCESSING METHOD | 1 |
Ikue Habu | JP | Wako | 2014-01-09 / 20140007561 - CATALYTIC CONVERTER WARM-UP CONTROL APPARATUS FOR HYBRID VEHICLE | 1 |
Tomoyuki Habu | JP | Hyogo | 2014-07-17 / 20140196303 - PROCESS FOR CURING LOW-DIELECTRIC CONSTANT MATERIAL | 1 |
Kazutaka Habu | JP | Tokyo | 2015-08-27 / 20150243972 - NEGATIVE ELECTRODE ACTIVE MATERIAL, BATTERY, BATTERY PACK, ELECTRONIC APPARATUS, ELECTRIC VEHICLE, ELECTRICAL STORAGE APPARATUS AND ELECTRICITY SYSTEM | 10 |
Takeshi Habu | JP | Tokyo | 2010-12-23 / 20100320867 - Array type ultrasound probe, manufacturing method and driving method of array type ultrasound probe | 3 |
Sonoko Habu | JP | Tokyo | 2014-06-26 / 20140178408 - METHOD OF PRODUCING AN ANTIBODY | 2 |
Yo Habu | JP | Tokyo | 2016-01-28 / 20160028219 - DRIVING DEVICE FOR SEMICONDUCTOR ELEMENTS, AND SEMICONDUCTOR DEVICE | 1 |
Yoshiyuki Habu | JP | Kanagawa-Ken | 2008-10-02 / 20080243008 - Blood Pressure Measuring Apparatus and Blood Pressure Measuring Method | 1 |
Kazutaka Habu | JP | Tokyo | 2015-08-27 / 20150243972 - NEGATIVE ELECTRODE ACTIVE MATERIAL, BATTERY, BATTERY PACK, ELECTRONIC APPARATUS, ELECTRIC VEHICLE, ELECTRICAL STORAGE APPARATUS AND ELECTRICITY SYSTEM | 10 |
Toshiya Habu | JP | Kyoto-Shi | 2016-03-03 / 20160066405 - HIGH-FREQUENCY POWER SUPPLY DEVICE | 4 |
Naoto Habu | JP | Tochigi | 2009-01-08 / 20090008329 - Separating agent for enantiomeric isomers | 1 |
Masahiro Habu | JP | Gunma | 2010-09-30 / 20100244852 - TEST APPARATUS, CALIBRATION METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Takashi Habu | JP | Ibaraki-Shi | 2012-03-22 / 20120070661 - PRESSURE-SENSITIVE ADHESIVE TAPE | 7 |
Yuichi Habu | JP | Lbaraki | 2013-10-24 / 20130279958 - PRINT CONTROL APPARATUS, PRINT CONTROL SYSTEM, AND PRINT CONTROL METHOD | 1 |
Kazunori Habu | JP | Aichi | 2009-04-23 / 20090105501 - METHOD FOR PRODUCING LACTIC ACID ESTER | 1 |
Kiyoshi Habu | JP | Ibaraki | 2011-03-24 / 20110070614 - FUCOSE TRANSPORTER | 2 |
Hiroto Habu | JP | Kanagawa | 2009-01-08 / 20090007541 - THRUSTER USING NITROUS OXIDE | 1 |
Kiyoshi Habu | JP | Gotenba-Shi | 2009-04-09 / 20090092995 - Method for Identifying Within a Mammal a DNA encoding a Physiologically Active Polypeptide | 1 |
Yoshiyuki Habu | JP | Ashigarakami-Gun | 2012-07-05 / 20120172735 - BLOOD PRESSURE MEASURING APPARATUS AND BLOOD PRESSURE MEASURING METHOD | 1 |
Toru Habu | JP | Chiyoda-Ku | 2015-01-15 / 20150018243 - Plant Tissue Sampling Method and Plant Gene Analysis Method | 1 |
Hiroto Habu | JP | Sagamihara-Shi | 2015-01-08 / 20150007568 - POWER GENERATION APPARATUS, POWER GENERATION METHOD, DECOMPOSITION-GAS TURBINE AND DECOMPOSITION-GAS BOILER | 1 |
Yuichi Habu | JP | Ibaraki | 2015-08-06 / 20150220041 - PRINT CONTROL APPARATUS, PRINT CONTROL SYSTEM, AND PRINT CONTROL METHOD | 7 |
Tomoyuki Habu | JP | Himeji-Shi | 2015-12-03 / 20150351251 - DESMEARING METHOD AND DESMEARING DEVICE | 1 |
Katsuya Habu | JP | Tatsuno-Shi | 2014-08-28 / 20140239623 - GAS GENERATOR | 1 |
Kiyoshi Habu | JP | Shizuoka | 2012-09-27 / 20120244142 - ANTIBODY CAPABLE OF RECOGNIZING HLA CLASS I | 5 |
Hirochika Habu | JP | Toyota-Shi | 2015-05-28 / 20150145521 - METHOD OF SELECTING USED SECONDARY BATTERY AND METHOD OF MANUFACTURING BATTERY PACK | 1 |
Takashi Habu | JP | Osaka | 2016-04-14 / 20160105969 - METHOD FOR PRODUCING SOFT MAGNETIC FILM LAMINATE CIRCUIT BOARD | 4 |
Tsuyoshi Habu | JP | Osaka | 2011-11-10 / 20110275179 - PROTECTIVE TAPE JOINING METHOD AND PROTECTIVE TAPE USED THEREFOR | 1 |
Yukiko Habu | JP | Saitama | 2012-11-01 / 20120274846 - SECONDARY CONTENT PROVISION SYSTEM AND METHOD | 2 |
Ryoji Habuchi | JP | Toyota | 2010-04-22 / 20100099526 - Belt type continuously variable transmission | 2 |
Ryoji Habuchi | JP | Okazaki | 2009-07-02 / 20090165587 - Vehicle power transmission device | 2 |
Ryoji Habuchi | JP | Aichi-Ken | 2009-11-19 / 20090286633 - VEHICULAR BELT-DRIVEN CONTINUOUSLY VARIABLE TRANSMISSION AND CONTROL METHOD THEREOF | 1 |
Osami Habuchi | JP | Nagoya-Shi | 2010-06-03 / 20100137564 - POLYPEPTIDE OF N-ACETYLGLUCOSAMINE-6-O-SULFOTRANSFERASE AND DNA ENCODING THE SAME | 2 |
Ryoji Habuchi | JP | Okazaki-Shi | 2015-04-23 / 20150107410 - POWER TRANSMISSION UNIT FOR VEHICLE | 4 |
Masahiro Habuka | JP | Sennan-Gun | 2012-09-06 / 20120222796 - METHOD FOR PRODUCING FLUORORESIN-COATED ROLLER OR BELT | 3 |
Masahiro Habuka | JP | Osaka | 2009-09-17 / 20090232564 - METHOD OF PRODUCING OA APPARATUS ROLLER AND OA APPARATUS ROLLER | 2 |
Nobuyuki Habuka | JP | Wako-Shi | 2013-08-15 / 20130211647 - ARRANGEMENT OF AREA WIRE FOR UNMANNED AUTONOMOUS OPERATING VEHICLE AND CONTROL APPARATUS OF THE SAME | 2 |
Kensuke Habuka | JP | Bunkyo-Ku | 2013-07-18 / 20130182135 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND IMAGE PROCESSING PROGRAM | 6 |
Tetsuya Habuka | JP | Saitama | 2011-05-19 / 20110115186 - SUSPENSION ARM FOR VEHICLE | 1 |
Kensuke Habuka | JP | Tokyo | 2013-01-10 / 20130011020 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND STORAGE MEDIUM | 7 |
Hitoshi Habuka | JP | Tsukuba-Shi | 2014-11-20 / 20140338591 - CONVERGING MIRROR FURNACE | 1 |
Toshihito Habuka | JP | Tamamura | 2011-02-03 / 20110026507 - FILTER CIRCUIT AND RECEIVER USING THE SAME | 3 |
Chizu Habukawa | JP | Wakayama | 2015-07-30 / 20150209000 - BIOACOUSTIC SOUND TESTING DEVICE AND BIOACOUSTIC SOUND TESTING METHOD | 2 |
Jean-Claude Habumuremyi | BE | Haaltert | 2015-12-10 / 20150354429 - METHOD FOR MONITORING UREA QUALITY OF AN SCR SYSTEM | 13 |
Csilla Haburcakova | US | Somerville | 2013-11-14 / 20130303939 - DETECTION OF VESTIBULAR DISORDERS BASED ON VESTIBULAR NOISE | 1 |
Adi Habusha | IL | Moshar Alonee-Abba | 2012-10-11 / 20120260041 - SIMULTANEOUS EVICTION AND CLEANING OPERATIONS IN A CACHE | 1 |
Adi Habusha | IL | Moshav Alonee-Abba | 2014-05-22 / 20140143487 - SYSTEM AND METHOD FOR MANAGING TRANSACTIONS | 2 |
Adi Habusha | IL | Mosher Alonee-Abba | 2011-09-22 / 20110228674 - PACKET PROCESSING OPTIMIZATION | 1 |
Adi Habusha | IL | Haifa | 2011-09-08 / 20110219195 - PRE-FETCHING OF DATA PACKETS | 1 |
Haruhiko Habuta | JP | Osaka | 2015-09-24 / 20150270580 - ELECTRICAL STORAGE DEVICE AND METHOD FOR MANUFACTURING ELECTRICAL STORAGE DEVICES | 12 |
Reiko Habuto | JP | Kanagawa | 2009-01-29 / 20090031069 - DATA COMMUNICATION SYSTEM, CRADLE APPARATUS, SERVER APPARATUS AND DATA COMMUNICATION METHOD | 3 |
Takashi Habutsu | JP | Numazu-Shi | 2014-01-30 / 20140029626 - TCP COMMUNICATION SCHEME | 1 |
Takashi Habutsu | JP | Shizuoka | 2011-11-24 / 20110289312 - TCP COMMUNICATION SCHEME | 1 |
Akiyoshi Habutsu | JP | Yokosuka-Shi | 2015-03-05 / 20150060894 - Light Emitting Device | 1 |
Timothy L. Haby | US | Rio Medina | 2014-06-19 / 20140165954 - System and Method for Sampling Fluid From Piston Top Land Crevice of Piston Engine | 1 |
Amelie Hacala | FR | Bordeaux | 2011-11-24 / 20110284504 - METHOD OF MONITORING THE WEAR OF AT LEAST ONE OF THE ELECTRODES OF A PLASMA TORCH | 1 |
Pelin Hacarlioglu | US | Philadelphia | 2016-05-05 / 20160122266 - PRODUCTION OF SHORT CHAIN PERFLUOROALKYL IODIDES FROM HIGHER ORDER TELOMERS | 1 |
Eran Haccoon | IL | Petah Tikva | 2014-10-30 / 20140321309 - Transparent Mesh Overlay in Hub-Spoke Satellite Networks | 2 |
Farid Hacena | US | Aurora | 2010-02-04 / 20100029307 - TRANSMISSION OF TEXT/MULTIMEDIA MESSAGES OVER BEARER CONNECTIONS | 2 |
Harald Hach | DE | Oberotterbach | 2012-01-05 / 20120001361 - PREPARATION OF COMPOSITIONS WITH ESSENTIALLY NONCRYSTALLINE EMBEDDED MACROLIDE ANTIBIOTICS | 3 |
Deborah Hach | US | Palm Beach Gardens | 2013-05-02 / 20130109463 - Multivendor Progressive Gaming System | 2 |
Karl-Heinz Hach | DE | Schwieberdingen | 2014-03-27 / 20140086778 - INTERNAL GEAR PUMP, ESPECIALLY FOR A VEHICLE HYDRAULIC BRAKE SYSTEM | 1 |
Gregory Hach | US | New York | 2008-11-06 / 20080275725 - Method, system, and computer program product for identifying medical liability claims | 1 |
Forrest Christopher Hach | US | Lake Benton | 2016-03-10 / 20160069321 - SYSTEM AND METHOD FOR REMOVING AND/OR INSTALLING A ROTOR BLADE OF A WIND TURBINE | 5 |
Maik Hach | US | Cape Girardeau | 2013-05-02 / 20130105471 - SYSTEM AND APPARATUS FOR A FLEXIBLE MOISTURE ABSORBENT MICROWAVE PACKAGE | 3 |
William Hach | US | Baltimore | 2012-03-22 / 20120067184 - Vertical or Horizontal Robot for hydrodemolition of concrete | 1 |
Rainer Hach | DE | Berlin | 2013-01-24 / 20130021206 - Method and System for Multipath Reduction for Wireless Synchronizing and/or Locating | 4 |
Harald Hach | DE | Oberottenbach | 2009-11-05 / 20090274731 - PRODUCTION OF ENVELOPED PHARMACEUTICAL DOSAGE FORMS | 1 |
Gary Hachadorian | GB | Roellbach | 2009-06-04 / 20090142971 - Socket for an Electrical Plug and Socket Connection | 1 |
Gary Hachadorian | DE | Frankfort Am Main | 2014-05-15 / 20140131356 - DEVICE HAVING A HINGED COVER AND AT LEAST ONE FLEXIBLE ELEMENT | 1 |
Gary Hachadorian | DE | Frankfurt Am Main | 2013-07-04 / 20130171892 - ELECTRICAL CONTACT | 1 |
Gary Hachadorian | DE | Roellbach | 2009-06-11 / 20090149085 - Socket and Method for its Production | 1 |
Gary Hachadorian | DE | Frankfurt | 2013-05-23 / 20130130525 - SOCKET | 1 |
Yehoshua Hachamo | IL | Nahariya | 2014-02-27 / 20140056795 - PROCESS FOR MANUFACTURING HIGH DENSITY BORON CARBIDE | 3 |
Tzahi Hachamo | IL | Herzlia | 2010-10-21 / 20100265041 - RFID TRANSPONDER | 1 |
Barak Hachamov | IL | Tel Aviv | 2011-03-17 / 20110066613 - Syndicated Data Stream Content Provisioning | 1 |
Dean J. Hachamovitch | US | Bellevue | 2011-01-20 / 20110016533 - Web Page Privacy Risk Detection | 1 |
Amnon Hacham-Yona | IL | Modiin | 2013-07-25 / 20130188940 - WATER IMMERSION THERMOSTAT AND METHOD FOR USING THEREOF | 1 |
Yvon Hache | CA | Dieppe | 2013-03-28 / 20130079122 - CASHLESS CONTROLLER SYSTEM AND BOARD | 3 |
Elizabeth Hache | CA | Kanata | 2014-03-20 / 20140079069 - LAYER-2 TO MPLS SERVICE MEDIATION ARCHITECTURE | 2 |
Jacques Hache | CA | Quebec | 2016-02-11 / 20160039093 - LOW-IMPEDANCE ARTICULATED DEVICE AND METHOD FOR ASSISTING A MANUAL ASSEMBLY TASK | 1 |
Géraldine Hache | FR | Plaisance Du Touch | 2013-11-21 / 20130305817 - METHOD OF MEASURING LOADINGS IN JOINS IN A HIGH-TEMPERATURE ENVIRONMENT AND INSTRUMENTED SHAFT FOR IMPLEMENTATION, IN PARTICULAR FOR REAR ATTACHMENT OF AIRCRAFT TURBOJET | 1 |
Gregory Hache | FR | Molieres | 2016-02-18 / 20160046085 - TOOLING FOR CREATING A COMPONENT MADE OF COMPOSITE, METHOD FOR CREATING A COMPONENT MADE OF COMPOSITE USING THE TOOLING, AND COMPOSITE COMPONENT THUS OBTAINED | 2 |
Bruno P. Hache | US | Boulder | 2013-09-19 / 20130245279 - PROCESSES FOR PREPARING BENZIMIDAZOLE COMPOUNDS | 5 |
Thomas Hache | DE | Dresden | 2016-05-12 / 20160129486 - Device for cleaning pipes | 1 |
Jean-Michel Hache | FR | Bourg La Reine | 2013-04-04 / 20130081879 - DOWNHOLE CORING TOOLS AND METHODS OF CORING | 4 |
Raphaël Hache | FR | Cannes La Bocca | 2016-01-28 / 20160023780 - METHOD FOR STATIONING A SATELLITE AND IN-ORBIT TESTING OF ITS PAYLOAD | 1 |
Bertrand Hache | FR | Saint Cyr | 2011-08-04 / 20110187150 - OPENING, IN PARTICULAR TAILGATE FOR AN AUTOMOBILE | 2 |
Bruno Hache | CA | Prevost | 2010-02-18 / 20100041649 - INHIBITORS OF PAPILLOMA VIRUS | 1 |
Alain Hache | CA | Moncton | 2009-11-05 / 20090274191 - SINGLE BEAM OPTICAL APPARATUS AND METHOD | 1 |
Bruno P. Hache | US | Longmont | 2016-01-07 / 20160002210 - INTERMEDIATES FOR USE IN THE PREPARATION OF INDAZOLE DERIVATIVES AND PROCESSES FOR THE PREPARATION THEREOF | 1 |
Géraldine Hache | FR | Plaisance Du Touch | 2013-11-21 / 20130305817 - METHOD OF MEASURING LOADINGS IN JOINS IN A HIGH-TEMPERATURE ENVIRONMENT AND INSTRUMENTED SHAFT FOR IMPLEMENTATION, IN PARTICULAR FOR REAR ATTACHMENT OF AIRCRAFT TURBOJET | 1 |
Alcide Hache | CA | Riviere Du Portage | 2011-05-26 / 20110120026 - MOBILE MULTI-FUNCTIONAL SHELTER UNIT | 1 |
Richard Haché | CA | Montreal | 2010-02-04 / 20100028217 - AIR DECONTAMINATION SYSTEM | 1 |
Geerwin Yvonne Paul Haché | BE | Mechelen | 2010-12-02 / 20100305073 - AMIDE COMPOUNDS AS BOOSTERS OF ANTIVIRALS | 1 |
Denis Haché | CA | Beloeil | 2010-09-02 / 20100219201 - SYSTEMS USEFUL FOR BEVERAGE MAKING MACHINES | 1 |
Richard Haché | CA | Montreal | 2010-02-04 / 20100028217 - AIR DECONTAMINATION SYSTEM | 1 |
Geerwin Yvonne Paul Haché | BE | Kapellen | 2016-04-28 / 20160115149 - SULPHAMOYLTHIOPHENAMIDE DERIVATIVES AND THE USE THEREOF AS MEDICAMENTS FOR THE TREATMENT OF HEPATITIS B | 4 |
Geerwin Yvonne Paul Haché | BE | Kapellen | 2011-08-11 / 20110195969 - AMIDE COMPOUNDS AS BOOSTERS OF ANTIVIRALS | 2 |
Denis Haché | CA | Beloeil | 2010-09-02 / 20100219201 - SYSTEMS USEFUL FOR BEVERAGE MAKING MACHINES | 1 |
Geerwin Yvonne Paul Haché | BE | Kapellen | 2016-04-28 / 20160115149 - SULPHAMOYLTHIOPHENAMIDE DERIVATIVES AND THE USE THEREOF AS MEDICAMENTS FOR THE TREATMENT OF HEPATITIS B | 4 |
Denis Haché | CA | Beloeil | 2010-09-02 / 20100219201 - SYSTEMS USEFUL FOR BEVERAGE MAKING MACHINES | 1 |
Richard Haché | CA | Montreal | 2010-02-04 / 20100028217 - AIR DECONTAMINATION SYSTEM | 1 |
Geerwin Yvonne Paul Haché | BE | Mechelen | 2010-12-02 / 20100305073 - AMIDE COMPOUNDS AS BOOSTERS OF ANTIVIRALS | 1 |
Geerwin Yvonne Paul Haché | BE | Mechelen | 2010-12-02 / 20100305073 - AMIDE COMPOUNDS AS BOOSTERS OF ANTIVIRALS | 1 |
Dieter Hachenberg | DE | Hamburg | 2015-06-04 / 20150151829 - CONNECTION ARRANGEMENT AND STRUCTURE | 3 |
Joerg Hachenberg | DE | Aschaffenberg | 2011-09-29 / 20110236254 - DENTAL ALLOY | 1 |
Jörg Hachenberg | DE | Aschaffenburg | 2012-05-10 / 20120114516 - METHOD FOR THE MANUFACTURE OF A SHAPED BODY AS WELL AS GREEN COMPACT | 1 |
Joerg Hachenberg | DE | Aschaffenburg | 2013-06-13 / 20130149186 - DEVICE AND METHOD FOR SINTERING SINTER PRODUCTS | 1 |
Béatrice Hacher | FR | Angerville La Campagne | 2014-05-08 / 20140127303 - SUSTAINED-RELEASE COMPOSITION CONTAINING PEPTIDES AS ACTIVE INGREDIENT | 2 |
Béatrice Hacher | FR | Angerville La Campagne | 2013-03-07 / 20130059016 - CLAY COMPOSITIONS | 1 |
Béatrice Hacher | FR | Angerville La Campagne | 2013-03-07 / 20130059016 - CLAY COMPOSITIONS | 1 |
Joel Hachet | FR | La Clavette | 2010-02-25 / 20100043669 - Railway vehicle with movable platforms and running boards | 2 |
Olivier Hachet | FR | Palaiseau Cedex | 2013-08-22 / 20130219016 - GENERIC ENTITY FOR HIGH-SPEED COMMUNICATION BETWEEN CCM COMPONENTS | 1 |
Guillaume Hachet | FR | Laigne En Belin | 2012-08-23 / 20120212041 - CLOSED PROFILE CROSSMEMBER FOR REAR AXLE OF MOTOR VEHICLE | 1 |
Martin Hachet | FR | Bordeaux | 2014-07-24 / 20140204079 - SYSTEM FOR COLOCATING A TOUCH SCREEN AND A VIRTUAL OBJECT, AND DEVICE FOR MANIPULATING VIRTUAL OBJECTS IMPLEMENTING SUCH A SYSTEM | 1 |
Emilie Hachet | FR | La Roche-Sur-Yon | 2015-10-08 / 20150283247 - GLUCOSE RESPONSIVE HYDROGEL COMPRISING PBA-GRAFTED HYALURONIC ACID (HA) | 1 |
David L. Hachey | US | Brentwood | 2009-03-12 / 20090068653 - BIOCHEMICAL AND GENETIC ANALYSIS FOR PREDICTION OF BREAST CANCER RISK | 1 |
John Hachey | US | Elk Grove | 2010-12-23 / 20100325706 - AUTOMATED TEST TO TELL COMPUTERS AND HUMANS APART | 3 |
Harold Hachey | CA | Oka | 2010-09-23 / 20100236428 - MULTI-PURPOSE DISCARDED MATERIAL TREATMENT SYSTEM | 1 |
Sarah Hachey | CA | Winnipeg | 2009-12-03 / 20090299031 - Metastable Reaction Mixtures For The In Situ Polymerization Of Conducting Polymers | 1 |
John Richard Hachey | US | Elk Grove | 2009-08-13 / 20090200385 - FINANCIAL TRANSACTION CARD WITH NON-EMBOSSED, RAISED INDICIA | 1 |
Jay Hachey | US | San Clemente | 2015-10-08 / 20150283322 - METHODS AND SYSTEMS FOR FILLING IV BAGS WITH THERAPEUTIC FLUID | 1 |
Steven Hachey | US | Waukesha | 2012-12-20 / 20120318826 - CARRIAGE ASSEMBLY FOR DISPENSING TOOL | 1 |
Daniel Louis Hachey | US | Bonita Springs | 2008-10-02 / 20080241802 - Device for drawing lines on a sized sheet of paper and maintaining registration of the paper when it has been removed and is being replaced on the device | 1 |
John Hachey | US | Eik Grove | 2016-03-10 / 20160072631 - AUTOMATED TEST TO TELL COMPUTERS AND HUMANS APART | 1 |
Takeshi Hachida | JP | Kyoto | 2016-05-12 / 20160133991 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND METHOD FOR PRODUCING NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 4 |
Takuya Hachida | JP | Osaka-Shi | 2013-06-13 / 20130147524 - TRANSISTOR CIRCUIT, FLIP-FLOP, SIGNAL PROCESSING CIRCUIT, DRIVER CIRCUIT, AND DISPLAY DEVICE | 5 |
Takayuki Hachida | JP | Chuo-Ku | 2015-01-29 / 20150028857 - Current sensor, sensor element, and control device | 2 |
Tadashi Hachiga | JP | Toyama | 2010-11-04 / 20100280398 - LASER DOPPLER BLOOD FLOW MEASURING METHOD AND DEVICE | 1 |
Hitoshi Hachiga | JP | Tokyo | 2009-08-06 / 20090195334 - HIGH FREQUENCY MODULE PROVIDED WITH POWER AMPLIFIER | 1 |
Masatsugu Hachiga | JP | Gotenba-City | 2009-07-30 / 20090191768 - TERMINALS AND A TERMINAL CONNECTING STRUCTURE | 1 |
Shouji Hachiga | JP | Fukushima | 2008-08-28 / 20080206497 - METHOD OF MANUFACTURING SLEEVE FOR FLUID-DYNAMIC BEARING AND SLEEVE MANUFACTURED BY THE METHOD | 1 |
Akihiro Hachigo | JP | Itami-Shi | 2015-12-03 / 20150349063 - GROUP III NITRIDE COMPOSITE SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, LAMINATED GROUP III NITRIDE COMPOSITE SUBSTRATE, AND GROUP III NITRIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 25 |
Akihiro Hachigo | JP | Itami-Shi | 2015-12-03 / 20150349063 - GROUP III NITRIDE COMPOSITE SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, LAMINATED GROUP III NITRIDE COMPOSITE SUBSTRATE, AND GROUP III NITRIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 25 |
Taketo Hachigo | JP | Kanagawa | 2009-02-12 / 20090039969 - Voltage-controlled oscillator circuit and phase locked loop circuit using the same | 1 |
Akihiro Hachigo | JP | Hyogo | 2010-07-29 / 20100187540 - GROUP III NITRIDE SUBSTRATE, EPITAXIAL LAYER-PROVIDED SUBSTRATE, METHODS OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Shizuka Hachiken | JP | Shikama-Ku | 2014-05-01 / 20140120349 - POLYALKYLENE OXIDE PARTICLES AND PRODUCTION METHOD FOR THE SAME | 1 |
Shizuka Hachiken | JP | Himeji-Shi | 2014-04-17 / 20140106171 - POLYALKYLENE OXIDE PARTICLES AND PRODUCTION METHOD FOR THE SAME | 1 |
Izumi Hachimaru | JP | Soraku-Gun | 2012-09-06 / 20120226172 - ELECTRONIC SPHYGMOMANOMETER | 4 |
Tetsutaro Hachimura | JP | Yamanashi | 2011-02-10 / 20110030201 - ELECTRONIC COMPONENT MOUNTING APPARATUS AND VISCOUS MATERIAL TRIAL COATER | 1 |
Futoshi Hachimura | JP | Kawasaki-Shi | 2015-05-21 / 20150138222 - IMAGE PROCESSING DEVICE AND MULTI-PROJECTION SYSTEM | 2 |
Satoshi Hachimura | JP | Tokyo | 2011-12-08 / 20110300118 - AGENTS FOR PROMOTING IGA PRODUCTION | 3 |
Hironori Hachimura | JP | Tokyo | 2013-04-04 / 20130081692 - SOLAR CELL HAVING POROUS STRUCTURE IN WHICH METAL NANOPARTICLES ARE CARRIED IN PORES | 1 |
Hidenari Hachino | JP | Nagasaki | 2008-12-18 / 20080310218 - Semiconductor memory device and its data reading method | 1 |
Satoru Hachinohe | JP | Nagaokakyo-Shi | 2015-07-16 / 20150200117 - SEMICONDUCTOR CRYSTAL BODY PROCESSING METHOD AND SEMICONDUCTOR CRYSTAL BODY PROCESSING DEVICE | 5 |
Satoru Hachinohe | JP | Higashiomi-Shi | 2010-03-18 / 20100067732 - ACOUSTIC TRANSDUCER UNIT | 1 |
Takuya Hachinohe | JP | Tokyo | 2009-08-13 / 20090199610 - ACTUATOR, PARALLEL LINK MECHANISM USING THE SAME, AND LONG MATERIAL BENDING DEVICE | 1 |
Toshihoko Hachiro | JP | Osaka | 2011-07-07 / 20110165958 - Golf Club Grip | 1 |
Toshihiko Hachiro | JP | Osaka-Shi | 2013-04-11 / 20130090183 - Golf Club Grip | 1 |
Toshitaka Hachiro | JP | Shioya-Gun, Tochigi | 2014-02-20 / 20140048041 - IN-CYLINDER PRESSURE DETECTING DEVICE OF DIRECT INJECTION TYPE INTERNAL COMBUSTION ENGINE | 1 |
Shigeki Hachiro | JP | Yokohama-Shi | 2016-02-18 / 20160050334 - IMAGE READING APPARATUS AND CONTROL METHOD | 4 |
Taku Hachisu | CN | Beijing | 2015-07-16 / 20150199011 - ATTRACTIVE AND REPULSIVE FORCE FEEDBACK | 1 |
Takahiro Hachisu | JP | Isehara-Shi | 2013-08-29 / 20130222630 - SOLID-STATE IMAGE PICKUP DEVICE AND IMAGE PICKUP SYSTEM | 2 |
Shuji Hachisu | GB | Berkshire | 2015-08-13 / 20150225387 - INSECTICIDAL TRIAZINONE DERIVATIVES | 3 |
Shuji Hachisu | GB | Bracknell | 2016-03-10 / 20160066574 - Herbicidal Compounds | 3 |
Shuji Hachisu | GB | Cambridge | 2013-08-15 / 20130210796 - TETRAZOLE COMPOUNDS AS CALCIUM CHANNEL BLOCKERS | 3 |
Ryuma Hachisu | JP | Tokyo | 2012-06-07 / 20120142435 - GAME DEVICE, METHOD FOR CONTROLLING GAME DEVICE, PROGRAM AND INFORMATION MEMORY MEDIUM | 1 |
Norio Hachisu | JP | Tokyo | 2012-11-15 / 20120285459 - AIR DISINFECTION AND CLEANING DEVICE, AND EXHALED GAS DISINFECTION AND CLEANING DEVICE, INTERIOR AIR DISINFECTION AND CLEANING DEVICE, AND SIMPLIFIED ISOLATION DEVICE USING THE SAME | 3 |
Takuma Hachisu | JP | Shinjuku-Ku | 2011-08-11 / 20110195277 - HIGH DENSITY MAGNETIC RECORDING MEDIUM AND MANUFACTURING METHOD THEREOF | 1 |
Yasuaki Hachisu | JP | Kobe-City | 2015-06-04 / 20150156878 - INTELLIGENT MODULE | 1 |
Shuji Hachisu | GB | Bracknell, Berkshire | 2016-03-24 / 20160081334 - Herbicidally active 2-(substituted-phenyl)-cyclopentane-1,3-dione compounds and derivatives thereof | 1 |
Kenji Hachisu | JP | Tokyo | 2015-07-02 / 20150185878 - ELECTRONIC APPARATUS AND CONTROL METHOD THEREFOR | 7 |
Ryuma Hachisu | JP | Minato-Ku | 2011-01-27 / 20110018875 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING DEVICE CONTROL METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Douyuu Hachisu | JP | Yamaguchi | 2012-10-25 / 20120267161 - ELECTRONIC COMPONENT AND LEAD-WIRE FOR THE SAME | 2 |
Doyuu Hachisu | JP | Yamaguchi | 2009-12-31 / 20090323254 - CAPACITOR LEAD WIRE, ITS MANUFACTURING METHOD, AND CAPACITOR USING THE SAME | 1 |
Shinya Hachisu | JP | Yokohama | 2009-12-03 / 20090296739 - COMMUNICATION DEVICE AND COMMUNICATION METHOD THEREOF | 1 |
Takuma Hachisu | JP | Ichihara-Shi | 2012-11-29 / 20120300339 - MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME, AND MAGNETIC RECORD/REPRODUCTION APPARATUS | 1 |
Tatsuyuki Hachisu | JP | Shibukawa | 2014-10-09 / 20140302534 - ANTI-CANINE N-TERMINAL PRO-ATRIAL NATRIURETIC PEPTIDE ANTIBODY, AND IMMUNOLOGICAL MEASUREMENT METHOD AND IMMUNOLOGICALLY MEASURING KIT USING THE SAME | 1 |
Tatsuyuki Hachisu | JP | Gumma | 2009-07-09 / 20090176252 - Method for the Measurement of Endocrine Substances In an Analyte | 1 |
Izumi Hachisuga | JP | Higashiosaka-Shi | 2012-03-29 / 20120079300 - ELECTRONIC APPARATUS | 1 |
Nozomu Hachisuka | JP | Tokyo | 2015-02-26 / 20150054498 - MAGNETIC SENSOR | 13 |
Akira Hachisuka | JP | Anjo-Shi | 2008-12-11 / 20080305728 - Power tools | 1 |
Tooru Hachisuka | JP | Shiga | 2009-01-22 / 20090022981 - LAMINATED FILM HAVING GAS BARRIER CHARACTERISTICS | 1 |
Mitsunori Hachisuka | JP | Sihzuoka | 2012-02-09 / 20120034449 - MULTILAYER FILM AND METHOD FOR PRODUCING THE SAME | 1 |
Tomohiro Hachisuka | JP | Anjo-Shi | 2014-05-01 / 20140116741 - PORTABLE POWER TOOL | 12 |
Ichiro Hachisuka | JP | Nagoya-Shi | 2009-05-28 / 20090137387 - Catalyst for purifying exhaust gas | 1 |
Tadashi Hachisuka | JP | Shizuoka | 2014-03-27 / 20140084554 - VEHICLE HEIGHT ADJUSTING DEVICE FOR MOTORCYCLE | 6 |
Mitsunori Hachisuka | JP | Kanagawa | 2014-07-10 / 20140190562 - SOLAR CELL BACKSHEET AND SOLAR CELL MODULE | 1 |
Tadashi Hachisuka | JP | Fukuroi-Shi | 2014-06-26 / 20140175764 - VEHICLE HEIGHT CONTROL DEVICE FOR MOTORCYCLE | 2 |
Kenji Hachisuka | JP | Kitakyushu-Shi | 2015-05-14 / 20150133828 - EXERCISE TRAINING APPARATUS | 1 |
Satoshi Hachisuka | JP | Takasaki-Shi | 2013-10-17 / 20130272641 - Split Retainer for a Rolling Bearing | 1 |
Keisuke Hachisuka | JP | Nagoya-City | 2011-08-18 / 20110199184 - LOCK RELEASE APPARATUS | 3 |
Atsushi Hachisuka | JP | Tokyo | 2014-09-11 / 20140252441 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 4 |
Tooru Hachisuka | JP | Ibaraki | 2014-06-05 / 20140154517 - GAS-BARRIER LAMINATE | 7 |
Satoshi Hachisuka | JP | Gunma | 2013-11-07 / 20130291817 - CAM FOLLOWER APPARATUS | 2 |
Tomohiro Hachisuka | JP | Anjo-Shi | 2014-05-01 / 20140116741 - PORTABLE POWER TOOL | 12 |
Satori Hachisuka | JP | Nagoya-City | 2015-03-19 / 20150078632 - FEELING MONITORING SYSTEM | 2 |
Toshiharu Hachisuka | JP | Yokohama-Shi | 2010-04-29 / 20100104298 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Tooru Hachisuka | JP | Ushiku-Shi | 2008-10-16 / 20080254266 - Gas Barrier Film and Gas Barrier Laminate | 1 |
Tooru Hachisuka | JP | Ibaraki-Ken | 2012-05-24 / 20120125437 - SOLAR CELL MODULE | 2 |
Ichiro Hachisuka | JP | Aichi-Ken | 2009-10-29 / 20090269253 - HYDROGEN SULFIDE PRODUCTION-SUPPRESSING MEMBER AND EXHAUST GAS-PURIFYING CATALYST | 2 |
Tooru Hachisuka | JP | Tokyo | 2011-06-02 / 20110129654 - GAS-BARRIER LAMINATE | 1 |
Toshiharu Hachisuka | JP | Yokohama City | 2009-07-02 / 20090169232 - IMAGE FORMING APPARATUS, AND METHOD OF CONTROLLING WARMING-UP TIME OF IMAGE FORMING APPARATUS | 2 |
Ichiro Hachisuka | JP | Toyota-Shi | 2009-06-11 / 20090149322 - EXHAUST GAS-PURIFYING CATALYST | 1 |
Toshiharu Hachisuka | JP | Kanagawa | 2015-07-02 / 20150185671 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Youichi Hachitani | JP | Tokyo | 2011-11-17 / 20110277508 - MANUFACTURING METHOD OF GLASS BLANK FOR MAGNETIC RECORDING GLASS SUBSTRATE, MANUFACTURING METHOD OF MAGNETIC RECORDING GLASS SUBSTRATE AND MANUFACTURING METHOD OF MAGNETIC RECORDING MEDIUM | 1 |
Yoichi Hachitani | JP | Tokyo | 2014-10-09 / 20140302346 - GLASS FOR USE AS SUBSTRATE FOR INFORMATION RECORDING MEDIUM, SUBSTRATEFOR INFORMATION RECORDING MEDIUM AND INFORMATION RECORDING MEDIUM, ANDTHEIR PRODUCTION METHODS | 9 |
Yoichi Hachitani | JP | Shinjuku-Ku | 2015-09-17 / 20150262605 - GLASS FOR MAGNETIC RECORDING MEDIA SUBSTRATES, MAGNETIC RECORDING MEDIA SUBSTRATES, MAGNETIC RECORDING MEDIA AND METHOD FOR PREPARATION THEREOF | 7 |
Youichi Hachitani | JP | Shinjuku-Ku | 2013-02-07 / 20130034670 - DISPLAY COVER GLASS AND DISPLAY | 1 |
Shuji Hachitani | JP | Osaka | 2011-01-20 / 20110014741 - THREE DIMENSIONAL STRUCTURE AND ITS MANUFACTURING METHOD | 2 |
Yoichi Hachitani | JP | Tokyo | 2014-10-09 / 20140302346 - GLASS FOR USE AS SUBSTRATE FOR INFORMATION RECORDING MEDIUM, SUBSTRATEFOR INFORMATION RECORDING MEDIUM AND INFORMATION RECORDING MEDIUM, ANDTHEIR PRODUCTION METHODS | 9 |
Hirokuni Hachiuma | JP | Hiratsuka-Shi | 2015-01-15 / 20150013740 - THERMOELECTRIC MODULE, THERMOELECTRIC POWER GENERATING APPARATUS, AND THERMOELECTRIC GENERATOR | 1 |
Hirokuni Hachiuma | JP | Kanagawa | 2014-08-21 / 20140230872 - THERMOELECTRIC GENERATOR | 2 |
Koji Hachiya | JP | Akishima-Shi | 2014-06-26 / 20140176393 - INFORMATION PROCESSING APPARATUS, USER ASSISTANCE METHOD AND STORAGE MEDIUM | 8 |
Yosuke Hachiya | JP | Koshi-Shi | 2013-07-18 / 20130180659 - LIQUID TREATMENT APPARATUS | 3 |
Satoshi Hachiya | JP | Chiba | 2009-02-12 / 20090043116 - HETERO-POLYCYCLIC COMPOUNDS, AND COLORING MATTERS, PIGMENTS, DYES, COLOR-CHANGING MATERIAL COMPOSITIONS, AND COLOR-CHANGING FILMS, MADE BY USING THE COMPOUNDS | 6 |
Satoshi Hachiya | JP | Yamagata | 2012-05-03 / 20120106772 - SPEAKER DEVICE | 3 |
Satoshi Hachiya | JP | Tendo | 2015-12-17 / 20150365745 - SPEAKER FRAME AND SPEAKER HAVING THE SAME | 3 |
Satoshi Hachiya | JP | Sodegaura-Shi | 2010-11-04 / 20100277063 - FLUORENE-BASED DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME | 3 |
Masahiro Hachiya | JP | Takasaki-Shi | 2013-06-20 / 20130154784 - COIL-TYPE ELECTRONIC COMPONENT | 5 |
Koichi Hachiya | JP | Kanagawa | 2014-09-11 / 20140254968 - GREASE COMPOSITION FOR ROLLING BEARING AND ROLLING BEARING | 2 |
Iwao Hachiya | JP | Yokosuka-Shi | 2015-04-16 / 20150104553 - CHOCOLATE, METHOD FOR PRODUCING CHOCOLATE-COVERED FOOD PRODUCT COATED BY THE SAME, AND METHOD FOR PREVENTING INCREASE IN VISCOSITY OF CHOCOLATE FOR COATING | 1 |
Toshinori Hachiya | JP | Chiyoda-Ku | 2013-12-19 / 20130334037 - ELECTRODE FOR ELECTROLYSIS, ELECTROLYTIC CELL AND PRODUCTION METHOD FOR ELECTRODE FOR ELECTROLYSIS | 2 |
Kuniatsu Hachiya | JP | Tokyo | 2015-11-19 / 20150333303 - Rack Structure, Power Storage System, and Method of Assembling Rack Structure | 4 |
Toshikatsu Hachiya | JP | Shibuya-Ku | 2013-04-11 / 20130087318 - HEAT EXCHANGER | 1 |
Yukihiro Hachiya | JP | Tokyo | 2014-06-19 / 20140166261 - AIR CONDITIONING SYSTEM USING DEEP SEAWATER | 1 |
Dale Hachiya | US | Huntington Beach | 2015-08-06 / 20150220965 - METHOD FOR GENERATING, DISTRIBUTING, and REDEEMING ELECTRONIC COUPONS | 3 |
Mahiro Hachiya | JP | Tokyo | 2016-04-28 / 20160116225 - COOLING DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Masaaki Hachiya | JP | Aichi | 2011-06-23 / 20110151254 - ELECTRO-CONDUCTIVE FIBERS WITH CARBON NANOTUBES ADHERED THERETO, ELECTRO-CONDUCTIVE YARN, FIBERS STRUCTURAL OBJECT, AND PRODUCTION PROCESSES THEREOF | 1 |
Masahiro Hachiya | JP | Chita-Shi | 2016-05-05 / 20160126714 - HOUSING FOR ELECTRONIC CIRCUIT UNIT | 1 |
Hirotaka Hachiya | JP | Yokohama-Shi | 2015-10-01 / 20150278634 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 2 |
Masahiro Hachiya | JP | Taito-Ku | 2014-05-15 / 20140132383 - MAGNETIC MATERIAL AND COIL COMPONENT | 1 |
Yosuke Hachiya | JP | Koshi City | 2015-04-23 / 20150107631 - LIQUID PROCESSING METHOD | 2 |
Rieko Hachiya | JP | Tokyo | 2012-07-19 / 20120182547 - OPTICAL DEFECT INSPECTION APPARATUS | 1 |
Masayuki Hachiya | JP | Hitachinaka | 2012-07-19 / 20120182547 - OPTICAL DEFECT INSPECTION APPARATUS | 1 |
Shunichiro Hachiya | JP | Chuo-Ku | 2014-11-06 / 20140329802 - TETRAHYDROBENZOTHIOPHENE COMPOUND | 2 |
Yosuke Hachiya | JP | Kumamoto | 2015-11-05 / 20150318183 - SUBSTRATE LIQUID PROCESSING APPARATUS AND SUBSTRATE LIQUID PROCESSING METHOD | 4 |
Eiichi Hachiya | JP | Yamanashi | 2015-01-29 / 20150029330 - ELECTRONIC COMPONENT MOUNTING APPARATUS AND ELECTRONIC COMPONENT MOUNTING METHOD | 4 |
Yosuke Hachiya | JP | Tokyo | 2014-11-20 / 20140340018 - CONTROL DEVICE OF AC ROTATING MACHINE | 2 |
Nozomu Hachiya | JP | Hiroshima-City | 2013-07-18 / 20130180507 - INTAKE DEVICE OF ENGINE | 1 |
Nobuhide Hachiya | JP | Sapporo | 2015-02-19 / 20150050894 - RADIO COMMUNICATION DEVICE AND SEMICONDUCTOR INTEGRATED CIRCUIT | 3 |
Koji Hachiya | JP | Akishima Tokyo | 2016-03-17 / 20160077786 - ELECTRONIC DEVICE, METHOD AND STORAGE MEDIUM | 1 |
Toshio Hachiya | JP | Tokyo | 2016-04-28 / 20160119979 - Core metal for resin welding, composite member and method of manufacturing the same | 2 |
Akira Hachiya | US | Ohio | 2009-07-30 / 20090191169 - Methods for gene transfer to mammals | 1 |
Akira Hachiya | US | Cincinnati | 2009-11-12 / 20090280088 - Method of controlling hair shape | 3 |
Yoko Hachiya | US | 2013-08-15 / 20130211035 - PROCESS FOR PRODUCING POLYCONDENSATION POLYMER, AND POLYMERIZER | 1 | |
Yosuke Hachiya | JP | Nirasaki | 2011-11-17 / 20110277793 - LIQUID PROCESSING APPARATUS, LIQUID PROCESSING METHOD AND COMPUTER-READABLE STORAGE MEDIUM STORING LIQUID PROCESSING PROGRAM | 1 |
Nozomu Hachiya | JP | Hiroshima-Shi | 2015-07-02 / 20150184581 - INTAKE SYSTEM FOR ENGINE | 1 |
Nobuhide Hachiya | JP | Kawasaki | 2012-09-20 / 20120238224 - WIRELESS TERMINAL DEVICE | 1 |
Hiroshi Hachiya | JP | Okayama-Ken | 2008-10-16 / 20080255324 - Method For Stably Producing an Aromatic Polycarbonate | 2 |
Takashi Hachiya | JP | Tokyo | 2008-10-23 / 20080262426 - Controller | 1 |
Toshikatsu Hachiya | JP | Nagoya-Shi | 2008-12-25 / 20080314569 - EGR cooler | 1 |
Shogo Hachiya | JP | Fukuoka | 2009-02-12 / 20090039858 - DIRECT CURRENT POWER SUPPLY DEVICE | 1 |
Rieko Hachiya | JP | Tokorozawa | 2012-11-15 / 20120287425 - INSPECTION APPARATUS AND INSPECTION METHOD | 2 |
Shogo Hachiya | JP | Tokyo | 2012-03-15 / 20120062201 - COMPARATOR TYPE DC-DC CONVERTER USING SYNCHRONOUS RECTIFICATION METHOD | 3 |
Shunichiro Hachiya | JP | Tokyo | 2015-08-20 / 20150232464 - IMIDAZOPYRIDINE COMPOUNDS | 5 |
Masayuki Hachiya | JP | Tokorozawa | 2012-11-15 / 20120287425 - INSPECTION APPARATUS AND INSPECTION METHOD | 6 |
Hidetoshi Hachiya | JP | Osaka | 2010-08-05 / 20100197355 - Mobile Terminal, Display Switching Method and Display Switching Program | 1 |
Akira Hachiya | JP | Tochigi | 2008-10-23 / 20080263686 - ANIMAL MODEL FOR PIGMENT SPOTS | 1 |
Toru Hachiya | JP | Kurokawa-Gun | 2010-11-18 / 20100291730 - BACKSIDE ILLUMINATED IMAGING DEVICE, SEMICONDUCTOR SUBSTRATE, IMAGING APPARATUS AND METHOD FOR MANUFACTURING BACKSIDE ILLUMINATED IMAGING DEVICE | 2 |
Akira Hachiya | JP | Haga-Gun | 2010-12-23 / 20100323347 - Method for Evaluating or Selecting Agent for Preventing or Curing Photodamage of Skin | 1 |
Kimiko Hachiya | JP | Tokorozawa | 2012-11-15 / 20120287425 - INSPECTION APPARATUS AND INSPECTION METHOD | 2 |
Akira Hachiya | JP | Utsunomiya-Shi | 2014-04-24 / 20140112878 - Tyrosinase Inhibitor | 3 |
Toshinori Hachiya | JP | Tokyo | 2015-01-29 / 20150027878 - ELECTROLYSIS CELL AND ELECTROLYSIS TANK | 2 |
Yoshiaki Hachiya | JP | Shiga | 2014-09-11 / 20140252946 - ILLUMINATION LIGHT SOURCE AND LIGHTING APPARATUS | 6 |
Koji Hachiya | JP | Ome Tokyo | 2015-09-24 / 20150268915 - ELECTRONIC DEVICE AND DISPLAY METHOD | 1 |
Koji Hachiya | JP | Aichi | 2015-04-30 / 20150116963 - COIL-INTEGRATED PRINTED CIRCUIT BOARD AND MAGNETIC DEVICE | 3 |
Yukiko Hachiya | JP | Yokohama-Shi | 2015-06-25 / 20150179295 - CONDUCTIVE ANILINE POLYMER, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING CONDUCTIVE FILM | 2 |
Hideyuki Hachiya | JP | Tokyo | 2014-11-13 / 20140333170 - ARMATURE WINDINGS OF ROTATING ELECTRICAL MACHINES | 1 |
Masaaki Hachiya | JP | Ichinomiya-Shi | 2012-12-13 / 20120315065 - ELECTRO-CONDUCTIVE MULTIFILAMENT YARN AND ELECTRO-CONDUCTIVE BRUSH | 1 |
Masakazu Hachiya | JP | Osaka | 2012-12-13 / 20120314041 - WIRELESS SIGNAL TRANSMISSION DEVICE, 3D IMAGE GLASSES, AND PROGRAM | 1 |
Yosuke Hachiya | JP | Chiyoda-Ku | 2014-12-18 / 20140368135 - CONTROL DEVICE FOR ALTERNATING CURRENT ROTARY MACHINE | 1 |
Koji Hachiya | JP | Tokyo | 2014-07-03 / 20140184650 - INFORMATION PROCESSING DEVICE, DISPLAY METHOD AND COMPUTER PROGRAM PRODUCT | 3 |
Koji Hachiya | JP | Fukuoka | 2011-12-29 / 20110317551 - COMMUNICATION DEVICE AND METHOD | 5 |
Koji Hachiya | JP | Hyoto | 2016-02-04 / 20160035481 - PRINTED CIRCUIT BOARD WITH INTEGRATED COIL, AND MAGNETIC DEVICE | 2 |
Koji Hachiya | JP | Kasugai-Shi | 2015-12-31 / 20150375626 - POWER CONVERSION APPARATUS AND POWER CONTROL METHOD | 7 |
Koji Hachiya | JP | Miyaki | 2013-12-12 / 20130329744 - COMMUNICATION CONTROL DEVICE AND METHOD | 1 |
Yoko Hachiya | JP | Kurashiki-Shi | 2013-05-30 / 20130136883 - BRANCHED POLYCARBONATE | 1 |
Hiroshi Hachiya | JP | Tokyo | 2013-08-15 / 20130211035 - PROCESS FOR PRODUCING POLYCONDENSATION POLYMER, AND POLYMERIZER | 26 |
Hiromitsu Hachiya | JP | Tokyo | 2015-08-13 / 20150225768 - QUANTIFICATION METHOD, QUANTIFICATION DEVICE, AND QUANTIFICATION KIT | 1 |
Koji Hachiya | JP | Akishima-Shi | 2014-06-26 / 20140176393 - INFORMATION PROCESSING APPARATUS, USER ASSISTANCE METHOD AND STORAGE MEDIUM | 8 |
Bernd Hachmann | DE | Frondenberg | 2013-01-03 / 20130000877 - HEAT TRANSFER TUBE | 1 |
Peter Hachmann | DE | Dossenheim | 2008-12-04 / 20080295719 - Method for drying a printing ink on a printing substrate in a printing press, and a printing press | 2 |
Georg Hachmann | DE | Berlin | 2012-01-12 / 20120006764 - DEVICE AND METHOD FOR DISPLAYING MERCHANDISE | 3 |
Ulrich Hachmann | DE | Neubiberg | 2012-12-27 / 20120331240 - DATA PROCESSING DEVICE AND DATA PROCESSING ARRANGEMENT | 2 |
Jeff Hachmann | US | Stowg | 2010-01-07 / 20100003374 - Edible maze | 1 |
Robert J. Hachmann | US | Peosta | 2014-03-13 / 20140069608 - HEAT EXCHANGER PIVOTABLE FOR CLEAN-OUT | 1 |
Peter Hachmann | DE | Weinheim-Hohensachsen | 2015-04-09 / 20150097906 - METHOD FOR THE INDIRECT APPLICATION OF PRINTING LIQUID ONTO A PRINTING MATERIAL | 2 |
Don Hachmeister | US | Aurora | 2011-05-05 / 20110106564 - Electronic medical records interoperability | 1 |
Damon Hachmeister | US | North Grafton | 2013-08-22 / 20130219226 - DISTRIBUTED TESTING WITHIN A SERIAL TESTING INFRASTRUCTURE | 2 |
Stephanie Hachtel | DE | Frankfurt Am Main | 2014-04-03 / 20140094450 - BENZOIMIDAZOLE-CARBOXYLIC ACID AMIDE DERIVATIVES AS APJ RECEPTOR MODULATORS | 14 |
Stephanie Hachtel | DE | Frankfurt Am Main | 2014-04-03 / 20140094450 - BENZOIMIDAZOLE-CARBOXYLIC ACID AMIDE DERIVATIVES AS APJ RECEPTOR MODULATORS | 14 |
Juergen Hachtel | DE | Moeckmuehl | 2013-08-01 / 20130192454 - CONTROL DEVICE FOR A RESERVOIR CHARGING PUMP OF THE BRAKING SYSTEM OF A VEHICLE AND METHOD FOR OPERATING A RESERVOIR CHARGING PUMP OF THE BRAKING SYSTEM OF A VEHICLE | 3 |
Stephanie Hachtel | DE | Frankfurt | 2015-05-14 / 20150133432 - SUBSTITUTED 6-(4-HYDROXY-PHENYL)-1H-PYRAZOLO[3,4-B]PYRIDINE DERIVATIVES AS KINASE INHIBITORS | 6 |
Stéphanie Hachtel | DE | Frankfurt Am Main | 2013-05-23 / 20130131034 - DERIVATIVES OF AMINOINDANES, THEIR PREPARATION AND THEIR APPLICATION IN THERAPEUTICS | 1 |
Brian Hachtmann | US | Mountain View | 2016-04-14 / 20160102654 - Tether Termination Systems and Methods | 1 |
Bruce Hachtmann | US | San Martin | 2016-02-25 / 20160056319 - PHOTOVOLTAIC MODULE WITH INTEGRATED CURRENT COLLECTION AND INTERCONNECTION | 8 |
Bruce D. Hachtmann | US | San Martin | 2014-12-18 / 20140367250 - SYSTEMS FOR FORMING PHOTOVOLTAIC CELLS ON FLEXIBLE SUBSTRATES | 4 |
Bruce Hachtmann | US | San Martin | 2016-02-25 / 20160056319 - PHOTOVOLTAIC MODULE WITH INTEGRATED CURRENT COLLECTION AND INTERCONNECTION | 8 |
William Hachtmann | US | Madera | 2012-05-10 / 20120110777 - DEVICE FOR CLEANING GROOVED SURFACES OF A MOVING TRANSPORT | 1 |
Bill R. Hachtmann | US | Oakhurst | 2010-06-17 / 20100151094 - METHODS, APPARATUSES, AND SYSTEMS FOR THE REMOVAL OF PEELS FROM AGRICULTURAL PRODUCE | 1 |
Brian Hachtmann | US | San Martin | 2016-01-07 / 20160005159 - Enhanced Accuracy for Tracking Tethered Airborne Vehicles | 15 |
Brian Hachtmann | US | San Martin | 2016-01-07 / 20160005159 - Enhanced Accuracy for Tracking Tethered Airborne Vehicles | 15 |
Brian Dean Hachtmann | US | San Martin | 2013-10-17 / 20130270749 - EMBEDDED OPTICS IN MODULAR ASSEMBLIES | 1 |
Hakim Hacid | FR | Palaiseau | 2013-06-27 / 20130162677 - METHOD FOR DISPLAYING A VIRTUAL WORLD IN WHICH THE AVATAR OF A USER OF A VIRTUAL-REALITY SERVICE EVOLVES | 1 |
Hakim Hacid | FR | Nozay | 2013-06-20 / 20130159361 - ACCESS TO A FILE SYSTEM WITH SEMANTIC INDEXING | 5 |
Hakim Hacid | FR | Paris | 2014-12-25 / 20140380489 - SYSTEMS AND METHODS FOR DATA ANONYMIZATION | 1 |
Hakim Hacid | FR | Bourg La Reine | 2014-09-18 / 20140280086 - METHOD AND APPARATUS FOR DOCUMENT REPRESENTATION ENHANCEMENT VIA SOCIAL INFORMATION INTEGRATION IN INFORMATION RETRIEVAL SYSTEMS | 1 |
Vahit Hakan Hacigumus | US | San Jose | 2015-10-01 / 20150277990 - System and Methods for Collaborative Query Processing for Large Scale Data Processing with Software Defined Networking | 42 |
Vahit Hakan Hacigumus | US | San Jose | 2015-10-01 / 20150277990 - System and Methods for Collaborative Query Processing for Large Scale Data Processing with Software Defined Networking | 42 |
Vabit Hakan Hacigumus | US | San Jose | 2011-10-06 / 20110246448 - DATABASE DISTRIBUTION SYSTEM AND METHODS FOR SCALE-OUT APPLICATIONS | 1 |
Vahit Hacigumus | US | San Jose | 2015-06-04 / 20150154670 - Online Optimization and Fair Costing for Dynamic Data Sharing in a Cloud Data Market | 4 |
Hüseyin Hacihabiboglu | GB | Guildford | 2012-04-19 / 20120093337 - Microphone Array | 1 |
Hüseyin Hacihabiboglu | GB | Guildford | 2012-04-19 / 20120093337 - Microphone Array | 1 |
Huseyin Hacihabiboglu | TR | Ankara | 2013-08-08 / 20130202125 - ELECTRONIC DEVICE WITH DIGITAL REVERBERATOR AND METHOD | 1 |
Huseyin Hacihabiboglu | GB | Surrey | 2011-01-20 / 20110015924 - ACOUSTIC SOURCE SEPARATION | 1 |
Necati Hacikadiroglu | TR | Istanbul | 2015-07-09 / 20150190293 - MOBILITY DEVICE FOR PHYSICALLY DISABLED PEOPLE | 1 |
Necati Hacikadiroglu | TR | Levent | 2014-11-06 / 20140326521 - TRANSPORT DEVICE | 1 |
Michael Hacikyan | US | Naples | 2016-03-10 / 20160069833 - Portable Hand-Held Oxygen Monitor | 2 |
Michael Hacikyan | US | Amherst | 2014-05-01 / 20140117068 - Field-Customizable Inflatable Purge Dam Apparatus | 5 |
Michael Hacikyan | US | North Tonawanda | 2013-10-31 / 20130284297 - Inflatable Purge Dam Apparatus | 1 |
Hamit Hacioglu | DE | Stuttgart | 2016-05-05 / 20160125202 - Method for operating a control device | 4 |
Kadri Hacioglu | US | Boulder | 2014-07-24 / 20140205974 - STATISTICAL MACHINE TRANSLATION FRAMEWORK FOR MODELING PHONOLOGICAL ERRORS IN COMPUTER ASSISTED PRONUNCIATION TRAINING SYSTEM | 5 |
Bilge Hacioglu | US | Boulder | 2016-03-10 / 20160068639 - DEGRADABLE THIOL-ENE POLYMERS | 4 |
Erik Hack | NL | Diemen | 2014-07-10 / 20140194600 - USE OF ANTI-FACTOR XI ANTIBODIES FOR PREVENTION OF THROMBUS FORMATION | 3 |
Udo Hack | DE | Heroldsbach | 2013-01-31 / 20130025586 - SOLAR ABSORBER MODULE AND SOLAR ABSORBER ARRANGEMENT | 3 |
Mark Elliot Hack | US | Cedar Park | 2009-04-23 / 20090106762 - Scheduling Threads In A Multiprocessor Computer | 1 |
Theo Hack | DE | Hoehenkirchen-Siegertsbrunn | 2013-03-21 / 20130069676 - Corrosion Detection Apparatus for Monitoring a State of Corrosion | 1 |
Theo Hack | DE | Hohenkirchen-Siegertsbrunn | 2015-03-19 / 20150079298 - PROCESS FOR COATING METALLIC SURFACES WITH COATING COMPOSITIONS CONTAINING PARTICLES OF A LAYERED DOUBLE HYDROXIDE | 2 |
Andrew A. Hack | US | Pride'S Crossing | 2010-04-29 / 20100104203 - Method and Apparatus for Acquisition, Compression, and Characterization of Spatiotemporal Signals | 1 |
Talbot Hack | US | Ann Arbor | 2016-03-24 / 20160088117 - CONTENT REPLACEMENT AND REFRESH POLICY IMPLEMENTATION FOR A CONTENT DISTRIBUTION NETWORK | 8 |
Bob Hack | CA | Saskatoon | 2013-09-12 / 20130233434 - WELDED DOUBLE FABRIC TUBE | 1 |
Cornelis Erik Hack | NL | Utrecht | 2014-10-23 / 20140314712 - FUSION PROTEIN COMPRISING AN INTERLEUKIN 4 AND INTERLEUKIN | 1 |
Horst Hack | US | Hampton | 2013-01-10 / 20130008394 - Radiant Superheater | 11 |
Michael Hack | US | Princeton | 2016-02-18 / 20160049608 - OLED Panel of Arbitrary Shape | 43 |
John Hack | US | Maplewood | 2014-06-26 / 20140180768 - EVALUATION OF PROPOSED ENTERPRISE PLAN | 7 |
Cornelis Erik Hack | NL | Diemen | 2016-04-21 / 20160108134 - BINDING MOLECULES THAT BIND HUMAN COMPLEMENT FACTOR C2 AND USES THEREOF | 2 |
Gottfried Hack | AT | Neulengbach | 2015-12-17 / 20150362731 - HEAD-UP DISPLAY SYSTEM WITH A DRIVE MECHANISM TO MOVE A COMBINER | 3 |
Michael Hack | US | Princeton | 2016-02-18 / 20160049608 - OLED Panel of Arbitrary Shape | 43 |
Michel H.t. Hack | US | 2012-11-08 / 20120284418 - Techniques For Improved Clock Offset Measuring | 1 | |
Bobby Hack | CA | Saskatoon | 2016-03-31 / 20160090237 - PORTABLE CONTAINMENT SYSTEM | 1 |
Rainer Hack | DE | Brackenheim | 2015-07-02 / 20150183286 - Wheel Suspension | 2 |
Bobby Hack | CA | Aberdeen | 2015-06-04 / 20150151529 - WELDED DOUBLE FABRIC TUBE | 1 |
Theo Hack | DE | Höhenkirchen | 2012-01-26 / 20120021232 - ANTI-CORROSION LAYER FOR ALUMINUM AND MAGNESIUM ALLOYS | 1 |
Cornelis E. Hack | NL | Diemen | 2012-08-23 / 20120214747 - RECOMBINANT HUMAN ALPHA1- ANTITRYPSIN | 1 |
Beate Hack | DE | Koln | 2012-06-21 / 20120156273 - COMPOSITION COMPRISING INSECTICIDE-WAX PARTICLES | 1 |
Brian Hack | US | Cambridge | 2012-04-19 / 20120090629 - DEVICE FOR DISPENSING A MEDIUM | 4 |
Theo Hack | DE | Munchen | 2013-10-24 / 20130280416 - Method And Device For Forming An Electrolyte Film On An Electrode Surface | 1 |
Michel H.t. Hack | US | Cortlandt Manor | 2014-10-30 / 20140325014 - CHANNEL SUBSYSTEM SERVER TIME PROTOCOL COMMANDS | 10 |
Verena Hack | DE | Koln | 2012-07-05 / 20120172402 - INDUCTION OF ALPHA HELIX CONFORMATIONS IN PROTEINS AND PEPTIDES | 1 |
Paul J. Hack | US | San Jose | 2016-04-07 / 20160099524 - CONNECTOR RECEPTALE HAVING GOOD SIGNAL INTEGRITY | 8 |
John L. Hack | US | Richmond | 2014-04-03 / 20140091003 - CUT RESISTANT PACKAGE BAND | 1 |
Ruediger Hack | DE | Berlin | 2013-05-16 / 20130119592 - HYDRAULICALLY DAMPING BEARING | 2 |
Michael Hack | US | Ewing | 2015-10-22 / 20150305114 - Energy Saving OLED Lighting System and Method | 2 |
Bruce Hack | US | Yonkers | 2015-11-19 / 20150330540 - Connections for Isolated Phase Bus Enclosure | 1 |
Richard Hack | US | Indianapolis | 2008-10-23 / 20080256843 - Insect Bait Station | 1 |
Talbot Hack | US | Ann Arbor | 2016-03-24 / 20160088117 - CONTENT REPLACEMENT AND REFRESH POLICY IMPLEMENTATION FOR A CONTENT DISTRIBUTION NETWORK | 8 |
Rüdiger Hack | DE | Starnberg | 2011-05-12 / 20110111576 - LASER-SCRIBING SYSTEM FOR STRUCTURING SUBSTRATES FOR THIN LAYER SOLAR MODULES | 1 |
Achim Hack | CH | Stein/ag | 2010-06-03 / 20100137599 - PROCESS FOR PREPARING 1,4-BUTANDIOL MONITRATE | 1 |
Martinus Jacobus Johannes Hack | NL | Eindhoven | 2010-06-24 / 20100155709 - ENCAPSULATION FOR AN ELECTRONIC THIN FILM DEVICE | 2 |
Horst Hack | US | Hampton | 2013-01-10 / 20130008394 - Radiant Superheater | 11 |
Jeffrey Hack | CA | London | 2010-06-10 / 20100141736 - METHOD OF OBTAINING GEOGRAPHICALLY RELATED IMAGES USING A VEHICLE | 1 |
Richard Hack | DE | Nussloch | 2010-04-01 / 20100081316 - INSTALLATION SWITCHING DEVICE | 1 |
Marcus Hack | DE | Alfter | 2015-08-20 / 20150231876 - METHOD AND DEVICE FOR ALIGNING PRINTING PLATES ON PRINTING CYLINDERS | 1 |
Gary D. Hack | US | Columbia | 2015-10-08 / 20150283045 - METHODS AND COMPOSITIONS FOR ENHANCING AND EXTENDING THE COSMETIC EFFECTS OF NON-SURGICAL DERMAL INTERVENTIONS | 3 |
Michael D. Hack | US | San Diego | 2015-12-31 / 20150376212 - FLAP MODULATORS | 19 |
Thomas P. Hack | US | Steamboat Springs | 2011-05-05 / 20110101937 - Voltage Regulator with Virtual Remote Sensing | 1 |
Michel H.t. Hack | US | Cortlandt Manor | 2014-10-30 / 20140325014 - CHANNEL SUBSYSTEM SERVER TIME PROTOCOL COMMANDS | 10 |
Michel H. T. Hack | US | Cortlandt Manor | 2013-09-12 / 20130239128 - PREFERENTIAL EXECUTION OF METHOD CALLS IN HYBRID SYSTEMS | 6 |
Hrabanus Hack | DE | Mainz | 2013-08-15 / 20130209762 - GLASS-CERAMIC WHICH IS AT LEAST PARTLY PROVIDED WITH A HARD MATERIAL LAYER | 3 |
Dennis P. Hack | US | Cheswick | 2012-09-06 / 20120226157 - METHOD OF INJECTING FLUIDS FROM A DUAL SYRINGE INJECTOR SYSTEM | 4 |
Michael Hack | DE | Bisdiofsheim | 2009-04-16 / 20090096274 - ATTACHMENT DEVICE | 1 |
Stephen Patrick Hack | US | Livermore | 2009-02-05 / 20090037939 - Cell compatibilty in multiprocessor systems | 1 |
Jeff Hack | CA | London | 2008-11-20 / 20080284797 - System and method for registration of cubic fisheye hemispherical images | 1 |
Michel Henri Theodore Hack | US | Cortlandt Manor | 2009-09-17 / 20090235266 - Operating System and Augmenting Operating System and Method for Same | 3 |
Lindsay M. Hack | US | Winona Lake | 2012-04-26 / 20120101504 - FLEXIBLE ATTACHMENT FOR AN EXTRAMEDULLARY SURGICAL INSTRUMENT | 1 |
Norman M. Hack | US | Pflugerville | 2013-08-29 / 20130227321 - METHOD AND APPARATUS FOR CACHE CONTROL | 2 |
Alexander Hack | DE | Biberach | 2014-10-09 / 20140302452 - Dental Camera System | 2 |
Jacob C. Hack | US | 2012-03-22 / 20120071409 - Colloidal Liposomal Compositions and Methods | 1 | |
Michel Henri Hack | US | Cortlandt Manor | 2009-02-05 / 20090037758 - USE OF T4 TIMESTAMPS TO CALCULATE CLOCK OFFSET AND SKEW | 1 |
Michael Hack | DE | Hessheim | 2014-11-13 / 20140336954 - Calculating Fatigue and Fatigue Failure of Structures | 1 |
Michael Hack | US | Lambertville | 2015-10-22 / 20150303395 - ORGANIC LIGHT EMITTING DEVICES | 3 |
Mark E. Hack | US | Austin | 2016-02-18 / 20160048433 - SYSTEM, APPARATUS, AND METHOD TO DYNAMICALLY CHANGE SYSTEM RECOVERIES BASED ON SYSTEM LOAD | 2 |
Christian David Hack | AU | Wavell Heights | 2012-09-20 / 20120235667 - THREE-PHASE SELECTABLE ENERGY METER | 1 |
Mark Elliott Hack | US | Cedar Park | 2012-10-11 / 20120260257 - SCHEDULING THREADS IN MULTIPROCESSOR COMPUTER | 1 |
Adam P. Hack | US | Baltimore | 2009-02-12 / 20090043600 - Video Enhanced electronic application | 1 |
Heiko Hack | DE | Forchheim (kersbach) | 2012-11-22 / 20120294156 - Modular Switching Network Node for a Communications Network | 1 |
Jason B. Hack | US | East Greenwich | 2009-07-02 / 20090171384 - EMERGENCY SNAKE BITE TREATMENT DEVICES, MEDICAL KITS AND RELATED METHODS | 1 |
Paul J. Hack | US | San Jose | 2016-04-07 / 20160099524 - CONNECTOR RECEPTALE HAVING GOOD SIGNAL INTEGRITY | 8 |
Rüdiger Hack | DE | Starnberg | 2011-05-12 / 20110111576 - LASER-SCRIBING SYSTEM FOR STRUCTURING SUBSTRATES FOR THIN LAYER SOLAR MODULES | 1 |
Mark Elliott Hack | US | Austin | 2009-04-09 / 20090094446 - INTEGRATED CIRCUIT ENVIRONMENT INITIALIZATION ACCORDING TO INFORMATION STORED WITHIN THE INTEGRATED CIRCUIT | 1 |
David J. Hackam | US | Pittsburgh | 2015-09-10 / 20150250809 - NOVEL TLR4 INHIBITORS FOR THE TREATMENT OF HUMAN INFECTIOUS AND INFLAMMATORY DISORDERS | 8 |
Dean Robert Hackbarth | US | Las Vegas | 2013-12-26 / 20130341294 - BRAKE SYSTEM AND METHOD FOR A ROTATING FRAME IN A SOLAR POWER GENERATION SYSTEM | 4 |
Claudia Jane Hackbarth | US | Bellaire | 2015-11-26 / 20150337620 - METHODS OF MAKING AND USING CEMENT COATED SUBSTRATE | 1 |
Steffen Hackbarth | DE | Berlin | 2014-10-02 / 20140294735 - POLYMER-TYPE FLUORESCENT MOLECULE PROBE | 2 |
Anthony James Hackbarth | US | Sheboygan | 2015-04-30 / 20150120247 - DIAGNOSTIC METHOD FOR AN ENGINE-GENERATOR SET | 2 |
Thomas Hackbarth | US | Marietta | 2014-09-11 / 20140258431 - Methods of Routing Messages Using a Listener Registry | 1 |
Anke Hackbarth | DE | Braunschweig | 2012-05-03 / 20120107041 - MECHANICAL LATCHING UNIT FOR A MAIN DRIVE UNIT | 1 |
Ulrich Hackbarth | DE | Maxdorf | 2009-11-19 / 20090285736 - Catalytic Soot Filter and Use Thereof in Treatment of Lean Exhaust Gases | 1 |
Dirk Hackbarth | DE | Hannover | 2011-02-10 / 20110031060 - Earpiece | 2 |
Gregory G. Hackbarth | US | Colleyville | 2015-04-02 / 20150094885 - TRACK-DATA VERIFICATION | 1 |
Thomas Hackbarth | DE | Blaustein | 2009-01-08 / 20090010502 - Vehicle Occupant Protection System | 1 |
Harlan R. Hackbarth | US | Allenton | 2009-05-14 / 20090123618 - Soy Milk Compositions and Methods of Preparation | 1 |
Dean R. Hackbarth | US | Las Vegas | 2012-12-13 / 20120312941 - MOUNTING SYSTEM AND METHOD FOR MOUNTING A CURVED PANEL TO A FRAME | 1 |
Andreas Hackbarth | DE | Munchen | 2011-10-27 / 20110260590 - DOMESTIC APPLIANCE DEVICE | 2 |
Dirk Hackbarth | DE | Ahlem | 2010-07-22 / 20100183173 - DYNAMIC SOUND TRANSDUCER AND RECEIVER | 1 |
Anthony J. Hackbarth | US | Sheboygan | 2014-07-31 / 20140210256 - POWER GENERATION SYSTEM WITH ANTICIPATORY OPERATION | 4 |
Dirk Hackbarth | DE | Wedmark | 2014-06-05 / 20140153739 - MICROPHONE | 1 |
Randy L. Hackbarth | US | Western Springs | 2015-04-09 / 20150100940 - SYSTEM AND METHOD FOR PRIORITIZING AND REMEDIATING DEFECT RISK IN SOURCE CODE | 1 |
Rolf Hackbarth | DE | Seelze | 2011-10-27 / 20110262572 - DEVICE FOR VULCANIZING VEHICLE TIRES USING A HEATING PRESS | 1 |
Ronald Hackbarth | DE | Koblenz | 2010-09-09 / 20100224042 - METHOD AND DEVICE FOR PRODUCING SMALL, THIN SHEETS FROM AN ACTIVE-INGREDIENT FILM | 2 |
Dean R. Hackbarth | US | San Pedro | 2013-12-19 / 20130333320 - SPACE FRAMES AND CONNECTION NODE ARRANGEMENT FOR THEM | 2 |
Karsten Hackbarth | DE | Duesseldorf | 2010-08-19 / 20100209732 - PRELIMINARY METALLIZING TREATMENT OF ZINC SURFACES | 5 |
Sandra Hackbarth | DE | Bedburg | 2011-03-10 / 20110060090 - BINDER COMBINATIONS BASED ON POLYACRYLATE DISPERIONS | 4 |
Dianne K. Hackborn | US | Santa Clara | 2012-05-10 / 20120117507 - Notification of Mobile Device Events | 13 |
Dianne K. Hackborn | US | Mountain View | 2016-03-17 / 20160080551 - Notification of Mobile Device Events | 5 |
Dianne Kyra Hackborn | US | Mountain View | 2015-04-02 / 20150095521 - Methods and Systems for Determining Memory Usage Ratings for a Process Configured to Run on a Device | 1 |
Dianne Kyra Hackborn | US | Menlo Park | 2015-07-02 / 20150186367 - RE-USE OF BINARIES FOR MULTIPLE USER ACCOUNTS | 5 |
Dianne Hackborn | US | Mountain View | 2014-03-27 / 20140085339 - Displaying Applications on a Fixed Orientation Display | 1 |
Dianne K. Hackborn | US | Mountain Vew | 2013-02-14 / 20130042122 - PROVIDING A USER WITH FEEDBACK REGARDING POWER CONSUMPTION IN BATTERY-OPERATED ELECTRONIC DEVICES | 1 |
Gerhard A. Hacke | CA | Erin | 2011-08-25 / 20110203582 - Medical air tubing connection system | 2 |
André Hacke | DE | Griez | 2012-05-03 / 20120105576 - Arrangement of a Rotary Image Capture Unit for Imaging Objects on Circuit Boards at a Polar Viewing Angle 45 | 1 |
Cornel C. Hacke | CA | Guelph | 2011-08-25 / 20110203582 - Medical air tubing connection system | 2 |
Andrew Hacke | US | Abingdon | 2009-03-19 / 20090075245 - Method of mounting a game animal and kit therefor | 1 |
Eric Hacke | CA | Toronto | 2016-04-21 / 20160113044 - SYSTEM AND METHOD FOR WIRELESS MOBILITY PROTOCOL FOR HIGHLY DIRECTIONAL ANTENNAS WITH NETWORK TOPOLOGY AWARENESS | 1 |
Katrin Hacke | US | Los Angeles | 2014-06-05 / 20140154225 - METHOD FOR COMBINED CONDITIONING AND CHEMOSELECTION IN A SINGLE CYCLE | 1 |
Peter Hacke | US | Albuquerque | 2012-08-16 / 20120204938 - INTERCONNECT TECHNOLOGIES FOR BACK CONTACT SOLAR CELLS AND MODULES | 7 |
Peter Hacke | US | Golden | 2010-02-04 / 20100024881 - Interconnect Technologies for Back Contact Solar Cells and Modules | 1 |
Lloyd A. Hackel | US | Livermore | 2011-02-24 / 20110045922 - ENGINEERED RESIDUAL STRESS IN GOLF CLUBS | 2 |
Benjamin J. Hackel | US | Edina | 2014-09-18 / 20140271469 - CYSTINE KNOT PEPTIDES THAT BIND ALPHA-V-BETA-6 INTEGRIN | 1 |
Benjamin Hackel | US | Edina | 2014-09-18 / 20140271467 - PROBES AND METHODS OF IMAGING NON-HODGKINS LYMPHOMA | 1 |
Kurt Hackel | US | San Jose | 2014-09-18 / 20140280685 - PEER-TO-PEER TRANSCENDENT MEMORY | 2 |
George Hackel | US | Appleton | 2014-11-06 / 20140327182 - Container With Microwave Interactive Web | 1 |
Philipp Marius Hackel | DE | Frankfurt Am Main | 2016-03-24 / 20160083319 - PLANT FOR THE PRODUCTION OF METHANOL FROM SYNTHESIS GAS RICH IN INERTS | 2 |
Lloyd Hackel | US | Livermore | 2013-03-14 / 20130064993 - METHODS AND SYSTEM FOR CONTROLLED LASER-DRIVEN EXPLOSIVE BONDING | 3 |
Benjamin Joseph Hackel | US | Edina | 2012-10-25 / 20120270797 - ENGINEERED PROTEINS INCLUDING MUTANT FIBRONECTIN DOMAINS | 1 |
Andre Hackel | DE | Biberach | 2015-10-15 / 20150292684 - MEDICAL LUMINAIRE | 2 |
Philipp Marius Hackel | DE | Usingen | 2016-03-10 / 20160068391 - METHOD FOR PRE-REFORMING HYDROCARBONS | 4 |
André Hackel | DE | Biberach | 2012-05-24 / 20120130254 - Dental Device for Trans-illumination of Teeth | 4 |
André Hackel | DE | Mittelbiberach | 2012-02-09 / 20120034573 - Medical, in Particular Dental, Diagnostic Device Having Image Capture Means | 1 |
Jill Hackell | US | New City | 2014-10-30 / 20140322263 - PNEUMOCOCCAL DOSING REGIMEN | 1 |
Witold Hackemer | DE | Bockenau | 2009-05-28 / 20090135499 - MACRO OBJECTIVE | 1 |
Witold Hackemer | DE | Einbeck-Vogelbeck | 2011-12-08 / 20110299176 - MINIATURE ZOOM LENS | 1 |
Larry Gene Hackemesser | US | Houston | 2010-03-25 / 20100074812 - Low Pressure Drop Reforming Reactor | 1 |
Ulrich Hackenberg | DE | Wettstetten | 2015-06-25 / 20150175120 - PEDESTRIAN PROTECTION SYSTEM FOR A VEHICLE | 2 |
James A. Hackenberg | US | La Quinta | 2009-03-26 / 20090082124 - Golf swing trainer having balanced center of mass | 1 |
Hans-Peter Hackenberg | DE | Olching | 2016-02-04 / 20160032826 - TURBOFAN AIRCRAFT ENGINE | 2 |
Linn Hackenberg | DE | Wolfsburg | 2015-09-10 / 20150253804 - METHOD FOR OPERATING A VEHICLE WITH AN AUTOMATIC DRIVING MODE | 4 |
Stefan Hackenberg | DE | Den Haag | 2011-10-13 / 20110247310 - EXHAUST GAS TREATMENT DEVICE FOR AN EXHAUST GAS SYSTEM AND METHOD OF MANUFACTURING AN EXHAUST GAS TREATMENT DEVICE | 1 |
Ken Hackenberg | US | Houton | 2014-10-09 / 20140303559 - AUTOMATIC SYRINGE PUMPS FOR DRUG AND FLUID DELIVERY | 1 |
Wolfgang-Dietmar Hackenberg | DE | Freudenberg | 2010-06-17 / 20100147484 - METHOD AND DEVICE FOR MANUFACTURING A METAL STRIP BY MEANS OF CONTINUOUS CASTING AND ROLLING | 2 |
Wolfgang Hackenberg | DE | Garching Bei Munchen | 2011-02-17 / 20110038035 - NARROW BAND FIBER RAMAN OPTICAL AMPLIFIER | 1 |
John J. Hackenberg | US | Austin | 2010-05-27 / 20100129952 - METHOD OF FORMING A SEMICONDUCTOR LAYER | 2 |
James A. Hackenberg | US | Easley | 2013-12-12 / 20130331197 - Spherical Impact Putter-Face System | 2 |
Dieter Hackenberg | DE | Witten | 2013-07-04 / 20130167325 - DEVICE FOR SWIVELING A DISK | 2 |
Juergen Hackenberg | DE | Sachsenheim | 2015-04-16 / 20150104897 - DEVICE FOR THE HOMOGENEOUS WET-CHEMICAL TREATMENT OF SUBSTRATES | 11 |
Peter Hackenberg | DE | Potsdam | 2013-08-15 / 20130206676 - MEASURING DEVICE HAVING A PRESSURE SENSOR | 1 |
Michael Hackenberg | DE | Muenchen | 2012-11-29 / 20120303220 - Electronic Control System for a Safety Device of a Motor Vehicle | 1 |
Michael Hackenberg | US | Piedmont | 2015-11-12 / 20150322863 - Localized Ignition Diagnostics | 1 |
Juergen Hackenberg | DE | Sachsenheim | 2015-04-16 / 20150104897 - DEVICE FOR THE HOMOGENEOUS WET-CHEMICAL TREATMENT OF SUBSTRATES | 11 |
Gerd Hackenberg | DE | Kassel | 2012-06-07 / 20120139347 - REVERSE CURRENT SENSOR | 1 |
Wesley Hackenberger | US | State College | 2011-12-22 / 20110311815 - METHOD OF MAKING TERNARY PIEZOELECTRIC CRYSTALS | 3 |
Elmar Hackenberger | CH | Zurich | 2008-09-04 / 20080213055 - Universal Machine for the Soft Machining of Bevel Gears and Corresponding Method | 1 |
Dane Eugene Hackenberger | US | Mifflintown | 2015-03-12 / 20150068312 - ROLLING PHASED ARRAY ULTRASONIC SCANNER | 2 |
Wesley S. Hackenberger | US | State College | 2015-03-19 / 20150076391 - TEMPERATURE AND FIELD STABLE RELAXOR-PT PIEZOELECTRIC SINGLE CRYSTALS | 11 |
Christian Hackenberger | DE | Berlin | 2012-03-15 / 20120065344 - COMPOUND MODIFIED BY A PHOSPHORAMIDATE AND/OR PHOSPHONAMIDE GROUP AND USE THEREOF | 1 |
Wesley S. Hackenberger | US | State College | 2015-03-19 / 20150076391 - TEMPERATURE AND FIELD STABLE RELAXOR-PT PIEZOELECTRIC SINGLE CRYSTALS | 11 |
Tanja Hackenberger | DE | Dorsten | 2010-03-18 / 20100069285 - ACIDIC COMPOSITION BASED ON SURFACTANT BLEND | 1 |
Guillaume Hackenberger | JP | Yokohama-Shi | 2015-01-15 / 20150014624 - NANODEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Guillaume Hubert Frederic Hackenberger | JP | Yokohama-Shi | 2016-01-28 / 20160027908 - LOGICAL OPERATION ELEMENT | 1 |
Dane E. Hackenberger | US | Mifflitown | 2010-01-28 / 20100018311 - ULTRASOUND INSPECTION METHODS FOR NOISY CAST MATERIALS AND RELATED PROBES | 1 |
Wilfried Hackenbroich | DE | Holzkirchen | 2012-01-26 / 20120020048 - Shielding housing | 2 |
Gregor Hackenbroich | DE | Dresden | 2015-06-04 / 20150154261 - FLEXIBLY PERFORMING ALLOCATIONS IN DATABASES | 7 |
Stefan Hackenbuchner | US | 2012-07-05 / 20120169232 - MOTOR VEHICLE HEADLIGHT ELEMENT | 1 | |
Stefan Hackenbuchner | IT | Treviso | 2014-06-05 / 20140151558 - OCCUPANCY SENSOR | 2 |
Stefan Hackenbuchner | DE | Munchen | 2009-12-10 / 20090302766 - MOTOR VEHICLE HEADLIGHT ELEMENT | 2 |
Steven Richard Hackenburg | US | Queensbury | 2014-09-18 / 20140275906 - GARMENT FOR A MEDICAL DEVICE | 1 |
Tilman Mathias Hackeng | NL | Cadier En Keer | 2014-10-02 / 20140296146 - Thermostable Inhibitors of Activation of the Blood Clotting System Through Contact with Foreign Surfaces | 1 |
Tilman M. Hackeng | NL | Cadier En Keer | 2012-09-13 / 20120231000 - TRUNCATED CYSTINE-KNOT PROTEINS | 1 |
Tilman Mathias Hackeng | NL | Maastricht | 2015-03-12 / 20150071856 - ANTAGONISTIC PEPTIDES FOR FRIZZLED-1 AND FRIZZLED-2 | 2 |
Peter Hackenschmied | DE | Nuernberg | 2015-08-06 / 20150221406 - X-RAY RADIATION DETECTOR, CT SYSTEM AND RELATED METHOD | 4 |
Peter Hackenschmied | DE | Nuremberg | 2014-02-27 / 20140054734 - METHOD FOR PRODUCING A SEMICONDUCTOR ELEMENT OF A DIRECT-CONVERTING X-RAY DETECTOR | 2 |
Peter Hackenschmied | DE | Numberg | 2011-08-18 / 20110200166 - Detector Material For A Detector For Use In CT Systems, Detector Element And Detector | 2 |
Peter Hackenschmied | DE | Nurnberg | 2013-06-27 / 20130161773 - DETECTOR ELEMENT, RADIATION DETECTOR, MEDICAL DEVICE, AND METHOD FOR PRODUCING SUCH A DETECTOR ELEMENT | 7 |
Severin Benedict Hans Hacker | US | Pittsburgh | 2012-06-07 / 20120141959 - CROWD-SOURCING THE PERFORMANCE OF TASKS THROUGH ONLINE EDUCATION | 1 |
Aaron Collins Hacker | US | Cincinnati | 2012-09-27 / 20120242426 - BROADBAND WAVEGUIDE | 1 |
Allen E. Hacker | US | Bloomington | 2012-10-18 / 20120265097 - TOTAL CORE BIOPSY DEVICE AND METHOD OF USE | 2 |
Michael Hacker | DE | Nuernberg | 2016-04-28 / 20160114401 - CUTTING TOOL, PARTICULARLY A BORING BAR, AS WELL AS A PROCESS FOR MACHINING A NUMBER OF BORES | 2 |
Erwin Hacker | DE | Hochheim | 2015-02-19 / 20150051074 - HERBICIDE/SAFENER COMBINATION | 58 |
Michael Hacker | DE | Nurnberg | 2015-11-19 / 20150328703 - REAMING HEAD INTERFACE | 3 |
Kevin J. Hacker | US | San Carlos | 2011-08-04 / 20110186432 - BUFFERS FOR ELECTROPHORESIS AND USE THEREOF | 1 |
L. Leonard Hacker | US | Washington | 2012-12-06 / 20120304847 - System and Method for Musical Game Playing and Training | 1 |
Peter Hacker | DE | Munchen | 2011-11-10 / 20110275747 - STABILIZER SYSTEMS FOR POLYMERS CONTAINING HALOGEN | 1 |
Martin E. Hacker | US | Westbury | 2013-08-01 / 20130193229 - THERMAL SPRAY COMBUSTION GUN WITH A TOLERANCE COMPENSATION SPRING | 1 |
Dean Hacker | US | Maple Grove | 2012-06-21 / 20120158120 - STENT DELIVERY SYSTEM | 1 |
André Hacker | DE | Hersbruck | 2010-06-10 / 20100145911 - Serverless Replication of Databases | 1 |
Jörg Hacker | DE | Wurzburg | 2010-07-01 / 20100166788 - IMMUNOGENS FROM UROPATHOGENIC ESCHERICHIA COLI | 1 |
Bryan F. Hacker | US | Williamston | 2015-10-29 / 20150306718 - PLATE ASSEMBLY | 1 |
David D. Hacker | US | Bad Axe | 2014-08-28 / 20140239097 - VENTURI FLUID PUMP WITH OUTLET FLOW CONTROLLER | 1 |
John R. Hacker | US | Minneapolis | 2016-01-07 / 20160001204 - LIQUID FILTER ASSEMBLY, SYSTEM AND METHODS | 25 |
Jörg Hacker | DE | Berlin | 2013-01-03 / 20130004531 - IMMUNOGENS FROM UROPATHOGENIC ESCHERICHIA COLI | 1 |
Severin Hacker | US | Pittsburgh | 2012-01-05 / 20120005131 - Combining Human and Machine Intelligence to Solve Tasks With Crowd Sourcing | 1 |
Scott Martin Hacker | US | River Edge | 2015-07-09 / 20150191597 - ASPHALT BINDER COMPOSITIONS AND METHODS TO MAKE AND USE SAME | 1 |
Buckell Gary Hacker | US | Shawano | 2015-12-31 / 20150375425 - Post-Consumer Scrap Film Recycling System and Process | 9 |
Coleen Hacker | US | Cupertino | 2015-07-30 / 20150211045 - MICROFLUIDIC METHOD AND SYSTEM FOR ENZYME INHIBITION ACTIVITY SCREENING | 2 |
Martin Hacker | US | Pleasanton | 2012-05-24 / 20120128222 - METHOD AND APPARATUS FOR MEASURING MOTION OF A SUBJECT USING A SERIES OF PARTIAL IMAGES FROM AN IMAGING SYSTEM | 2 |
Nigel Hacker | US | Palo Alto | 2009-11-12 / 20090278254 - Dielectric materials and methods for integrated circuit applications | 1 |
Jonathan Hacker | US | Thousand Oaks | 2012-03-08 / 20120057839 - RUGGEDIZED WAVEGUIDE ENCAPSULATION FIXTURE | 2 |
Nigel Hacker | US | Livermore | 2010-12-16 / 20100317179 - METHOD FOR MAKING INTEGRATED CIRCUIT DEVICE | 1 |
Dean W. Hacker | US | Maple Grove | 2015-10-08 / 20150282921 - Patterned Implant and Method | 4 |
Steven Michael Hacker | US | Delray Beach | 2015-02-12 / 20150044956 - Surgical Drape Plume Evacuator | 1 |
Roland Hacker | DE | Isenbuttel | 2013-11-28 / 20130314540 - ARRANGEMENT FOR AN IMAGE RECORDING DEVICE IN A VEHICLE | 1 |
Jonathan B. Hacker | US | Thousand Oaks | 2015-07-09 / 20150194407 - HBT CASCODE CELL | 5 |
Marshall S. Hacker | US | Los Angeles | 2009-05-21 / 20090127065 - COIN BANK WITH AUTOMATED MEANS FOR DEPOSITING GROUPS OF COINS | 1 |
William Shawn Hacker | US | American Canyon | 2010-03-04 / 20100054899 - Storage Container Transport Device | 1 |
Michael Hacker | DE | Leipzig | 2015-03-26 / 20150086513 - METHOD FOR DERIVING MELANOCYTES FROM THE HAIR FOLLICLE OUTER ROOT SHEATH AND PREPARATION FOR GRAFTING | 1 |
Carl Hacker | US | St. Louis | 2013-05-16 / 20130123607 - SYSTEM AND METHOD FOR TASK-LESS MAPPING OF BRAIN ACTIVITY | 1 |
Dean W. Hacker | US | Minnetonka | 2014-03-27 / 20140088343 - Film Encapsulated Pelvic Implant System and Method | 1 |
John Hacker | US | Minneapolis | 2015-12-24 / 20150367263 - LIQUID FILTER ARRANGEMENT AND METHODS | 2 |
Michael G. Hacker | US | Goode | 2013-11-21 / 20130305826 - Angle Beam Ultrasonic Probe for Internal Hex Socket Bolts | 1 |
Michael Hacker | DE | Nuemberg | 2012-05-17 / 20120121346 - REGULATING ELEMENT | 1 |
Andrew J. Hacker | US | Enola | 2014-11-13 / 20140337388 - ENHANCED DATA CONTAINER WITH EXTENSIBLE CHARACTERISTICS AND A SYSTEM AND METHOD OF PROCESSING AND COMMUNICATION OF SAME | 1 |
Scott Hacker | US | River Edge | 2015-11-26 / 20150337178 - ADHESION PROMOTION TO DIFFICULT SUBSTRATES FOR HOT MELT ADHESIVES | 5 |
Erwin Hacker | DE | Langenenslingen | 2016-01-07 / 20160002168 - USE OF QUINOLINE DERIVATIVES FOR IMPROVING PLANT YIELD | 8 |
Henry Hacker | US | Mcgregor | 2011-09-15 / 20110222731 - Computer Controlled System for Laser Energy Delivery to the Retina | 1 |
Kevin Hacker | US | Cupertino | 2015-10-29 / 20150307869 - DEVICE FOR CAPTURE AND LYSIS OF MICROORGANISMS FROM LIQUIDS AND METHODS OF USE THEREOF | 4 |
Richard Hacker | CA | Quebec | 2012-06-21 / 20120151909 - LOAD SENSING HYDRAULIC SYSTEM | 1 |
Frank Hacker | DE | Altenstadt | 2013-08-15 / 20130211060 - CONJUGATES COMPRISING HYDROXYALKYL STARCH AND A CYTOTOXIC AGENT AND PROCESS FOR THEIR PREPARATION | 1 |
Mark Hacker | GB | Little Horwood | 2015-03-19 / 20150076981 - VEHICLE GALLEY | 2 |
David Hacker | CH | Lausanne | 2010-12-09 / 20100311116 - FAST GENERATION OF HIGH EXPRESSION STABLE CELL LINES EXPRESSING RECOMBINANT PROTEINS UNDER MINIMAL AND SHORT-TERM SELECTIVE PRESSURE | 1 |
Douglas J. Hacker | US | Salt Lake City | 2010-12-23 / 20100324454 - DECEPTION DETECTION USING OCULOMOTOR MOVEMENTS | 1 |
Dean D. Hacker | US | Menomonee Falls | 2010-11-11 / 20100281930 - TETHERED PORTABLE STORAGE DEVICE | 1 |
Leonard Hacker | US | Washington | 2009-05-28 / 20090138281 - Patient-controlled medical information system and method | 2 |
Manfred Hacker | AT | Bad St. Leonhard | 2016-01-07 / 20160002852 - PROCESS FOR RECAUSTICIZING GREEN LIQUOR | 1 |
Jonathan Hacker | US | Deer Park | 2009-04-23 / 20090103313 - COVER DEVICE FOR COMPACT FLOURESCENT LAMPS | 1 |
Darren W. Hacker | US | St. Louis | 2009-03-26 / 20090080899 - FIBER OPTIC GPS LINK | 2 |
Allen Hacker | US | Bloomington | 2012-07-12 / 20120179065 - ADJUSTABLE SAMPLE SIZE TISSUE SAMPLING DEVICE | 1 |
Dean D. Hacker | US | Oak Creek | 2011-05-05 / 20110100074 - SAFE WITH DUAL LOCKING MECHANISM | 3 |
John R. Hacker | US | Edina | 2013-07-04 / 20130168310 - LIQUID FILTER ARRANGEMENT; COMPONENTS; AND, METHODS | 4 |
Cherie L. Hacker | US | Comstock Park | 2009-01-08 / 20090011054 - Plant-based formulations for improving liver health | 1 |
John Hacker | US | Edina | 2015-05-21 / 20150136685 - FILTER CARTRIDGES FOR LIQUID FILTRATION; ASSEMBLY; AND, METHODS | 3 |
Brian F. Hacker | US | Williamston | 2012-07-12 / 20120175832 - FIXTURE FOR SUPPORTING A WORKPIECE | 1 |
Buckell Gary Hacker | US | Shawano | 2015-12-31 / 20150375425 - Post-Consumer Scrap Film Recycling System and Process | 9 |
Steven M. Hacker | US | Delray Beach | 2015-06-04 / 20150150579 - Surgical Scalpel Handle Assembly System And Method For Requiring A Verification Process Performed Prior To And During Surgery Using Actuators to Unlock And Engage Blade Holder in Ready For Cutting Position | 4 |
Randall Hacker | US | Naples | 2012-12-06 / 20120310161 - Fluid Management System | 3 |
David C. Hacker | US | Jacksonville | 2015-11-12 / 20150320329 - METHOD AND SYSTEM FOR MONITORING A NERVE | 13 |
Randall L. Hacker | US | Naples | 2011-08-18 / 20110202023 - REMOVABLE SUCTION ASSEMBLY FOR MEDICAL HANDPIECES | 4 |
Dennis D. Hacker | US | Midland | 2011-06-30 / 20110160340 - MIXING APPARATUS FOR CONTINUOUS PRODUCTION OF MONOMER EMULSION | 1 |
Jon Hacker | US | Meridian | 2015-11-19 / 20150333014 - SEMICONDUCTOR DEVICES AND METHODS FOR BACKSIDE PHOTO ALIGNMENT | 1 |
Johannes Hacker | AT | Villach | 2016-03-03 / 20160061967 - GAMMA RAY DETECTOR AND METHOD OF DETECTING GAMMA RAYS | 1 |
Andreas Hacker | DE | Gars | 2014-11-20 / 20140338306 - Device for Burning Off Propellants Or Explosive Substances | 1 |
Erich Hacker | US | Portland | 2014-05-01 / 20140121573 - TRUNK SUPPORTING EXOSKELETON AND METHOD OF USE | 1 |
Erich Waldherr Hacker | US | Vancouver | 2014-07-31 / 20140210895 - PRINTING SYSTEM WITH FORCE CONTROL MODE | 1 |
Christian Hacker | DE | Sasbach | 2013-04-11 / 20130087387 - METHOD FOR MANAGING DRILLING PIPES, DRILLING TOOLS, WELL TUBING, AND THE LIKE IN GROUND DRILLING | 1 |
Ulrich Hacker | DE | Koeln | 2012-06-07 / 20120142012 - BIOMARKER FOR COLORECTAL CANCER | 1 |
Erwin Hacker | DE | Langenenslingen-Friedlingen | 2013-01-24 / 20130023413 - HERBICIDAL COMPOSITIONS FOR TOLERANT OR RESISTANT SOYBEAN CROPS | 1 |
Stefan Hacker | DE | Bochum | 2013-02-14 / 20130036954 - APPARATUS FOR SUPPLYING MULTIPLE BURNERS WITH FINE-GRAINED FUEL | 2 |
David C. Hacker | US | Jacksonville | 2015-11-12 / 20150320329 - METHOD AND SYSTEM FOR MONITORING A NERVE | 13 |
Jan-Hendrik Hacker | DE | Hamburg | 2015-05-07 / 20150127270 - Laboratory instrument, system and method for instrument-controlled treatment of at least one laboratory sample using at least one consumable | 4 |
Robert J. Hacker | US | Eugene | 2013-04-18 / 20130091619 - ATHLETIC SHORTS | 1 |
Christian Hacker | DE | Regensburg | 2012-12-20 / 20120320584 - Base Carrier, Light Source Carrier and System Comprising a Base Carrier and a Light Source Carrier | 2 |
Martin Hacker | DE | Jena | 2015-10-15 / 20150294458 - FLEXIBLE, MULTIMODAL RETINA IMAGE RECORDING SYSTEM AND MEASUREMENT SYSTEM | 39 |
Volker Hacker | DE | Altenstadt-Oberau | 2015-03-19 / 20150079271 - SYSTEM AND METHODS FOR PROCESSING A SUBSTRATE | 5 |
Jörg Hacker | DE | Gerbrunn | 2009-09-24 / 20090238804 - USE OF CELLS CONTAINING A SPECIFIC DNA MOLECULE AS CYTOPATHIC AGENTS TO INHIBIT THE PROLIFERATION OF CELLS | 1 |
Michael C. Hacker | DE | Leipzig | 2010-03-25 / 20100075420 - Novel Gene Delivery Vectors for Human Mesenchymal Stem Cells | 2 |
Christian Hacker | DE | Rejensburg | 2010-04-22 / 20100099276 - Method of connecting printed circuit boards and corresponding arrangment | 1 |
Oliver Hacker | DE | Horb | 2009-01-22 / 20090022568 - SELF-TAPPING CONCRETE SCREW | 3 |
Scott M. Hacker | US | River Edge | 2015-08-13 / 20150225296 - PLASTOMER-MODIFIED ASPHALT BINDERS MEETING MSCR SPECIFICATIONS, ASPHALT PAVING MATERIALS WITH SUCH ASPHALT BINDERS, AND METHODS FOR FABRICATING SUCH ASPHALT BINDERS | 1 |
André Hacker | DE | Hersbruck | 2010-06-10 / 20100145911 - Serverless Replication of Databases | 1 |
Jörg Hacker | DE | Wurzburg | 2010-07-01 / 20100166788 - IMMUNOGENS FROM UROPATHOGENIC ESCHERICHIA COLI | 1 |
Jens Hacker | DE | Markgroningen | 2015-02-26 / 20150057798 - CONTROL METHOD FOR A ROBOT | 3 |
Joachim Hacker | DE | Wachtersbach | 2010-08-12 / 20100200023 - DEVICE AND METHOD FOR CLEANING THE CORE ENGINE OF A JET ENGINE | 1 |
Frank Hacker | DE | Regensburg | 2010-09-02 / 20100222981 - Method for Monitoring the Secondary Air System in an Exhaust-Gas Purification System | 2 |
Frank Hacker | DE | Regenstauf | 2010-06-03 / 20100138135 - METHOD AND DEVICE FOR DETERMINING THE COMBUSTION LAMBDA VALUE OF AN INTERNAL COMBUSTION ENGINE | 2 |
Heike Hacker | DE | Langenfeld | 2014-07-24 / 20140208463 - ACTIVE COMPOUND COMBINATIONS COMPRISING SPECIFIC TETRAMIC ACID DERIVATIVES | 1 |
Erwin Hacker | DE | Langenenslingen | 2016-01-07 / 20160002168 - USE OF QUINOLINE DERIVATIVES FOR IMPROVING PLANT YIELD | 8 |
David Hacker | US | Arlington | 2016-04-21 / 20160110354 - MATCHING OBJECTS USING KEYS BASED ON MATCH RULES | 2 |
Jörg Hacker | DE | Gerbrunn | 2009-09-24 / 20090238804 - USE OF CELLS CONTAINING A SPECIFIC DNA MOLECULE AS CYTOPATHIC AGENTS TO INHIBIT THE PROLIFERATION OF CELLS | 1 |
Brian R. Hackerson | US | Rosemount | 2014-10-02 / 20140297646 - SYSTEMS AND METHODS FOR MANAGING NOTES | 1 |
Ryan Anthony Hackert | US | Oskaloosa | 2011-09-15 / 20110220375 - Shaft Alighment And Anti-Corrosion Liner For A Disk Gang | 1 |
Ryan A. Hackert | US | Oskaloosa | 2012-03-15 / 20120061113 - Structure for Attaching a Tool to a Frame Tube | 2 |
Michael J. Hackert | US | Lexington Park | 2010-04-22 / 20100097600 - Fiber Optic Optical Subassembly Configuration | 1 |
Juergen Hackert | DE | Grunbach | 2009-10-01 / 20090242239 - PROCESS FOR PREPARING A HEATSINK SYSTEM AND HEATSINK SYSTEM OBTAINABLE BY SAID PROCESS | 1 |
Georg Hackert | DE | Bochum | 2016-05-05 / 20160120368 - ELECTRIC KITCHEN APPLIANCE | 4 |
Kyan A. Hackert | US | Oskaloosa | 2011-10-20 / 20110255812 - Bearing Assembly for a Disk Gang | 1 |
Jurgen Hackert | DE | Grunbach | 2010-06-03 / 20100132986 - Method for preparing a conductive feature on a substrate | 1 |
Kristina Clare Hacket | AU | North Sydney | 2010-07-08 / 20100173857 - Spinosyns For Wound Healing | 1 |
Frank Hacket | DE | Altenstadt | 2015-10-22 / 20150297738 - CONJUGATES COMPRISING HYDROXYALKYL STARCH AND A CYTOTOXIC AGENT AND PROCESS FOR THEIR PREPARATION | 11 |
Frank Hacket | DE | Altenstadt | 2015-10-22 / 20150297738 - CONJUGATES COMPRISING HYDROXYALKYL STARCH AND A CYTOTOXIC AGENT AND PROCESS FOR THEIR PREPARATION | 11 |
Frank Hacket | DE | Rappweiler | 2011-08-18 / 20110200555 - CONJUGATES OF HYDROXYALKYL STARCH AND A PROTEIN | 2 |
Judith Gentile Hackett | US | Malibu | 2016-03-10 / 20160071123 - Indices for Credibility Trending, Monitoring, and Lead Generation | 8 |
Jason O. Hackett | US | Canton | 2013-09-05 / 20130230993 - BLOCKOUT DEVICE FOR USB PORT | 8 |
Jeffery K. Hackett | US | Benidict | 2009-05-07 / 20090116942 - Material handling apparatus and method for operating | 1 |
John R. Hackett | US | Libertyville | 2014-03-27 / 20140087381 - DOUBLE STRANDED LINEAR NUCLEIC ACID PROBE | 1 |
Charles E. Hackett | US | Greenlawn | 2013-12-05 / 20130326028 - SERVER MIGRATION | 1 |
David Hackett | US | Washington | 2014-11-13 / 20140331974 - Modular Low Pressure Fuel System with Filtration | 1 |
John T. Hackett | CA | Beaumont | 2013-08-15 / 20130206476 - REMOTELY OPERABLE UNDERWATER DRILLING SYSTEM AND DRILLING METHOD | 1 |
Joseph E. Hackett | US | Fort Worth | 2015-08-27 / 20150244792 - DYNAMIC ALLOCATION OF A WORKLOAD ACROSS A PLURALITY OF CLOUDS | 4 |
Joseph Hackett | US | Flower Mound | 2014-05-29 / 20140149171 - ROUTING SERVICE REQUESTS BASED ON LOWEST ACTUAL COST WITHIN A FEDERATED VIRTUAL SERVICE CLOUD | 1 |
James Hackett | US | San Diego | 2014-07-24 / 20140206545 - GENE EXPRESSION MARKERS FOR PREDICTION OF PATIENT RESPONSE TO CHEMOTHERAPY | 1 |
Donovan Hackett | AU | Marsfield | 2014-09-25 / 20140289816 - Mediating Resource Access Based on a Physical Location of a Mobile Device | 2 |
Perry Hackett | US | Saint Paul | 2013-05-23 / 20130133093 - RECOMBINANT CONSTRUCTS AND TRANSGENIC FLUORESCENT ORNAMENTAL FISH THEREFROM | 5 |
Sam Hackett | US | Ft. Lauderdale | 2014-02-13 / 20140042164 - RESEALABLE BEVERAGE CONTAINERS AND METHODS OF MAKING SAME | 2 |
Steven C. Hackett | US | Oakdale | 2011-10-06 / 20110245376 - NANOCALCITE COMPOSITES | 2 |
Steven S. Hackett | US | Maple Grove | 2015-06-04 / 20150151081 - GUIDE WIRE CONTROL CATHETER FOR CROSSING OCCLUSIONS AND RELATED METHODS OF USE | 8 |
Steven C. Hackett | US | Oakdate | 2011-02-03 / 20110028605 - FILLED RESINS AND METHOD FOR MAKING FILLED RESINS | 1 |
Timothy Hackett | US | Charlotte | 2011-11-10 / 20110276467 - SYSTEMS, METHODS, APPARATUSES, AND COMPUTER PROGRAM PRODUCTS FOR FACILITATING PRODUCT TRANSACTIONS | 1 |
Steven S. Hackett | US | Maple Grove | 2015-06-04 / 20150151081 - GUIDE WIRE CONTROL CATHETER FOR CROSSING OCCLUSIONS AND RELATED METHODS OF USE | 8 |
Padraic Hackett | IE | Dublin | 2013-06-27 / 20130161866 - INJECTION MOULDING SOFT ELASTOMERS | 2 |
Douglas-Brent Hackett | DE | Abstatt | 2012-04-19 / 20120091833 - DRIVE UNIT | 1 |
Jason O. Hackett | US | Canton | 2013-09-05 / 20130230993 - BLOCKOUT DEVICE FOR USB PORT | 8 |
Mark David Hackett | US | Peoria | 2010-06-10 / 20100139258 - EXHAUST MIXER WITH BACKWARD FLOW | 1 |
Neil R. Hackett | US | New York | 2010-05-13 / 20100119474 - CHRONIC OBSTRUCTIVE PULMONARY DISEASE SUSCEPTIBILITY AND RELATED COMPOSITIONS AND METHODS | 1 |
Jay Hackett | US | Melbourne | 2014-09-18 / 20140270476 - METHOD FOR 3D OBJECT IDENTIFICATION AND POSE DETECTION USING PHASE CONGRUENCY AND FRACTAL ANALYSIS | 2 |
James R. Hackett | US | San Jose | 2015-10-01 / 20150276745 - METHODS FOR DIAGNOSIS, PROGNOSIS AND METHODS OF TREATMENT | 5 |
Harry P. Hackett | US | Techumseh | 2011-04-07 / 20110079060 - METHODS OF REDUCING GREENHOUSE EMISSIONS FROM ANIMAL MANURE | 1 |
Colin E. Hackett | US | 2010-08-05 / 20100197035 - Sensor for measuring syngas ratio in the high temperature and pressure condition | 1 | |
Nora A. Hackett | US | Riverside | 2010-08-05 / 20100197035 - Sensor for measuring syngas ratio in the high temperature and pressure condition | 1 |
David J. Hackett | US | Irvine | 2010-06-24 / 20100155048 - INTEGRATED CARBON MANAGEMENT SYSTEM FOR PETROLEUM REFINING | 1 |
Donovan Ross Hackett | AU | Marsfield | 2012-10-18 / 20120266068 - Responsive Scroller Controls in Server-Hosted Applications | 1 |
Thomas R. Hackett | US | Vail | 2010-04-15 / 20100094347 - FRACTURE FIXATION DEVICE, TOOLS AND METHODS | 1 |
John C. Hackett | US | Dublin | 2009-10-08 / 20090253715 - HETEROARYL-CONTAINING ISOFLAVONES AS AROMATASE INHIBITORS | 1 |
William C. Hackett | US | Doylestown | 2013-02-21 / 20130045780 - UMTS FDD MODEM OPTIMIZED FOR HIGH DATA RATE APPLICATIONS | 3 |
Christopher L. Hackett | US | Shavertown | 2015-03-05 / 20150059080 - DUAL LAYERED POOL LINER | 1 |
Richard Thomas Hackett | US | Memphis | 2009-02-05 / 20090036746 - Instrumentation for tissue retraction | 1 |
Michael Hackett | US | Kirkland | 2014-07-31 / 20140210839 - METHODS FOR ASSESSING POTENTIALLY COMPROMISING SITUATIONS OF A UTILITY COMPANY | 9 |
Wesley Hackett | US | Davis | 2008-12-25 / 20080320619 - Walnut rootstock 'RX1' | 2 |
Thomas Burkley Hackett | US | Colorado Springs | 2008-11-27 / 20080289660 - Semiconductor Manufacture Employing Isopropanol Drying | 1 |
Douglas W. Hackett | US | Woodinville | 2008-11-13 / 20080277528 - PAYLOAD SUPPORT TRACK INTERFACE AND FITTING | 1 |
Charles Marcou Hackett | US | Hanover | 2008-10-02 / 20080237202 - Plasma Arc Torch Having an Electrode With Internal Passages | 1 |
Rosemary Hackett | US | Chicago | 2013-08-01 / 20130198324 - Systems and Methods For Managing Interconnection and Service Aspects Of External Connections To A Central Network | 1 |
Nathan Theodore Hackett | US | Corona | 2016-04-28 / 20160116515 - TEMPERATURE COMPENSATED REAL-TIME CLOCK | 2 |
Michael Steven Hackett | US | Toms River | 2015-06-04 / 20150154700 - Method of Tracking and Displaying Stocks Information Utilizing Candlestick Charts | 1 |
Mark Hackett | AU | Alexandria | 2012-01-19 / 20120016818 - Classification of Biological Samples Using Spectroscopic Analysis | 1 |
Donald Hackett | GB | County Tyrone | 2009-01-08 / 20090007329 - Waste Fitting | 1 |
Edward A. Hackett | GB | Surbiton | 2015-04-23 / 20150109463 - METHOD AND SYSTEM FOR GENERATING MODIFIED DISPLAY DATA | 1 |
Matthias Hackett | US | Pawling | 2015-04-23 / 20150108278 - KITE FLYING APPARATUS AND METHOD | 1 |
Judith G. Hackett | US | Malibu | 2016-04-28 / 20160117782 - Base-Business Cards | 3 |
Donald Hackett | US | Hendersonville | 2014-06-12 / 20140158012 - Brake and Capture System for Zip Lining | 1 |
Mervyn J. Hackett | GB | Acton | 2011-03-03 / 20110049272 - FUEL INJECTOR | 1 |
Qinjia Hackett | US | Bellevue | 2016-05-19 / 20160140139 - LOCAL REPRESENTATION OF SHARED FILES IN DISPARATE LOCATIONS | 1 |
Darren Hackett | IE | Leixlip | 2010-05-27 / 20100128095 - PRINT CARTRIDGE | 1 |
Michael Hackett | US | Kirkland | 2014-07-31 / 20140210839 - METHODS FOR ASSESSING POTENTIALLY COMPROMISING SITUATIONS OF A UTILITY COMPANY | 9 |
Padraig Hackett | IE | Dublin | 2011-12-29 / 20110314607 - Laminated Support | 1 |
Joseph E. Hackett | US | Flower Mound | 2013-10-10 / 20130268861 - DYNAMIC ALLOCATION OF A WORKLOAD ACROSS A PLURALITY OF CLOUDS | 5 |
Jamie Hackett | CA | Ottawa | 2011-05-12 / 20110111700 - WIRELESS CONTROL SYSTEM USING VARIABLE POWER DUAL MODULATION TRANSCEIVERS | 2 |
Paul C. Hackett | GB | Oxfordshire | 2014-02-13 / 20140047267 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR RECONSTRUCTING DATA RECEIVED BY A COMPUTER IN A MANNER THAT IS INDEPENDENT OF THE COMPUTER | 2 |
Judith Gentile Hackett | US | Malibu | 2016-03-10 / 20160071123 - Indices for Credibility Trending, Monitoring, and Lead Generation | 8 |
Edward Anthony Hackett | GB | Surbiton | 2013-05-16 / 20130120398 - INPUT DEVICE AND METHOD FOR AN ELECTRONIC APPARATUS | 1 |
Anthony Hackett | GB | Wirral Merseyside | 2011-02-03 / 20110028372 - Triggered Release System | 1 |
David Hackett | GB | Bucks | 2010-12-02 / 20100303903 - METHODS OF ENHANCING SELECTIVE SEROTONIN REUPTAKE INHIBITOR EFFECTS IN MAMMALS | 1 |
Judith Gentile Hackett | US | Melibu | 2012-09-27 / 20120246092 - Credibility Scoring and Reporting | 1 |
Anthony Hackett | GB | Wirral | 2010-08-26 / 20100216684 - PERFUME PARTICLES | 1 |
Rachel Hackett | GB | Waltham-On-The-Wold | 2009-07-30 / 20090191305 - Method of Increasing Activity | 1 |
Simon Hackett | GB | North Yorkshire | / - | 1 |
Donovan Ross Hackett | AU | Epping | 2009-04-23 / 20090106834 - SYSTEMS AND METHODS FOR ENHANCING SECURITY BY SELECTIVELY OPENING A LISTENING PORT WHEN AN INCOMING CONNECTION IS EXPECTED | 1 |
David Elliot Hackett | US | Washington | 2015-07-16 / 20150198124 - Asymmetrical Orifice for Bypass Control | 5 |
John Allen Hackett | AU | Middle Dural | 2013-05-16 / 20130123504 - PHARMACOLOGICALLY ACTIVE COMPOUNDS CONTAINING SULFUR | 2 |
Edward Anthony Hackett | GB | Tolworth Surbiton | 2011-10-27 / 20110261546 - Portable Electronic Apparatus Connector Assembly | 1 |
Qinjia Liu Hackett | US | Bellevue | 2013-05-23 / 20130132868 - CROSS-BROWSER "DRAG-AND-DROP" LIBRARY | 1 |
Micah J. Hackett | US | Seattle | 2016-03-03 / 20160064105 - DOPPLER REACTIVITY AUGMENTATION DEVICE | 1 |
Kenneth Hackett | US | Redondo Beach | 2011-11-24 / 20110287923 - HIGH-TOUGHNESS ZETA-PHASE CARBIDES | 1 |
John Hackett | US | Abbott Park | 2015-12-31 / 20150376722 - COMPOSITIONS AND METHODS FOR DETECTING HUMAN PEGIVIRUS 2 (HPgV-2) | 1 |
James Hackett | US | San Jose | 2013-04-25 / 20130102492 - GENE EXPRESSION MARKERS FOR COLORECTAL CANCER PROGNOSIS | 15 |
Micah Hackett | US | Bellevue | 2014-07-03 / 20140182749 - IRON-BASED COMPOSITION FOR FUEL ELEMENT | 1 |
David E. Hackett | US | Washington | 2015-12-24 / 20150369143 - ENGINE SYSTEM HAVING HYDRAULICALLY ACTUATED GAS INJECTOR | 3 |
Timothy M. Hackett | US | Upper St. Clair | 2011-09-29 / 20110236721 - STEEL COMPOSITIONS, METHODS OF FORMING THE SAME, AND ARTICLES FORMED THEREFROM | 1 |
Michael Hackett | US | Durham | 2011-05-19 / 20110117026 - METHODS AND COMPOSITIONS FOR THE DELIVERY OF BIOACTIVE COMPOUNDS | 1 |
Michael Hackett | US | Chapel Hill | 2013-02-28 / 20130053433 - FATTY ACID DERIVATIVES AND ANALOGS OF DRUGS | 2 |
Julie Hackett | US | Orinda | 2015-11-05 / 20150313184 - PET TREAT HOLDER AND SAFETY DEVICE | 1 |
Mervyn J. Hackett | GB | Suffolk | 2012-12-20 / 20120318893 - NEEDLE FOR NEEDLE VALVE | 1 |
Perry B. Hackett | US | Shoreview | 2014-05-22 / 20140141065 - METHOD TO ACHIEVE EXTENDED EXPRESSION OF DNA INFUSED INTO LIVER | 1 |
Joseph Patrick Hackett | IE | Dublin | 2012-11-29 / 20120300436 - LIGHTING ARRANGEMENT AND A MOVABLE LAMPSHADE | 1 |
Domnic Anthony Hackett | IE | Dublin | 2012-11-29 / 20120300436 - LIGHTING ARRANGEMENT AND A MOVABLE LAMPSHADE | 1 |
John R. Hackett, Jr. | US | Libertyville | 2013-07-04 / 20130171622 - COMPOSITIONS AND METHODS FOR DETECTING VIRAL INFECTION USING DIRECT-LABEL FLUORESCENCE IN SITU HYBRIDIZATION | 7 |
Andrew Roy Hackett, Jr. | US | Lynnwood | 2015-08-20 / 20150231818 - Formation of Thermoplastic Parts | 1 |
Ralf Hackfort | DE | Emmerich | 2016-03-03 / 20160060451 - POLYMER COMPOSITION | 4 |
Dieter Hackfort | DE | Duisburg | 2011-04-21 / 20110090705 - LUMINAIRE REFLECTOR | 1 |
Thomas Hackfort | DE | Ahaus-Altstuette | 2014-04-24 / 20140109642 - ROLL ARRANGEMENT HAVING A DEVICE FOR REGULATING THE ROLL NIP, AND METHOD FOR REGULATING THE ROLL NIP IN A ROLL ARRANGEMENT | 1 |
Adrian Hackfort | DE | Eching Am Ammersee | 2012-09-13 / 20120229394 - DISPLAY TERMINAL | 1 |
Lance Hacking | US | Austin | 2015-07-02 / 20150186232 - DEBUG INTERFACE | 6 |
Adam S. Hacking | US | Somerville | 2014-04-03 / 20140094924 - ORTHOPAEDIC IMPLANTS | 2 |
Lance E. Hacking | US | Austin | 2014-04-17 / 20140108695 - INTERFACE LOGIC FOR A MULTI-CORE SYSTEM-ON-A-CHIP (SOC) | 6 |
Adam S. Hacking | CA | Montreal | 2010-03-25 / 20100076501 - METHOD AND DEVICE FOR BONE REGENERATION | 1 |
Stuart Hacking | GB | Huntingdale Grande | 2011-04-28 / 20110099318 - Leveraging Memory Similarity During Live Migrations | 1 |
Nicholas Hacking | GB | Clitheroe | 2011-02-10 / 20110034756 - SLEEP INDUCEMENT APPARATUS | 1 |
Lars Joseph Hacking | US | Greenwood | / - | 1 |
Adam Hacking | US | Somerville | 2013-05-30 / 20130138110 - DEVICES FOR ADAPTING BONE | 1 |
Jeremy Hacking | GB | Clitheroe | 2011-02-10 / 20110034756 - SLEEP INDUCEMENT APPARATUS | 1 |
Dale A. Hackitt | US | Mesa | 2014-03-06 / 20140062607 - ULTRA SLIM RF PACKAGE FOR ULTRABOOKS AND SMART PHONES | 1 |
Ulf Hackius | DE | Dresden | 2014-05-29 / 20140145743 - MODULAR PROBER AND METHOD FOR OPERATING SAME | 5 |
Jens Hackius | DE | Bremen | 2010-03-18 / 20100065612 - Filler Material, In Particular For Improving The Quality Of A Joint Seam Formed During Thermal Joining Of Two Metallic Structural Components | 3 |
Stefan Hackl | DE | Aichach | 2009-06-04 / 20090139731 - Drilling device and drilling method | 1 |
Christa Hackl | DE | Bad Essen | 2010-08-19 / 20100209643 - Thermoplastic polyurethane containing silane groups | 1 |
Guenther Hackl | DE | Altoetting | 2009-02-19 / 20090045992 - DIGITAL SAMPLE RATE CONVERSION | 1 |
Johann Hackl | AT | Gars Am Kamp | 2009-12-24 / 20090314522 - Printed Circuit Board With Additional Functional Elements, Method of Production and Use | 1 |
Thomas Hackl | DE | Huenstetten | 2013-06-06 / 20130142973 - Use of an antimony-free polyester film for producing film bags and film tubes with high heat resistance | 4 |
Craig S. Hackl | US | Fond Du Lac | 2015-09-10 / 20150250132 - Method for Forming Shapes Containing Multiple Natural Cheeses | 1 |
Gerrit Hackl | DE | Nurnberg | 2010-11-04 / 20100277441 - SECURITY ELEMENT FOR THE IDENTIFICATION OF A SECURITY DOCUMENT AND METHOD FOR PRODUCING IT | 1 |
Ralph Peter Hackl | CA | Vancouver | 2014-02-20 / 20140047954 - METHOD FOR THIOSULFATE LEACHING OF PRECIOUS METAL-CONTAINING MATERIALS | 4 |
Thomas Hackl | AT | Sattledt | 2011-08-04 / 20110185833 - POWER ASSIST ELEMENT | 1 |
Manfred Hackl | AT | Linz | 2016-04-14 / 20160101540 - PROCESS AND DEVICE FOR INTRODUCING ADDITIVE MATERIALS IN A RECEPTACLE AT THE AREA OF HIGHEST PRESSURE | 8 |
Seija Hackl | US | Aurora | 2012-12-20 / 20120321648 - USE OF ISLET GLUCOSE-6-PHOSPHATASE RELATED PROTEIN AS A DIAGNOSTIC TOOL AND THERAPEUTIC TARGET FOR AUTOIMMUNE DIABETES | 2 |
Manfred Hackl | AT | Linz-Urfahr | 2016-04-07 / 20160096287 - DEVICE FOR PROCESSING PLASTIC MATERIAL | 26 |
Sascha Hackl | DE | Regenstauf | 2012-06-21 / 20120156110 - DEVICE FOR STERILISING CONTAINERS | 1 |
Helmut Hackl | SE | Vasteras | 2010-09-23 / 20100236362 - DEVICE FOR SUBMERGING MATERIAL INTO LIQUID METAL BY AN ELECTROMAGNETIC STIRRER | 3 |
Wolfgang Hackl | DE | Loerrach | 2012-03-08 / 20120059005 - COMBINATION OF (A) A PHOSPHOINOSITIDE 3-KINASE INHIBITOR AND (B) AN ANTIDIABETIC COMPOUND FOR USE IN THE TREATMENT OF PROLIFERATIVE DISEASES | 1 |
Florian Hackl | DE | Lappersdorf | 2012-01-26 / 20120018375 - METHOD AND DEVICE FOR RECYCLING CLEANING OR RINSING WATER, IN PARTICULAR RINSER WATER | 1 |
Dieter Hackl | DE | Fernwald | 2016-05-19 / 20160141860 - ELECTRICAL PROTECTIVE DEVICE AND METHOD FOR SELECTIVE DISCONNECTION OF A SUBSYSTEM IN CASE OF A SECOND FAULT IN AN IT POWER SUPPLY SYSTEM | 8 |
Thomas Hackl | DE | Asperg | 2014-07-24 / 20140207352 - Driver Assistance System Having Autonomous Braking to a Standstill | 2 |
Helmut L. Hackl | AT | Wilhering | 2008-11-20 / 20080288962 - Method and System for Dynamic Remote injection of In-process Agents into Virtual Machine based Applications | 1 |
Manfred Hackl | AT | St. Florian | 2010-04-29 / 20100101454 - Method and Device for Introducing Additive Materials | 2 |
Manfred Hackl | AT | Linz-Urfahr | 2016-04-07 / 20160096287 - DEVICE FOR PROCESSING PLASTIC MATERIAL | 26 |
Ken Hackl | CA | Calgary | 2015-09-17 / 20150262572 - METHOD, SYSTEM AND APPARATUS FOR ASSEMBLING A RECORDING PLAN AND DATA DRIVEN DIALOGS FOR AUTOMATED COMMUNICATIONS | 1 |
Franz Hackl | AT | Wien | 2010-09-02 / 20100220733 - Communication Structure for Solar Inverters | 1 |
Gernot Hackl | AT | Trofaiach | 2015-12-31 / 20150375300 - Refractory Impact Pad | 2 |
Alexander Hackl | AT | Machtrenk | 2012-05-17 / 20120121101 - Method For Reducing The Noise Emission Of A Transformer | 1 |
Leopold Hackl | AT | Katzelsdorf | 2012-01-19 / 20120013034 - Device and Method for Cooling Plastic Profiles | 4 |
Leopold Hackl | AT | Martinsberg | 2014-01-23 / 20140020194 - APPARATUS AND MOUNTING GANTRY FOR SUSPENDING A COMPONENT | 2 |
Cyndie S. Hackl | US | Wauconda | 2009-10-01 / 20090247693 - Composition For Anchoring A Material In or to Concrete or Masonry | 1 |
Dieter Hackl | DE | Fernwald | 2016-05-19 / 20160141860 - ELECTRICAL PROTECTIVE DEVICE AND METHOD FOR SELECTIVE DISCONNECTION OF A SUBSYSTEM IN CASE OF A SECOND FAULT IN AN IT POWER SUPPLY SYSTEM | 8 |
Craig Hackl | US | Fond Du Lac | 2013-04-04 / 20130084373 - Metering The Disposition Of A Food Product Into Cavities Forming A Pellet | 2 |
Benjamin Hackl | AT | Linz | 2015-11-19 / 20150331399 - METHOD FOR THE SECURE AND INTENTIONAL ACTIVATION OF FUNCTIONS AND/OR MOVEMENTS OF CONTROLLABLE INDUSTRIAL EQUIPMENT | 1 |
Manfred Hackl | AT | Linz | 2016-04-14 / 20160101540 - PROCESS AND DEVICE FOR INTRODUCING ADDITIVE MATERIALS IN A RECEPTACLE AT THE AREA OF HIGHEST PRESSURE | 8 |
Wolfgang Hackl | DE | Loerach | 2013-09-19 / 20130245061 - PHARMACEUTICAL COMPOSITIONS | 1 |
Richard J. Hackl | US | Greendale | 2015-09-10 / 20150251031 - FIRE SUPPRESSION SYSTEMS AND METHODS | 3 |
Tobias Hackl | DE | Neusaess | 2013-04-25 / 20130099132 - OPTICAL SYSTEM FOR EUV LITHOGRAPHY WITH A CHARGED-PARTICLE SOURCE | 1 |
George N. Hackleman | US | Adair Village | 2011-03-10 / 20110056983 - BAG-IN-BOX CONTAINER INCLUDING A PRE-POSITIONED, SECURED DISPENSING SPOUT | 1 |
Laszlo Hackler | HU | Hungary | 2014-07-03 / 20140187540 - COMPOUNDS AND METHODS OF USE THEREOF FOR TREATING NEURODEGENERATIVE DISORDERS | 1 |
David C. Hackler | US | Oklahoma City | 2013-11-07 / 20130297943 - DYNAMIC NOTARY SYSTEM | 1 |
David W. Hackler | US | Wichita | 2009-07-09 / 20090172936 - METHOD OF CONSTRUCTING A MANDREL FOR FABRICATION OF A MONOLITHIC NACELLE COMPOSITE PANEL | 2 |
Laszlo Hackler | US | Metairie | / - | 3 |
Walter A. Hackler | US | Newport Beach | 2013-02-07 / 20130035731 - FIELD CONTROLLING ELECTRODE | 1 |
Douglas R. Hackler | US | Boise | 2008-08-28 / 20080203443 - Independently-Double-Gated Transistor Memory (IDGM) | 1 |
Mark A. Hackler | US | Ocean | 2013-10-24 / 20130278909 - APPARATUS FOR THERMAL DEVELOPMENT WITH SUPPORTING SURFACE FOR A DEVELOPMENT MEDIUM | 6 |
Douglas R. Hackler, Sr. | US | Boise | 2014-09-18 / 20140264938 - Flexible Interconnect | 4 |
Earl T. Hackley | US | Norfolk | 2012-06-07 / 20120138880 - Carpet stretching apparatus | 1 |
Donald J. Hackman | US | Columbus | 2014-10-23 / 20140316527 - TIBIAL COMPONENT | 2 |
Eric M. Hackman | US | Sacramento | 2014-09-18 / 20140279062 - CONSULTANT TOOL FOR DIRECT SELLING | 1 |
Steven Edward Hackman | CA | Edmonton | 2011-01-13 / 20110005839 - Retention Means for a Seal Boot Used in a Universal Joint in a Downhole Motor Driveshaft Assembly | 1 |
John A. Hackman | US | Kimberton | 2012-08-09 / 20120199141 - COMFORTABLE MOUTH GUARD | 1 |
Daniel S. Hackman | US | Reading | 2013-11-14 / 20130300209 - MTHOD OF AND APPARATUS FOR GENERATING AN ADJUSTABLE REACTANCE | 1 |
Richard M. Hackman | US | Sterling Heights | 2008-12-25 / 20080313914 - VEHICULAR CRASH TEST MEASURING SYSTEM | 1 |
Roger L. Hackman | US | Winnebago | 2013-12-19 / 20130337726 - GRINDING MACHINE WITH MULTI-SPINDLE GRINDING HEAD | 2 |
Kerstin Hackman | DE | Osnabruck | 2009-12-17 / 20090311515 - Layer Support for Recording Materials | 1 |
John Allen Hackman | US | Elizabethtown | 2015-04-23 / 20150111402 - ELECTRICAL DEVICE HAVING A CIRCUIT BOARD AND A DIFFERENTIAL PAIR OF SIGNAL CONDUCTORS TERMINATED THERETO | 1 |
Larry P. Hackman | CA | St. Albert | 2010-04-01 / 20100078305 - Scrubber for fluid coker unit | 1 |
David J. Hackman | US | Pella | 2014-05-29 / 20140144707 - DRILLING MACHINE AND METHOD | 2 |
Christopher William Hackman | US | Henrico | 2015-08-13 / 20150230354 - INFRARED SCANNING PORT | 2 |
Katja Hackmann | DE | Lohne | 2011-01-06 / 20110003961 - THERMOPLASTIC POLYURETHANE WITH REDUCED FORMATION OF DEPOSIT | 2 |
Katja Hackmann | DE | :pjme | 2015-10-08 / 20150284536 - HALOGEN-FREE FLAME-RETARDANT TPU | 1 |
Herbert Hackmann | DE | Wiesloch | 2014-06-26 / 20140180742 - Selective locking of business object data structures | 10 |
Ludger Hackmann | DE | Lohne | 2008-10-23 / 20080257884 - Cap for a Receptacle | 1 |
Hartmut Hackmann | DE | Aachen | 2009-07-30 / 20090190032 - Noise elimination device for the detection of the vertical sync pulse in video signals | 1 |
Christian Hackmann | BE | Mortsel | 2015-06-11 / 20150162179 - METHOD OF CALIBRATING A SYSTEM COMPRISING A GAS-DISCHARGE LAMP AND A COOLING ARRANGEMENT | 1 |
Volker Hackmann | DE | Grasberg | 2012-03-22 / 20120067951 - Management and Weapon Operation System for Support to Military Management Processes | 1 |
Bernd Hackmann | DE | Lohne | 2013-01-17 / 20130015606 - PLASTICS INJECTION MOULDING TOOL AND METHOD FOR PLASTICS INJECTION MOULDINGAANM Hackmann; BerndAACI LohneAACO DEAAGP Hackmann; Bernd Lohne DE | 1 |
Herbert Hackmann | DE | Wiesloch | 2014-06-26 / 20140180742 - Selective locking of business object data structures | 10 |
Christopher Donald Hackmann | US | Redmond | 2014-09-11 / 20140258971 - DYNAMIC EDITORS FOR FUNCTIONALLY COMPOSED UI | 3 |
Christopher D. Hackmann | US | Redmond | 2009-11-19 / 20090288069 - Dynamic Declarative Application Description | 2 |
Daniel Hackmann | CH | Zollikon | 2009-06-04 / 20090140840 - RFID System and Bearing Components for Rolling Mill | 1 |
Kyle Thomas Hackmeister | US | Williamsport | 2012-05-03 / 20120109025 - MULTIPLE DEGREE OF FREEDOM REHABILITATION SYSTEM HAVING A SMART FLUID-BASED, MULTI-MODE ACTUATOR | 1 |
Torsten Hackner | DE | Ingoldstadt | 2009-07-02 / 20090166283 - Filter Device and Method for Purifying Polluted Liquids | 1 |
Michael Hackner | DE | Winterbach | 2015-02-19 / 20150047425 - Method for specifying a malfunction of an exhaust gas recirculation system of an internal combustion engine of a motor vehicle and corresponding diagnostic device | 2 |
Michael Hackner | DE | Heman | 2012-01-19 / 20120016614 - Magnetic 3D Sensor Calibratable During Measurement Operation | 1 |
Michael Hackner | DE | Hernau | 2015-02-12 / 20150042326 - METHOD AND DEVICE FOR MEASURING CURRENTS OR MAGNETIC FIELDS USING HALL SENSORS | 1 |
Michael Hackner | DE | Erlangen | 2011-11-24 / 20110285884 - Circuit for Processing a Time-discrete Analog Signal and Image Sensor | 1 |
Michael Hackner | DE | Hemau Ot Haag | 2012-04-05 / 20120084051 - METHOD AND ARRANGEMENT FOR MAGNETICALLY DETERMINING A POSITION | 3 |
Michael Hackner | DE | Hemau | 2015-07-30 / 20150212117 - MEASURING DEVICE FOR A CONTACTLESS CURRENT MEASUREMENT | 2 |
Angelika Hackner | DE | Munchen | 2013-07-25 / 20130188171 - METHOD FOR DETERMINING THE PH VALUE OF A LIQUID AND SENSOR ARRANGEMENT | 1 |
Michael Hackner | DE | Bad Homburg | 2014-09-11 / 20140252272 - DENTAL RESTORATION, METHOD FOR ITS PRODUCTION AND INGOT | 1 |
Michael Hackner | DE | Crailsheim | 2015-01-15 / 20150013881 - METHOD AND DEVICE FOR WELDING CASINGS | 1 |
Dani Hackner | US | Beverly Hills | 2010-07-08 / 20100170517 - CLOSED SUCTIONING AND RINSING METHODS AND TRACHEAL DEVICES | 1 |
Michael Hackner | DE | Marbach | 2011-05-05 / 20110106463 - METHOD AND DEVICE FOR DETERMINING THE DELIVERY VOLUME OF AN INJECTION PUMP | 2 |
Carl Hackney | US | Round Rock | 2015-12-03 / 20150345130 - VENTILATION LOUVER | 1 |
Jason Hackney | US | San Carlos | 2015-08-06 / 20150216970 - METHODS OF TREATING CANCER USING PD-1 AXIS BINDING ANTAGONISTS AND TIGIT INHIBITORS | 1 |
Gary Neal Hackney | US | Georgetown | 2016-02-11 / 20160041499 - Toner Cartridge for use in an Image Forming Device | 17 |
Janice Hackney | US | Bronx | 2012-02-02 / 20120029295 - PANNUS SUPPORT ADAPTED FOR SURGICAL PROCEDURES | 2 |
Gregory P. Hackney | US | West Linn | 2013-11-28 / 20130318487 - Programmable Circuit Characteristics Analysis | 1 |
Stephen Hackney | GB | Nr Guildford | 2011-07-14 / 20110168949 - METHOD FOR PRESERVING OBJECTS CONTAINING PIGMENT | 1 |
Clark K. Hackney | US | Midlothian | 2009-11-05 / 20090272756 - Gravity fed dispensing container | 1 |
Steven Brett Hackney | US | Mountain View | 2016-03-03 / 20160065555 - ACCESSING A CLOUD-BASED SERVICE PLATFORM USING ENTERPRISE APPLICATION AUTHENTICATION | 1 |
Brian Hackney | US | Poulsbo | 2010-02-25 / 20100044545 - CHRISTMAS TREE STAND | 1 |
Joshua J. Hackney | US | Wayzata | 2013-01-31 / 20130028504 - PARTS MANIPULATION, INSPECTION, AND REPLACEMENT | 5 |
Roger Graham Hackney | GB | Leeds | 2014-11-27 / 20140350675 - CONNECTIVE TISSUE REPAIR PAD | 1 |
James Hackney | US | Ypsilanti | 2016-05-05 / 20160121206 - METHODS AND SYSTEMS FOR STORING AND PROCESSING GAME PLAY DATA FROM A GAME CONTROLLER | 2 |
Bede K.c. Hackney | AU | Glenmore Park | 2009-02-05 / 20090034523 - Management of Network Quality of Service | 1 |
Mervyn G. Hackney | US | Oklahoma City | 2014-12-18 / 20140368005 - Collection Bin for Automobile Seat Pocket | 1 |
Lisa K. Hackney | US | Fenton | 2015-02-12 / 20150042275 - SHROUD FOR ELECTRIC VEHICLE CHARGER RECEPTACLE | 1 |
Jason A. Hackney | US | Palo Alto | 2013-09-26 / 20130251720 - NOVEL COMPOSITIONS AND METHODS FOR THE TREATMENT OF IMMUNE RELATED DISEASES | 3 |
Robert S. Hackney | CA | Toronto | 2011-11-17 / 20110278322 - METHODS FOR RESETTING STALLED PUMPS IN ELECTRONICALLY CONTROLLED DISPENSING SYSTEMS | 1 |
Michael P. Hackney | US | Center Cross | 2008-11-13 / 20080277551 - Rotating bracket assembly for collapsible and permanent building-frame construction | 1 |
Gary Neal Hackney | US | Georgetown | 2016-02-11 / 20160041499 - Toner Cartridge for use in an Image Forming Device | 17 |
Uli Hacksell | US | San Diego | 2014-11-27 / 20140349976 - CO-ADMINISTRATION OF PIMAVANSERIN WITH OTHER AGENTS | 2 |
David N. Hackson | US | Sunnyvale | 2016-04-14 / 20160105723 - METHOD AND APPARATUS FOR COLLECTING AND PROVIDING VIEWER FEEDBACK TO A BROADCAST | 12 |
David N. Hackson | US | Sunnyvale | 2016-04-14 / 20160105723 - METHOD AND APPARATUS FOR COLLECTING AND PROVIDING VIEWER FEEDBACK TO A BROADCAST | 12 |
Holger Hackstein | DE | Dietzenbach | 2016-03-10 / 20160069822 - METHOD AND DEVICE FOR DETERMINING AT LEAST ONE CONCENTRATION OF COAL PARTICLES IN A GAS FLOW | 7 |
Holger Hackstein | DE | Giessen | 2012-07-05 / 20120171658 - DEVICE AND PROCEDURE FOR THE MANUFACTURE OF BLOOD PRODUCTS | 1 |
Samuel C. Hackwell | US | Lynden | 2012-03-15 / 20120066591 - Virtual Page Turn and Page Flip via a Touch Sensitive Curved, Stepped, or Angled Surface Side Edge(s) of an Electronic Reading Device | 2 |
Geoff Hackworth | GB | Nottingham | 2016-04-21 / 20160110907 - Animation Across Multiple Handheld Computing Devices | 1 |
Roman S. Hackworth | US | Douglasville | 2014-12-25 / 20140373486 - CARTON, CARTON BLANK AND METHOD OF FORMING A LOADED CARTON | 1 |
Steven Hackworth | US | Pittsburgh | 2014-03-06 / 20140062212 - Wireless Energy Transfer System | 2 |
Steven A. Hackworth | US | Pittsburgh | 2009-04-23 / 20090105782 - VAGUS NERVE STIMULATION APPARATUS, AND ASSOCIATED METHODS | 1 |
Brian Hackworth | US | San Jose | 2015-03-19 / 20150081853 - NETWORK ADDRESS ASSIGNMENT WITH DUPLICATION DETECTION | 4 |
Matthew R. Hackworth | US | Manvel | 2013-06-06 / 20130141100 - ANOMALY DETECTION SYSTEM FOR WIRELINE CABLES | 8 |
Matthew R. Hackworth | US | Manvel | 2013-06-06 / 20130141100 - ANOMALY DETECTION SYSTEM FOR WIRELINE CABLES | 8 |
Brian M. Hackworth | US | San Jose | 2014-10-23 / 20140317743 - METHOD AND APPARATUS FOR MANAGEMENT AND TROUBLESHOOTING OF A PROCESSING SYSTEM | 2 |
Matthew R. Hackworth | US | Bartlesville | 2011-03-10 / 20110056692 - SYSTEM FOR COMPLETING MULTIPLE WELL INTERVALS | 1 |
Cheryl A. Hackworth | US | San Jose | 2009-08-13 / 20090203067 - Photobioreactor Systems and Methods for Growing Organisms | 2 |
Brian Hackworth | US | Fillmore | 2014-09-25 / 20140289377 - CONFIGURING NETWORK STORAGE SYSTEM OVER A NETWORK | 1 |
Clint Hackworth | NZ | Huntly | 2015-02-26 / 20150053805 - ROTOR FOR A ROCK CRUSHER | 1 |
Keith Hackworth | US | Buford | 2010-07-01 / 20100169286 - Methods, Systems, and Products for Updating Web Content | 1 |
Brian Hackworth | US | Sunnyvale | 2008-08-28 / 20080208926 - Data management in a data storage system using data sets | 1 |
Matthew Hackworth | US | Manvel | 2011-06-23 / 20110146976 - DOWNHOLE MULTI-PARALLEL HYDROCYCLONE SEPARATOR | 1 |
Liad Hacmon | IL | Yehuda | 2014-11-13 / 20140337360 - DYNAMIC STORAGE BLOCKS TIERING | 2 |
Nir Hacohen | US | Brookline | 2016-05-05 / 20160122766 - Methods and Compositions for Reducing Immunosupression by Tumor Cells | 9 |
Yoav Hacohen | IL | Jerusalem | 2013-05-23 / 20130129213 - Non-Rigid Dense Correspondence | 1 |
Gil Hacohen | IL | Ramat Gan | 2015-08-06 / 20150216661 - TECHNIQUES FOR PERCUTANEOUS MITRAL VALVE REPLACEMENT AND SEALING | 3 |
Gil Hacohen | IL | Raanana | 2014-10-30 / 20140324164 - TECHNIQUES FOR PERCUTANEOUS MITRAL VALVE REPLACEMENT AND SEALING | 7 |
Yaron Rozenfeld Hacohen | IL | M.p. Marom Hagalil | 2012-12-20 / 20120318130 - BALLISTIC ARMOR | 1 |
Adir Moshen Hacohen | US | Sunnyvale | 2014-09-18 / 20140281127 - Storage Module and Method for Regulating Garbage Collection Operations Based on Write Activity of a Host | 1 |
Zvi Hacohen | IL | Omer | 2016-03-03 / 20160060662 - DESATURASES OF A GREEN MICROALGA AND USES THEREOF | 5 |
Nir Hacohen | US | Brookline | 2016-05-05 / 20160122766 - Methods and Compositions for Reducing Immunosupression by Tumor Cells | 9 |
Nir Hacohen | US | Cambridge | 2009-01-01 / 20090004218 - Antigen-Carbohydrate Conjugates | 1 |
Gili Hacohen | IL | Ramot Hashavim | 2014-07-24 / 20140207231 - ANCHORING OF PROSTHETIC VALVE SUPPORTS | 1 |
Gil Hacohen | IL | Ramot Hashavim | 2015-12-10 / 20150351906 - VENTRICULARLY-ANCHORED PROSTHETIC VALVES | 2 |
Thibaud Hacquard | FR | Le Mee Sur Seine | 2010-09-30 / 20100244496 - UNDERFRAME FOR MOTOR VEHICLE | 1 |
James Scott Hacsi | US | Pueblo | 2014-05-08 / 20140125218 - Luminaire Comprising A Fluorescent Light Bulb, Mercury Sorbent, And Secondary Covering | 5 |
Christopher R. Haczynski | US | Crown Point | 2011-05-12 / 20110107597 - Antioxidant Joint Compound & Method for Forming an Electrical Connection | 3 |
Satoshi Hada | JP | Tokyo | 2014-10-30 / 20140324834 - Providing Path-Level Access Control for Structured Documents Stored in a Database | 16 |
Manabu Hada | JP | Kawasaki-Shi | 2014-09-04 / 20140247052 - WIRELESS POWER SUPPLY SYSTEM, POWER TRANSMISSION DEVICE, AND POWER RECEIVING DEVICE | 2 |
Joan Hada | US | Olathe | 2013-10-17 / 20130269205 - HAIR DRYER AND SMOOTHER | 1 |
Takahiko Hada | JP | Okayama | 2014-01-02 / 20140005144 - NOVEL LEUKOTRIENE RECEPTOR ANTAGONIST | 2 |
Yoshihiro Hada | JP | Kobe-Shi | 2015-11-05 / 20150314654 - PNEUMATIC TIRE | 5 |
Masatoshi Hada | JP | Nagoya-Shi | 2015-04-23 / 20150107344 - STRUCTURE FOR RETAINING TEMPERATURE SENSING DEVICE AND INTERNAL COMBUSTION ENGINE PROVIDED WITH SAME | 3 |
Shuji Hada | JP | Yokohama-Shi | 2013-08-01 / 20130193354 - DRAWING METHOD AND DRAWING DEVICE | 1 |
Yoshiro Hada | JP | Atsugi | 2016-02-18 / 20160048576 - GROUPING APPARATUS AND GROUPING METHOD | 2 |
Hiromitsu Hada | JP | Tokyo | 2015-11-05 / 20150318473 - SEMICONDUCTOR DEVICE AND OPERATION METHOD FOR SAME | 10 |
Keita Hada | JP | Yamanashi | 2015-12-10 / 20150352648 - WIRE-CUT ELECTRICAL DISCHARGE MACHINING MACHINE IN WHICH POSITION OF LIQUID LEVEL OF MACHINING FLUID IS ADJUSTED AT TIME OF AUTOMATIC WIRE THREADING | 1 |
Tomoko Hada | JP | Chiyoda-Ku, Tokyo | 2015-11-26 / 20150336774 - ENDLESS ESCALATOR HANDRAIL AND ESCALATOR | 1 |
Shinji Hada | JP | Kobe-Shi | 2008-08-28 / 20080201830 - ARTICLE OF CLOTHING WITH EFFECTS OF BACK PAIN EXERCISE | 1 |
Satoshi Hada | JP | Takasago-Shi | 2010-09-09 / 20100223925 - SOLAR THERMAL RECEIVER AND SOLAR THERMAL POWER GENERATION FACILITY | 2 |
Manabu Hada | JP | Kawasaki | 2008-11-27 / 20080294921 - DATA PROCESSING DEVICE, AND CONTROL METHOD OF DATA PROCESSING DEVICE | 1 |
Hiromasa Hada | JP | Tokyo | 2009-02-05 / 20090031795 - TIRE CHECKING DEVICE, TIRE CHECKING SYSTEM AND TIRE CHECKING METHOD | 2 |
Kouji Hada | JP | Yamanashi | 2013-09-26 / 20130253706 - SAFETY SIGNAL PROCESSING SYSTEM | 3 |
Kazuya Hada | JP | Osaka | 2012-07-19 / 20120180947 - METHOD FOR CONTINUOUSLY MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 11 |
Hideki Hada | US | Ann Arbor | 2013-12-19 / 20130335213 - LANE DEPARTURE WARNING/ASSISTANCE METHOD AND SYSTEM HAVING A THRESHOLD ADJUSTED BASED ON DRIVER IMPAIRMENT DETERMINATION USING PUPIL SIZE AND DRIVING PATTERNS | 5 |
Hiedki Hada | US | Ann Arbor | 2010-12-16 / 20100315216 - Vehicle Warning Systems and Methods | 1 |
Frank S. Hada | US | Appleton | 2010-09-16 / 20100229419 - System and Process for Throughdrying Tissue Products | 1 |
Katsuhiko Hada | JP | Tokyo | 2016-05-19 / 20160142489 - CONNECTION CONTROL APPARATUS, STORAGE APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN CONTROL PROGRAM | 1 |
Viktor Hada | HU | Budapest | 2010-01-28 / 20100022521 - COMPOUNDS | 3 |
Tomohiro Hada | JP | Tokyo | 2011-02-24 / 20110045383 - FUEL CELL | 1 |
Shigeru Hada | JP | Sakai-Shi | 2015-06-11 / 20150158618 - FITTING DEVICE AND FITTING METHOD FOR CYLINDRICAL FILM BODY | 1 |
Satoshi Hada | JP | Takasago | 2011-05-26 / 20110123351 - TURBINE VANE AND GAS TURBINE | 1 |
Makoto Hada | JP | Niigata | 2015-07-09 / 20150194100 - FIELD SEQUENTIAL IMAGE DISPLAY DEVICE | 5 |
Kazuhito Hada | JP | Tokyo | 2009-08-13 / 20090203358 - MOBILE APPARATUS | 1 |
Tomoki Hada | JP | Osaka | 2011-06-16 / 20110143843 - FLYWHEEL ASSEMBLY | 4 |
Toru Hada | JP | Ebina-Shi | 2009-03-19 / 20090077399 - CONTROLLING APPARATUS, CONTROLLING METHOD, COMPUTER READABLE MEDIUM, IMAGE FORMING APPARATUS AND INFORMATION PROCESSING APPARATUS | 2 |
Frank Stephen Hada | US | Appleton | 2016-05-05 / 20160121501 - TISSUE PERFORATING APPARATUS | 17 |
Toshiro Hada | JP | Hyogo | 2011-12-29 / 20110318511 - HEAT-SENSITIVE RECORDING MATERIAL AND METHOD FOR PRODUCING SAME | 2 |
Yasunori Hada | JP | Koufu-Shi | 2010-07-08 / 20100174482 - NAVIGATION SERVER | 1 |
Kyohei Hada | JP | Aichi-Ken | 2011-03-03 / 20110052486 - MICROPOROUS CARBON MATERIAL, MANUFACTURING METHOD THEREOF, AND HYDROGEN STORAGE METHOD USING MICROPOROUS CARBON MATERIAL | 1 |
Tsuyoshi Hada | JP | Yokkaichi | 2015-11-05 / 20150318297 - METHOD OF SELECTIVE FILLING OF MEMORY OPENINGS | 1 |
Makoto Hada | JP | Nagaoka | 2015-01-22 / 20150022898 - HEAD-UP DISPLAY DEVICE FOR VEHICLE AND SELF-CHECKING METHOD THEREFOR | 2 |
Junichi Hada | JP | Yamanashi | 2015-01-29 / 20150029330 - ELECTRONIC COMPONENT MOUNTING APPARATUS AND ELECTRONIC COMPONENT MOUNTING METHOD | 1 |
Koichi Hada | JP | Minamitsuru-Gun | 2012-10-04 / 20120250313 - PLANAR LIGHT-EMITTING DEVICE | 1 |
Kazuya Hada | JP | Ibaraki-Shi | 2015-01-29 / 20150029447 - OPTICAL FILM ROLL | 17 |
Satoshi Hada | JP | Machida-Shi | 2010-04-01 / 20100082377 - Risk Evaluation of Conflicts in Separation of Duties | 1 |
Junya Hada | JP | Ichikawa-Shi | 2011-11-17 / 20110281423 - METHOD OF PRODUCING SEMICONDUCTOR WAFER AND SEMICONDUCTOR WAFER | 1 |
Sayuri Hada | JP | Tokyo | 2015-09-03 / 20150248516 - REDUCTION OF WARPAGE OF MULTILAYERED SUBSTRATE OR PACKAGE | 3 |
Joan Hada | US | Overland Park | 2015-05-07 / 20150122282 - HAIR DRYER AND SMOOTHER | 1 |
Tetsuya Hada | JP | Yokohama-Shi | 2015-07-02 / 20150189141 - IMAGING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Keiko Hada | JP | Nirasaki City | 2013-03-28 / 20130074281 - PARTICLE COLLECTING APPARATUS AND PARTICLE COLLECTING METHOD | 1 |
Tetsu Hada | JP | Kanagawa | 2010-09-23 / 20100238996 - MOBILE TERMINAL AND VIDEO OUTPUT METHOD | 1 |
Tetsuya Hada | JP | Kawasaki-Shi | 2015-11-05 / 20150319362 - IMAGING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 9 |
Ryuji Hada | JP | Kanagawa | 2013-03-21 / 20130069961 - PROJECTOR, IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 9 |
Kihito Hada | JP | Kanagawa | 2015-06-04 / 20150152047 - BENZAMIDE DERIVATIVE | 3 |
Shingo Hada | JP | Tokushima-Shi | 2010-05-06 / 20100114913 - DOCUMENT PROCESSING DEVICE, DOCUMENT PROCESSING METHOD, AND DOCUMENT PROCESSING PROGRAM | 1 |
Hideo Hada | JP | Kawasaki-Shi | 2014-05-01 / 20140120472 - RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR | 38 |
Hideo Hada | JP | Kanagawa | 2010-04-08 / 20100086879 - MATERIAL FOR FORMATION OF PROTECTIVE FILM, METHOD FOR FORMATION OF PHOTORESIST PATTERN, AND SOLUTION FOR WASHING/REMOVAL OF PROTECTIVE FILM | 1 |
Hiromitsu Hada | JP | Minato-Ku | 2012-11-15 / 20120286231 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Naoki Hada | US | San Jose | 2015-10-08 / 20150286744 - USING DOCUMENT TEMPLATES TO ASSEMBLE A COLLECTION OF DOCUMENTS | 2 |
Yoshiki Hada | JP | Soraku-Gun | 2011-09-15 / 20110221056 - ELECTRODE STRUCTURE AND MICRODEVICE PACKAGE PROVIDED THEREWITH | 2 |
Yoshiro Hada | JP | Atsugishi | 2015-09-24 / 20150271038 - LINK-DEVICE SELECTING APPARATUS AND METHOD | 1 |
Satoshi Hada | JP | Kanagawa | 2012-02-02 / 20120029968 - DETERMINING WORK RELATED INCENTIVES | 1 |
Satoshi Hada | JP | Inazawa-Shi | 2009-09-24 / 20090236223 - GAS SENSOR CONTROL SYSTEM ENSURING INCREASED MEASUREMENT ACCURACY | 2 |
Katsuhiko Hada | JP | Susono | 2015-09-24 / 20150269099 - STORAGE CONTROLLER, STORAGE APPARATUS, AND COMPUTER READABLE STORAGE MEDIUM HAVING STORAGE CONTROL PROGRAM STORED THEREIN | 1 |
Masayuki Hada | JP | Fujiyoshida-Shi | 2010-04-08 / 20100084939 - ELECTRIC MOTOR APPARATUS | 1 |
Ryuji Hada | JP | Kanagawa | 2013-03-21 / 20130069961 - PROJECTOR, IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 9 |
Hideo Hada | JP | Kanagawa-Ken | 2009-11-19 / 20090286936 - COMPOSITION FOR FORMATION OF MOLD | 1 |
Motoharu Hada | JP | Kyoto | 2010-01-21 / 20100013095 - SEMICONDUCTOR DEVICE, PRODUCTION METHOD FOR THE SAME, AND SUBSTRATE | 1 |
Yuuma Hada | JP | Mishima-Shi | 2015-07-30 / 20150212484 - IMAGE FORMING APPARATUS | 1 |
Hiromitsu Hada | JP | Tokyo | 2015-11-05 / 20150318473 - SEMICONDUCTOR DEVICE AND OPERATION METHOD FOR SAME | 10 |
Takuo Hada | JP | Nagaokakyo-Shi | 2015-01-15 / 20150013457 - ACCELERATION SENSOR | 6 |
Sayuri Hada | JP | Machida-Shi | 2015-06-04 / 20150153406 - METHOD, APPARATUS, AND STRUCTURE FOR DETERMINING INTERPOSER THICKNESS | 1 |
Satoshi Hada | JP | Tokyo | 2014-10-30 / 20140324834 - Providing Path-Level Access Control for Structured Documents Stored in a Database | 16 |
Satoshi Hada | JP | Hyogo | 2013-10-24 / 20130280094 - GAS TURBINE BLADE AND GAS TURBINE HAVING THE SAME | 6 |
Kihito Hada | JP | Kamakura-Shi | 2010-07-15 / 20100179326 - BENZAMIDE DERIVATIVE | 1 |
Hiroyuki Hada | JP | Tokyo | 2010-10-28 / 20100272984 - VINYL CHLORIDE RESIN COMPOSITION FOR POWDER MOLDING, MOLDED OBJECT OBTAINED THEREFROM, LAMINATE, VEHICLE INTERIOR MATERIAL, AND METHOD FOR PRODUCING VINYL CHLORIDE RESIN COMPOSITION FOR POWDER MOLDING | 1 |
Shinichi Hada | JP | Aichi | 2015-02-12 / 20150041586 - LANDING GEAR STORAGE COMPARTMENT OF AIRCRAFT, AND AIRCRAFT | 1 |
Kazuya Hada | JP | Osaka | 2012-07-19 / 20120180947 - METHOD FOR CONTINUOUSLY MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 11 |
Tetsuya Hada | JP | Kawasaki-Shi | 2015-11-05 / 20150319362 - IMAGING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 9 |
Kunihiko Hada | JP | Sunto-Gun | 2009-07-09 / 20090176647 - HEAT-SENSITIVE RECORDING MATERIAL | 1 |
Kazuya Hada | JP | Ibaraki-Shi | 2015-01-29 / 20150029447 - OPTICAL FILM ROLL | 17 |
Sayuri Hada | JP | Kanagawa | 2011-08-18 / 20110198067 - SHEET HAVING HIGH THERMAL CONDUCTIVITY AND FLEXIBILITY | 1 |
Sayuri Hada | JP | Sagamihara | 2016-05-19 / 20160141218 - CIRCUIT MODULE AND MANUFACTURING METHOD THEREOF | 1 |
Hiroya Hada | JP | Osaka-Shi | 2016-03-03 / 20160059432 - Method for Producing Cut Bodies and Method for Cutting Fiber-Reinforced Resin | 1 |
Elizabeth M. Hadac | US | Oronoco | 2015-10-22 / 20150299271 - TREATING CANCER WITH VIRAL NUCLEIC ACID | 3 |
Kobi Hadad | IL | Ariel | 2013-08-29 / 20130220847 - MULTIPURPOSE PROTECTIVE CASE FOR PORTABLE ELECTRONIC DEVICE | 1 |
Meirav Hadad | IL | Bnei Brak | 2009-10-22 / 20090265299 - COMPUTING SOLUTIONS TO PROBLEMS USING DYNAMIC ASSOCIATION BETWEEN ABSTRACT GRAPHS | 1 |
Zion Hadad | IL | Petah Tikva | 2010-11-18 / 20100291944 - COGNITIVE NETWORK | 2 |
Isaac Hadad | IL | Be'Er Sheva | 2011-08-18 / 20110202762 - METHOD AND APPARATUS FOR CARRYING OUT SECURE ELECTRONIC COMMUNICATION | 1 |
Zion Hadad | IL | Rishon Lezion | 2011-05-12 / 20110110406 - SYSTEM AND METHOD FOR CELLULAR COMMUNICATIONS | 9 |
Vered Hadad | IL | Hadera | 2009-06-04 / 20090139653 - Method and Device for Creative Art using Nylon or Plastic Waste | 1 |
Zion Hadad | IL | Ashkelon | 2013-12-19 / 20130336573 - APPARATUS AND METHOD FOR DEFECT DETECTION INCLUDING PATCH-TO-PATCH COMPARISONS | 1 |
Zion Hadad | IL | Rishon Le Zion | 2009-01-08 / 20090011755 - Cellular System and Method | 1 |
Mali Hadad | IL | Lod | 2014-05-08 / 20140126175 - COLLIMATING OPTICAL DEVICE AND SYSTEM | 10 |
Nurit Hadad | IL | Beer Sheva | 2016-02-11 / 20160038440 - Astaxanthin Anti-Inflammatory Synergistic Combinations | 1 |
Avi Hadad | IL | Ashkelon | 2016-02-25 / 20160055353 - SYSTEM INCLUDING A PORTABLE STORAGE DEVICE EQUIPPED WITH A USER PROXIMITY DETECTOR AND METHOD OF PREVENTING THE LOSS THEREOF | 2 |
Erez Hadad | IL | Nahariya | 2015-07-30 / 20150212840 - Optimized Global Capacity Management in a Virtualized Computing Environment | 8 |
Yvan Hadad | IL | Netanya | 2009-03-19 / 20090074528 - KEY DUPLICATION METHOD AND MACHINE | 1 |
Mali Hadad | IL | Lod | 2014-05-08 / 20140126175 - COLLIMATING OPTICAL DEVICE AND SYSTEM | 10 |
Yossi Hadad | IL | Keidar | 2015-04-16 / 20150103159 - FORWARD-FACING MULTI-IMAGING SYSTEM FOR NAVIGATING A VEHICLE | 1 |
Erez Hadad | IL | Nahariya | 2015-07-30 / 20150212840 - Optimized Global Capacity Management in a Virtualized Computing Environment | 8 |
Christopher P. Hadad | US | Berkeley Heights | 2010-01-07 / 20100002379 - Laptop stand | 1 |
Vered Hadad | IL | Abu Gosh | 2011-06-09 / 20110135777 - METHOD AND DEVICE FOR CREATIVE ART USING NYLON OR PLASTIC WASTE | 1 |
Benny Hadad | IL | Beer Sheva | 2009-12-31 / 20090321719 - NOVEL MATERIAL AND PROCESS FOR INTEGRATED ION CHIP | 1 |
Yaron Hadad | IL | Herzliya | 2014-09-11 / 20140255882 - INTERACTIVE ENGINE TO PROVIDE PERSONAL RECOMMENDATIONS FOR NUTRITION, TO HELP THE GENERAL PUBLIC TO LIVE A BALANCED HEALTHIER LIFESTYLE | 1 |
Mordechay Hadad | IL | Beer Sheva | 2011-06-16 / 20110145570 - Certified Abstracted and Anonymous User Profiles For Restricted Network Site Access and Statistical Social Surveys | 1 |
Sharon Hadad | IL | Giv'Ataim | 2015-03-12 / 20150070029 - APPLYING RF ENERGY ACCORDING TO TIME VARIATIONS IN EM FEEDBACK | 4 |
Manjunath Hadadi | IN | Bangalore | 2013-07-25 / 20130188300 - AVIONIC MEDIA SYSTEMS INCLUDING ACTUATED MEDIA DOCKING STATIONS AND OVER-CENTER LOCKING MECHANISMS SUITABLE FOR USAGE THEREIN | 1 |
Craig Eric Hadady | US | Cynthiana | 2013-05-16 / 20130121713 - Laser Scan Unit for an Imaging Device | 2 |
Craig Eric Hadady | US | Lexington | 2012-01-05 / 20120002986 - Method and System for Correcting the Linearity Error in Electrophotographic Devices | 2 |
Toru Hadama | JP | Osaka | 2015-01-22 / 20150025226 - MONOCLONAL ANTIBODY FOR ANALYZING HIGH-MOLECULAR WEIGHT ADIPONECTIN AND UTILIZATION OF SAME | 1 |
Atsuko Hadama | JP | Tokyo | 2011-03-10 / 20110059980 - SOLID PREPARATION FOR ORAL ADMINISTRATION | 1 |
Koichi Hadama | JP | Atsugi-Shi | 2015-11-05 / 20150316725 - LIGHT INPUT/OUTPUT DEVICE | 4 |
Koichi Hadama | JP | Kanagawa | 2012-04-19 / 20120093458 - OPTICAL SWITCH | 2 |
Kunihiro Hadame | JP | Aichi-Ken | 2012-07-05 / 20120171789 - SOLID ELEMENT DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Ronny Hadani | US | Austin | 2016-02-11 / 20160043835 - MODULATION AND EQUALIZATION IN AN ORTHONORMAL TIME-FREQUENCY SHIFTING COMMUNICATIONS SYSTEM | 14 |
Yoav Hadani | CA | Maple | 2008-12-18 / 20080313215 - SYSTEM AND METHOD FOR THE GENERATION AND STORAGE OF CONTEXTUALLY ANCHORED LINKS AND FOR NAVIGATION WITHIN INFORMATION SYSTEMS BASED ON SUCH LINKS | 1 |
Ron Hadani | US | Cresskill | 2013-12-26 / 20130345510 - METHOD AND ENDOSCOPIC DEVICE FOR EXAMINING OR IMAGING AN INTERIOR SURFACE OF A CORPOREAL CAVITY | 14 |
Ronny Hadani | US | Austin | 2016-02-11 / 20160043835 - MODULATION AND EQUALIZATION IN AN ORTHONORMAL TIME-FREQUENCY SHIFTING COMMUNICATIONS SYSTEM | 14 |
John Martin Hadank | US | Peoria | 2010-05-06 / 20100114436 - Operator restraint system | 1 |
Ido Hadanny | IL | Tel Aviv | 2011-01-20 / 20110016099 - COMPARING VERSIONS OF A HIERARCHICAL OBJECT | 1 |
Kenjiro Hadano | JP | Nagaokakyo-Shi | 2014-05-29 / 20140146438 - MULTILAYER CERAMIC ELECTRONIC COMPONENT | 3 |
Yoshiyuki Hadano | JP | Tokyo | 2015-03-19 / 20150075728 - HORIZONTAL BLIND AND METHOD FOR MANUFACTURING HORIZONTAL BLIND | 2 |
Yutaka Hadano | JP | Toyokawa-Shi | 2014-07-03 / 20140182805 - FLASKLESS MOLDING EQUIPMENT FOR MOLDING A MOLD | 8 |
Kenjiro Hadano | JP | Sabae-Shi | 2009-05-28 / 20090134956 - MULTILAYER ELECTRONIC COMPONENT AND MULTILAYER ARRAY ELECTRONIC COMPONENT | 3 |
Toshihiro Hadano | JP | Tokyo | 2013-06-13 / 20130150667 - ENDOSCOPE | 2 |
Yutaka Hadano | JP | Toyokawa-Shi | 2014-07-03 / 20140182805 - FLASKLESS MOLDING EQUIPMENT FOR MOLDING A MOLD | 8 |
Hiroyuki Hadano | JP | Tochigi | 2014-07-31 / 20140213557 - Active Compound Combinations | 33 |
Masahiro Hadano | JP | Yokohama-Shi | 2015-11-05 / 20150317108 - INFORMATION PROCESSING DEVICE, IMAGE FORMING DEVICE, PRINTING SYSTEM CONTROL METHOD, AND STORAGE MEDIUM FOR SEARCHING, RETRIEVING IMAGE FORMING DEVICES THAT ARE COLLECTIVELY REGISTERED ON A SERVICE PROVIDING DEVICE | 9 |
Hiroyuki Hadano | JP | Shimotsuke-Shi | 2015-12-31 / 20150376147 - Substituted Phenyl(Oxy/Thio)Alkanol Derivatives | 11 |
Ryo Hadano | JP | Hamamatsu-Shi | 2013-06-13 / 20130146389 - Speaker | 1 |
Koji Hadano | JP | Oita | 2012-12-27 / 20120329256 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND ION IMPLANTER | 1 |
Naomi Hadano | JP | Tokyo | 2009-11-05 / 20090274959 - ENCLOSED NICKEL-ZINC PRIMARY BATTERY, ITS ANODE AND PRODUCTION METHODS FOR THEM | 1 |
Hideki Hadano | JP | Toyokawa-Shi | 2013-09-19 / 20130243453 - IMAGE FORMING APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Yutaka Hadano | JP | Aichi | 2011-12-22 / 20110308756 - FLASKLESS MOLDING MACHINE | 1 |
Yutaka Hadano | JP | Aichi-Ken | 2010-06-24 / 20100155009 - METHOD FOR MAKING UPPER AND LOWER MOLDS AND AN APPARATUS THEREFOR | 1 |
Hiroyuki Hadano | JP | Shimotsuke-Shi | 2015-12-31 / 20150376147 - Substituted Phenyl(Oxy/Thio)Alkanol Derivatives | 11 |
Hiroyuki Hadano | JP | Tochigi | 2014-07-31 / 20140213557 - Active Compound Combinations | 33 |
Masahiro Hadano | JP | Yokohama-Shi | 2015-11-05 / 20150317108 - INFORMATION PROCESSING DEVICE, IMAGE FORMING DEVICE, PRINTING SYSTEM CONTROL METHOD, AND STORAGE MEDIUM FOR SEARCHING, RETRIEVING IMAGE FORMING DEVICES THAT ARE COLLECTIVELY REGISTERED ON A SERVICE PROVIDING DEVICE | 9 |
Sunil Hadap | US | San Jose | 2016-05-19 / 20160140753 - Constructing 3D Surfaces for Multi-Color Objects | 18 |
Sunil Hadap | US | San Jose | 2016-05-19 / 20160140753 - Constructing 3D Surfaces for Multi-Color Objects | 18 |
Sunil S. Hadap | US | Foster City | 2009-04-09 / 20090091575 - Method and apparatus for animating the dynamics of hair and similar objects | 1 |
Amihai Hadar | IL | Herzliya | 2009-10-22 / 20090265451 - ASSISTED APPLICATION DEFINITION | 1 |
Noa Hadar | IL | Hod-Hasharon | 2009-11-26 / 20090291070 - Biologically Active Silver-Coated Proteins | 2 |
Nir Hadar | IL | Hadera | 2011-07-21 / 20110174807 - FOLDING CONTAINER WITH ELASTICALLY SUSPENDED FLOOR | 5 |
Noa Hadar | IL | Kibbutz Givat Brenner | 2012-05-10 / 20120114631 - STABLE ENZYMATIC PREPARATIONS AND METHODS OF USE THEREOF | 3 |
Yoel Hadar | IL | Kiryat Shmona | 2014-09-18 / 20140260953 - SPRING RETURN ACTUATOR | 2 |
Tzach Hadar | IL | Tel Aviv | 2011-03-17 / 20110066999 - Method of Generating and Distributing A Computer Application | 1 |
Ethan Hadar | IL | Nesher | 2011-09-01 / 20110213712 - Cloud Broker and Procurement System and Method | 6 |
Ron Hadar | US | Cupertino | 2015-04-16 / 20150101651 - SYSTEMS AND METHODS FOR AN ENHANCED WATCHDOG IN SOLAR MODULE INSTALLATIONS | 33 |
Nir Hadar | IL | Kibbutz Shefayim | 2015-05-07 / 20150122768 - FASTENING ASSEMBLY AND CONTAINER COMPRISING THE SAME | 1 |
Eitan Hadar | IL | Nesher | 2015-12-10 / 20150356159 - ESTABLISHING CREDIBILITY OF ONLINE-CONTENT GENERATED FROM CROWD SOURCING | 28 |
Ornit Hadar | CA | Vancouver | 2008-11-20 / 20080284827 - CONTINUOUS INK JET PRINTER WITH MODIFIED ACTUATOR ACTIVATION WAVEFORM | 1 |
Gil Hadar | CA | Guelph | 2014-05-01 / 20140119963 - Submersible Integrated Electric Pump | 4 |
Rami Hadar | US | San Diego | 2015-12-17 / 20150365162 - FRAME STRUCTURE FOR AN ADAPTIVE MODULATION WIRELESS COMMUNICATION SYSTEM | 3 |
Gad Hadar | AU | St Kilda | 2009-06-11 / 20090150279 - DEVICE, SYSTEM, AND METHOD OF ONLINE TRADING | 1 |
Ethan Hadar | US | Nesher | 2011-09-22 / 20110231229 - Hybrid Software Component and Service Catalog | 2 |
Amir Hadar | IL | Kfar Saba | 2011-11-17 / 20110279544 - METHOD AND SYSTEM FOR APPLYING MATERIALS ON A SUBSTRATE | 1 |
Eitan Hadar | IL | Nesher | 2015-12-10 / 20150356159 - ESTABLISHING CREDIBILITY OF ONLINE-CONTENT GENERATED FROM CROWD SOURCING | 28 |
Yaad Hadar | IL | Adi | 2014-04-03 / 20140092020 - AUTOMATIC ASSIGNMENT OF KEYBOARD LANGUAGES | 1 |
Nir Hadar | IL | Shafayim | 2013-05-16 / 20130121800 - PALLET-DOLLY | 2 |
Roni Hadar | IL | Kibutz Gan Shmuel | 2016-04-28 / 20160118684 - ELECTROPHORETIC DEPOSITION OF THIN FILM BATTERIES | 2 |
Irit Hadar | IL | Nesher | 2013-03-07 / 20130060824 - SYSTEM FOR EMBEDDED KNOWLEDGE MANAGEMENT | 1 |
Ron Hadar | US | Capitola | 2015-11-26 / 20150340983 - SYSTEMS AND METHODS FOR AN IDENTIFICATION PROTOCOL BETWEEN A LOCAL CONTROLLER OF A SOLAR MODULE AND A MASTER CONTROLLER | 1 |
Yaniv Hadar | IL | Yehuda | 2008-10-02 / 20080240412 - Maintaining convergence of a receiver during changing conditions | 1 |
Malkiel Hadari | IL | Hod Hasharon | 2010-04-22 / 20100100573 - SOURCE AND DESTINATION DETERMINATION SYSTEM AND METHOD | 4 |
Dan Hadari | IL | Hod Hasharon | 2010-03-11 / 20100064215 - SYSTEM AND METHOD FOR SCREEN RECORDING | 3 |
Yair Hadari | IL | Kibbutz Hulata | 2014-03-13 / 20140068881 - POOL CLEANING ROBOT | 1 |
Yaron Hadari | US | Harrison | 2014-03-06 / 20140065168 - ANTI-KIT ANTIBODIES AND USES THEREOF | 4 |
David Hadas | IL | Zichron Yaakov | 2016-03-24 / 20160085652 - AUTOMATED DATA RECOVERY FROM REMOTE DATA OBJECT REPLICAS | 16 |
David Hadas | IL | Zichron Yaakov | 2016-03-24 / 20160085652 - AUTOMATED DATA RECOVERY FROM REMOTE DATA OBJECT REPLICAS | 16 |
Adi Hadas | IL | Holon | 2009-02-26 / 20090055466 - GRID-BASED SYSTEM FOR PROVIDING BUSINESS SERVICES IN DATA NETWORKS | 1 |
Yishai Israel Hadas | IL | Kiryat-Ata | 2015-10-08 / 20150288624 - LOW-LATENCY PROCESSING IN A NETWORK NODE | 2 |
David Hadas | US | 2012-08-16 / 20120207031 - HYPERVISOR ROUTING BETWEEN NETWORKS IN A VIRTUAL NETWORKING ENVIRONMENT | 2 | |
Susan Laurel Hadas | US | Oceanside | 2010-08-26 / 20100217364 - ARRANGEMENT FOR AND METHOD OF COLD THERAPY TREATMENT | 1 |
Kogan Hadas | IL | Haifa | 2014-12-18 / 20140368846 - PRESS COLOR STATE ESTIMATOR | 1 |
Zdenek Hadas | CZ | Kelc | 2010-09-23 / 20100237719 - ELECTROMAGNETIC VIBRATORY GENERATOR FOR LOW FREQENCY VIBRATIONS | 1 |
Yair Hadas | US | Sunnyvale | 2010-03-11 / 20100061374 - Credit based flow control in an asymmetric channel environment | 1 |
Guy Hadas | US | Sunnyvale | 2010-06-03 / 20100135082 - MOVING PROGRAM VERIFY LEVEL FOR PROGRAMMING OF MEMORY | 2 |
Noam Hadas | IL | Tel-Aviv | 2016-02-18 / 20160046073 - 3D PRINTER | 7 |
David Hadas | IL | Zikhron-Yaakov | 2010-06-17 / 20100150172 - DYNAMIC POWER LINE BANDWIDTH LIMIT | 1 |
Rostislav Hadas | CZ | Koprivnice | 2014-02-06 / 20140037433 - ACTUATOR AND VALVE LINKAGE | 1 |
Arnon Hadas | IL | Raanana | / - | 1 |
Shmulik Hadas | IL | Tel Aviv | / - | 1 |
Zvi Hadash | IL | Kiryat Haim | 2011-07-14 / 20110168275 - GAS IMPULSE BLOWER | 1 |
Ariel Hadass | US | Palo Alto | 2009-08-27 / 20090217237 - METHOD OF IMPROVING USER INTERACTION WITH AN OBJECT MANAGEMENT TOOL | 1 |
Naomi Hadatsuki | US | San Jose | 2014-09-18 / 20140280050 - TERM SEARCHING BASED ON CONTEXT | 8 |
Naomi Hadatsuki | US | San Jose | 2014-09-18 / 20140280050 - TERM SEARCHING BASED ON CONTEXT | 8 |
Teruyuki Hadatsuki | JP | Toyota-Shi | 2012-02-09 / 20120032057 - LIFTING MECHANISM OF VEHICLE SEAT | 2 |
Jeffrey P. Hadaway | US | Cottage Grove | 2010-03-25 / 20100071521 - Computer numerically controlled table saw fence | 1 |
Lior Hadaya | IL | Ra'Anana | 2012-11-22 / 20120296642 - METHOD AND APPRATUS FOR TEMPORAL SPEECH SCORING | 1 |
Ahmad Hadba | US | Middlefield | 2010-05-06 / 20100111919 - DELAYED GELATION COMPOSITIONS AND METHODS OF USE | 2 |
Robert Ahmad Hadba | US | Forth Worth | 2013-05-23 / 20130126587 - SEAL ELEMENT FOR ANASTOMOSIS | 1 |
Ahmad R. Hadba | US | Fort Worth | 2013-11-21 / 20130306707 - ANNULAR ADHESIVE STRUCTURE | 2 |
Ahamd Hadba | US | Middlefield | 2010-04-15 / 20100094338 - HYDROXAMATE-INITIATED POLYMERS | 1 |
Ahmad R. Hadba | US | Middle Held | 2010-04-08 / 20100087740 - COLON POLYP STAGING METHODS | 1 |
Ahmed Robert Hadba | US | Middlefield | 2012-02-16 / 20120041546 - MEDICAL DEVICES HAVING ACTIVATED SURFACES | 2 |
Ahmad Robert Hadba | US | Forth Worth | 2015-08-27 / 20150238192 - SEAL ELEMENT FOR ANASTOMOSIS | 2 |
Ahmad Robert Hadba | US | Fort Worth | 2016-04-14 / 20160100927 - Self-Adherent Implants and Methods of Preparation | 16 |
Ahmad R. Hadba | US | Wallingford | 2012-03-29 / 20120073064 - Method for Patterning a Medical Device | 18 |
Robert Ahmad Hadba | US | Fort Worth | 2013-04-25 / 20130098550 - FUNCTIONALIZED ADHESIVE FOR MEDICAL DEVICES | 1 |
Ahmad Robert Hadba | US | Wallingford | 2012-11-08 / 20120279878 - Self-Adherent Implants and Methods of Preparation | 15 |
Ahmad Hadba | US | Fort Worth | 2016-04-14 / 20160100834 - ANNULAR ADHESIVE STRUCTURE | 1 |
Ahmad Hadba | US | Wallingford | 2010-04-15 / 20100092533 - Bioabsorbable Surgical Composition | 1 |
Ahmad R. Hadba | US | Middlefield | 2010-05-27 / 20100130717 - BIODEGRADABLE MACROMERS | 1 |
Ahmad Robert Hadba | US | Fort Worth | 2016-04-14 / 20160100927 - Self-Adherent Implants and Methods of Preparation | 16 |
Ahmad Robert Hadba | US | Middlefield | 2014-05-22 / 20140142626 - CROSSLINKED FIBERS AND METHOD OF MAKING SAME BY EXTRUSION | 25 |
Elie Hadchity | FR | Lyon | 2010-12-23 / 20100324115 - Treatment of Squamous Cell Carcinoma with HSP27 Antisense Oligonucleotides and Radiotherapy | 1 |
Kyle J. Hadcock | US | Webster | 2011-06-02 / 20110128552 - ROTATIONAL AND LINEAR SYSTEM AND METHODS FOR SCANNING OF OBJECTS | 1 |
John Richard Hadcock | US | Mount Holly | 2009-03-26 / 20090081764 - Heterologous G protein coupled receptors expressed in yeast, their fusion with G proteins and use thereof in bioassay | 1 |
Robert Ernest Hadd | US | Florence | 2012-09-13 / 20120227172 - WATER SAVER TOILET CONTROL VALVES AND METHODS | 1 |
Michael J. Hadd | US | San Diego | 2015-07-16 / 20150196566 - HETEROCYCLIC COMPOUNDS AND METHODS OF USE THEREOF | 16 |
Mark Allen Hadd | US | San Diego | 2015-07-30 / 20150210625 - PROCESSES FOR THE PREPARATION OF 5-HT2C RECEPTOR AGONISTS | 2 |
Andrew Hadd | US | Austin | 2012-05-03 / 20120107824 - mPCR Methods for Analyzing Repeat Sequences | 1 |
John Hadd | US | Saginaw | 2011-09-22 / 20110228268 - Method Of Analyzing A Composition Containing Impurities | 1 |
Michael J. Hadd | US | San Diego | 2015-07-16 / 20150196566 - HETEROCYCLIC COMPOUNDS AND METHODS OF USE THEREOF | 16 |
David Hadd | US | Charlotte | 2009-12-03 / 20090299804 - OPERATIONAL RISK ASSESSMENT AND CONTROL | 1 |
Michael Hadd | US | San Diego | 2011-11-03 / 20110269740 - JAK KINASE MODULATING COMPOUNDS AND METHODS OF USE THEREOF | 1 |
John W. Hadd | US | Saginaw | 2013-03-28 / 20130075627 - APPLYING EDGE-ON PHOTOLUMINESCENCE TO MEASURE BULK IMPURITIES OF SEMICONDUCTOR MATERIALS | 1 |
Youcef Haddab | FR | Paris | 2016-04-28 / 20160116507 - ELECTRICITY METER HAVING MULTIPLE HALL DEVICES | 5 |
Yassine Haddab | FR | Besancon | 2013-11-21 / 20130310975 - Microrobot, and Associated Control Method, Simulation Method, and Computer Programs | 1 |
Mustapha Haddach | US | San Diego | 2014-04-03 / 20140094448 - PYRAZOLOPYRIMIDINES AND RELATED HETEROCYCLES AS CK2 INHIBITORS | 26 |
Mustapha Haddach | US | San Deigo | 2011-09-08 / 20110218184 - QUINOLONE ANALOGS AND METHODS RELATED THERETO | 1 |
Mojgan Haddad | US | Orinda | 2014-05-15 / 20140134625 - METHODS AND SYSTEMS FOR PREDICTIVE MODELING OF HIV-1 REPLICATION CAPACITY | 5 |
Nadia Haddad | FR | Saint-Maurice | 2013-01-17 / 20130017547 - ORGAN-SPECIFIC FELINE ENDOTHELIAL CELLS AND USES THEREOFAANM Kieda; ClaudineAACI OrleansAACO FRAAGP Kieda; Claudine Orleans FRAANM Paprocka; MariaAACI WroclawAACO PLAAGP Paprocka; Maria Wroclaw PLAANM Mitterand; MicheleAACI ArdonAACO FRAAGP Mitterand; Michele Ardon FRAANM Lamerant-Fayel; NathalieAACI OlivetAACO FRAAGP Lamerant-Fayel; Nathalie Olivet FRAANM Boulouis; Henri-JeanAACI La Varenne Saint HilaireAACO FRAAGP Boulouis; Henri-Jean La Varenne Saint Hilaire FRAANM Haddad; NadiaAACI Saint-MauriceAACO FRAAGP Haddad; Nadia Saint-Maurice FRAANM Monteil; MartineAACI Villeneuve-Saint-GeorgesAACO FRAAGP Monteil; Martine Villeneuve-Saint-Georges FR | 1 |
Majdi Haddad | US | Pcabody | 2013-09-26 / 20130251924 - MACROSPHERE CARBON FIBER REDUCTION | 1 |
Aneace Haddad | FR | Les Arcs | 2009-04-16 / 20090099917 - Benefit management method and system for any type of bank card | 2 |
Gholamali Haddad | AT | Vienna | 2011-08-25 / 20110206222 - MEMBRANE FOR AN ACOUSTIC TRANSDUCER | 2 |
Yariv Haddad | IL | Ra'Anana | 2015-10-01 / 20150277151 - LENSES WITH ELECTRICALLY-TUNABLE POWER AND ALIGNMENT | 2 |
Majdi Haddad | US | Peabody | 2013-09-26 / 20130251959 - HIGH PERFORMANCE BUOYANT THERMAL INSULATING WRAP | 3 |
Majed Haddad | FR | Le Pontet | 2016-03-17 / 20160080095 - METHOD AND SYSTEM FOR USER SPEED ESTIMATION IN WIRELESS NETWORKS | 1 |
Antoine E. Haddad | US | Newark | 2013-12-26 / 20130345894 - METHODS AND SYSTEMS FOR CALIBRATION OF A POSITIONAL ORIENTATION BETWEEN A SAMPLE CONTAINER AND NOZZLE TIP | 1 |
Khalil Haddad | US | Allen | 2015-09-10 / 20150255868 - ANTENNA ARRAY SELF-CALIBRATION | 4 |
David Haddad | FR | Paris | 2015-10-01 / 20150278794 - SPLIT PAYMENT METHOD AND SYSTEM FOR A PRODUCT OR SERVICE | 1 |
Kevin Haddad | US | Colleyville | 2012-11-29 / 20120298736 - SMART PACKAGING | 1 |
Tarek Haddad | US | Minneapolis | 2016-02-18 / 20160045744 - SYSTEMS AND METHODS FOR EVALUATING CARDIAC THERAPY | 1 |
Bassel Haddad | US | San Jose | 2014-01-09 / 20140012570 - DECODING WIRELESS IN-BAND ON-CHANNEL SIGNALS | 1 |
David Haddad | US | San Jose | 2015-12-24 / 20150372896 - PACKET SEGMENTATION WITH DIFFERENT SEGMENT SIZES FOR A SWITCH FABRIC | 2 |
Walid Haddad | IL | Haifa | 2013-01-31 / 20130030503 - GASTROINTESTINAL ELECTRICAL THERAPY | 2 |
Sandro A.p. Haddad | BR | Aguas Claras | 2013-02-07 / 20130033924 - CODE COVERAGE CIRCUITRY | 1 |
Joseph C. Haddad | US | Elizabethtown | 2012-05-24 / 20120126748 - AUTOMATED ELECTRIC VEHICLE CHARGING SYSTEM AND METHOD | 2 |
Elias Haddad | US | Port St. Lucie | 2015-05-07 / 20150125955 - PD-1 MODULATION AND USES THEREOF FOR MODULATING HIV REPLICATION | 2 |
Souheil Fuad Haddad | US | Bloomington | 2013-05-23 / 20130131648 - SURGICAL HOOK | 1 |
Julien Haddad | FR | Rennes | 2009-12-10 / 20090304079 - Frame or field mode coding method | 1 |
Zuhair Haddad | GR | Athens | 2010-07-15 / 20100179788 - SYSTEM AND METHOD FOR HYBRID SOLID AND SURFACE MODELING FOR COMPUTER-AIDED DESIGN ENVIRONMENTS | 1 |
Karl Haddad | US | Mountain View | 2013-11-14 / 20130303262 - TRICK-TAKING CARD GAME WITH CARD REPLACEMENT BY AUCTION WINNER | 1 |
Julien Haddad | FR | Paris | 2010-05-13 / 20100119169 - METHOD FOR PROCESSING IMAGES AND THE CORRESPONDING ELECTRONIC DEVICE | 2 |
Sameer Haddad | US | San Jose | 2016-04-21 / 20160111292 - CHARGE TRAPPING SPLIT GATE EMBEDDED FLASH MEMORY AND ASSOCIATED METHODS | 16 |
Mireille Haddad | FR | Villejuif | 2012-12-27 / 20120328074 - METHOD FOR IMAGING AN ORGAN AND MEDICAL IMAGING SYSTEM | 1 |
Sandro A. P. Haddad | BR | Aguas Claras | 2014-10-30 / 20140325297 - CODE COVERAGE CIRCUITRY | 1 |
George Haddad | CA | Mississauga | 2008-11-20 / 20080288406 - System and method for telematic marketing | 1 |
Elias Haddad | CA | Saint-Laurent | 2011-01-13 / 20110008777 - PD-1 MODULATION AND USES THEREOF | 2 |
Emile Haddad | CA | Montreal | 2014-05-22 / 20140139904 - PASSIVELY VARIABLE EMITTANCE DEVICE AND METHOD FOR MAKING THE SAME | 4 |
Colette Haddad | CA | Toronto | 2010-06-03 / 20100137256 - Device and Method for Treating Human Body | 1 |
Pierre S. Haddad | CA | Montreal | 2010-04-15 / 20100092583 - Antioxidant-Enriched Fruit Extracts and Uses Thereof in the Treatment and Prevention of Diabetes and Obesity | 1 |
Richard Y. Haddad | US | Upper St. Clair | 2011-06-16 / 20110139201 - Survival Walking Stick | 1 |
Mouchi Haddad | FR | Champigny Sur Marne | 2013-07-25 / 20130191646 - SYSTEM FOR EXCHANGING DATA BETWEEN AT LEAST ONE SENDER AND ONE RECEIVER | 1 |
Louis C. Haddad | US | Mendota Heights | 2015-06-11 / 20150159192 - STERILIZATION INDICATORS INCLUDING A NEUTRALIZER AND METHODS | 11 |
Reda Haddad | US | San Jose | 2014-09-18 / 20140269261 - METHOD AND APPARATUS FOR IP/MPLS FAST REROUTE | 2 |
Salim D. Haddad | US | Troy | 2016-01-21 / 20160019821 - ILLUMINATED SIGN APPARATUS | 1 |
John Haddad | AU | Tullamarine | 2016-02-18 / 20160046436 - PACKAGING CONTAINER | 1 |
Tarek D. Haddad | US | Minneapolis | 2015-11-12 / 20150320996 - METHOD AND APPARATUS FOR DETERMINING SUITABILITY OF A LEAD IMPLANT LOCATION | 1 |
Rabih Haddad | US | Burlingame | 2015-01-29 / 20150032541 - METHOD AND SYSTEM FOR ADVERTISING PREDICTION, IMPROVEMENT AND DISTRIBUTION | 2 |
Anne T. Haddad | US | Berwyn | 2011-10-13 / 20110248887 - GEOLOCATION LEVERAGING SPOT BEAM OVERLAP | 2 |
Justin William Haddad | US | Merrimack | 2008-12-25 / 20080319857 - Managing content resources | 1 |
Walid Haddad | US | Closter | 2011-04-21 / 20110093028 - APPARATUS AND METHOD FOR DELIVERING ELECTRICAL SIGNALS TO MODIFY GENE EXPRESSION IN CARDIAC TISSUE | 1 |
Chistina Haddad | US | Las Vegas | / - | 1 |
Nadim F. Haddad | US | Oakton | 2014-10-02 / 20140290038 - METHOD FOR IMPLEMENTING PROMPT DOSE MITIGATING CAPACITOR | 4 |
Rami J. Haddad | US | Akron | 2010-12-23 / 20100322637 - Optical Communication System Having Enhanced Spectral Efficiency Using Electronic Signal Processing | 1 |
Wassim Michel Haddad | US | West New York | 2010-11-04 / 20100278120 - HOME AGENT-LESS MIPv6 ROUTE OPTIMIZATION OVER WAN | 3 |
Stephen N. Haddad | US | Longmont | 2010-08-12 / 20100203830 - Systems and Methods for Implementing Hands Free Operational Environments | 6 |
Simon Mark Haddad | US | Norwalk | 2010-07-22 / 20100181310 - Novel Collapsible Display | 1 |
Darine Haddad | US | Gaithersburg | 2010-06-17 / 20100149552 - OPTICAL METROLOGY SYSTEM | 1 |
Richard Haddad | US | Leesburg | 2011-06-02 / 20110126491 - HYGIENIC HAIR BRUSH COVER | 1 |
Joseph Wayne Haddad | US | San Antonio | 2013-11-28 / 20130314029 - USB Device Charger for Use with Electric Powered Wheelchairs and Scooters | 1 |
Alireza Keshavarz Haddad | US | Lauderhill | 2010-05-13 / 20100118838 - Heterogeneous back-off mechanism to decrease latency in mobile IP telephony | 1 |
Homayoon Haddad | US | Lake Oswego | 2016-03-10 / 20160071900 - Backside Illuminated Image Sensor | 4 |
Sidney Martinez Haddad | BR | Valinhos | 2013-12-12 / 20130332224 - Service Level Agreement Work Prioritization System | 2 |
Daniel Anthony Haddad | US | Pittsburgh | 2010-04-15 / 20100089911 - Temporary bulkhead for refrigeration structures | 1 |
Nizar Haddad | US | Danbury | 2015-01-15 / 20150018555 - NOVEL CHIRAL NITROGEN-PHOSPHORUS LIGANDS AND THEIR USE FOR ASYMMETRIC HYDROGENATION OF ALKENES | 7 |
Mikaël Haddad | FR | Montpellier | 2013-07-25 / 20130191646 - SYSTEM FOR EXCHANGING DATA BETWEEN AT LEAST ONE SENDER AND ONE RECEIVER | 1 |
Homayoon Haddad | US | Beaverton | 2016-02-04 / 20160035782 - SHALLOW TRENCH TEXTURED REGIONS AND ASSOCIATED METHODS | 15 |
Eric O.m. Haddad | US | East Berlin | 2009-07-02 / 20090168438 - TRADITIONAL STYLE POST-TOP LUMINAIRE WITH RELAMPING MODULE AND METHOD | 1 |
Ihsan A. Haddad | US | Ashland | 2013-11-14 / 20130304027 - SYSTEM FOR DETECTING AND REMOVING A GAS BUBBLE FROM A VASCULAR INFUSION LINE | 4 |
Muin S. Haddad | US | Naperville | 2014-11-27 / 20140350282 - PRE CALCINATION ADDITIVES FOR MIXED METAL OXIDE AMMOXIDATION CATALYSTS | 5 |
Habib Haddad | US | Boston | 2009-06-04 / 20090144049 - METHOD AND SYSTEM FOR ADAPTIVE TRANSLITERATION | 1 |
Michael A. Haddad | US | Gaithersburg | 2009-02-12 / 20090039155 - Airport vehicular gate entry access system | 1 |
Marc V. Haddad | US | Redmond | 2013-12-05 / 20130321268 - CONTROL OF REMOTE APPLICATIONS USING COMPANION DEVICE | 2 |
Louis C. Haddad | US | Mendota Heights | 2015-06-11 / 20150159192 - STERILIZATION INDICATORS INCLUDING A NEUTRALIZER AND METHODS | 11 |
George Haddad | US | Newton | 2008-11-20 / 20080288964 - Methods and systems for centralizing an application process | 1 |
Ghaith Haddad | US | Orlando | 2016-01-28 / 20160027316 - COMPUTING DEVICE PROVIDING ELECTRONIC BOOK DATA WITH CONFIGURABLE PROBLEMS AND CHANGEABLE SOLUTION TECHNIQUES AND RELATED METHODS | 5 |
Wassim Michel Haddad | US | San Diego | 2011-11-24 / 20110286597 - HOME AGENT PROXIED MIPv6 ROUTE OPTIMIZATION MODE | 1 |
Firas F. Haddad | US | Las Vegas | 2014-03-13 / 20140069446 - TOBACCO FREE HOOKAH SMOKING SYSTEM | 1 |
Laurent Haddad | FR | Neuilly-Sur-Seine | 2015-12-17 / 20150359835 - COMPOSITION OF A MEDICAL DEVICE OR COSMETIC PRODUCT BASED ON GRAPEFRUIT SEED EXTRACT, LADY'S MANTLE LEAF EXTRACT, STEVIA EXTRACT, AND CURCUMIN | 1 |
Gilbert Haddad | US | Houston | 2014-05-01 / 20140121973 - Prognostics And Health Management Methods And Apparatus To Predict Health Of Downhole Tools From Surface Check | 1 |
Steven L. Haddad | US | Glenview | 2014-06-12 / 20140163622 - ORTHOPEDIC PLATE, ORTHOPEDIC DEVICE, METHOD OF COUPLING BONE SEGMENTS, AND METHOD OF ASSEMBLING AN ORTHOPEDIC PLATE | 2 |
Iman Haddad | FR | Paris | 2013-08-29 / 20130224285 - ESTROGEN RECEPTOR ALPHA POLYPEPTIDE SEQUENCE, DIAGNOSTIC AND THERAPEUTIC APPLICATIONS THEREOF | 1 |
Sandro A. P. Haddad | BR | Campinas | 2011-05-19 / 20110115452 - OUTPUT DRIVER CIRCUITS FOR VOLTAGE REGULATORS | 1 |
Paul Haddad | US | New York | 2015-10-08 / 20150289000 - Programmatic Buying and Selling of Television Advertising | 1 |
Mario Joseph Haddad | US | Bloomfield Hills | 2015-10-08 / 20150288948 - SYSTEM AND METHOD FOR NIGHT VISION OBJECT DETECTION AND DRIVER ASSISTANCE | 1 |
Lior Biniamin Haddad | IL | Petach Tikva | 2013-07-11 / 20130174992 - CLIP AND SHADE TO PROVIDE SHADE INSIDE A VEHICLE | 1 |
Omar A. Haddad | US | South Dayton | 2012-03-15 / 20120065813 - SYSTEM AND METHOD FOR COMMAND AND DATA HANDLING IN SPACE FLIGHT ELECTRONICS | 1 |
Mathieu Haddad | CA | Ottawa | 2015-11-12 / 20150327061 - SYSTEM AND METHOD FOR GEOLOCALIZED SOCIAL NETWORKING | 1 |
Sami Ishag Jamil Haddad | CA | Winnipeg | 2012-11-15 / 20120289382 - Exercise Device for Supporting Weight from Hand Grips Using Straps | 1 |
Theodore Haddad | US | Danbury | 2015-04-23 / 20150107017 - Multiple Compartment Walk-in Bathtub | 2 |
Waleed Sami Haddad | US | San Francisco | 2016-03-03 / 20160065817 - MICROPHONE AND CAMERA DISRUPTION APPARATUS AND METHOD | 19 |
Daniel S. Haddad | US | Bloomfield Hills | 2013-03-07 / 20130060241 - DYNAMIC REAL TIME ACTIVE PUPIL CENTROID COMPENSATION | 1 |
Kais Haddad | DE | Erlangen | 2015-08-27 / 20150240818 - PUMP FOR CONVEYING WASTE WATER AS WELL AS IMPELLER AND BASE PLATE FOR SUCH A PUMP | 1 |
Rahamim Haddad | IL | Tel Aviv | 2011-06-30 / 20110156404 - WIND DRIVEN GENERATOR FOR VEHICLES | 1 |
Antoine Elias Haddad | US | Newark | 2012-09-13 / 20120227771 - Apparatus, Systems, And Methods Adapted To Rinse And Dry Clinical Analyzer Sample Probes | 1 |
Said Haddad | US | Fort Wayne | 2013-01-03 / 20130006661 - CUSTOMIZED PATIENT SURGICAL PLAN | 2 |
Sameer Haddad | US | San Jose | 2016-04-21 / 20160111292 - CHARGE TRAPPING SPLIT GATE EMBEDDED FLASH MEMORY AND ASSOCIATED METHODS | 16 |
Paul Raymond Haddad | AU | Sandy Bay | 2012-11-01 / 20120276576 - POROUS POLYMER MONOLITHS, PROCESSES FOR PREPARATION AND USE THEREOF | 1 |
Toufic Haddad | FR | Paris | 2014-05-15 / 20140134979 - Integrated Approach for Visual Dialing | 1 |
Zaid Haddad | CA | Burnaby | 2014-03-20 / 20140080731 - THYROID CANCER DIAGNOSTICS | 1 |
Ghaith Haddad | JO | Orlando | 2013-07-11 / 20130179823 - COMPUTING DEVICE PROVIDING ELECTRONIC BOOK DATA WITH CONFIGURABLE PROBLEMS AND CHANGEABLE SEED VALUES AND RELATED METHODS | 2 |
Abdallah Haddad | DE | Munchen | 2012-08-23 / 20120211201 - COOLING DEVICE FOR A HEAT SOURCE | 1 |
Mikaël Haddad | FR | Montpellier | 2013-07-25 / 20130191646 - SYSTEM FOR EXCHANGING DATA BETWEEN AT LEAST ONE SENDER AND ONE RECEIVER | 1 |
Waleed Haddad | US | San Francisco | 2012-09-20 / 20120234161 - Optoelectronic Pickup for Musical Instruments | 1 |
Nicholas Haddad | US | Wayland | 2015-12-10 / 20150356157 - UNIFIED MAPREDUCE FRAMEWORK FOR LARGE-SCALE DATA PROCESSING | 2 |
Tariq Haddad | CA | Kanata | 2012-11-22 / 20120294437 - AUTOMATIC GAIN CONTROL FOR TELE-PRESENCE VOICE ECHO CANCELLERS | 1 |
Bill Haddad | US | Garden Grove | 2010-08-26 / 20100212602 - Valve Shank Mount Assembly for a Water Heater | 1 |
Waleed Sami Haddad | US | San Francisco | 2016-03-03 / 20160065817 - MICROPHONE AND CAMERA DISRUPTION APPARATUS AND METHOD | 19 |
Timothy Haddad | US | Lancaster | 2014-07-24 / 20140206812 - THERMOSETTING RESINS WITH ENHANCED CURE CHARACTERISTICS CONTAINING ORGANOFUNCTIONAL SILANE MOIETIES | 1 |
Jeffrey Paul Haddad | US | Beverly Hills | 2013-03-21 / 20130068246 - Lip Substrate Applicator Kit and Method | 3 |
Matthew J. Haddad | US | Marina Del Rey | 2013-01-31 / 20130030989 - ELECTRONIC CREDENTIALS VERIFICATION AND MANAGEMENT SYSTEM | 4 |
Waleed S. Haddad | US | San Francisco | 2011-08-18 / 20110202277 - Derivative Imaging for Subsurface Object Detection | 2 |
Rafi Haddad | IL | Rehovot | 2015-02-19 / 20150051842 - PREDICTING ODOR PLEASANTNESS WITH AN ELECTRONIC NOSE | 2 |
Kevork Haddad | US | Hollis | 2015-11-19 / 20150333659 - CIRCUIT ARRANGEMENT | 1 |
Daniel Roland Haddad | DE | Wuerzburg | 2013-01-17 / 20130018463 - COLLAGEN FIBER CONSTRUCTS FOR REPLACING CRUCIATE LIGAMENTSAANM Haddad; Daniel RolandAACI WuerzburgAACO DEAAGP Haddad; Daniel Roland Wuerzburg DEAANM Haddad-Weber; MeikeAACI WuerzburgAACO DEAAGP Haddad-Weber; Meike Wuerzburg DEAANM Noeth; UlrichAACI WuerzburgAACO DEAAGP Noeth; Ulrich Wuerzburg DE | 1 |
Kevork Haddad | US | Newark Valley | 2012-08-30 / 20120217943 - DC/DC Converter Cell and Circuit with Feedback Capability, and Method for its Operation | 3 |
Wassim Haddad | US | West New York | 2008-12-04 / 20080301434 - METHOD AND APPARATUS FOR COMBINING INTERNET PROTOCOL AUTHENTICATION AND MOBILITY SIGNALING | 1 |
Wassim Haddad | SE | Bromma | 2010-02-04 / 20100031044 - PREFIX REACHABILITY DETECTION IN A COMMUNICATION | 3 |
George Haddad | CA | Ottawa | 2015-08-06 / 20150221090 - GARMENT FITMENT SYSTEM | 1 |
Mark Haddad | LB | Kesrouan | 2014-09-18 / 20140279336 - FINANCIAL MESSAGING PLATFORM | 1 |
Wassim Haddad | US | Boulder | 2011-02-17 / 20110039592 - METHODS AND APPARATUS FOR DERIVING, COMMUNICATING AND/OR VERIFYING OWNERSHIP OF EXPRESSIONS | 7 |
Wassim Haddad | US | San Jose | 2015-10-08 / 20150289190 - SYSTEM, METHOD AND DEVICES FOR ENABLING EFFICIENT HYBRID ROUTE OPTIMIZATION BETWEEN TWO MOBILE ENDPOINTS | 36 |
Souheil Haddad | US | Bloomington | 2012-05-31 / 20120136379 - SURGICAL KNIFE AND METHOD FOR MAKING INCISION | 1 |
Ali Haddad | US | East Haven | 2015-02-12 / 20150046156 - System and Method for Anomaly Detection and Extraction | 1 |
Rogerio Jose Jovino Haddad | BR | Sao Paulo | 2014-09-18 / 20140261209 - Absorbing Pad With Securing Elements for Pet Excretory Collection and Disposal | 1 |
Elias Haddad | US | Port St. Lucic | 2012-12-27 / 20120328653 - MODIFIED IMMUNIZATION VECTORS | 1 |
Timothy S. Haddad | US | Lancaster | 2016-05-05 / 20160122558 - SYNTHESIS OF FUNCTIONAL FLUORINATED POLYHEDRAL OLIGOMERIC SILSESQUIOXANE ("F-POSS") | 5 |
Wassim Haddad | US | San Jose | 2015-10-08 / 20150289190 - SYSTEM, METHOD AND DEVICES FOR ENABLING EFFICIENT HYBRID ROUTE OPTIMIZATION BETWEEN TWO MOBILE ENDPOINTS | 36 |
Mireille Haddad | FR | Buc | 2016-01-07 / 20160000386 - METHOD FOR IMAGING AN ORGAN AND MEDICAL IMAGING SYSTEM | 1 |
Aneace Hadi Haddad | SG | Singapore | 2011-12-08 / 20110302016 - AUTOMATED MEMBERSHIP SYSTEM | 1 |
Larry Haddad | US | Rancho Palos Verdes | 2015-07-30 / 20150213519 - METHOD AND DEVICE FOR DETERMINING VEHICLE CONDITION BASED ON NON-OPERATIONAL FACTORS | 3 |
Patrick Haddad | FR | Saint-Etienne | 2016-01-07 / 20160004510 - RANDOM NUMBER GENERATOR | 1 |
Homayoon Haddad | US | Beaverton | 2016-02-04 / 20160035782 - SHALLOW TRENCH TEXTURED REGIONS AND ASSOCIATED METHODS | 15 |
Khalil C. Haddad | US | Allen | 2011-10-27 / 20110261896 - APPARATUS AND METHOD FOR CREST FACTOR REDUCTION ARCHITECTURE | 2 |
Beny Haddad | IL | Jerusalem | 2013-07-04 / 20130170350 - SYSTEMS AND METHODS FOR MANAGING QUALITY OF SERVICE | 1 |
Michael Haddad | US | Decatur | 2015-03-12 / 20150068460 - ELEVATED FEEDING TRAY APPARATUS | 1 |
Hamed Haddadi | DE | Kaiserslautern | 2011-03-03 / 20110055552 - PRIVATE, ACCOUNTABLE, AND PERSONALIZED INFORMATION DELIVERY IN A NETWORKED SYSTEM | 1 |
Azita Haddadi | CA | Saskatoon | 2014-06-19 / 20140170229 - POLYMERIC NANOPARTICLES FOR PHOTOSENSITIZERS | 1 |
Ahmed Haddadi | FR | Charenton Le Pont | 2016-05-05 / 20160124249 - METHOD FOR DETERMINING AT LEAST ONE VALUE OF A PARAMETER FOR CUSTOMISING A VISUAL COMPENSATION DEVICE | 21 |
Simon Haddadin | DE | Garbsen | 2015-08-27 / 20150239124 - METHOD FOR CONTROLLING A ROBOT DEVICE, ROBOT DEVICE AND COMPUTER PROGRAM PRODUCT | 1 |
Osama S. Haddadin | US | Salt Lake City | 2014-03-27 / 20140086300 - INTERFERENCE CHANNEL EQUALIZER | 1 |
Fuad Tawfiq Haddadin | US | St. Joseph | 2012-08-23 / 20120213741 - COMMERCIAL SCALE PROCESS FOR PRODUCTION OF PRRSV | 1 |
Eyad G. Haddadin | US | Salt Lake City | 2009-01-08 / 20090012881 - SYSTEM AND METHOD FOR IMPROVED MANAGEMENT OF SELLER LISTINGS ON E-COMMERCE WEBSITES | 1 |
Raja M. Haddadin | US | Monmouth Junction | 2010-10-14 / 20100261768 - Bioavailable Compositions of Amorphous Alpha-(N-Sulfonamido)Acetamide Compound | 1 |
Muwaffak Jeryis Haddadin | US | Monmouth Jct | 2008-11-27 / 20080293804 - Pharmaceutical Nasal Spray Formulation of Acetaminophen (paracetamol) | 1 |
Sami Haddadin | DE | Gilching | 2015-08-27 / 20150239124 - METHOD FOR CONTROLLING A ROBOT DEVICE, ROBOT DEVICE AND COMPUTER PROGRAM PRODUCT | 1 |
Meike Haddad-Weber | DE | Wuerzburg | 2013-01-17 / 20130018463 - COLLAGEN FIBER CONSTRUCTS FOR REPLACING CRUCIATE LIGAMENTSAANM Haddad; Daniel RolandAACI WuerzburgAACO DEAAGP Haddad; Daniel Roland Wuerzburg DEAANM Haddad-Weber; MeikeAACI WuerzburgAACO DEAAGP Haddad-Weber; Meike Wuerzburg DEAANM Noeth; UlrichAACI WuerzburgAACO DEAAGP Noeth; Ulrich Wuerzburg DE | 1 |
Christopher N. Haddan | US | Sammamish | 2013-09-26 / 20130254653 - Time-Based Viewing of Electronic Documents | 2 |
Eric M. Haddan | US | Camas | 2011-01-13 / 20110010700 - VIRTUALIZATION OF CONFIGURATION SETTINGS | 2 |
Idir Haddani | FR | Montpellier | 2010-07-29 / 20100188049 - CURRENT TO FREQUENCY CONVERSION, APPARATUS AND METHODS | 1 |
Younes Haddani | FR | Chanonat | 2016-03-17 / 20160076203 - METHOD FOR CHARACTERIZING THE SEAT OF A RAILROAD TRACK, DEVICE FOR VIEWING THE INSIDE OF A GROUND AND ASSEMBLY FOR CHARACTERIZING THE SEAT OF A RAILROAD TRACK COMPRISING SUCH A DEVICE | 1 |
Hisham Haddara | EG | Heliopolis | 2011-09-15 / 20110222067 - TECHNIQUE TO DETERMINE MIRROR POSITION IN OPTICAL INTERFEROMETERS | 1 |
Hisham Haddara | EG | Heliopolis Cairo | 2010-10-21 / 20100265382 - ULTRA-WIDE ANGLE MEMS SCANNER ARCHITECTURE | 1 |
Marinus Haddeman | DE | Bergisch-Gladbach | 2011-01-27 / 20110021801 - PRECIPITATED SILICAS AS A REINFORCING FILLER FOR ELASTOMER MIXTURES | 1 |
John W. Hadden | US | Cold Spring Harbor | 2014-11-27 / 20140348783 - VACCINE IMMUNOTHERAPY FOR IMMUNE SUPPRESSED PATIENTS | 17 |
Mark Hadden | US | Albany | 2016-02-04 / 20160031875 - IMIDAZO(4,5-B) PYRIDIN-2-YL AMIDES AS KV7 CHANNEL ACTIVATORS | 12 |
Robert Glenwood Hadden | US | Livonia | 2013-10-17 / 20130269325 - MULTI-TIERED TELESCOPE SHAPED ATOMIZER | 1 |
William Hadden | GB | Belfast | 2013-10-10 / 20130263844 - SOLAR COLLECTOR | 1 |
Lucy Elsbeth Hadden | US | Newton | 2013-12-26 / 20130346877 - RECOMMENDED CONTENT FOR AN ENDORSEMENT USER INTERFACE | 1 |
John W. Hadden | US | New York | 2012-06-07 / 20120141512 - METHOD OF INCREASING IMMUNOLOGICAL EFFECT | 1 |
Raymond Anthony Hadden | GB | County Durham | 2014-02-27 / 20140056773 - OXYGEN REMOVAL | 2 |
David M. Hadden | US | Los Altos | 2014-08-07 / 20140216493 - HAIR STRAIGHTENING IRON | 6 |
George Daniel Hadden | US | Plymouth | 2011-07-21 / 20110175766 - THREE DIMENSIONAL NONCONTACT MOTION SENSOR | 6 |
Steve L. Hadden | US | Peoria | 2009-01-29 / 20090027148 - BI-STABLE MAGNETIC LATCH WITH PERMANENT MAGNET STATOR | 1 |
George D. Hadden | US | Plymouth | 2010-02-18 / 20100042872 - RECURSIVE STRUCTURE FOR DIAGNOSTIC MODEL | 5 |
Bryant R. Hadden | US | Westminster | 2015-02-26 / 20150052683 - CELLULAR CUSHION | 1 |
Daniel J. Hadden | GB | Aberdeenshire | 2010-10-14 / 20100262258 - BIOMEDICAL MATERIALS | 1 |
Jeffrey A. Hadden | US | Worthington | 2014-10-30 / 20140323235 - GOLF CLUBS AND GOLF CLUB HEADS HAVING DIGITAL LIE AND/OR OTHER ANGLE MEASURING EQUIPMENT | 8 |
Erik Hadden | US | Cupertino | 2013-01-03 / 20130006790 - SYSTEM AND METHOD FOR PLANNING LAYOUT OF A RETAIL STORE | 1 |
Will Hadden | US | Murphy | 2016-01-07 / 20160006273 - METHOD AND APPARATUS FOR REDUCING CHARGE TIME | 1 |
Jeremy Hadden | US | Ketchum | 2012-08-30 / 20120218504 - EYEGLASS WITH ENHANCED BALLISTIC RESISTANCE | 2 |
Jeffrey Hadden | US | Delaware | 2014-09-18 / 20140278207 - Impact and Sound Analysis for Golf Equipment | 1 |
Jeremy Hadden | US | Dana Point | 2013-03-28 / 20130077042 - MOUNTING MECHANISM FOR EYEWEAR | 1 |
Bryant R. Hadden | US | Centennial | 2014-10-16 / 20140304900 - REMOVABLE BODY PADDING | 1 |
Jeffrey A. Hadden | US | Delaware | 2015-10-29 / 20150306480 - Golf Clubs and Golf Club Heads | 4 |
Morris Hadden | US | Dearborn | 2015-12-31 / 20150375665 - RAMP MEMBER | 1 |
Bryant R. Hadden | US | Denver | 2013-05-30 / 20130133138 - Cellular Cushion | 1 |
Michael Shane Hadden | US | Stamford | 2008-10-02 / 20080243569 - AUTOMATED LOAN SYSTEM AND METHOD | 1 |
Daniel J. Hadden | GB | Stonehaven, Aberdeen | 2014-11-27 / 20140348943 - BIOMEDICAL MATERIALS | 1 |
Gordon Hadden | US | Sarasota | 2013-06-27 / 20130164189 - Syringe Sterilization Cap | 1 |
Allen Hadden | US | Marlborough | 2016-05-05 / 20160127394 - Action Response Framework for Data Security Incidents | 2 |
Erik G. Hadden | US | Cupertino | 2013-12-26 / 20130346262 - SYSTEM FOR MULTI-TRACK SCHEDULING FOR A RETAIL ENVIRONMENT | 1 |
Steven Hadden | US | Peoria | 2013-06-20 / 20130154170 - PASSIVE ISOLATION DEVICES PROVIDING LOW FREQUENCY DAMPING OF LOW MASS PAYLOADS AND SPACECRAFT ISOLATION SYSTEMS EMPLOYING THE SAME | 6 |
George Hadden | US | Plymouth | 2009-05-28 / 20090138423 - VEHICLE HEALTH MONITORING REASONER ARCHITECTURE FOR DIAGNOSTICS AND PROGNOSTICS | 2 |
Timothy James Hadden | US | Sloan | / - | 1 |
Page Hadden | US | San Rafael | 2009-08-27 / 20090212081 - SLOSH CONTROLLED PERSONAL HYDRATION SYSTEM | 1 |
John W. Hadden | US | Spring Harbor | 2010-12-09 / 20100310469 - VACCINE IMMUNOTHERAPY FOR IMMUNE SUPPRESSED PATIENTS | 1 |
John W. Hadden | US | Cold Spring Harbor | 2014-11-27 / 20140348783 - VACCINE IMMUNOTHERAPY FOR IMMUNE SUPPRESSED PATIENTS | 17 |
Jeffrey Alan Hadden | US | Worthington | 2012-12-27 / 20120329568 - GOLF CLUBS AND GOLF CLUB HEADS HAVING DIGITAL LIE AND/OR OTHER ANGLE MEASURING EQUIPMENT | 2 |
Shane Hadden | US | Greenwich | 2009-07-30 / 20090192829 - Variable product reinsurance | 1 |
Raymond Anthony Hadden | GB | Durham | 2010-02-04 / 20100028229 - OXYGEN REMOVAL | 2 |
Jeffrey A. Hadden | US | Worthington | 2014-10-30 / 20140323235 - GOLF CLUBS AND GOLF CLUB HEADS HAVING DIGITAL LIE AND/OR OTHER ANGLE MEASURING EQUIPMENT | 8 |
Robert W. Hadden | US | Highland Village | 2010-07-22 / 20100180418 - Synthetic Redundancy Via Prognostics | 1 |
Robert William Hadden, Iii | US | Pennsburg | 2009-08-27 / 20090211264 - Modular Cryogenic Liquid Storage Systems | 2 |
Scott J. Hadderman | US | Pleasant Valley | 2013-06-27 / 20130166095 - Proactive Cooling Of Chips Using Workload Information and Controls | 1 |
John D. Haddick | US | Larkspur | 2013-05-23 / 20130127980 - VIDEO DISPLAY MODIFICATION BASED ON SENSOR INPUT FOR A SEE-THROUGH NEAR-TO-EYE DISPLAY | 3 |
Gienn T. Haddick | US | San Diego | 2011-07-28 / 20110181673 - FLUID-JET PRECISION-DISPENSING DEVICE HAVING ONE OR MORE HOLES FOR PASSING GASEOUS BUBBLES, SLUDGE, AND/OR CONTAMINANTS DURING PRIMING | 1 |
John D. Haddick | US | Mill Valley | 2016-05-12 / 20160131912 - SEE-THROUGH COMPUTER DISPLAY SYSTEMS | 47 |
John D. Haddick | US | Berkeley | 2012-03-15 / 20120062445 - ADJUSTABLE WRAP AROUND EXTENDABLE ARM FOR A HEAD-MOUNTED DISPLAY | 19 |
John Haddick | US | Mill Valley | 2016-05-12 / 20160133201 - POWER MANAGEMENT FOR HEAD WORN COMPUTING | 10 |
John D. Haddick | US | San Rafael | 2015-10-01 / 20150277120 - OPTICAL CONFIGURATIONS FOR HEAD WORN COMPUTING | 46 |
John Haddick | US | Berkeley | 2011-06-09 / 20110136350 - Magnetic and Locking Cable Connectors | 2 |
John Haddick | US | Mill Valley | 2016-05-12 / 20160133201 - POWER MANAGEMENT FOR HEAD WORN COMPUTING | 10 |
John D. Haddick | US | San Rafael | 2015-10-01 / 20150277120 - OPTICAL CONFIGURATIONS FOR HEAD WORN COMPUTING | 46 |
John D. Haddick | US | Berkeley | 2012-03-15 / 20120062445 - ADJUSTABLE WRAP AROUND EXTENDABLE ARM FOR A HEAD-MOUNTED DISPLAY | 19 |
John D. Haddick | US | Mill Valley | 2016-05-12 / 20160131912 - SEE-THROUGH COMPUTER DISPLAY SYSTEMS | 47 |
Thomas R. Haddix | US | Monroe | 2012-06-14 / 20120145162 - ETT HOLDER | 1 |
Steven J. Haddix | US | Allen Park | 2012-06-14 / 20120145162 - ETT HOLDER | 1 |
Joshua L. Haddix | US | Lakewood | 2016-02-04 / 20160030098 - FORCE DISTRIBUTION IMPLANT, ASSEMBLY AND KIT | 1 |
Anthony Haddleton | AU | Laurieton | 2015-04-16 / 20150101892 - BRAKING SYSTEM FOR A RECREATIONAL RIDING-BOARD | 1 |
David M. Haddleton | GB | Kenilworth | 2013-11-07 / 20130295040 - POLYMER | 2 |
David Mark Haddleton | GB | Coventry | 2010-01-07 / 20100004391 - METHOD FOR DERIVATIZING HAIR WITH A REACTIVE POLYETHYLENE GLYCOL | 1 |
David Haddleton | GB | Kenilworth | 2008-12-04 / 20080300348 - Polymer | 1 |
Ivonne Haddock | US | Tolland | 2013-06-20 / 20130152403 - Serrated fork | 1 |
Robert M.m. Haddock | US | Colorado Springs | 2015-11-05 / 20150318817 - PHOTOVOLTAIC MODULE MOUNTING ASSEMBLY | 13 |
Richard M. Haddock | US | Redwood City | 2010-02-18 / 20100039818 - NUMISMATIC STORAGE CONTAINER TO PREVENT COUNTERFEITING OF COINAGE | 8 |
Thomas E. Haddock | US | Highland Mills | 2014-06-12 / 20140165128 - AUTOMATED SECURITY POLICY ENFORCEMENT AND AUDITING | 1 |
Robert Haddock | US | Cliffside Park | 2014-09-18 / 20140282219 - INTELLIGENT INTERNET SYSTEM WITH ADAPTIVE USER INTERFACE PROVIDING ONE-STEP ACCESS TO KNOWLEDGE | 1 |
Joshua N. Haddock | US | Roanoke | 2015-12-31 / 20150378177 - FLEXIBLE ELECTRO-ACTIVE LENS | 48 |
Joshua Haddock | US | Roanoke | 2015-09-03 / 20150248026 - MOISTURE-RESISTANCE ELECTRONIC SPECTACLE FRAMES | 9 |
Michael Haddock | CA | Georgetown | 2016-03-31 / 20160090916 - INNER BYPASS DUCT | 1 |
Justin Haddock | GB | Uttoxeter | 2016-03-31 / 20160090282 - BOOM ASSEMBLY WITH YAW ADJUSTMENT | 1 |
Dustin M. M. Haddock | US | Colorado Springs | 2013-06-13 / 20130145711 - MOUNTING DEVICE USING OPPOSING SEAM FASTENERS FOR HOLLOW RIB STANDING SEAM PANELS | 1 |
Terence Christopher Haddock | PL | Krakow | 2012-12-20 / 20120324334 - Collecting User Feedback about Web Pages | 1 |
Dustin M.m. Haddock | US | Colorado Springs | 2015-11-05 / 20150318817 - PHOTOVOLTAIC MODULE MOUNTING ASSEMBLY | 9 |
Bryon Haddock | CA | Toronto | 2011-03-31 / 20110074124 - FOLDABLE WHEELED CONTAINER | 1 |
Sean M. Haddock | US | Germantown | 2014-08-28 / 20140244220 - SYSTEMS AND METHODS FOR OPTIMIZING FIT OF AN IMPLANT TO ANATOMY | 3 |
Sean M. Haddock | US | Memphis | 2012-12-27 / 20120330241 - METHOD FOR USING RETRACTABLE STYLET AND CANNULA COMBINATION TO FORM AN OPENING IN BONE | 11 |
Robert M. M. Haddock | US | Colorado Springs | 2011-09-08 / 20110214368 - PHOTOVOLTAIC MODULE MOUNTING ASSEMBLY | 4 |
Gail Haddock | US | Haymarket | 2013-03-21 / 20130073472 - HELP CENTER AND PRINT CENTER APPLICATIONS | 3 |
Quinn Haddock | US | Longmont | 2011-04-28 / 20110096441 - SLIDER WITH IMPROVED ROBUSTNESS TO PARTICLE CONTACT | 1 |
William H. Haddock | US | Candler | 2013-07-18 / 20130183466 - COMPRESSIBLE PRINTING SLEEVE CARRIER AND METHOD OF MAKING | 2 |
Thomas F. Haddock | US | Ann Arbor | 2009-06-11 / 20090145975 - Fuel Injector | 2 |
William Haddock | US | Candler | 2008-11-27 / 20080292822 - THIN-WALLED COMPOSITE SLEEVE | 1 |
James Haddock | US | Newcastle | 2008-09-04 / 20080213305 - Vaccine for periodontitis and methods of use | 1 |
Joshua Haddock | US | Roanoke | 2015-09-03 / 20150248026 - MOISTURE-RESISTANCE ELECTRONIC SPECTACLE FRAMES | 9 |
Rex Haddock | US | Bountiful | 2013-01-03 / 20130000047 - SELECTIVELY INFLATABLE AIR MATTRESS | 1 |
Walker Haddock | US | Hoover | 2015-03-05 / 20150067839 - Syntactical Fingerprinting | 1 |
James Walter Haddock | US | Orlando | 2014-06-05 / 20140156629 - METHOD FOR MANAGING INFORMATION | 1 |
James Haddock | US | Orlando | 2011-10-13 / 20110252047 - METHOD FOR MANAGING INFORMATION | 1 |
Sean M. Haddock | US | Memphis | 2012-12-27 / 20120330241 - METHOD FOR USING RETRACTABLE STYLET AND CANNULA COMBINATION TO FORM AN OPENING IN BONE | 11 |
Thomas Haddock | US | Ann Arbor | 2015-03-19 / 20150079667 - THERMOCYCLING SYSTEM AND MANUFACTURING METHOD | 2 |
Stephen R. Haddock | US | La Honda | 2015-01-22 / 20150023362 - FORWARDING INTER-SWITCH CONNECTION (ISC) FRAMES IN A NETWORK-TO-NETWORK INTERCONNECT TOPOLOGY | 3 |
Quinn Jay Haddock | US | Longmont | 2011-08-11 / 20110195275 - MATERIAL DEPOSITION ON TRANSDUCING HEAD | 2 |
Dustin M.m. Haddock | US | Colorado Springs | 2015-11-05 / 20150318817 - PHOTOVOLTAIC MODULE MOUNTING ASSEMBLY | 9 |
Robert M.m. Haddock | US | Colorado Springs | 2015-11-05 / 20150318817 - PHOTOVOLTAIC MODULE MOUNTING ASSEMBLY | 13 |
James W. Haddock | US | Orlando | 2013-04-25 / 20130103674 - METHOD FOR MANAGING INFORMATION | 1 |
Gary Haddock | US | Mansfield | 2013-04-11 / 20130087508 - Fully Automated, Twin-Chamber, Continuous Hot Oil Filtration System | 1 |
Rex C. Haddock | US | Salt Lake City | 2015-04-16 / 20150101645 - Dome Hubs, Dome Assembly Kits, and Dome Assembly Methods | 1 |
Neil D. Haddock | US | Orem | 2014-11-20 / 20140338985 - POLYCRYSTALLINE DIAMOND COMPACT INCLUDING A SUBSTRATE HAVING A RAISED INTERFACIAL SURFACE BONDED TO A POLYCRYSTALLINE DIAMOND TABLE, AND APPLICATIONS THEREFOR | 2 |
Richard M. Haddock | US | Emerald Hills | 2014-08-21 / 20140235418 - Partitioned Game Card Holder Using Monocoque Construction | 1 |
Dustin Marshall-Maston Haddock | US | Colorado Springs | 2011-09-08 / 20110214368 - PHOTOVOLTAIC MODULE MOUNTING ASSEMBLY | 3 |
Dustin Marshall Marston Haddock | US | Colorado Springs | 2012-10-25 / 20120267490 - TRAPEZOIDAL RIB MOUNTING BRACKET | 1 |
Ralph C. Haddock, Iii | US | Melbourne | 2014-03-20 / 20140081486 - ROLLBACK PROTECTION SYSTEM AND METHOD | 2 |
Ralph C. Haddock, Iii | US | Melboume | 2014-03-20 / 20140077040 - METHOD AND APPARATUS FOR POSITIONING A RAIL VEHICLE OR RAIL VEHICLE CONSIST | 1 |
Douglas Brian Haddon | US | Cinnaminson | 2015-11-19 / 20150332239 - POINT OF SALE PLATFORM FOR CONSUMER MEDIA INTERACTION | 1 |
Timothy F. Haddon | US | Highland Heights | 2014-03-06 / 20140066264 - BIKE TRAINER | 1 |
Robert C. Haddon | US | Riverside | 2014-01-16 / 20140014871 - GRAPHITE NANOPLATELETS FOR THERMAL AND ELECTRICAL APPLICATIONS | 6 |
William F. Haddon | US | Kelseyville | 2012-01-05 / 20120003676 - MASS SPECTROMETRY ASSAY FOR THIOPURINE-S-METHYL TRANSFERASE ACTIVITY AND PRODUCTS GENERATED THEREBY | 2 |
Robert Haddon | US | Riverside | 2010-06-17 / 20100149676 - THREE-DIMENSIONAL MAGNETIC RECORDING | 2 |
Michael Haddon | US | San Luis Obispo | 2009-10-22 / 20090260534 - UNDERWATER GRENADE | 1 |
Scott Haddon | US | East Stroudsburg | 2016-01-21 / 20160016306 - APPARATUS, SYSTEM AND METHOD OF RETAINING HAND TOOLS | 1 |
Kent Haddon | US | Idaho Falls | 2012-10-04 / 20120249118 - CABLE IDENTIFICATION DEVICE | 1 |
John Haddon | GB | Farnham | 2015-07-16 / 20150199005 - CURSOR MOVEMENT DEVICE | 1 |
Hendrik Haddorp | DE | Holzgerlingen | 2013-09-19 / 20130246908 - COORDINATING THE MANAGEMENT OF THE LAYOUT AND DESIGN OF PORTAL PAGES WITH THE MANAGEMENT OF ITS ASSOCIATED WEB CONTENT | 7 |
Hendrick Haddorp | DE | Holtzgerlingen | 2011-02-17 / 20110040843 - METHOD AND SYSTEM TO DETERMINE A USER SPECIFIC RELEVANCE SCORE OF A MESSAGE WITHIN A MESSAGING SYSTEM | 1 |
Ramdane Haddouche | GB | Middlesbrough | 2015-12-17 / 20150361467 - METHODS, REAGENTS AND CELLS FOR BIOSYNTHESIZING COMPOUNDS | 3 |
Ramdane Haddouche | FR | Thiverval-Grignon | 2012-09-06 / 20120226059 - Method for the Production of Very Long Chain Fatty Acids (VLCFA) by Fermentation with a Recombinant Yarrowia SP | 1 |
Naoufel Haddour | FR | Villeurbanne | 2011-05-26 / 20110123876 - Production of a Biofilm on an Electrode for a Biocell, Electrode and Biocell Obtained | 1 |
Philip Gordon Haddow | GB | Coventry | 2013-12-12 / 20130330027 - INFUSION PACKET AND ITS MANUFACTURE | 1 |
Nicholas Allen Haddow | US | Seattle | 2010-05-13 / 20100121814 - SYSTEM AND METHOD FOR BIOTECHNOLOGY DATA MANAGEMENT | 1 |
Mairl Haddow | GB | Bristol | 2013-09-19 / 20130245017 - CRYSTALLINE FORM OF RIVAROXABAN DIHYDRATE | 1 |
Phil Haddow | GB | Potton | 2015-02-05 / 20150037467 - METHOD FOR MAKING POUCHES AND A POUCH AS SUCH | 1 |
Barry Haddow | GB | Edinburgh | 2009-10-01 / 20090249182 - NAMED ENTITY RECOGNITION METHODS AND APPARATUS | 1 |
J. David Haddox | US | Upper Stepney | / - | 7 |
J.david Haddox | US | Upper Stepney | / - | 3 |
George Haddox | US | Simpsonville | 2012-04-05 / 20120081834 - CONCENTRATED CAPACITOR ASSEMBLY | 2 |
Cliff T. Haddox | US | Houston | 2013-09-26 / 20130249210 - Quick Disconnect Connector for Subsea Tubular Members | 1 |
Alan Haddy | US | Naples | 2016-04-07 / 20160097873 - DUAL ORIENTATION BURIED ASSET LOCATOR DEVICE | 10 |
Michael Haddy | AU | Southern Australia | 2010-08-19 / 20100211517 - VISIT FEASIBILITY USING SCHEDULED TRANSPORT WITHIN A NETWORK OF CONNECTED NODES | 1 |
Frank Haddy | US | Portland | 2013-03-07 / 20130060735 - AGGREGATION OF FILE/DIRECTORY STRUCTURES | 1 |
Alan Haddy | US | Naples | 2016-04-07 / 20160097873 - DUAL ORIENTATION BURIED ASSET LOCATOR DEVICE | 10 |
Mark Haddy | US | Yuba City | 2010-04-22 / 20100100485 - System for the safe, private transmission of motor vehicle records | 1 |
Alan David Haddy | US | Naples | 2014-10-16 / 20140306686 - User Mountable Utility Location Antenna | 1 |
John Richard Haddy | AU | Lane Cove West | 2014-03-06 / 20140062490 - CATHODIC PROTECTION MONITORING METHOD, SYSTEM AND COMPONENTS | 1 |
Merwan Vishnu Hade | US | Bellevue | 2014-09-18 / 20140280139 - Detection and Visualization of Schema-Less Data | 1 |
Johannes Hade | DE | Alheim | 2008-12-11 / 20080304220 - Inverter comprising a casing | 2 |
Simon Michael Hade | GB | London | 2010-11-11 / 20100287301 - Communication system and method | 1 |
Aaron J. Hade | US | Corning | 2009-09-03 / 20090217708 - METHODS AND APPARATUS FOR REDUCING PLATINUM-GROUP DEFECTS IN SHEET GLASS | 1 |
Aaron Joshua Hade | US | Corning | 2010-05-27 / 20100126225 - METHOD FOR HOMOGENIZING A GLASS MELT | 1 |
Tammy Ha (deceased) | US | Reseda | 2013-12-12 / 20130330427 - Lip Balm | 1 |
Mahmoud Hadef | GB | Staines Middlesex | 2010-11-04 / 20100278215 - PROCESSING CODE-MODULATED SIGNALS | 1 |
Mahmoud Hadef | GB | Middlesex | 2015-07-23 / 20150208430 - MEDIUM ACCESS CONTROL FOR WIRELESS NETWORKS | 6 |
Mahmoud Hadef | GB | Staines | 2015-02-12 / 20150043423 - METHOD AND APPARATUS FOR SCHEDULING RESOURCES AT RELAY STATION (RS) IN MOBILE COMMUNICATION NETWORK | 3 |
Husein Hadeiba | US | Palo Alto | 2010-04-01 / 20100080816 - TOLEROGENIC POPULATIONS OF DENDRITIC CELLS | 1 |
Donald C. Hade, Jr. | US | Greencastle | 2016-05-19 / 20160137465 - TAILOR WELDED PANEL BEAM FOR CONSTRUCTION MACHINE AND METHOD OF MANUFACTURING | 2 |
Oliver Hadeler | GB | Cambridge | 2010-11-11 / 20100283927 - OLIGOSILOXANE MODIFIED LIQUID CRYSTAL FORMULATIONS AND DEVICES USING SAME | 2 |
Stefan Hadeler | DE | Ascheberg | 2012-10-11 / 20120255478 - Ship and Method for Conveying and Setting Up Offshore Structures | 1 |
Michael Hadeler | DE | Pliezhausen | 2016-03-17 / 20160080674 - Method and control unit for operating an image sensor | 3 |
Kyle Von Haden | US | Bothell | 2015-07-09 / 20150195338 - FILE FETCH FROM A REMOTE CLIENT DEVICE | 2 |
Robert E. Haden | US | North Huntingdon | 2015-05-07 / 20150124554 - APPARATUS AND METHOD FOR GENERATING SWIRLING FLOW | 1 |
Stephen Haden | US | Goshen | 2015-05-07 / 20150125042 - METHOD AND SYSTEM FOR DATA COLLECTION USING PROCESSED IMAGE DATA | 1 |
Stuart C. Haden | US | Lucas | 2009-10-29 / 20090267648 - Apparatus for configuring I/O signal levels of interfacing logic circuits | 1 |
Beth Haden | US | Goshen | 2015-09-24 / 20150269858 - SYSTEM AND METHOD FOR HYBRID COURSE INSTRUCTION | 2 |
Egon Haden | DE | Speyer | 2016-05-19 / 20160135459 - FUNGICIDAL MIXTURES I COMPRISING STROBILURIN-TYPE FUNGICIDES | 45 |
Egon Haden | DE | Ludwigshafen | 2015-09-24 / 20150264939 - Combinations Comprising a Fungicidal Strain and an Active Compound | 43 |
Kyle M. Von Haden | US | Mill Creek | 2015-10-08 / 20150286655 - Recognizing Cloud Content | 2 |
Stuart Haden | US | Lucas | 2012-05-10 / 20120117415 - PROVIDING FAULT-TOLERANT SPREAD SPECTRUM CLOCK SIGNALS IN A SYSTEM | 1 |
Egon Haden | DE | Kleinniedesheim | 2010-07-15 / 20100179059 - Fungicidal Mixtures | 13 |
Bertil Haden | SE | Linkoping | 2015-11-05 / 20150314879 - DE-ICING ARRANGEMENT AND METHOD FOR DE-ICING A STRUCTURAL ELEMENT | 2 |
Robert Haden | US | Rockledge | 2013-09-05 / 20130231897 - SYSTEMS AND METHODS FOR EFFICIENT ANALYSIS OF TOPOGRAPHICAL MODELS | 3 |
James M. Haden | US | Vancouver | 2014-09-18 / 20140269793 - PULSED LINE BEAMS | 1 |
Egon Haden | DE | Speyer | 2016-05-19 / 20160135459 - FUNGICIDAL MIXTURES I COMPRISING STROBILURIN-TYPE FUNGICIDES | 45 |
Dawn M. Haden | US | Chicago | 2010-12-16 / 20100314395 - PLATE WITH ENGAGING MEMBER | 1 |
Nancy A. Hadenfeldt | NE | Lincoln | 2010-11-25 / 20100299246 - SYSTEMS AND METHODS FOR DETERMINING THIN-FILE RECORDS AND DETERMINING THIN-FILE RISK LEVELS | 1 |
Nancy A. Hadenfeldt | US | Lincoln | 2013-08-22 / 20130218751 - SYSTEMS AND METHODS FOR DETERMINING THIN-FILE RECORDS AND DETERMINING THIN-FILE RISK LEVELS | 3 |
Soeren Hader | DE | Ostfildern | 2016-04-14 / 20160104046 - DEVICE AND METHOD FOR SAFEGUARDING AN AUTOMATICALLY OPERATING MACHINE | 1 |
Sven Hader | DE | Wiesloch | 2010-05-27 / 20100131537 - METHOD AND SYSTEM FOR INTERACTIVELY EXPLORING DATA OBJECTS | 3 |
Michael William Hader | US | Palatine | 2015-02-19 / 20150051945 - SYSTEM AND METHOD FOR IDENTIFYING POTENTIAL FUTURE INTERACTION RISKS BETWEEN A CLIENT AND A PROVIDER | 2 |
Soeren Hader | DE | Esslingen | 2010-01-21 / 20100012821 - Safety Device For A Press Brake Type Of Machine | 2 |
Sandra Hader | DE | Furth | 2011-07-14 / 20110169862 - METHOD AND SYSTEM FOR DISPLAYING DIGITAL MEDICAL IMAGES | 1 |
Gerd Haderlein | DE | Gruenstadt | 2015-01-15 / 20150018216 - Composition comprising a pesticide and an alkoxylate of 2-propylheptylamine | 8 |
Gerd Haderlein | DE | Grunstadt | 2012-07-12 / 20120178958 - METHOD FOR PRODUCING LINEAR PENTENENITRILE | 18 |
Gerd Haderlein | DE | Gruenstadt | 2015-01-15 / 20150018216 - Composition comprising a pesticide and an alkoxylate of 2-propylheptylamine | 8 |
Gerd Haderlein | DE | Grünstadt | 2009-10-01 / 20090247779 - METHOD FOR PRODUCING 3-PENTENENITRILE BY MEANS OF THE HYDROCYANATION OF 1,3-BUTADIENE | 2 |
Shane Hadfield | US | Auburn | 2013-01-31 / 20130027794 - PARABOLIC TROUGH OR DISH REFLECTOR FOR USE IN CONCENTRATING SOLAR POWER APPARATUS AND METHOD OF MAKING SAME | 1 |
Peter Hadfield | GB | Knaphill | 2012-05-31 / 20120134752 - DEPLOYING SENSOR ARRAYS | 1 |
Kevin Anthony Derek Hadfield | GB | Chelmsford | 2014-10-30 / 20140320721 - DETECTOR | 2 |
Richard Stephen Hadfield | GB | Rochester, Kent | 2015-12-24 / 20150371421 - MAP DISPLAY DEVICE AND MAP DISPLAY METHOD | 1 |
Robert Henry Hadfield | GB | Cheshire | 2011-03-24 / 20110067576 - ELECTRICAL APPLIANCES AND COMPONENTS | 1 |
Matthew David Hadfield | AU | Australian Capital Territory | 2010-10-14 / 20100258551 - WARMING APPARATUS | 1 |
John Anthony Hadfield | GB | Manchester | 2013-02-21 / 20130046098 - KINASE INHIBITORS | 1 |
Jeffery Victor Hadfield | CA | Oakville | 2008-10-30 / 20080268986 - Simulated Golf Game | 1 |
Taryn Hadfield | US | Foster City | 2015-02-12 / 20150045110 - METAGAME REWARD POINT SYSTEM | 1 |
Kim Hadfield | US | Vancouver | 2014-06-05 / 20140157293 - SYSTEM AND METHOD FOR CLEANING TELEVISION VIEWING MEASUREMENT DATA | 4 |
Robert Henry Hadfield | GB | Marple Cheshire | 2013-07-04 / 20130168379 - Thick Film Heaters | 1 |
Richard P. Hadfield | GB | London | 2009-08-27 / 20090211114 - SHOE, IN PARTICULAR SPORTS SHOE | 1 |
Stephen Thomas Hadfield | GB | Berkshire | 2010-11-18 / 20100293666 - METHOD OF SELECTIVELY PRODUCING MALE OR FEMALE STERILE PLANTS | 2 |
David Hadfield | GB | West Sussex | 2010-10-21 / 20100264095 - METHODS AND APPARATUS FOR CONDITIONING AND DEGASSING LIQUIDS AND GASES IN SUSPENSION | 1 |
John Anthony Hadfield | GB | Salford | 2013-01-24 / 20130023663 - SUBSTITUTED STILBENES AND THEIR REACTIONS | 1 |
John Anthony Hadfield | GB | Cheshire | 2010-01-21 / 20100016261 - CHEMICAL COMPOUNDS | 1 |
Shane M. Hadfield | US | Auburn | 2011-04-14 / 20110085257 - Parabolic trough or dish reflector for use in concentrating solar power apparatus and method of making same | 1 |
Kevin Anthony Derek Hadfield | GB | Essex | 2009-01-08 / 20090008553 - Variable aperture sensor | 1 |
Charles G. Hadfield | US | Berkley | 2010-12-30 / 20100330379 - METHOD FOR PRODUCING TOPCOAT ADDITIVES | 1 |
Jeffery V. Hadfield | CA | Oakville | 2012-05-03 / 20120104740 - Enhanced Scorecard System For Golf | 1 |
Kim Hadfield | CA | Vancouver | 2013-01-10 / 20130014147 - SYSTEM AND METHOD FOR CLEANING TELEVISION VIEWING MEASUREMENT DATA | 1 |
Marc C. Hadfield | US | New York | 2009-09-03 / 20090222743 - Meme-Based Graphical User Interface And Team Collaboration System | 2 |
Anthony F. Hadfield | US | Nanuet | 2009-07-30 / 20090192311 - CRYSTALLINE AND AMORPHOUS 4-CYANO-N--N-PYRIDIN-2-YL-BENZAMIDE HYDROCHLORIDE | 2 |
Matthew David Hadfield | AU | Dunlop | 2012-11-01 / 20120272542 - DRYING ARRANGEMENT | 1 |
John Hadfield | GB | Manchester | 2015-07-30 / 20150210639 - Quinone Compounds And Their Uses For The Treatment of Cancer | 1 |
Anthony F. Hadfield | US | St. Petersburg | 2016-03-10 / 20160068511 - MALEATE SALTS OF (E)-N--4-(DIMETHYLAMINO)-2-BUTENAMIDE AND CRYSTALLINE FORMS THEREOF | 5 |
Anthony Francis Hadfield | US | Ruskin | 2013-01-10 / 20130012702 - PURIFICATION OF PROGESTERONE RECEPTOR MODULATORS | 2 |
John A. Hadfield | GB | Cheshire | 2015-12-17 / 20150359884 - MULTI-PHOTON ISOMERISATION OF COMBRETASTATINS AND THEIR USE IN THERAPY | 1 |
Stephen Thomas Hadfield | GB | Bracknell | 2008-10-09 / 20080250535 - METHOD OF SELECTIVELY PRODUCING MALE OR FEMALE STERILE PLANTS | 1 |
Lorraine Hadfield | US | Pennington | 2008-10-02 / 20080243573 - METHODS AND APPARATUS FOR IMPROVING THE ACCURACY AND REACH OF ELECTRONIC MEDIA EXPOSURE MEASUREMENT SYSTEMS | 1 |
David Hadfield | GB | Sussex | 2014-06-05 / 20140151274 - Hydrocyclone With Wear Detector | 1 |
Imre Hadfy-Kovacs | NL | Deurne | 2013-03-14 / 20130061559 - DEVICE FOR ARRANGING A SLEEVE-LIKE FOIL ENVELOPE AROUND AN OBJECT AS WELL AS A SPREADING ELEMENT FOR USE IN SUCH A DEVICE | 1 |
George A. Hadgis | US | Spencerport | 2012-01-26 / 20120019874 - METHOD FOR DOCUMENT SCANNING | 2 |
Muhammad Hadi | US | Chicago | 2014-08-28 / 20140244541 - CONVERSION AND LIQUIDATION OF DEFAULTED POSITIONS | 1 |
Salah Hadi | SE | Linkoping | 2009-10-15 / 20090259368 - VISION SYSTEM FOR DEPLOYING SAFETY SYSTEMS | 2 |
Sura H. Hadi | US | San Francisco | 2009-12-24 / 20090314286 - Geobacillus Stearothermophilus Alpha-Amylase (AmyS) Variants with Improved Properties | 1 |
Bassam A. Hadi | US | Paducah | 2011-03-24 / 20110071576 - Bone Bolt Assembly for Attaching Supporting Implants to Bones, for Holding Multiple Bones in Relative Positions, and for Holding Together Fractured Bone Fragments | 1 |
Purwanto Hadi | JP | Kurashiki-Shi | 2010-02-11 / 20100031777 - ORE TREATING METHOD, ORE TREATING APPARATUS, IRON MANUFACTURING METHOD, AND IRON AND STEEL MANUFACTURING METHOD | 1 |
Altaf Hadi | US | Murphy | 2011-04-07 / 20110080004 - RENEWABLE ENERGY GENERATION ECO SYSTEM | 4 |
Rashed A. Hadi | SA | Tanajib | 2011-01-20 / 20110013184 - Optical Method for Determination of the Total Suspended Solids in Jet Fuel | 1 |
Muhammed Hadi | US | New York | 2013-03-21 / 20130073479 - SYSTEM AND METHOD FOR MULTI-FACTOR MODELING, ANALYSIS AND MARGINING OF CREDIT DEFAULT SWAPS FOR RISK OFFSET | 2 |
Mahmoud Hadi | US | Richmond | 2015-10-01 / 20150275647 - STICTION CONTROL | 2 |
Muhammed Hadi | US | Chicago | 2014-09-18 / 20140279377 - Weather Derivative Volatility Surface Estimation | 12 |
Moody Hadi | US | New York City | 2013-03-14 / 20130066807 - Clearing System That Determines Margin Requirements for Financial Portfolios | 2 |
Mohammed Hadi | US | Chicago | 2013-11-07 / 20130297534 - SYSTEM AND METHOD FOR MULTI-FACTOR MODELING, ANALYSIS AND MARGINING OF CREDIT DEFAULT SWAPS FOR RISK OFFSET | 3 |
Muhammed Hadi | US | Chicago | 2014-09-18 / 20140279377 - Weather Derivative Volatility Surface Estimation | 12 |
Moody Hadi | US | Chicago | 2015-06-11 / 20150161731 - Valuation of Derivative Products | 10 |
Moody Hadi | US | Chicago | 2015-06-11 / 20150161731 - Valuation of Derivative Products | 10 |
Moody Hadi | US | New York | 2011-06-16 / 20110145117 - Clearing System That Determines Settlement Prices of Derivatives in Financial Portfolios | 1 |
Sura Hadi | US | San Francisco | 2012-06-21 / 20120156733 - GEOBACILLUS STEAROTHERMOPHILUS ALPHA AMYLASE (AMYS) VARIANTS WITH IMPROVED PROPERTIES | 1 |
Bahman Hadi | US | Redmond | 2014-05-01 / 20140117061 - ELECTRONIC TABLET HOLDER | 1 |
Rod Hadi | US | Troy | 2013-03-21 / 20130068495 - FLEXIBLE BUSBAR | 1 |
Maziar Hadian | CA | Brampton | 2011-06-09 / 20110136396 - Re-enterable end cap | 1 |
Mohammad Asahri Hadianto | JP | Yokohama-Shi | 2014-05-01 / 20140116046 - POWER GENERATING SYSTEM | 1 |
Mohammand Ashari Hadianto | JP | Kanagawa | 2014-10-02 / 20140290244 - BINARY POWER GENERATION SYSTEM | 1 |
Mohammad Ashari Hadianto | JP | Yokohama-Shi | 2014-01-23 / 20140020387 - POWER GENERATING SYSTEM | 1 |
Abdolhamid Hadibeik | US | Travis | 2015-03-12 / 20150068736 - FORMATION ENVIRONMENT SAMPLING APPARATUS, SYSTEMS, AND METHODS | 1 |
Abdolhamid Hadibeik | US | Austin | 2015-06-25 / 20150176403 - Apparatus and Method for Pulse Testing a Formation | 2 |
Sara Hadida Ruah | US | La Jolla | 2015-11-26 / 20150336956 - AZAINDOLE DERIVATIVES AS CFTR MODULATORS | 39 |
Kamal Hadidi | US | Somerville | 2015-08-20 / 20150231701 - METHOD FOR THE DENSIFICATION AND SPHEROIDIZATION OF SOLID AND SOLUTION PRECURSOR DROPLETS OF MATERIALS USING MICROWAVE GENERATED PLASMA PROCESSING | 16 |
Kamal Hadidi | US | Somerville | 2015-08-20 / 20150231701 - METHOD FOR THE DENSIFICATION AND SPHEROIDIZATION OF SOLID AND SOLUTION PRECURSOR DROPLETS OF MATERIALS USING MICROWAVE GENERATED PLASMA PROCESSING | 16 |
Ljupco Hadievski | RS | Belgrade | 2011-02-03 / 20110028821 - Electrocardiographic Monitoring System and Method Using Orthogonal Electrode Pattern | 1 |
Bank Hadik Barkoczy | HU | Erd | 2015-02-26 / 20150058200 - ARCHITECTURE OF SIMPLIFIED HARDWARE REQUIREMENTS FOR BANK CARD PAYMENT TRANSACTIONS IN A LARGE GROUP OF CLIENTS, TRANSACTION TERMINAL UNIT, EXTENDED FUNCTION SIM CARD, AND METHODS FOR INDIVIDUALISATION AND PERFORMING TRANSACTION | 1 |
Srishailkumar B. Hadimani | US | Oak Creek | 2010-09-02 / 20100222395 - COMPOUNDS CAPABLE OF ACTIVATING CHOLINERGIC RECEPTORS | 4 |
Imam Khasim Hadimani | IN | Bijapur | 2016-02-04 / 20160031474 - STEERING SUPPORT YOKE | 1 |
Srishailkumar B. Hadimani | US | Winston-Salem | 2008-09-04 / 20080214628 - Compounds Capable of Activating Cholinergic Receptors | 1 |
Magundappa L. (ravi) Hadimani | GB | Bolton | 2014-05-29 / 20140145562 - PIEZOELECTRIC POLYMER ELEMENT AND PRODUCTION METHOD AND APPARATUS THEREFOR | 2 |
Pejman Hadi Myavagh | CN | Kowloon, Hong Kong | 2016-01-28 / 20160023202 - DEVELOPMENT OF A HIGH-EFFICIENCY ADSORBENT FROM E-WASTE AND ALUMINOSILICATE-BASED MATERIALS FOR THE REMOVAL OF TOXIC HEAVY METAL IONS FROM WASTEWATER | 1 |
Martinianus B. Hadinata | CH | Zurich | 2010-03-04 / 20100056226 - TELEPHONE RING EXTENDER SYSTEM AND METHOD | 1 |
Martinianus B. T. Hadinata | CH | Zurich | 2015-07-02 / 20150188976 - IDENTIFYING AND TRANSITIONING TO AN IMPROVED VOIP SESSION | 2 |
Martinianus Buditjahja Hadinata | SZ | Brunnen | 2009-10-01 / 20090245095 - Voice Over Internet Protocol Clarity During Degradation | 1 |
Martinianus Budi Tjahja Hadinata | CH | Brunnen | 2015-03-12 / 20150073985 - Selectively Using Degree Confidence for Image Validation to Authorize Transactions | 1 |
Martinianus B. Hadinata | CH | Alte Kantonsstrasse | 2010-06-17 / 20100150320 - HANDLING COMMUNICATION SESSION DISCONNECTION AND RECONNECTION | 1 |
Martinianus Buditjahja Hadinata | CH | Brunnen | 2015-09-24 / 20150271313 - USING A VOICEMAIL SYSTEM | 5 |
Martinianus B. Hadinata | CH | Brunnen | 2014-01-16 / 20140018061 - ROUTE NAVIGATION FOR OPTIMAL MOBILE COVERAGE | 6 |
Timothy C. Hadingham | GB | Wallingford | 2013-11-28 / 20130313335 - METHOD OF TREATING TARGET SPACE, AND LIQUID PARTICLES | 4 |
Afshin Hadipour | BE | Leuven | 2012-08-16 / 20120207947 - ELECTRON TRANSPORTING TITANIUM OXIDE LAYER | 1 |
Bobby Hadipraja | ID | Jakarta | 2015-11-05 / 20150315135 - Sulphated Chelating Agent | 1 |
Antonius Hadiputra | US | Rohnert Park | 2015-04-09 / 20150101031 - VERIFICATION THAT AN AUTHENTICATED USER IS IN PHYSICAL POSSESSION OF A CLIENT DEVICE | 1 |
Khalil Hadir | DE | Ebermannsdorf | 2009-10-01 / 20090247019 - Expansion connection module for connecting to at least two coil connectors of an electromagnetic switching device, in particular a contactor | 1 |
Angeline Hadiwidjaja | US | Los Altos | 2014-09-04 / 20140249388 - METHOD AND APPARATUS TO SENSE HYDRATION LEVEL OF A PERSON | 3 |
Peyman Hadizad | US | Redwood City | 2015-11-05 / 20150317002 - COMBINATION TOUCH AND TRANSDUCER INPUT SYSTEM AND METHOD | 12 |
Peyman Hadizad | US | Redwood City | 2015-11-05 / 20150317002 - COMBINATION TOUCH AND TRANSDUCER INPUT SYSTEM AND METHOD | 12 |
Hadi Hadizadeh | CA | Burnaby | 2015-01-29 / 20150029210 - Systems and Methods for ISO-Perceptible Power Reduction for Displays | 2 |
Hicham Hadj Abdelkader | FR | Evry | 2014-09-18 / 20140267608 - METHOD OF CALIBRATING A COMPUTER-BASED VISION SYSTEM ONBOARD A CRAFT | 1 |
Abdelkader Hadjar | FR | Saint-Etienne | 2013-06-06 / 20130142702 - ELECTROCHEMICAL CATALYSIS SYSTEM | 3 |
Yassine Hadjar | FR | Paris | 2016-05-05 / 20160123814 - Interferometric Device and Corresponding Spectrometer | 2 |
Abdelkader Hadjar | FR | Lyon | 2010-11-18 / 20100287916 - PURIFICATION STRUCTURE INCORPORATING A BIASED ELECTROCHEMICAL CATALYST SYSTEM | 1 |
Ali R. Hadjarian | US | Arlington | 2010-06-24 / 20100161526 - Ranking With Learned Rules | 1 |
Areski Hadjaz | FR | Montigny Le Bretonneux | 2012-01-26 / 20120022774 - SYSTEM AND METHOD FOR AIDING THE IDENTIFICATION AND CONTROL OF AIRCRAFT THAT ARE PRESENT IN AN AIR SECTOR TO BE MONITORED | 1 |
Amadou Hadji | CH | Corcelles | 2011-09-29 / 20110234461 - WRIST-WEARABLE DEVICE COMPRISING AN ANTENNA | 1 |
Amir Hadji-Abdolhamid | US | Aliso Veijo | 2011-02-03 / 20110025436 - System and Method for Reduced Area Tunable Capacitors | 1 |
Amir Hadji-Abdolhamid | US | Aliso Viejo | 2015-06-11 / 20150162940 - TIA-TO-ADC INTERFACE WITH LOW-NOISE AND A WIDE-RANGE OF PASSIVE GAIN CONTROL | 10 |
Amir Hadji-Abdolhamid | US | Aliso Viejo | 2015-06-11 / 20150162940 - TIA-TO-ADC INTERFACE WITH LOW-NOISE AND A WIDE-RANGE OF PASSIVE GAIN CONTROL | 10 |
Nikos Hadjichristidis | GR | Athens | 2013-12-05 / 20130324447 - LUBRICANT COMPOSITIONS AND PROCESSES FOR PREPARING SAME | 6 |
Aristotele Hadjichristos | US | San Diego | 2016-04-21 / 20160112018 - CIRCUITS AND METHODS FOR REDUCING SUPPLY SENSITIVITY IN A POWER AMPLIFIER | 26 |
Aristotele Hadjichristos | US | Cary | 2014-04-17 / 20140105336 - REDUCED POWER-CONSUMPTION RECEIVERS | 10 |
Nicolas G. Hadjiconstantinou | US | Medford | 2013-10-17 / 20130270188 - GRAPHENE BASED FILTER | 1 |
Nicolas G. Hadjiconstantinou | US | Lexington | 2015-05-07 / 20150122727 - MITIGATING LEAKS IN MEMBRANES | 1 |
Marios Hadjieleftheriou | US | Madison | 2010-05-27 / 20100132036 - VERIFICATION OF OUTSOURCED DATA STREAMS | 3 |
Marios Hadjieleftheriou | US | Morristown | 2016-04-07 / 20160098457 - Generating and Using Temporal Metadata Partitions | 8 |
Marios Hadjieleftheriou | US | Morristown | 2016-04-07 / 20160098457 - Generating and Using Temporal Metadata Partitions | 8 |
Dimiter Hadjiev | FR | Lorient | 2010-06-10 / 20100140106 - PROCESS FOR MIXED CHEMICAL/ELECTROCHEMICAL TREATMENT OF A LIQUID MEDIUM LOADED WITH NITRATES, A DEVICE FOR TREATING SUCH A LIQUID MEDIUM AND APPLICATIONS | 1 |
George A. Hadjigeorge | US | Sugar Land | 2012-10-18 / 20120261310 - PROCESS FOR CATALYTIC CRACKING A FISCHER-TROPSCH DERIVED FEEDSTOCK WITH HEAT BALANCED OPERATION OF THE CATALYTIC CRACKING SYSTEM | 6 |
Yiannis Hadjigeorgiou | GB | Chorley | 2013-06-13 / 20130151948 - WEB-BASED SOCIAL CONTENT AGGREGATION AND DISCOVERY FACILITY | 1 |
Savvas Hadjikyriacou | US | Lowell | 2009-11-05 / 20090272934 - GEL ELECTROLYTES FOR DYE SENSITIZED SOLAR CELLS | 1 |
Savvas Hadjikyriacou | US | Tyngsboro | 2014-02-06 / 20140034869 - Gel Electrolytes For Dye Sensitized Solar Cells | 1 |
Savvas Hadjikyriacou | US | Chelmsford | 2011-05-26 / 20110124900 - DIARYL ALKYLPHOSPHONATES AND METHODS FOR PREPARING SAME | 2 |
Costas Hadjiloucas | US | Providence | 2009-08-13 / 20090199647 - DIFFERENTIAL FLUID PRESSURE MEASUREMENT APPARATUS | 1 |
Theodore John Hadjimichael | US | Washington | 2015-04-02 / 20150092177 - LASER RADAR THROUGH THE WINDOW (LRTW) COORDINATE CORRECTION METHOD | 1 |
John Hadjioannou | US | Kingwood | 2015-08-20 / 20150233744 - Flowmeter | 1 |
Arion F. Hadjioannou | US | Los Angeles | 2013-02-07 / 20130034203 - 2D/3D REGISTRATION OF A DIGITAL MOUSE ATLAS WITH X-RAY PROJECTION IMAGES AND OPTICAL CAMERA PHOTOS | 1 |
Arion-Xenofon F. Hadjioannou | US | Los Angeles | 2014-08-21 / 20140236045 - SYSTEM FOR VASCULAR ACCESS IN LABORATORY ANIMALS | 2 |
Arion-Xenofon Hadjioannou | US | Los Angeles | 2009-01-01 / 20090000567 - Method and Apparatus for Animal Positioning in Imaging Systems | 1 |
Ektoras Hadjipanayi | GB | Middlesex | 2011-03-24 / 20110070646 - Biomimetic Cell Scaffolds | 1 |
Hector Hadjipanayi | GB | Stanmore | 2012-05-31 / 20120134949 - POLYMERIC COLLAGEN BIOMATERIALS | 1 |
Ektoras Hadjipanayi | DE | Muenchen | 2015-01-22 / 20150023911 - DEVICE-BASED METHODS FOR LOCALIZED DELIVERY OF CELL-FREE CARRIERS WITH STRESS-INDUCED CELLULAR FACTORS | 1 |
Ektors Hadjipanayi | GB | Middlessex | 2010-07-22 / 20100183698 - METHODS FOR PRODUCING BIOMATERIALS WITH VARIABLE STIFFNESS | 1 |
Constantinos G. Hadjipanayis | US | Decatur | 2011-03-17 / 20110064675 - ELEMENTAL IRON NANOPARTICLES | 2 |
George Hadjipanayis | US | Wilmington | 2015-11-12 / 20150325349 - HIGH PERFORMANCE PERMANENT MAGNET BASED ON MnBi AND METHOD TO MANUFACTURE SUCH A MAGNET | 1 |
George C. Hadjipanayis | US | Centerville | 2015-04-16 / 20150104645 - MAGNETIC NANOFLAKES | 5 |
George C. Hadjipanayis | US | Wilmington | 2011-03-17 / 20110064675 - ELEMENTAL IRON NANOPARTICLES | 1 |
George C. Hadjipanyis | US | Centerville | 2015-05-14 / 20150132174 - Rare Earth Composite Magnets with Increased Resistivity | 1 |
Theodore B. Hadjis | US | Chicago | 2014-09-18 / 20140259460 - MOLDABLE AND REUSABLE MATERIAL POSITIONABLE IN FOOTWEAR AND A TOOL FOR INSERTING, SHAPING, AND REMOVING THE SAME | 1 |
Peter T. Hadjis | US | Vernon Hills | 2009-01-08 / 20090010809 - MANUAL PIPETTE FILLER | 2 |
Mahdi Hadjishafiee | US | Laguna Niguel | 2015-06-11 / 20150163627 - METHODS AND SYSTEMS FOR PROVIDING HELP VIA A MOBILE DEVICE | 1 |
Bojidar Hadjiski | SE | Kista | 2016-05-12 / 20160135146 - USER EQUIPMENT GROUPING AND COMMON CONTROL SIGNALING TO USER EQUIPMENT GROUPS | 5 |
Bojidar Hadjiski | SE | Stockholm | 2016-03-24 / 20160088495 - USING A GEOMETRY INDICATOR IN HETNET DEPLOYMENTS | 6 |
Rabah Hadjit | US | Ann Arbor | 2014-09-11 / 20140251020 - METHOD AND APPARATUS FOR PIPE PRESSURE MEASUREMENTS | 1 |
Marios Hadjivassilliou | GB | Sheffied | 2013-08-01 / 20130196336 - TRANSGLUTAMINASE 6 AS A DIAGNOSTIC INDICATOR OF AUTOIMMUNE DISEASES | 1 |
Lubomir Hadjiyski | US | Ann Arbor | 2015-01-15 / 20150018671 - RETAINED OBJECT TAG AND DETECTION METHOD | 1 |
Smail Hadj-Rabah | FR | Annecy | 2015-07-30 / 20150211955 - DETECTION METHOD AND FACILITY FOR CHECKING SEALED PRODUCTS FOR LEAKS | 3 |
Khaled Hadj-Sassi | SA | Al-Khobar | 2015-05-07 / 20150127264 - Downhole Wettability Estimate Using Multi-Frequency Dielectric Measurements | 5 |
Réda Hadj-Slimane | FR | Paris | 2013-05-09 / 20130115174 - TRANSMUCOSAL COMPOSITION CONTAINING ANTHOCYANINS FOR ALLEVIATING A VISUAL DISCOMFORT | 1 |
Reda Hadj-Slimane | FR | Paris | 2013-11-07 / 20130295199 - Composition Comprising OPC and Omega-3 for Preventing and/or Inhibiting the Development of Diabetic Retinopathy | 4 |
Tewfik Hadj-Slimane | DZ | Relizane | 2013-11-07 / 20130295199 - Composition Comprising OPC and Omega-3 for Preventing and/or Inhibiting the Development of Diabetic Retinopathy | 2 |
Damian Hadjuk | US | San Jose | 2012-04-12 / 20120088659 - Compositions and Methods for Delivery of Materials | 1 |
Gyula Hadlaczky | HU | Szeged | 2014-10-02 / 20140295501 - NOVEL METHOD TO LOAD A MAMMALIAN ARTIFICIAL CHROMOSOME WITH MULTIPLE GENES | 1 |
Gyula Hadlaczky | HU | Szamos | 2009-10-22 / 20090263898 - Artificial chromosomes, uses thereof and methods for preparing artificial chromosomes | 1 |
Havard Hadland | NO | Skien | 2014-04-17 / 20140104758 - Switching Device And A Switchgear | 3 |
Mark D. Hadland | US | Newcastle | 2014-08-07 / 20140222538 - CUSTOMER EXPERIENCE MANAGEMENT FOR AN ORGANIZATION | 1 |
Brandon K. Hadland | US | Seattle | 2015-12-10 / 20150353890 - COMPOSITIONS AND METHODS FOR EXPANSION OF EMBRYONIC HEMATOPOIETIC STEM CELLS | 1 |
John K. Hadland | US | Omaha | 2012-03-29 / 20120079127 - PROTOCOL CONVERSION USING FACILITIES AND UTILITIES | 2 |
David Hadland | US | Lexington | 2008-08-21 / 20080201276 - MUSIC AND ART NETWORK | 1 |
Rogert Hadland | GB | Tring | 2009-10-29 / 20090268869 - X-Ray Inspection System and Method | 1 |
Kathryn Hadler | GB | London | 2014-04-24 / 20140110311 - METHOD AND APPARATUS FOR FROTH FLOTATION CONTROL | 1 |
Aage Hadler-Jacobsen | NO | Nesttun | 2010-05-27 / 20100129237 - FLUID PUMP SYSTEM | 1 |
Bob Hadley | US | Yorba Linda | 2010-04-22 / 20100096824 - SPARK GENERATING DEVICE FOR SCOOTER AND REMOVABLE SPARK GENERATING CARTRIDGE | 1 |
John Lee Hadley | US | Madison | 2016-05-12 / 20160133920 - ALKALINE CELL WITH IMPROVED HIGH RATE CAPACITY | 2 |
Ted A. Hadley | US | Sunnyvale | 2015-11-05 / 20150318054 - Data Operation in Shift Register Ring | 16 |
Mark Allan Hadley | US | Greenville | 2010-12-02 / 20100300107 - METHOD AND FLOW SLEEVE PROFILE REDUCTION TO EXTEND COMBUSTOR LINER LIFE | 8 |
Shawn J. Hadley | US | Keller | 2015-01-15 / 20150016600 - TIERED CALL ROUTING | 3 |
Marcus A. Hadley | US | Gaithersburg | 2015-02-05 / 20150033454 - IMPACT ABSORBING APPARATUS | 2 |
Jonathon Michael Hadley | US | Delhi | 2013-08-29 / 20130219909 - Fuel Purging System for a Turbine Assembly | 1 |
Carl B. Hadley | US | Rancho Santa Margarita | 2014-07-24 / 20140207115 - MEDICAL TUBING HAVING VARIABLE CHARACTERISTCS AND METHOD OF MAKING SAME | 1 |
John Hadley | US | Madison | 2013-07-11 / 20130177801 - LITHIUM PRIMARY CELL | 1 |
James D. Hadley | US | Portland | 2014-06-26 / 20140181476 - Scheduler Implementing Dependency Matrix Having Restricted Entries | 3 |
Bruce A. Hadley | US | Ephrata | 2013-01-17 / 20130014482 - Pull-type Cotton Harvester and Baler | 2 |
Keith A. Hadley | US | Rochester | 2013-01-17 / 20130016145 - PRODUCING INK DROPS IN A PRINTING APPARATUSAANM Gerstenberger; Jeffrey S.AACI RochesterAAST NYAACO USAAGP Gerstenberger; Jeffrey S. Rochester NY USAANM Hadley; Keith A.AACI RochesterAAST NYAACO USAAGP Hadley; Keith A. Rochester NY USAANM Tang; ManhAACI PenfieldAAST NYAACO USAAGP Tang; Manh Penfield NY US | 1 |
Steven Ross Hadley | US | Minden | 2013-06-13 / 20130151199 - SYSTEMS AND METHODS FOR USE IN MONITORING AN INDUSTRIAL FACILITY | 1 |
Vince B. Hadley | US | Bartlesville | 2012-01-12 / 20120010825 - GAS CHROMATOGRAPH WITH DIGITAL PROCESSING OF A THERMOCONDUCTIVITY DETECTOR SIGNAL | 1 |
Michael Hadley | US | Pepperell | 2013-07-11 / 20130175551 - Packaging Method and System for LEDs | 1 |
Brock Hadley | US | Herriman | 2014-06-19 / 20140166714 - INSULATED BAG FOR HOLDING CAPE OF BIG GAME ANIMAL | 1 |
Mark Hadley | US | Greenville | 2011-08-18 / 20110197591 - AXIALLY STAGED PREMIXED COMBUSTION CHAMBER | 1 |
Chad A. Hadley | US | North Salt Lake | 2016-03-10 / 20160067453 - CATHETER INSERTION DEVICE INCLUDING RETRACTABLE NEEDLE | 2 |
Gregg A. Hadley | US | New Albany | 2013-08-08 / 20130204107 - DEVICES AND METHODS FOR THE RAPID AND ACCURATE DETECTION OF ANALYTES | 1 |
Richard D. Hadley | US | Otterbein | 2014-09-18 / 20140277341 - WIRELESS MEDICAL DEVICE RELEASE MECHANISM | 2 |
Rick Hadley | US | Otterbein | 2015-12-17 / 20150359539 - SYSTEM FOR DELIVERY AND DEPLOYMENT OF AN OCCLUDER AND METHOD | 10 |
Philip C. Hadley | GB | Ely | 2011-03-24 / 20110070447 - Crystalline Resin Sandwich Films | 5 |
Paul Hadley | GB | Bristol | 2013-12-05 / 20130320141 - SEAL ASSEMBLY FOR AN AIRCRAFT WING | 3 |
Maxwell Richard Hadley | GB | Lyndhurst Hampshire | 2010-09-23 / 20100238971 - FLUID LEVEL INDICATION SYSTEM AND TECHNIQUE | 2 |
Mark Hadley | GB | Derbyshire | 2010-04-15 / 20100089810 - Water Filtration Apparatus | 1 |
Maxwell R. Hadley | GB | Lyndhurst | 2010-04-15 / 20100092176 - POLARIZATION-DIVERSE, HETERODYNE OPTICAL RECEIVING SYSTEM | 1 |
Michael L. Hadley | US | Mesa | 2010-06-03 / 20100132700 - BITE BLOCKS | 1 |
Jonathan B. Hadley | US | Grandville | 2008-12-04 / 20080296955 - HEIGHT ADJUSTABLE ARMREST | 1 |
James Hadley | US | Portland | 2014-07-03 / 20140189315 - Copy-On-Write Buffer For Restoring Program Code From A Speculative Region To A Non-Speculative Region | 5 |
Mark Allan Hadley | US | Greer | 2014-10-16 / 20140305127 - SYSTEM AND METHOD FOR AUGMENTING GAS TURBINE POWER OUTPUT | 18 |
Mark A. Hadley | US | Greer | 2011-09-22 / 20110225976 - WOBBE CONTROL AND ENHANCED OPERABILITY THROUGH IN-LINE FUEL REFORMING | 2 |
Bob Hadley | US | Swanton | 2013-10-03 / 20130256325 - CONTAINER INSERT | 2 |
John Rock Hadley | US | Centerville | 2010-04-29 / 20100102815 - DYNAMIC COMPOSITE GRADIENT SYSTEMS FOR MRI | 1 |
Jodie Hadley | GB | Ely | 2010-03-25 / 20100075859 - SYSTEM AND METHOD FOR SOLUTION BASED MULTIPARAMETER ANALYSIS OF ANALYTES | 1 |
Kevin Richard Hadley | GB | Much Wenlock | 2010-02-04 / 20100024580 - Actuator | 4 |
Paul Hadley | GB | Super-Mare | 2015-03-26 / 20150086143 - BUSH ASSEMBLY | 1 |
David Hadley | US | Franklin | 2013-03-14 / 20130061381 - Bathroom flushers with novel sensors and controllers | 2 |
Keith Hadley | US | Stafford | 2009-10-01 / 20090242188 - Downhole Isolation Tool | 1 |
Kevin Richard Hadley | GB | Shropshire | 2009-01-29 / 20090026312 - Landing Gear Assembly | 1 |
Maxwell Richard Hadley | GB | Lyndhurst | 2008-12-25 / 20080317095 - FLUID LEVEL INDICATION SYSTEM AND TECHNIQUE | 1 |
Darby Edward Hadley | US | Westborough | 2012-09-13 / 20120230534 - MANIPULATING SPATIAL PROCESSING IN AN AUDIO SYSTEM | 2 |
Andrew Hadley | US | Colorado Springs | 2008-11-06 / 20080276133 - Software-Controlled Dynamic DDR Calibration | 1 |
Marcus Hadley | US | Orlando | 2008-10-30 / 20080266836 - Articulated aquarium light | 1 |
Philip C. Hadley | GB | Cambridge | 2008-10-23 / 20080261023 - Crystalline Resin Sandwich Films | 2 |
Donald K. Hadley | CA | Belleville | 2013-04-18 / 20130092277 - Tapered helically reinforced hose and its manufacture | 1 |
Steven Ross Hadley | US | Sunol | 2014-02-27 / 20140058615 - FLEET ANOMALY DETECTION SYSTEM AND METHOD | 3 |
Kyle M. Hadley | US | Kent | 2014-07-31 / 20140215317 - Panoptic Visualization Of Elements Of A Complex System Using A Model Viewer | 2 |
Brent Louis Hadley | US | Kent | 2015-04-09 / 20150100571 - SYSTEM AND METHOD FOR UTILIZING A THREE DIMENSIONAL MODEL FOR ACCESSING DATABASES | 12 |
J. Rock Hadley | US | Centerville | 2015-12-17 / 20150362569 - 3T Multinuclear and Proton Composite Array for Magnetic Resonance Imaging | 3 |
Darby E. Hadley | US | Los Gatos | 2015-01-22 / 20150023533 - ORIENTATION-BASED AUDIO | 3 |
Sean Hadley | US | St. Joseph | 2016-05-05 / 20160120411 - SYSTEMS AND METHODS FOR DETECTING PULSE WAVE VELOCITY | 1 |
David M. Hadley | US | Woodinville | 2016-04-28 / 20160113541 - SYSTEMS AND METHODS FOR ASSESSING ELECTROCARDIOGRAM RELIABILITY | 2 |
Brent L. Hadley | US | Kent | 2016-02-25 / 20160055665 - VISUALIZATION AND ANALYSIS OF A TOPICAL ELEMENT OF A COMPLEX SYSTEM | 22 |
Brent Louis Hadley | US | Kent | 2015-04-09 / 20150100571 - SYSTEM AND METHOD FOR UTILIZING A THREE DIMENSIONAL MODEL FOR ACCESSING DATABASES | 12 |
Mark D. Hadley | US | Kennewick | 2010-10-21 / 20100265039 - Systems and Methods for Securing Control Systems | 1 |
Brent Hadley | US | Kent | 2010-06-10 / 20100146466 - METHODS AND SYSTEMS FOR WIRING SYSTEMS ANALYSIS AND VERIFICATION | 1 |
Philip Hadley | GB | Cambridgeshire | 2016-02-25 / 20160053060 - MOULDING MATERIAL | 2 |
Paul James Hadley | GB | West Midlands | 2014-04-17 / 20140108079 - WORK SKILLSET GENERATION | 2 |
Bruce Allen Hadley | US | Ephrata | 2014-01-23 / 20140025264 - SYSTEM AND METHOD FOR CHANGING A LID HEIGHT OF A HARVESTER | 1 |
Richard Hadley | US | Otterbein | 2016-05-12 / 20160128699 - HEX CAPTURE FOR SELF EXPANDING AND DRIVEN OCCLUDER | 2 |
Robert Hadley | US | Yorba Linda | 2016-05-05 / 20160121957 - POWERED PERSONAL MOBILITY VEHICLE WITH ROTATING WHEELS | 15 |
Marcus A. Hadley | US | Olney | 2014-08-28 / 20140243975 - NASAL IMPLANTS AND SYSTEMS AND METHODS OF USE | 1 |
Brent L. Hadley | US | Kent | 2016-02-25 / 20160055665 - VISUALIZATION AND ANALYSIS OF A TOPICAL ELEMENT OF A COMPLEX SYSTEM | 22 |
Mark Hadley | US | Newark | 2016-04-07 / 20160095499 - Colon Capsule with Textured Structural Coating for Improved Colon Motility | 1 |
Richard Dean Hadley | US | Otterbein | 2015-04-30 / 20150119801 - STEERABLE INTRALUMINAL MEDICAL DEVICE | 1 |
Paul Hadley | GB | Reading | 2013-11-14 / 20130305415 - Method for Producing a Stress Tolerant Plant or Precursor Thereof | 1 |
Jonathan B. Hadley | US | Renton | 2015-10-01 / 20150273513 - INDUCTIVELY HEATABLE FLUID RESERVOIR | 4 |
Dean R. Hadley | US | Manteca | 2009-03-19 / 20090074628 - Thermal cycler | 1 |
Steven Hadley | US | Sunol | 2010-08-05 / 20100198534 - SYSTEM AND METHOD FOR MONITORING THE CONDITION OF A GEAR ASSEMBLY | 1 |
Robert A. Hadley | US | Yorba Linda | 2014-03-13 / 20140070509 - One piece Flexible Skateboard | 5 |
Mark A. Hadley | US | Newark | 2015-08-27 / 20150242739 - RFID TAGS AND PROCESSES FOR PRODUCING RFID TAGS | 6 |
Ted A. Hadley | US | Sunnyvale | 2015-11-05 / 20150318054 - Data Operation in Shift Register Ring | 16 |
Mark A. Hadley | US | Neward | 2010-03-25 / 20100075463 - Method and apparatus for fabricating self-assembling microstructures | 1 |
Robert Hadley | US | Yorba Linda | 2016-05-05 / 20160121957 - POWERED PERSONAL MOBILITY VEHICLE WITH ROTATING WHEELS | 15 |
Carl B. Hadley | US | Foothill Ranch | 2011-01-13 / 20110005661 - Medical Tubing Having Variable Characteristics and Method of Making Same | 1 |
Paul Hadley | GB | Weston-Super-Mare | 2016-04-07 / 20160096615 - SEAL ASSEMBLY | 8 |
Mark Hadley | US | Union | 2013-03-14 / 20130061726 - Machine Tool Apparatus And Method | 1 |
Kevin Hadley | US | Elkridge | 2011-10-20 / 20110257267 - Reducing the Risk of Pathological Effects of Traumatic Brain Injury | 1 |
Mark Alfred Hadley | US | Newark | 2015-11-19 / 20150332138 - SUBSET SELECTION OF RFID TAGS USING LIGHT | 3 |
Mark Allan Hadley | US | Greer | 2014-10-16 / 20140305127 - SYSTEM AND METHOD FOR AUGMENTING GAS TURBINE POWER OUTPUT | 18 |
Jonathan Brandt Hadley | US | Renton | 2016-05-12 / 20160128412 - LIGHT-EMITTING SYSTEMS FOR HEADGEAR | 3 |
Dexter Hadley | US | Philadelphia | 2014-10-23 / 20140315992 - Genetic Alterations Associated with Autism and the Autistic Phenotype and Methods of Use Thereof for the Diagnosis and Treatment of Autism | 2 |
Debra A. Hadley | US | Quakertown | 2014-09-25 / 20140289115 - SYSTEMS AND METHODS FOR VEHICLE INFORMATION-BASED FUEL PURCHASING | 1 |
Ted A. Hadley | US | Cupertino | 2014-05-22 / 20140140512 - REQUESTED AND ALLOWED CRYPTOGRAPHIC OPERATIONS COMPARISON | 1 |
Rachel Hadley | GB | Ulverston | 2013-06-27 / 20130165837 - SILICONE GEL-COATED WOUND DRESSING | 1 |
Rick Hadley | US | Otterbein | 2015-12-17 / 20150359539 - SYSTEM FOR DELIVERY AND DEPLOYMENT OF AN OCCLUDER AND METHOD | 10 |
Paul Hadley | GB | Weston-Super-Mare | 2016-04-07 / 20160096615 - SEAL ASSEMBLY | 8 |
Rita Hadley | US | Otterbein | 2015-10-29 / 20150305750 - FOAM OCCLUSION DEVICE | 1 |
Mark Hadley | US | Greer | 2011-10-13 / 20110247314 - COMBUSTOR EXIT TEMPERATURE PROFILE CONTROL VIA FUEL STAGING AND RELATED METHOD | 1 |
Mark M. Hadley | US | Oxford | 2012-05-03 / 20120105990 - INSIDE REARVIEW MIRROR ASSEMBLY | 1 |
Philip Hadley | GB | Ely | 2014-09-25 / 20140287638 - COMPOSITE MATERIALS | 1 |
Gregg Allen Hadley | US | New Albany | 2011-06-16 / 20110142861 - DEPLETION OF CD103 EXPRESSING CELLS FOR TREATMENT OF DISORDERS | 2 |
Daniel E. Hadlich | US | Albuquerque | 2009-06-18 / 20090154194 - LIGHT EMITTING DIODES, DISPLAY SYSTEMS, AND METHODS OF MANUFACTURING LIGHT EMITTING DIODES | 2 |
Jane Hadlington | GB | Coventry | 2011-06-30 / 20110162113 - ANTIBODIES | 1 |
Kenneth G. Hadlock | US | San Francisco | 2013-11-28 / 20130317113 - REGULATION OF OSTEOPONTIN | 4 |
Theresa Hadlock | US | Concord | 2011-10-27 / 20110264236 - ENGINEERED CRANIOFACIAL CONSTRUCTS WITH COMPOSITE SCAFFOLD | 1 |
Theresa A. Hadlock | US | Arlington | 2015-12-10 / 20150354941 - FACIAL MOVEMENT AND EXPRESSION DETECTION AND STIMULATION | 1 |
Wade Curtis Hadlock | US | Murray | 2013-02-14 / 20130041914 - SYSTEMS AND METHODS FOR IMPROVING CACHE HIT SUCCESS RATE USING A SPLIT CACHE | 1 |
John Hadlock | US | Lexington | 2014-09-04 / 20140245866 - DEVICES AND METHODS FOR SANITIZING WRITING IMPLEMENTS | 1 |
Charles B. Hadlock | US | New Braunfels | 2013-07-18 / 20130181433 - CHECK PAD FOLIO | 1 |
Ian John Hadlow | GB | Worcester | 2012-05-03 / 20120104826 - OCCUPANT RESTRAINT SYSTEM COMPONENTS HAVING STATUS INDICATORS AND/OR MEDIA INTERFACES, AND ASSOCIATED METHODS OF USE AND MANUFACTURE | 2 |
Ian J. Hadlow | GB | Worcester | 2012-04-05 / 20120080917 - MAGNETIC BUCKLE ASSEMBLIES AND ASSOCIATED METHODS FOR USE WITH CHILD SEATS AND OTHER RESTRAINT SYSTEMS | 1 |
Tewfik Hadl-Slimane | DZ | Relizane | 2013-05-09 / 20130115174 - TRANSMUCOSAL COMPOSITION CONTAINING ANTHOCYANINS FOR ALLEVIATING A VISUAL DISCOMFORT | 1 |
Chakradhar Hadole | IN | Gujarat | 2010-07-29 / 20100190824 - Novel Substituted Piperidones as HSP Inducers | 1 |
Majda Hadolin Kolar | SI | Zetale | 2010-12-02 / 20100303977 - COMPOSITION FOR PROTECTING MEAT OR FISH | 3 |
Jean-Christophe Hadorn | CH | Bournens | 2009-03-12 / 20090065043 - METHOD OF COUPLING PHOTOVOLTAIC CELLS AND FILM FOR IMPLEMENTING IT | 1 |
Karla Hadot | FR | Limours | 2015-07-02 / 20150182499 - USE OF COUMARIN DERIVATIVES FOR THE PREPARATION OF DRUGS FOR TREATING SKIN DISEASES | 1 |
Hynek Hadraba | CZ | Brno - Lisen | 2015-08-13 / 20150224577 - METHOD OF PREPARATION OF MAGNETICALLY CONDUCTIVE POWDERS BY CAVITATION AND DEVICE TO CARRY OUT THE METHOD | 1 |
Christopher G. Hadre | CA | Lasalle | 2015-04-09 / 20150096541 - BOOST PURGE EJECTOR TEE ARRANGEMENT | 4 |
Amel Hadri | FR | Montpellier | 2015-10-08 / 20150283165 - USE OF AN INHIBITOR OF IRS-1 FOR TREATING SKIN DISORDERS | 2 |
Mark K. Hadrick | US | Boise | 2015-10-22 / 20150302907 - APPARATUSES AND METHODS FOR IMPLEMENTING MASKED WRITE COMMANDS | 1 |
Richard Alan Hadsall, Sr. | US | Miramar | 2011-05-12 / 20110109434 - TRACKING PASSENGERS ON CRUISE SHIPS | 1 |
Richard Alan Hadsall, Sr. | US | Pinecrest | 2013-03-28 / 20130076567 - DUAL ANTENNA TRANSFER SWITCH SYSTEM, METHOD AND APPARATUS | 1 |
Matthew R. Hadsell | US | Waite Park | 2013-05-23 / 20130131878 - Reactive Following for Distributed Generation and Loads of Other Reactive Controller(s) | 1 |
Charles Clayton Hadsell | US | Farmers Branch | 2014-07-03 / 20140183707 - LATCHUP REDUCTION BY GROWN ORTHOGONAL SUBSTRATES | 1 |
Charles Clayton Hadsell | US | Dallas | 2013-05-23 / 20130126508 - Extending Radiation Tolerance By Localized Temperature Annealing Of Semiconductor Devices | 1 |
Raia Hadsell | US | Princeton Junction | 2015-09-24 / 20150269438 - REAL-TIME SYSTEM FOR MULTI-MODAL 3D GEOSPATIAL MAPPING, OBJECT RECOGNITION, SCENE ANNOTATION AND ANALYTICS | 4 |
Han Haduong | US | Downey | 2011-09-22 / 20110226259 - Method and System for Swallow Control Resulting in Improved Posture and Strength | 1 |
Paul Hadvary | CH | Biel-Benken | 2015-10-01 / 20150273139 - SYRINGE TYPE PUMP | 9 |
Bharat Hadwani | US | Bolingbrook | 2013-09-26 / 20130247717 - GEAR BOX ASSEMBLY FOR A TWIN ROTOR COMBINE | 1 |
Benjamin J. Hadwen | GB | Oxford | 2012-02-16 / 20120038597 - PRE-PROGRAMMING OF IN-PIXEL NON-VOLATILE MEMORY | 4 |
Benjamin James Hadwen | GB | Oxford | 2015-12-31 / 20150374335 - ULTRASOUND SENSOR ELEMENT, ULTRASOUND SENSOR ARRAY ASSEMBLY, ULTRASOUND TRANSMIT SENSOR ELEMENT, IMAGING DEVICE, ULTRASOUND TRANSDUCER, AND METHOD OF PERFORMING AN ULTRASOUND SCAN | 24 |
Benjamin Hadwen | GB | Oxford | 2010-05-27 / 20100127280 - PHOTO SENSOR AND DISPLAY DEVICE | 2 |
Ben James Hadwen | GB | Oxford | 2010-09-23 / 20100238135 - IMAGE SENSOR AND DISPLAY | 1 |
Philipp Hadwiger | DE | Altenkunstadt | 2015-12-10 / 20150353937 - Compositions and Methods for Inhibiting Expression of a Target Gene | 10 |
Phillipp Hadwiger | US | 2009-07-09 / 20090176710 - COMPLEX FOR TRANSFERRING AN ANIONIC SUBSTANCE INTO A CELL | 1 | |
Philipp Hadwiger | DE | Bayreuth | 2014-04-17 / 20140106450 - Compositions and Methods for Inhibiting Expression of a Target Gene | 2 |
Philipp Hadwiger | DE | Altenkunstadt | 2015-12-10 / 20150353937 - Compositions and Methods for Inhibiting Expression of a Target Gene | 10 |
Philipp Hadwiger | AT | Altenkunstadt | 2010-11-04 / 20100280102 - DOUBLE-STRANDED RIBONUCLEIC ACID WITH INCREASED EFFECTIVENESS IN AN ORGANISM | 1 |
Philipp Hadwiger | DE | Alenkunstadt | 2013-01-17 / 20130018085 - iRNA Agents Targeting VEGF | 7 |
Philipp Hadwiger | US | Cambridge | 2013-06-13 / 20130150570 - NUCLEASE RESISTANT DOUBLE-STRANDED RIBONUCLEIC ACID | 2 |
Philipp Hadwiger | DE | Kulmbach | 2016-04-14 / 20160102120 - Galactose Cluster-Pharmacokinetic Modulator Targeting Moiety for siRNA | 19 |
Philipp Hadwiger | DE | Kulmbach | 2016-04-14 / 20160102120 - Galactose Cluster-Pharmacokinetic Modulator Targeting Moiety for siRNA | 19 |
Frank Hady | US | Portland | 2012-07-05 / 20120170597 - SYNCHRONIZING MULTIPLE SYSTEM CLOCKS | 7 |
Frank T. Hady | US | Portland | 2016-04-21 / 20160110106 - MULTI-LEVEL MEMORY WITH DIRECT ACCESS | 14 |
Frank T. Hady | US | Portland | 2016-04-21 / 20160110106 - MULTI-LEVEL MEMORY WITH DIRECT ACCESS | 14 |
Adnan Hadzialic | BA | Sarajevo | 2014-03-06 / 20140066955 - Robotic Devices with Arms and Related Methods | 5 |
Sanja Hadzialic | NO | Oslo | 2009-04-16 / 20090097811 - Photonic Crystal and Method of Fabrication | 1 |
Predrag Hadzibabic | US | Bohemia | 2010-07-22 / 20100181930 - REGULATED POWER SUPPLY | 1 |
Selena Hadzibabic | GB | London | 2010-05-27 / 20100131551 - SYSTEM AND METHOD FOR MANAGING CONFIDENTIAL INFORMATION | 1 |
Predrag Hadzibabic | US | Dix Hills | 2015-04-02 / 20150091558 - APPARATUS FOR HIGH BANDWIDTH CURRENT SENSING | 1 |
Admir Hadzic | FI | Helsinki | 2010-12-16 / 20100317179 - METHOD FOR MAKING INTEGRATED CIRCUIT DEVICE | 1 |
Llija Hadzic | US | Millington | 2008-12-04 / 20080298345 - Cross-connect for emulated circuit-base communications | 1 |
Ilija Hadzic | US | Millington | 2012-05-03 / 20120106576 - Transparent Clock Adaptor for a Network Device | 10 |
Hrvoje Hadzic | HR | Zagreb | 2014-06-26 / 20140180775 - METHOD AND DEVICE FOR DETERMINING USAGE OF A TRANSPORTATION FACILITY BY A USER | 1 |
Nihad Hadzic | US | Wappingers Falls | 2015-09-17 / 20150261499 - PIPELINED MODULAR REDUCTION AND DIVISION | 5 |
Nihad Hadzic | US | Poughkeepsie | 2014-02-13 / 20140047307 - CHECKSUM CALCULATION, PREDICTION AND VALIDATION | 5 |
Admir Hadzic | FI | Oulu | 2015-10-15 / 20150295196 - Method of producing a photovoltaic device | 6 |
Fedja Hadzic | AU | Victoria Park | 2014-10-23 / 20140317066 - METHOD OF ANALYSING DATA | 1 |
Ilija Hadzic | US | Millington | 2012-05-03 / 20120106576 - Transparent Clock Adaptor for a Network Device | 10 |
Admir Hadzic | US | New York | 2015-10-29 / 20150305816 - NERVE BLOCK PROCEDURE DRAPE | 3 |
Tyler Hadzicki | US | Poway | 2012-01-05 / 20120001400 - TRICYCLE WITH FRONT AND REAR STEERING | 2 |
Sonja Hadzidedic | CA | Oakville | 2015-08-27 / 20150241805 - Co-emulsification of Insoluble Compounds with Toner Resins | 15 |
Darko Hadzidedic | US | Carrollton | 2015-11-19 / 20150331432 - HVAC CONTROLLER HAVING MULTIPLEXED INPUT SIGNAL DETECTION AND METHOD OF OPERATION THEREOF | 7 |
Sonja Hadzidedic | CA | Oakville | 2015-08-27 / 20150241805 - Co-emulsification of Insoluble Compounds with Toner Resins | 15 |
Darko Hadzidedic | US | Plano | 2015-11-26 / 20150338133 - TANDEM COMPRESSOR DISCHARGE PRESSURE AND TEMPERATURE CONTROL LOGIC | 23 |
Ljupco Hadzievski | SK | Belgrade | 2009-04-02 / 20090088655 - METHOD AND APPARATUS FOR QUANTITATIVE ASSESSMENT OF CARDIAC ELECTRICAL EVENTS | 1 |
Ljupco Hadzievski | RS | Beograd | 2010-07-15 / 20100179446 - DEVICE AND PROCEDURE FOR VISUAL THREE-DIMENSIONAL PRESENTATION OF ECG DATA | 1 |
Ljupco Hadzievski | RS | Belgrade | 2015-10-15 / 20150289785 - Apparatus and Method for Monitoring Respiration Volumes and Synchronization of Triggering in Mechanical Ventilation by Measuring the Local Curvature of the Torso Surface | 7 |
Georges Hadziioannou | FR | Leognan | 2016-05-19 / 20160141534 - METHOD FOR PRODUCING AN ACTIVE LAYER CAPABLE OF EMITTING AN ELECTRIC CURRENT UNDER IRRADIATION | 10 |
Joseph G. Hadzima, Jr. | US | Wellesley | 2009-03-12 / 20090070297 - Apparatus and Method for Performing Analyses on Data Derived from a Web-Based Search Engine | 1 |
Dzevdet Hadziosmanovic | US | Minnetonka | 2015-08-20 / 20150234410 - SYSTEMS, METHODS AND APPARATUS FOR INTEGRATED OPTIMAL OUTAGE COORDINATION IN ENERGY DELIVERY SYSTEMS | 1 |
Engelbert Haebe | DE | Ehingen | 2016-02-25 / 20160052753 - AUTOMATIC ERECTING OF A CRANE | 1 |
Peter Wilhelm Haebel | DE | Mittelbiberach | 2016-03-24 / 20160081982 - METHODS FOR TREATING PULMONARY EMPHYSEMA USING SUBSTITUTED 2-AZA-BICYCLO[2.2.1]HEPTANE-3-CARBOXYLIC ACID (BENZYL-CYANO-METHYL)-AMIDES INHIBITORS OF CATHEPSIN C | 5 |
Rainer Haeberer | DE | Bretten | 2015-07-02 / 20150184570 - INJECTION SYSTEM, METERING PUMP, EXHAUST GAS TREATMENT DEVICE, METHOD | 25 |
Wilfried Haeberle | DE | Langenau | 2014-10-16 / 20140308064 - G-HOOK FOR MUTUAL RELEASABLE CONNECTION OF TWO PROP PORTIONS OF A VARIABLE LENGTH CONSTRUCTION PROP | 2 |
Robert Haeberle | US | New Bern | 2009-11-26 / 20090288692 - DOOR ASSEMBLY FOR A DISHWASHING APPLIANCE, AND ASSOCIATED APPARATUSES AND METHODS | 2 |
Brad Haeberle | US | Arlington Heights | 2011-10-27 / 20110264725 - Method and System for Obtaining Operational Data and Service Information for a Building Site | 1 |
Hans Haeberle | DE | Maselheim | 2015-04-16 / 20150105371 - CO-CRYSTALS AND SALTS OF CCR3-INHIBITORS | 3 |
Henry Haeberle | US | Reston | 2016-05-12 / 20160131888 - TESTING SLIDE FOR MICROSCOPES EQUIPPED WITH WATER IMMERSION OR PHYSIOLOGY OBJECTIVES | 1 |
Norbert Haeberle | DE | Gerlingen | 2008-12-25 / 20080314880 - LASER PROCESSING MACHINE WITH AN OPTICAL DIAPHRAGM | 1 |
Stefan Haeberle | DE | St. Georgen | 2009-08-06 / 20090197977 - Device and Method for Producing a Mixture of Two Phases that are Insoluble in Each Other | 1 |
Tilmann Haeberle | DE | Wiesloch | 2014-03-20 / 20140081925 - Managing Incident Reports | 8 |
Karl Haeberle | DE | Speyer | 2016-04-14 / 20160100576 - APPLYING A PESTICIDE-COMPRISING DISPERSION OF AN ALIPHATIC POLYURETHANE TO PLANTS | 12 |
Stefan Haeberle | DE | Georgen | 2010-12-09 / 20100307595 - FLUIDIC DEVICE, FLUIDIC MODULE, AND METHOD OF HANDLING A LIQUID | 1 |
David C. Haeberle | US | Cypress | 2013-10-24 / 20130277053 - Wellbore Apparatus and Methods For Multi-Zone Well Completion, Production and Injection | 16 |
Tobias Haeberle | DE | Garching | 2013-06-27 / 20130165088 - CONFERENCE SYSTEM AND ASSOCIATED SIGNALLING METHOD | 1 |
Walter Haeberle | CH | Waedenswil | 2015-09-03 / 20150248915 - TAPE HEAD SYSTEM | 5 |
Terri A. Haeberle | US | San Jose | 2016-02-04 / 20160034843 - INVENTORY AND QUEUE MANAGEMENT | 1 |
Eugenio Fraenkel Haeberle | IT | Udine | 2009-02-05 / 20090033135 - GUIDE DEVICE FOR THE AXIAL SLIDING OF A TELESCOPIC ELEMENT WITH RESPECT TO A FIXED ELEMENT | 2 |
Walter Haeberle | CH | Wadenswil | 2008-09-25 / 20080230696 - SURFACE TREATMENT AND SURFACE SCANNING | 3 |
Tilmann Haeberle | DE | Wiesloch | 2014-03-20 / 20140081925 - Managing Incident Reports | 8 |
Viktor Haeberle | DE | Nersingen | 2015-01-29 / 20150031502 - METHOD FOR OPERATING A DRIVE TRAIN AND CONTROL DEVICE | 1 |
Karl Haeberle | DE | Ludwigshafen | 2013-02-14 / 20130040810 - Articles Comprising Reinforced Polyurethane Coating Agent | 2 |
Walter E. M. Haeberle | CH | Waedenswil | 2015-10-22 / 20150300840 - POSITION SENSOR | 1 |
David C. Haeberle | US | Cypress | 2013-10-24 / 20130277053 - Wellbore Apparatus and Methods For Multi-Zone Well Completion, Production and Injection | 16 |
Walter Haeberle | CH | Rueschlikon | 2013-12-05 / 20130321892 - POSITIONING DEVICE FOR SCANNING A SURFACE | 3 |
Robert J. Haeberle | US | New Bern | 2009-01-08 / 20090007944 - Dishwasher Tub Having Integral Hinge Support Member, and Dishwasher Incorporating Same | 1 |
Rudolf Haeberle | DE | Mannheim | 2016-05-19 / 20160137615 - Process for Manufacturing 4-Propargylated Amino-Benzoxazinones | 1 |
Karl Haeberle | DE | Speyer | 2016-04-14 / 20160100576 - APPLYING A PESTICIDE-COMPRISING DISPERSION OF AN ALIPHATIC POLYURETHANE TO PLANTS | 12 |
Markus Haeberlein | SE | Sodertalje | 2009-07-30 / 20090192190 - Benzoic Acid Derivatives that are Modulators or Agonists of GlyR | 1 |
Ingo R. Haeberlein | DE | Weiheim | 2012-05-03 / 20120107245 - DENTAL COMPOSITION FOR DETECTION OF CARIOUS TISSUE, DETECTION METHOD | 1 |
Matti Haeberlein | DE | Kressbronn | 2015-09-03 / 20150246737 - Device for Holding and Aligning a Useful Component, in Particular a Space Travel Component | 1 |
Juergen Haeberlein | DE | Winnenden | 2015-05-14 / 20150128428 - CUT GUARD FOR A WORK TOOL | 1 |
Matti Haeberlein | DE | Kressbronn A.b. | 2016-04-28 / 20160114908 - Electrically Powered Propulsion System for Use in a Spacecraft | 1 |
Joachim Haeberlein | DE | Pfungstadt | 2011-05-12 / 20110108498 - Holder and modular stacking system for safely storing and/or transporting frameless PV modules or other flat, cuboidal bodies | 1 |
Juergen Haeberlein | DE | Murrhardt | 2014-06-19 / 20140166325 - WORK APPARATUS | 3 |
Ingo R. Haeberlein | DE | Weilheim | 2015-05-07 / 20150125814 - POWDER COMPOSITION FOR AIR POLISHING THE SURFACE OF HARD DENTAL TISSUE | 9 |
Maik Haeberlen | DE | Burghausen | 2015-10-15 / 20150292109 - APPARATUS AND PROCESS FOR PRODUCING A SINGLE CRYSTAL OF SILICON | 1 |
Oliver Haeberlen | AT | St. Magdalen | 2016-03-24 / 20160086897 - Electronic Component | 3 |
Oliver Haeberlen | AT | Villach | 2016-03-24 / 20160087089 - Non-Planar Normally Off Compound Semiconductor Device | 36 |
Olivier Haeberlen | AT | Villach | 2008-08-21 / 20080197442 - SEMICONDUCTOR COMPONENT WITH CELL STRUCTURE AND METHOD FOR PRODUCING THE SAME | 1 |
Oliver Haeberlen | DE | Villach | 2014-02-27 / 20140054697 - SEMICONDUCTOR DEVICE WITH FIELD ELECTRODE AND METHOD | 2 |
Maik Haeberlen | GB | Cambridge | 2010-11-18 / 20100291756 - METHOD FOR THE PRODUCTION OF A SEMICONDUCTOR STRUCTURE | 1 |
Peter Haeberli | US | San Francisco | 2011-05-26 / 20110124853 - Conjugates and Compositions for Cellular Delivery | 3 |
Peter Haeberli | US | Berthoud | 2015-04-16 / 20150105445 - RNA INTERFERENCE MEDIATED INHIBITION OF GENE EXPRESSION USING CHEMICALLY MODIFIED SHORT INTERFERING NUCLEIC ACID (siNA) | 5 |
Paul Haeberli | US | San Francisco | 2011-01-27 / 20110018899 - SYSTEM AND METHOD FOR MANIPULATING DIGITAL IMAGES | 2 |
Barbara Haeberlin | CH | Muenchenstein | 2014-02-27 / 20140056974 - Spontaneously Dispersible N-Benzoyl Staurosporine Compositions | 1 |
Barbara Haeberlin | CH | Munchenstein | 2016-03-24 / 20160081934 - Compositions of Glycopyrronium Salt for Inhalation | 12 |
Barbara Haeberlin | CH | M¨nchenstein | 2009-08-20 / 20090209502 - COMPOSITIONS OF GLYCOPYRRONIUM SALT FOR INHALATION | 1 |
Barbara Haeberlin | CH | Kanton Baselland | 2011-07-28 / 20110182830 - INHALATION DRUG PRODUCTS, SYSTEMS AND USES | 1 |
Barbara Haeberlin | CH | Munchenstein | 2016-03-24 / 20160081934 - Compositions of Glycopyrronium Salt for Inhalation | 12 |
Phillip Haebler | US | Lake Bluff | 2010-08-12 / 20100199891 - BEVELED BLOCK PALLET | 1 |
Joerg Haechler | CH | Oberwil B. Zug | 2015-12-03 / 20150346229 - METHOD FOR OPERATING AN AUTOMATED SAMPLE WORKCELL | 9 |
Joerg Haechler | CH | Oberwil B. Zug | 2015-12-03 / 20150346229 - METHOD FOR OPERATING AN AUTOMATED SAMPLE WORKCELL | 9 |
Joerg Haechler | CH | Baar | 2010-02-04 / 20100030231 - SURGICAL SYSTEM AND METHOD | 2 |
John B. Haechten | US | Buda | 2010-09-23 / 20100241769 - INTERFACE DEVICE AND METHOD FOR COMMAND PROCESSING | 1 |
Andre Haeckel | DE | Waldeck | 2013-08-29 / 20130220470 - CONNECTOR WITH PRESSURE EQUALIZATION | 1 |
Michael Haeckel | DE | Germering | 2014-03-13 / 20140070530 - FLUIDIC PLUG UNIT AND CONNECTING DEVICE FOR LIQUID CONDUCTING COMPONENTS | 2 |
Matthias Haeckel | DE | Kiel | 2012-01-19 / 20120012321 - Method for Producing Natural Gas from Hydrocarbon Hydrates While Simultaneously Storing Carbon Dioxide in Geological Formations | 1 |
Beate Haecker | DE | Dossenheim | 2008-11-27 / 20080293632 - Use of natriuretic peptides for the treatment of stature disorders related to shox gene | 1 |
Robert L. Haecker | US | North Manchester | 2011-03-17 / 20110062261 - SKID STEER MIXER ATTACHMENT | 1 |
Russell Haecker | US | Round Rock | 2012-04-05 / 20120081609 - DISPLAY ASSEMBLY AND A FUEL DISPENSING UNIT | 1 |
Hans Haecker | DE | Sachsenheim | 2010-06-10 / 20100140015 - Silencer | 1 |
Hans Haecker | US | Memphis | 2015-03-26 / 20150087065 - METHOD FOR GENERATION OF CONDITIONALLY IMMORTALIZED HEMATOPOIETIC PROGENITOR CELL LINES WITH MULTIPLE LINEAGE POTENTIAL | 1 |
Juergen Haecker | DE | Nau-Anspach | 2010-04-08 / 20100087772 - BLOOD PROCESSING DEVICE AND METHOD FOR PURGING A SET OF BLOOD LINES ON A BLOOD PROCESSING DEVICE | 1 |
Jesse Dru Haecker | US | Ankeny | 2010-07-29 / 20100186606 - Cotton Harvester for Producing Modules which can be Automatically Identified and Oriented | 2 |
Michael Haecker | DE | Wimsheim | 2009-04-30 / 20090107206 - ARRANGEMENT FOR PROCESSING SHEET METAL | 2 |
Regina L. Haecker | US | Stamford | 2010-05-06 / 20100114926 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR IMPLEMENTING AUTOMATED WORKLISTS | 1 |
Juergen Haecker | DE | Schwieberdingen | 2014-01-30 / 20140030125 - SPRING ELEMENT AND CORRESPONDING PISTON PUMP FOR DELIVERING FLUIDS | 12 |
Holger Haecker | DE | Waidhofen | 2014-11-27 / 20140346858 - METHOD FOR OPERATING AN ON-BOARD POWER SUPPLY SYSTEM OF A MOTOR VEHICLE | 1 |
Tobias Haecker | DE | Stuttgart | 2013-05-16 / 20130119026 - Method and Device for Laser Material Processing of a Workpiece | 1 |
Juergen Haecker | DE | Neu-Anspach | 2015-03-05 / 20150059894 - METHOD FOR REMOVING BLOOD FROM AN EXTRACORPOREAL BLOOD CIRCUIT AS WELL AS APPARATUSES | 12 |
Juergen Haecker | DE | Neu-Anspach | 2015-03-05 / 20150059894 - METHOD FOR REMOVING BLOOD FROM AN EXTRACORPOREAL BLOOD CIRCUIT AS WELL AS APPARATUSES | 12 |
Thomas Haecker | DE | Marienfeld | 2010-12-16 / 20100313775 - Printing Press with Cylinder Sensor | 1 |
Jurgen Haecker | DE | Neu-Anspach | 2010-10-28 / 20100270225 - RETAINING MEANS FOR RETAINING AN EXTERNAL FUNCTIONAL MEANS ON A TREATMENT APPARATUS, EXTERNAL FUNCTIONAL MEANS, AND TREATMENT APPARATUS | 1 |
Juergen Haecker | DE | Schwieberdingen | 2014-01-30 / 20140030125 - SPRING ELEMENT AND CORRESPONDING PISTON PUMP FOR DELIVERING FLUIDS | 12 |
Marcus Paul Haecki | DE | Niederalchback | 2009-10-01 / 20090247054 - METHOD TO PREVENT SLURRY CAKING ON CMP CONDITIONER | 1 |
Norbert Haeckl | DE | Leibertingen | 2015-04-23 / 20150112133 - ENDOSCOPE | 6 |
Walter Haeckl | DE | Zeilarn | 2016-05-12 / 20160131584 - Compositional Analysis Of A Gas Or Gas Stream In A Chemical Reactor and Method For Preparing Chlorosilanes In A Fluidized Bed Reactor | 9 |
Walter Haeckl | DE | Zeilarn | 2016-05-12 / 20160131584 - Compositional Analysis Of A Gas Or Gas Stream In A Chemical Reactor and Method For Preparing Chlorosilanes In A Fluidized Bed Reactor | 9 |
Josefin Haedelt | GB | York | 2012-02-02 / 20120027907 - HIGHLY AERATED CONFECTION | 1 |
Lisa D. Haeder | US | New Hope | 2011-08-18 / 20110200194 - AMBULATORY REPEATER FOR USE IN AUTOMATED PATIENT CARE | 1 |
Gert Haeder | DE | Hohen Neuendorf | 2010-01-21 / 20100014704 - SPHERICAL SPEAKER | 1 |
Edward L. Haedt | US | Oshkosh | 2015-12-10 / 20150353237 - Tear-Open Pouch for Fragile Thin Materials | 2 |
John Haefele | US | Putnam | 2009-02-05 / 20090036778 - DUAL FREQUENCY DOPPLER ULTRASOUND PROBE | 1 |
Matthias Haefele | DE | Gingen | 2014-11-27 / 20140345574 - TANK VENTING SYSTEM AND METHOD FOR DIAGNOSING SAME | 1 |
Alexandre Haefele | FR | Ostwald | 2012-01-12 / 20120009615 - DIPYRROMETHENE-BORON HYDROPHILIC FLUORESCENT COMPOUNDS | 2 |
Doug M. Haefele | US | Johnston | 2011-02-10 / 20110033907 - YEAST STRAINS FOR IMPROVED ETHANOL PRODUCTION | 1 |
Clemens Haefele | AT | Innsbruck | 2015-01-15 / 20150018370 - Process for the preparation of form III of Vilazodone hydrochloride | 1 |
Christian Haefele | AT | Feldkirch | 2010-11-04 / 20100276555 - HOLDING DEVICE FOR FASTENING A LASER INSTRUMENT | 1 |
Klaus Haefele | DE | Burgstetten | 2009-12-31 / 20090322156 - REDUNDANT CURRENT SUPPLY WITH DIAGNOSTIC CAPABILITY AND A SUPPRESSOR | 1 |
Gerhard Haefele | DE | Gondelsheim | 2009-12-10 / 20090301364 - Method for improving the slag quality of grate firing systems | 1 |
Peter Haefele | AT | Unterbergen | 2009-06-25 / 20090162133 - Spout assembly for a replaceable fluid reservoir used in personal care appliances | 2 |
Klaus-Dieter Haefele | HU | Budapest | 2008-12-25 / 20080313841 - Connection Element | 1 |
Douglas Haefele | US | Johnston | 2013-06-13 / 20130149760 - New Yeast Strains for Improved Ethanol Production | 1 |
Matthias Haefele | DE | Gondelsheim | 2014-02-27 / 20140053518 - EXTRACTION APPARATUS WITH GREASE COLLECTION CHANNEL | 1 |
Roman Haefeli | CH | Zetzwil | 2009-11-19 / 20090283337 - ENCAPSULATED WEIGHING CELL WITH ECCENTRIC LOAD ERROR ADJUSTMENT | 1 |
Peter Haefeli | CH | Triengen | 2014-07-10 / 20140193566 - Gel Particles in Cheese | 1 |
Oliver Haefeli | CH | Zumikon | 2014-09-25 / 20140288685 - GENERATING AN ADAPTED AUDIO FILE | 1 |
Christopher T. Haeffner | US | Mchenry | 2014-03-13 / 20140075065 - Multi-Use Adapters, Solid State Storage Modules and High Capacity Storage Systems | 1 |
Eduard Anton Haefliger | CH | Basel | 2009-04-30 / 20090112245 - Device and method for the performance of ophthalmological operations | 1 |
Carolina Haefliger | CH | Basel | 2009-08-06 / 20090197250 - METHODS AND NUCLEIC ACIDS FOR THE ANALYSIS OF GENE EXPRESSION ASSOCIATED WITH THE PROGNOSIS OF PROSTATE CELL PROLIFERATIVE DISORDERS | 2 |
Jacques-Antoine Haefliger | CH | Lausanne | 2016-02-18 / 20160045450 - PHARMACEUTICAL FORMULATION FOR USE IN THE TREATMENT AND/OR PREVENTION OF RESTENOSIS | 1 |
Mario Haefliger | CH | Sarmenstorf | 2012-06-07 / 20120137788 - Flowmeter | 2 |
Olivier Haefliger | CH | Geneva | 2011-04-07 / 20110082071 - DELIVERY SYSTEM FOR AN ACTIVE INGREDIENT | 1 |
Eduard Anton Haefliger | CH | Pfaffikon | 2013-08-01 / 20130197636 - INTRAOCULAR LENS IMPLANT | 1 |
Andreas Haefliger | CH | Triengen | 2012-12-27 / 20120325703 - DISPLAY PACKAGE FOR A PLURALITY OF PRODUCTS | 6 |
Ralf Haefner | DE | Holzgerlingen | 2016-04-21 / 20160109485 - ATE THERMAL OVERLOAD DETECTION AND RECOVERY TECHNIQUES | 1 |
Donald Haefner | US | Troy | 2015-05-21 / 20150136053 - ROLLER LIFTER LUBRICATION GUIDE | 1 |
Gerhard Haefner | DE | Schwabisch Hall | 2009-07-09 / 20090177890 - Method and Device for Forming a Signature | 1 |
Paul A. Haefner | US | Circle Pines | 2016-03-24 / 20160082259 - CLOSED LOOP NEURAL STIMULATION SYNCHRONIZED TO CARDIAC CYCLES | 23 |
Thomas Haefner | DE | Schwaebisch Gmuend | 2009-07-09 / 20090177890 - Method and Device for Forming a Signature | 1 |
Juergen Haefner | SE | Ludvika | 2011-05-26 / 20110122654 - HIGH VOLTAGE AC/DC OR DC/AC CONVERTER STATION WITH FIBER-OPTIC CURRENT SENSOR | 1 |
Peter Haefner | DE | Neuenbuerg | 2012-02-16 / 20120036918 - APPARATUS, DEVICE AND METHOD FOR ARRANGING AT LEAST ONE SAMPLE CONTAINER | 1 |
Jochen Haefner | DE | Lorch | 2015-09-17 / 20150260122 - Cylinder Head Device for an Internal Combustion Engine and Internal Combustion Engine Having Such A Cylinder Head Device | 4 |
Stephan Haefner | DE | Speyer | 2014-01-30 / 20140030778 - Bacterial Strain and Process for the Fermentative Production of Organic Acids | 3 |
Juergen Haefner | DE | Untereisesheim | 2011-06-23 / 20110147065 - Integrated Circuit with Adjusting Elements and Method for Its Manufacture | 1 |
Robert Haefner | US | Apalachin | 2008-09-11 / 20080219322 - Methods and apparatus for emitter detection | 1 |
Carla Haefner | DE | Neu-Ulm | 2012-05-31 / 20120135949 - COMBINATION THERAPY FOR TREATING HCV INFECTION | 2 |
Stefan Haefner | DE | Ludwigshafen | 2010-03-11 / 20100062535 - PSOD EXPRESSION UNITS | 5 |
Paul Haefner | US | Circle Pines | 2012-03-22 / 20120071942 - Subcutaneous Cardiac Sensing and Stimulation System | 4 |
Stefan Haefner | DE | Speyer | 2015-07-30 / 20150211036 - GENES AND PROCESSES FOR THE PRODUCTION OF CLAVINE-TYPE ALKALOIDS | 27 |
Thorsten Haefner | DE | Nuertingen | 2011-03-17 / 20110062695 - MOBILE WORK DEVICE WITH STABILITY MONITORING SYSTEM | 1 |
John S. Haefner | US | St. Louis | 2012-09-13 / 20120227682 - Systems and Methods for Controlling a Water Heater | 3 |
Paul A. Haefner | US | Circle Pines | 2016-03-24 / 20160082259 - CLOSED LOOP NEURAL STIMULATION SYNCHRONIZED TO CARDIAC CYCLES | 23 |
Renate Haefner | DE | Schwabisch Hall | 2009-07-09 / 20090177890 - Method and Device for Forming a Signature | 1 |
Daryl R. Haefner | US | Idaho Falls | 2011-09-29 / 20110232890 - THERMAL MANAGEMENT METHODS | 1 |
John S. Haefner | US | Webster Groves | 2015-11-05 / 20150316264 - PILOT BURNER ASSEMBLY AND METHOD OF ASSEMBLING SAME | 1 |
Uwe Haefner | DE | Weinheim | 2013-03-21 / 20130068103 - FILTER ELEMENT WITH A GUIDE DUCT THAT PENETRATES ITS PLEATED WALLS | 2 |
Michael Haefner | DE | Stuttgart | 2016-03-24 / 20160082811 - HEAT EXCHANGER ARRANGEMENT, ESPECIALLY FOR A FUEL-OPERATED VEHICLE HEATER | 11 |
Michael Haefner | DE | Stuttgart | 2016-03-24 / 20160082811 - HEAT EXCHANGER ARRANGEMENT, ESPECIALLY FOR A FUEL-OPERATED VEHICLE HEATER | 11 |
Daniel Charles Haeg | US | Champlin | 2010-06-10 / 20100145331 - Loop Ablation Apparatus and Method | 1 |
Dan Haeg | US | Champlin | 2009-12-03 / 20090299364 - Suction Force Ablation Device | 1 |
Daneil C. Haeg | US | Brooklyn Park | 2010-08-12 / 20100204716 - METHODS AND DEVICES FOR OCCLUSION OF AN ATRIAL APPENDAGE | 1 |
Daniel C. Haeg | US | Champlin | 2010-06-10 / 20100145361 - Methods and Devices for Occlusion of an Atrial Appendage | 1 |
Steven R. Haeg | US | Shorewood | 2015-03-12 / 20150073601 - TEST SYSTEM HAVING A COMPLIANT ACTUATOR ASSEMBLY AND ITERATIVELY OBTAINED DRIVE | 1 |
Martin Haege | DE | Boennigheim | 2010-02-11 / 20100032605 - Closure apparatus for a pressure container, which can be filled with compressed gas, of a cold gas generator | 2 |
James E. Haege | US | Jasper | 2011-10-13 / 20110250827 - Power Tool Blade Mount with Radial Fluid Flow Channels | 1 |
Martin Haege | DE | Boenningheim | 2011-03-10 / 20110056959 - Cold Gas Generator | 1 |
Martin Haege | CA | Calgary | 2016-05-05 / 20160124098 - PROCESSING OF SEISMIC DATA | 1 |
Yannick Raymond Georges Haegel | FR | Villeneuve D'Aseq | 2013-06-27 / 20130160918 - AIR MAINTENANCE TIRE AND ELBOW CONNECTOR SYSTEM | 1 |
Hélène Haegel | FR | Illkirch | 2014-09-11 / 20140255417 - MODULATION OF MACROPHAGE ACTIVATION | 1 |
Hélène Haegel | FR | Strasbourg | 2011-04-07 / 20110081353 - ANTIBODY AGAINST THE CSF-1 R | 1 |
Hélène Haegel | FR | Strasbourg | 2014-02-27 / 20140057972 - ANTIBODY AGAINST THE CSF-1R | 2 |
Hélène Haegel | FR | Illkirch | 2011-07-21 / 20110178278 - Antibody Against the CSF-1R | 1 |
Hélène Haegel | FR | Illkirch | 2011-07-21 / 20110178278 - Antibody Against the CSF-1R | 1 |
Hélène Haegel | FR | Strasbourg | 2011-04-07 / 20110081353 - ANTIBODY AGAINST THE CSF-1 R | 1 |
Hélène Haegel | FR | Lllkirch | 2015-09-10 / 20150252115 - Modulation of Monocytes, or Precursors Thereof, Differentiation | 1 |
Yannick Raymond Georges Haegel | FR | Villeneuve D'Ascq | 2015-05-21 / 20150137420 - SECURING TO A PNEUMATIC TIRE | 4 |
Yannick Raymond Georges Haegel | FR | Villeneuve D' Ascq | 2012-09-27 / 20120241063 - HYDRAULIC PISTON PUMP ASSEMBLY FOR AIR MAINTENANCE TIRE | 1 |
Hélène Haegel | FR | Strasbourg | 2014-02-27 / 20140057972 - ANTIBODY AGAINST THE CSF-1R | 2 |
Helene Haegel | FR | Illkirch Graffenstaden | 2013-10-31 / 20130289250 - ANTIBODY AGAINST THE CFR-1R | 1 |
Bernd Haegele | DE | Engelsberg | 2015-02-26 / 20150055306 - CIRCUIT BOARD, PARTICULARY FOR A POWER-ELECTRONIC MODULE, COMPRISING AN ELECTRICALLY-CONDUCTIVE SUBSTRATE | 2 |
Glen T. Haegele | US | Columbus | 2013-05-30 / 20130133603 - ENGINE COMPONENT SEAL ASSEMBLY AND METHOD OF SEALING A COOLANT PASSAGE FROM AN ENGINE COMPONENT | 2 |
Michael Haegele | DE | Aalen | 2015-10-15 / 20150291209 - POWER STEERING COMPONENT FOR MOTOR VEHICLES WITH REVERSIBLE PUMP | 3 |
Werner Haegele | DE | Stuttgart | 2015-01-22 / 20150024628 - COAXIAL PLUG CONNECTOR ARRANGEMENT | 1 |
Niccolo Haegele | DE | Vaihingen/enz | 2013-11-07 / 20130297170 - CONTROL DEVICE AND METHOD FOR OPERATING A BRAKING SYSTEM EQUIPPED WITH AN ELECTRIC DRIVE DEVICE AND/OR GENERATOR DEVICE | 3 |
Klaus Haegele | DE | Heuchlingen | 2013-12-12 / 20130330124 - Connecting Arrangement | 1 |
Jochen Haegele | DE | Friedrichshafen | 2008-10-23 / 20080259645 - Converter for an Ion Propulsion System | 1 |
Bernd Haegele | DE | Tittmoning | 2009-08-20 / 20090205858 - Circuit carrier | 1 |
Timo Haegele | DE | Gaildorf | 2009-03-05 / 20090058579 - MAGNET ASSEMBLY FOR A MAGNET VALVE | 1 |
Thomas Haegele | DE | Mohringen | 2009-12-17 / 20090308960 - DEVICE FOR GRANULATING AND/OR MIXING | 1 |
Monique Haegele | DE | Leipzig | 2010-02-04 / 20100028918 - GLUTAMINYL CYCLASE AS A DIAGNOSTIC/PROGNOSTIC INDICATOR FOR NEURODEGENERATIVE DISEASES | 1 |
Andreas Haegele | DE | Weinstadt | 2013-07-25 / 20130189919 - AIR SUPPLY SYSTEM FOR THE MEDICAL SECTOR AND METHOD FOR OPERATING SUCH A SYSTEM | 2 |
Guy Haegeman | BE | Balegem | 2010-09-23 / 20100240627 - COMPOSITION AND METHODS RELATING TO GLUCOCORTICOID RECEPTOR-ALPHA AND PEROXISOME PROLIFERATOR-ACTIVATED RECEPTORS | 3 |
Christophe Dominique Rene Haegeman | BE | Ternat | 2009-06-11 / 20090145936 - Inflatable body and kit for de-wrinkling garments | 1 |
Johny Hector Haegeman | BE | Halle | 2008-12-25 / 20080314247 - LIQUID - FOAM SYSTEM | 1 |
Carl Robert Haeger | US | Reynoldsburg | 2015-12-10 / 20150359092 - CIRCUIT BOARD | 9 |
Harald Haeger | DE | Luedinghausen | 2016-02-04 / 20160031784 - PROCESS FOR PREPARING CYCLODODECANONE | 37 |
Carl Robert Haeger | US | Reynoldsburg | 2015-12-10 / 20150359092 - CIRCUIT BOARD | 9 |
Daniel Arthur Haeger | US | Fremont | 2015-07-02 / 20150187991 - LED WITH INTERNALLY CONFINED CURRENT INJECTION AREA | 1 |
Christian Haeger | DE | Emsbüren | 2011-03-03 / 20110053747 - DEVICE AND METHOD FOR PRODUCING BAGS | 1 |
Harald Haeger | DE | Luedinghausen | 2016-02-04 / 20160031784 - PROCESS FOR PREPARING CYCLODODECANONE | 37 |
Ansgar M. Haeger | DE | Niederkruechten | 2016-03-10 / 20160069112 - CORROSION RESISTANT BUSHING | 1 |
Robert John Haeger | US | Hales Corners | 2009-09-03 / 20090220807 - Hot Melt Pressure Sensitive Adhesives for Paper Labels | 1 |
Edward S. Haeger | US | Park City | 2010-09-30 / 20100250759 - WORKSTATION VIRUS LOCKDOWN IN A DISTRIBUTED ENVIRONMENT | 1 |
Daniel A. Haeger | US | Goleta | 2015-09-10 / 20150255959 - SEMI-POLAR III-NITRIDE OPTOELECTRONIC DEVICES ON M-PLANE SUBSTRATES WITH MISCUTS LESS THAN +/- 15 DEGREES IN THE C-DIRECTION | 4 |
Christian Haeger | DE | Emsbüren | 2011-03-03 / 20110053747 - DEVICE AND METHOD FOR PRODUCING BAGS | 1 |
Carl Haeger | US | Reynoldsburg | 2010-05-06 / 20100108140 - DEVICE CAPABLE OF THERMALLY COOLING WHILE ELECTRICALLY INSULATING | 2 |
Harald Haeger | DE | Luedinghausen-Seppenrade | 2009-12-17 / 20090311506 - INDUCTION-ASSISTED PRODUCTION METHOD | 1 |
Harald Haeger | DE | Freigericht | 2010-09-02 / 20100221551 - MULTILAYER FOIL | 1 |
Edward Scarlett Haeger | US | Park City | 2014-05-01 / 20140122866 - Crypto Proxy for Cloud Storage Services | 2 |
Anders Haegermarck | SE | Trangsund | 2016-03-17 / 20160073840 - ROBOTIC VACUUM CLEANER WITH PROTRUDING SIDEBRUSH | 16 |
Tracy James Haeggstrom | US | Orange | 2010-08-12 / 20100200077 - PLUMBING FIXTURE TRIM SNAP CONNECT | 1 |
Edward Haeggstrom | FI | Helsinki | 2014-08-28 / 20140243666 - Skeletal Method and Arrangment Utilizing Electromagnetic Waves | 2 |
Tracy J. Haeggstrom | US | Orange | 2014-07-31 / 20140209733 - NOVEL HELICOPTER TUG SYSTEM | 2 |
Jesper Z. Haeggstrom | SE | Stockholm | 2010-08-26 / 20100216113 - Methods | 1 |
Bjarte Haegland | NO | Asker | 2014-02-06 / 20140034136 - MANIFOLD FLOW SPLITTER | 1 |
Steven Haehn | US | Rochester | 2015-08-20 / 20150237220 - TRACKING SOURCE OF JOB PARAMETERS IN PRINT JOBS | 1 |
Guenter Haehn | DE | Konigswinter | 2016-03-03 / 20160060827 - Self-Propelled Road-Milling Machine | 4 |
Guenter Haehn | DE | Koenigswinter | 2015-07-09 / 20150192012 - Drum Housing For A Working Drum Of A Construction Machine Or Mining Machine, Construction Machine Or Mining Machine, As Well As Method For Monitoring The Condition Of A Working Drum Of A Construction Machine Or Mining Machine | 15 |
Steven J. Haehn | US | Oakdale | 2016-03-24 / 20160082392 - REVERSE OSMOSIS PUSH FILTER WITH FLOATING KEY LOCK | 12 |
Jens Haehn | DE | Heidelberg | 2010-02-11 / 20100032359 - Oil Filter, Oil Separator and Filter Insert Thereof | 1 |
Craig S. Haehn | US | Lorain | 2012-03-15 / 20120061552 - COMPONENT ORIENTATION ELEMENT | 1 |
Guenter Haehn | DE | Koenigswinter | 2015-07-09 / 20150192012 - Drum Housing For A Working Drum Of A Construction Machine Or Mining Machine, Construction Machine Or Mining Machine, As Well As Method For Monitoring The Condition Of A Working Drum Of A Construction Machine Or Mining Machine | 15 |
Steven J. Haehn | US | Oakdale | 2016-03-24 / 20160082392 - REVERSE OSMOSIS PUSH FILTER WITH FLOATING KEY LOCK | 12 |
Craig S. Haehn | US | Avon Lake | 2012-11-29 / 20120298234 - VARIABLE CONFIGURATION TRACTION VALVE | 1 |
Steven Lowell Haehn | US | Fort Collins | 2015-08-27 / 20150243534 - Copper Wire Bonding Apparatus Using A Purge Gas to Enhance Ball Bond Reliability | 1 |
Gerd Haehnel | DE | Jena | 2014-05-08 / 20140124487 - APPARATUS FOR JOINING TWO WORKPIECE PARTS ALONG A WELD BY MEANS OF TRANSMISSION WELDING | 1 |
Dirk Haehnel | US | Menlo Park | 2015-09-24 / 20150266472 - Construction Zone Object Detection Using Light Detection and Ranging | 3 |
Thomas Haehnel | DE | Berlin | 2016-03-10 / 20160071675 - Arrangement for an Electrical Switch Element, In Particular A Contact or Relay, and Electrical Switch Element Having a Control Module Between the Yoke Member and Coil | 7 |
Bernd Haehnel | DE | Stutensee | 2015-02-26 / 20150056046 - DEVICE FOR TRANSFERRING BLISTER PACKS | 6 |
Thomas Haehner | FR | Charleville Mezieres | 2012-07-26 / 20120186846 - DATA COMMUNICATION CABLE | 1 |
Thomas Haehner | FR | Fumay | 2010-05-13 / 20100116522 - HELICALLY-WOUND ELECTRIC CABLE | 1 |
Georg Haehner | GB | St Andrews | 2015-08-06 / 20150219537 - TORSIONAL AND LATERAL STIFFNESS MEASUREMENT | 1 |
Thomas Haehner | DE | Dornstadt | 2010-02-04 / 20100028417 - USE OF SUBSTITUTED GLYCERIN DERIVATIVES FOR PRODUCING A PHARMACEUTICAL PREPARATION | 3 |
Edgar Haehner | FR | Bordes | 2014-05-08 / 20140123673 - A METHOD OF STARTING A TURBOMACHINE WHILE REDUCING THERMAL UNBALANCE | 4 |
Georg Haehner | GB | St. Andrews Fife | 2010-03-25 / 20100071477 - Flow Velocity and Pressure Measurement Using a Vibrating Cantilever Device | 1 |
Georg Haehner | GB | Fife | 2014-07-24 / 20140203414 - Method Of Modifying Surfaces | 1 |
Yvonne Haehnke | DE | Wuppertal | 2011-03-24 / 20110068586 - VEHICLE DOOR LOCK WITH INSIDE RELEASE LEVER | 1 |
Hartmut Haehnle | DE | Kussaberg | 2016-03-31 / 20160090848 - METHOD FOR PRODUCING A THREE-DIMENSIONAL ARTICLE AND ARTICLE PRODUCED WITH SUCH A METHOD | 1 |
Jonas Haehnle | CH | Bern | 2014-07-24 / 20140204339 - TOPOGRAPH | 1 |
Matthias Haehnle | DE | Muenchen | 2013-08-15 / 20130205558 - RETAINING DEVICE FOR AN INSTRUMENT | 1 |
Hartmut Haehnle | DE | Kuessaberg | 2012-09-13 / 20120230833 - TURBOMACHINE COMPONENT | 6 |
Hans-Joachim Haehnle | DE | Neustadt | 2015-07-09 / 20150191875 - PRODUCTION OF PAPER, CARD AND BOARD | 22 |
Oyvind Haehre | US | Loveland | 2012-11-22 / 20120293420 - DISAMBIGUATING INTENTIONAL AND INCIDENTAL CONTACT AND MOTION IN MULTI-TOUCH POINTING DEVICES | 2 |
Oyvind Haehre | NO | His | 2014-11-06 / 20140327641 - DISAMBIGUATING INTENTIONAL AND INCIDENTAL CONTACT AND MOTION IN MULTI-TOUCH POINTING DEVICES | 1 |
Anna K. Haemel | US | Madison | 2013-08-29 / 20130225631 - TOPICAL RAPAMYCIN FOR TREATMENT OF FACIAL ANGIOFIBROMAS IN TUBEROUS SCLEROSIS | 3 |
Kai Haemel | DE | Jossgrund | 2011-09-15 / 20110220829 - PRESSURE RELIEF VALVE | 3 |
Nicholas Haemel | US | Santa Clara | 2015-06-04 / 20150154036 - METHOD OF DISSEMINATING UPDATED DRIVERS TO MOBILE COMPUTING DEVICES AND A DISSEMINATION SYSTEM THEREFOR | 3 |
Nicholas Haemel | US | San Francisco | 2014-07-03 / 20140184626 - FRAME TIMES BY DYNAMICALLY ADJUSTING FRAME BUFFER RESOLUTION | 2 |
Richard R. Haemerle | US | Valley Park | 2012-07-26 / 20120186752 - HAND TOOLS FOR APPLYING MASKING TAPE AND THE LIKE TO VARIOUS SURFACES | 1 |
Timothy Haemers | BE | Mariakerke | 2008-12-18 / 20080312190 - Organophosphoric Derivatives Useful as Anti-Parasitic Agents | 1 |
Jan Haemers | BE | Brussels | 2013-08-08 / 20130202363 - DEVICES AND METHODS FOR SOIL REMEDIATION | 1 |
Johan Haemers | BE | Sint-Denijs-Westrem | 2011-08-18 / 20110200822 - ATOMIC LAYER DEPOSITION POWDER COATING | 1 |
Achiel Haemers | BE | Sint-Martens-Latem | 2008-12-18 / 20080312191 - Novel Urokinase Inhibitors | 1 |
Iwan Haemers | BE | Tessenderlo | 2009-05-28 / 20090134933 - OUTPUT DRIVER AND METHOD OF OPERATION THEREOF | 1 |
Werner Haeming | DE | Neudenau | 2014-12-25 / 20140373813 - VENTING A FUEL TANK BY MEANS OF A FUEL SUPPLY PATH AND AN AIR SUPPLY PATH OF AN INTERNAL COMBUSTION ENGINE | 10 |
Hermann Haemmerl | DE | Ergolding | 2016-02-11 / 20160044814 - ENCLOSURE FOR AN ELECTRONIC CONTROL UNIT AND ELECTRONIC CONTROL UNIT | 1 |
Robert Haemmerl | DE | Hohenthann | 2014-05-08 / 20140129095 - INDUSTRIAL TRUCK WITH OPTICAL LIFTING HEIGHT MEASUREMENT | 2 |
Bernhard Haemmerl | DE | Maisach | 2014-11-27 / 20140345987 - SPRING BRAKE CYLINDER WITH EMERGENCY RELEASE DEVICE | 1 |
Claus Haemmerle | AT | Lustenau | 2011-09-22 / 20110225768 - FURNITURE HINGE WITH ROTATION DAMPER | 1 |
Kurt Haemmerle | AT | Lustenau | 2015-12-31 / 20150377269 - SECURING DEVICE FOR A FURNITURE FITTING | 6 |
Thomas Haemmerle | AT | Vienna | 2013-01-03 / 20130004958 - METHOD FOR THE SPECIFIC DETECTION OF LOW ABUNDANCE RNA SPECIES IN A BIOLOGICAL SAMPLE | 2 |
Martin Haemmerle | DE | Bayreuth | 2011-06-16 / 20110138781 - METHOD FOR REMOVING COMPOUNDS CONTAINING SULFUR FROM FUELS | 1 |
Hermann Haemmerle | AT | Lustenau | 2015-12-31 / 20150374123 - DRIVE DEVICE FOR A MOVABLE FURNITURE PART | 4 |
Juergen Haemmerle | AT | Lustenau | 2013-11-07 / 20130293079 - RAIL FOR A MOVABLE FURNITURE PART | 1 |
Wolfgang Haemmerle | DE | Jena | 2014-03-27 / 20140086544 - OPTICAL FIBER | 3 |
Scott A. Haemmerle | US | Cincinnati | 2012-11-15 / 20120285107 - THERMALLY COOLED AND HEATED DECKING | 3 |
Günther Haemmerle | AT | Graz | 2012-09-13 / 20120230943 - MODULATION OF ADIPOSE TRIGLYCERIDE LIPASE FOR PREVENTION AND TREATMENT OF CACHEXIA, LOSS OF WEIGHT AND MUSCLE ATROPHY AND METHODS OF SCREENING THEREFOR | 1 |
Masamitsu Haemori | JP | Tokyo | 2016-05-19 / 20160141486 - PIEZOELECTRIC COMPOSITION AND PIEZOELECTRIC DEVICE | 2 |
Lee Haen | US | Phoenix | 2010-04-15 / 20100089314 - SUBSTRATE SUPPORT SYSTEM FOR REDUCED AUTODOPING AND BACKSIDE DEPOSITION | 1 |
Keith R. Haen | US | Neenah | 2011-03-17 / 20110062042 - ABSORBENT PRODUCT STACKER PACKAGE | 1 |
William George Haen | US | Lodi | 2014-11-27 / 20140345093 - WINDOW COVERING FASTENER PARTICULARLY SUITABLE FOR ARCHED COVERINGS | 1 |
Casper Haenbeukers | NL | Landgraag | 2015-10-29 / 20150308545 - ORBITAL TENSIONER | 1 |
Casper Haenbeukers | NL | Landgraaf | 2015-04-30 / 20150119176 - TENSIONER | 3 |
Judith Haendeler | DE | Gladbach | 2010-08-12 / 20100202987 - PREPARATION FOR IMPROVING THE PROTECTION OF HUMAN CELLS, ESPECIALLY CELLS OF THE HUMAN SKIN, FROM THE HARMFUL INFLUENCES OF OXIDATIVE NOXAE AND UV RADIATION | 1 |
Erich Haendler | DE | Lampertheim | 2010-10-28 / 20100271670 - Method and Device for Optically Scanning an Object and Device | 3 |
Bernard Haendler | DE | Berlin | 2016-05-12 / 20160129011 - BICYCLO 2,3-BENZODIAZEPINES AND SPIROCYCLICALLY SUBSTITUTED 2,3-BENZODIAZEPINES | 7 |
Andreas Haendler | DE | Bad Honnef | 2008-10-23 / 20080263100 - Method and system for the managing vehicle data | 1 |
Kurt Haendler | DE | Bonn | 2013-09-19 / 20130240337 - CONTACT APPARATUS OF AN ELECTRICAL SWITCHING DEVICE | 5 |
Volker Haendler | US | Hopkinsville | 2010-03-04 / 20100051530 - Flexible Filter With Continuously Extruded Profile Frame | 1 |
Arie Haenel | IL | Jerusalem | 2015-12-24 / 20150373140 - CLIENT SIDE INITIATED CACHING CONTROL | 1 |
Felix Haenel | DE | Koeln | 2015-11-26 / 20150336533 - SIDE AIRBAG FOR VEHICLES | 1 |
Walter Haenel | DE | Boeblingen | 2013-03-28 / 20130080608 - Automatic Management of Configuration Parameters and Parameter Management Engine | 4 |
Martin Haenel | DE | Munich | 2013-05-16 / 20130118217 - Locking Systems for Drawer Blocks of Support Systems | 1 |
Walter Haenel | DE | Holzgerlingen | 2010-08-05 / 20100198759 - Portal Performance Optimization | 8 |
Winfried Haenel | DE | Dresden | 2014-12-18 / 20140367880 - DECORATIVE WOOD-BASED BOARD PANEL AND METHOD OF PANEL PRODUCTION | 2 |
Heinz Haenel | DE | Frankfurt Am Main | 2015-08-20 / 20150231381 - Microneedle Arrangement and Adapter | 1 |
Felix Haenel | DE | Koeln Nrw | 2015-09-24 / 20150266446 - DUAL CHAMBER AIRBAG SYSTEM | 1 |
Ruediger Haenel | DE | Rott | 2012-06-21 / 20120152818 - Method and Device for Purifying Nucleic Acids | 2 |
Jean-Pierre Haenen | NL | Eijsden | 2010-12-02 / 20100304124 - COATING FORMULATION FOR OFFSET PAPER AND PAPER COATED THEREWITH | 2 |
Guido Rembertus Michiel Marie Haenen | NL | Ev Eijsden | 2013-12-12 / 20130330431 - OLIVE JUICE EXTRACTS FOR PROMOTING MUSCLE HEALTH | 1 |
Ludovicus Johannes Lambertus Haenen | NL | Sint Oedenrode | 2016-05-05 / 20160123553 - LIGHT-EMITTING MODULE WITH A CURVED PRISM SHEET | 4 |
Guido Rembertus Michiel Marie Haenen | NL | Eijsden | 2011-07-14 / 20110172301 - Use of anti-oxidant compounds for muscle recovery | 1 |
Jean-Pierre Haenen | NL | Eysden | 2011-04-14 / 20110083573 - COATING COMPOSITION FOR OFFSET PAPER | 3 |
Ludovicus Johannes Lambertus Haenen | NL | Eindhoven | 2016-05-19 / 20160138765 - LED LIGHTING DEVICE WITH IMPROVED LIGHT DISTRIBUTION | 2 |
Jean-Pierre Haenen | NL | Pp Eysden | 2009-05-14 / 20090123768 - Coating Composition for Offset Paper | 1 |
Ludo Haenen | NL | Eindhoven | 2010-08-26 / 20100214797 - CAR LIGHTING UNIT FOR GENERATING A BEAM OF LIGHT AND A HOLOGRAPHIC 3D IMAGE | 3 |
Guido R.m.m. Haenen | NL | Eijsden | 2011-03-03 / 20110052750 - OLIVE JUICE EXTRACTS FOR PROMOTING MUSCLE HEALTH | 1 |
Ludo Haenen | NL | Sint Oedenrode | 2012-11-01 / 20120274208 - LIGHTING DEVICE WITH BUILT-IN RF ANTENNA | 6 |
Gottfried Haener | CH | Aesch | 2013-10-17 / 20130270821 - COUPLING ASSEMBLY THAT ESTABLISHES A PIPE CONNECTION THROUGH PRESSURE CLAMPING | 1 |
Mason Haenga | AU | New South Wales | 2016-02-04 / 20160029803 - A STEMMED GLASS HOLDER | 1 |
Rafael Haenggi | AR | Entre Rios | 2014-10-02 / 20140295372 - DEVICE OF COMPUTERIZED DENTAL MEASUREMENT AND MEASURING METHOD USABLE WITH SAID DEVICE | 1 |
Peter Haenggi | CH | Giffers | 2015-03-12 / 20150072856 - ADJUVANTS BASED ON OPTIONALLY ALKOXYLATED REACTION PRODUCTS OF GLYCEROL CARBONATE AND ALKYLAMINES | 2 |
Stefan Haenggi | CH | Bern | 2011-01-13 / 20110007916 - TELEPHONE TO HEARING DEVICE COMMUNICATION | 4 |
Mauricio Haenggi | AR | Buenos Aires | 2014-10-02 / 20140295372 - DEVICE OF COMPUTERIZED DENTAL MEASUREMENT AND MEASURING METHOD USABLE WITH SAID DEVICE | 1 |
Roger Haenggi | CH | Luzern | 2014-05-01 / 20140121632 - DEVICE AND METHOD FOR AUTOMATIC INJECTION AND OCCLUSION DETECTION | 1 |
John P. Haenggi | US | Omaha | 2010-10-14 / 20100258029 - DRAG REDUCING DEVICES FOR STACKED INTERMODAL RAIL CARS | 2 |
John P. Haenggi | US | Elkhorn | 2011-01-27 / 20110017093 - MOUNTING OF DRAG REDUCING DEVICES FOR STACKED INTERMODAL RAIL CARDS | 2 |
Roger Haenggi | CH | Nunningen | 2015-10-15 / 20150290082 - APPARATUSES, SYSTEMS, AND METHODS FOR FILLING A CONTAINER WITH A LIQUID DRUG | 7 |
Stefan Haenggi | US | Melbourne Beach | 2009-05-28 / 20090135971 - NARROWBAND GAIN CONTROL OF RECEIVER WITH DIGITAL POST FILTERING | 1 |
Jens Haenisch | DE | Dresden | 2010-03-18 / 20100066357 - APPARATUS AND METHOD FOR MEASURING CRITICAL CURRENT PROPERTIES OF A COATED CONDUCTOR | 1 |
Christopher M. Haenisch | US | Fridley | 2014-05-15 / 20140135882 - IMPLANTABLE MEDICAL DEVICE HEADER | 3 |
Ringo Haenisch | DK | Brande | 2010-08-26 / 20100213008 - Fixation-Arrangement | 1 |
Jan Haenisch | DE | Esslingen | 2013-06-06 / 20130139505 - DEVICE FOR EXHAUST-GAS TREATMENT NEAR AN ENGINE AND MOTOR VEHICLE HAVING THE DEVICE | 1 |
Hans-Christoph Haenlein | US | San Rafael | 2015-02-05 / 20150033658 - APPARATUS FOR FORMING AND MOUNTING A PHOTOVOLTAIC ARRAY | 2 |
Hans-Christoph Haenlein | US | San Jose | 2016-02-11 / 20160043691 - APPARATUS FOR FORMING AND MOUNTING A PHOTOVOLTAIC ARRAY | 8 |
Hans-Christoph Haenlein | US | San Jose | 2016-02-11 / 20160043691 - APPARATUS FOR FORMING AND MOUNTING A PHOTOVOLTAIC ARRAY | 8 |
Markus Haenni | CH | Davos Platz | 2008-12-04 / 20080300510 - Method and Device For Measuring the Local Mechanical Resistance of a Porous Body | 1 |
Sammuel Haenni | CH | Rothrist | 2008-10-23 / 20080256889 - Joining Element for Joining Two Workpieces | 1 |
Michael Haenni | CH | Zurich | 2009-12-03 / 20090293750 - APPARATUS FOR AND METHOD OF PRODUCING PROOF PRINTS | 1 |
Esther Haenni-Bechir | CH | Muelligen | 2013-03-21 / 20130069459 - WINDING FOR A ROTATING ELECTRIC MACHINE AND METHOD FOR MANUFACTURING SAME | 1 |
Wilfried Ernst-August Haensch | US | Somers | 2015-08-20 / 20150236284 - JUNCTION FIELD-EFFECT QUANTUM DOT MEMORY SWITCH | 8 |
Wilfried E. A. Haensch | US | Somers | 2013-08-01 / 20130193515 - SRAM WITH HYBRID FINFET AND PLANAR TRANSISTORS | 2 |
Wilfried E.a. Haensch | US | Somers | 2014-09-18 / 20140273298 - Techniques for Quantifying Fin-Thickness Variation in FINFET Technology | 6 |
Theodor W. Haensch | DE | Munchen | 2011-09-29 / 20110234219 - METHOD AND DEVICE FOR SENSING MICROWAVE MAGNETIC FIELD POLARIZATION COMPONENTS | 1 |
Wilfried E. Haensch | US | Somers | 2016-04-07 / 20160099332 - PARTIAL SACRIFICIAL DUMMY GATE WITH CMOS DEVICE WITH HIGH-K METAL GATE | 48 |
Wilfried Haensch | US | Somers | 2014-11-20 / 20140342485 - ELEMENTAL SEMICONDUCTOR MATERIAL CONTACT FOR HIGH INDIUM CONTENT InGaN LIGHT EMITTING DIODES | 20 |
Wilfried W. Haensch | US | Somers | 2012-09-20 / 20120235151 - HORIZONTAL POLYSILICON-GERMANIUM HETEROJUNCTION BIPOLAR TRANSISTOR | 1 |
Peter Haensch | DE | Stadtbergen | 2013-07-04 / 20130171895 - COMPOSITE GUSSET FILLER AND METHOD OF MANUFACTURE OF SAID COMPOSITE GUSSET FILLER | 2 |
Frauke Haensch | DE | Karlsruhe | 2009-04-16 / 20090099497 - Bandage With Lengthwise Elasticity In Warp Direction | 1 |
Wilfried Ernest-August Haensch | US | Somers | 2014-10-16 / 20140310676 - METHODS FOR MODELING OF FINFET WIDTH QUANTIZATION | 3 |
Wilfried Ernst-August Haensch | US | Somers | 2015-08-20 / 20150236284 - JUNCTION FIELD-EFFECT QUANTUM DOT MEMORY SWITCH | 8 |
Wilfried E. Haensch | US | Somers | 2016-04-07 / 20160099332 - PARTIAL SACRIFICIAL DUMMY GATE WITH CMOS DEVICE WITH HIGH-K METAL GATE | 48 |
Wilifried E. Haensch | US | Somers | 2011-12-08 / 20110298025 - FINFET-COMPATIBLE METAL-INSULATOR-METAL CAPACITOR | 1 |
Theodor W. Haensch | DE | Muenchen | 2010-04-29 / 20100103413 - METHOD AND DEVICE FOR CAVITY ENHANCED OPTICAL VERNIER SPECTROSCOPY | 1 |
Wilfried Haensch | US | Armonk | 2016-01-28 / 20160027760 - 4D DEVICE, PROCESS AND STRUCTURE | 4 |
David Haenschke | DE | Altusried | 2015-12-10 / 20150352717 - APPARATUS FOR THE AUTOMATED REMOVAL OF WORKPIECES ARRANGED IN A CONTAINER | 8 |
Helmut Haensel | DE | Muehltal | 2015-10-22 / 20150301344 - LENS ELEMENT | 15 |
Andreas Haensel | DE | Leinfelden | 2014-10-30 / 20140320163 - METHOD FOR MONITORING A PUMP | 1 |
Frank Haensel | DE | Freiburg | 2014-10-02 / 20140294563 - CRYOGENIC LIQUID EXPANSION TURBINE | 1 |
Helmut Haensel | DE | Muehltal | 2015-10-22 / 20150301344 - LENS ELEMENT | 15 |
Wolfram Haensel | DE | Kronshagen | 2010-05-13 / 20100121059 - 5-PHENOXYALKOXYPSORALENS AND METHODS FOR SELECTIVE INHIBITION OF THE VOLTAGE GATED Kv1.3 POTASSIUM CHANNEL | 1 |
Rene Haensel | DE | Dorsten | 2014-02-27 / 20140057819 - USE OF BLOCK-COPOLYMERIC POLYALKYLENE OXIDES AS FRICTION REDUCERS IN SYNTHETIC LUBRICANTS | 5 |
Werner Haensel | DE | Lingenfeld | 2010-02-11 / 20100036043 - MOLDING COMPOUNDS WITH REDUCED ANISOTROPY REGARDING IMPACT RESISTANCE | 2 |
Wolfgang Haensel | DE | Muenchen | 2015-03-12 / 20150071322 - LASER WITH NON-LINEAR OPTICAL LOOP MIRROR | 2 |
Steven T. Haensgen | US | Mukwonago | 2010-12-30 / 20100328825 - SYSTEM AND METHOD FOR DETECTING A FAULT CONDITION | 1 |
Willi Haensli | CH | Horgen | 2011-07-21 / 20110173779 - HOSE CLAMPS | 1 |
Ilka Haenssgen | DE | Halle/saale | 2014-08-07 / 20140219959 - HUMAN FUSION PROTEINS COMPRISING INTERFERONS AND TARGETED MODIFIED UBIQUITIN PROTEINS | 3 |
Yan Haentjens | FR | Bois D'Arcy | 2014-01-16 / 20140018643 - SELF-CONTAINED SYSTEM SUITABLE FOR BEING INSERTED INTO AN ANATOMICAL CAVITY | 1 |
Geert Haentjens | AE | Jebel Ali | 2012-07-26 / 20120189785 - Grass Yarn | 1 |
Frederick C. Haer | US | Brunswick | 2015-01-22 / 20150025548 - Patient and Procedure Customized Fixation and Targeting Devices for Stereotactic Frames | 1 |
Lukas Haerder | DE | Bad Neustadt/saale | 2011-05-26 / 20110120465 - Inhaler and sieve for an inhaler | 1 |
Ali Haeri | US | Sunnyvale | 2010-12-02 / 20100303098 - AUTO CODE GENERATION TO GENERATE PACKET HEADER GENERATION LIBRARY FOR SWITCH DIAGNOSTICS | 1 |
Mitchell B. Haeri | US | Irvine | 2015-12-24 / 20150372168 - Photovoltaic Device For Generating Electrical Power Using Nonlinear Multi-Photon Absorption Of Incoherent Radiation | 2 |
Mitchell Haeri | US | Irvine | 2014-02-06 / 20140036369 - SACRIFICIAL LIMITER FILTER | 1 |
Mohammad Haeri | US | Syracuse | 2011-05-19 / 20110116046 - LED VARIABLE LIGHT SOURCE | 1 |
Azadeh Haeri | IR | Tehran | 2012-09-20 / 20120237471 - Poly(CPP-SA) Anhydride As A Reactive Barrier Matrix Against Percutaneous Absorption Of Toxic Chemicals | 1 |
Bobak Ha'Eri | US | Wayzata | 2013-04-25 / 20130101710 - Producing Infused Beverages Using Ultrasound Energy | 1 |
Bobak Ha'Eri | US | Minneapolis | 2010-09-09 / 20100224571 - ULTRASOUND BALLAST WATER TREATMENT DEVICE AND METHOD | 1 |
Walter Haering | DE | Schweinfurt | 2014-06-12 / 20140157648 - TELESCOPIC SIGHT MOUNT WITH ADJUSTABLE FORWARD TILT | 3 |
Adrian Haering | DE | Niestetal | 2015-10-01 / 20150280423 - METHOD AND DEVICE FOR PROTECTING SEVERAL STRINGS OF A PHOTOVOLTAIC GENERATOR FROM REVERSE CURRENTS | 3 |
Reto Haering | DE | Munich | 2010-11-25 / 20100296528 - FIBER LASER COMPRISING A RING-SHAPED RESONATOR | 1 |
Dietmar Haering | DE | Neu-Edingen | 2010-09-23 / 20100240923 - ENZYMATIC SYNTHESIS OF (METH)ACRYLIC ESTERS OF HYDROXY-FUNCTIONAL AROMAS | 5 |
Barbara Haering | DE | Waltenhofen | 2012-03-22 / 20120067622 - Protective Cover For A Flexible Printed Circuit Board | 1 |
Richard Haering | DE | Wallersdorf | 2016-02-04 / 20160032818 - WASTEGATE BALL-VALVE | 1 |
Hubert Haering | DE | Deuerling | 2013-08-22 / 20130213777 - TAP CHANGER | 1 |
Neils Haering | US | Reston | 2008-12-04 / 20080298636 - METHOD FOR DETECTING WATER REGIONS IN VIDEO | 1 |
Niels Haering | US | Reston | 2016-05-05 / 20160127699 - VIEW HANDLING IN VIDEO SURVEILLANCE SYSTEMS | 16 |
Niels Haering | US | Arlington | 2010-01-21 / 20100013926 - Video Surveillance System Employing Video Primitives | 1 |
Monika Haering | DE | Altoetting | 2010-01-07 / 20100003676 - THERMOSTABLE DNA POLYMERASE OF THE ARCHAEAL AMPULLAVIRUS ABV AND ITS APPLICATIONS | 1 |
Helmut Haering | DE | Reinheim | 2013-12-26 / 20130343088 - METHOD FOR PRODUCING LIGHT GUIDE BODIES AND USE THEREOF IN LIGHTING UNIT | 1 |
Juergen Haering | DE | Stuttgart | 2009-07-16 / 20090182485 - METHOD FOR REGULATING AN INTERNAL COMBUSTION ENGINE, COMPUTER PROGRAM AND CONTROL UNIT | 4 |
Carsten Haering | DE | Ahorn | 2016-02-11 / 20160040705 - CONNECTING ELEMENT AND CONNECTING ARRANGEMENT | 2 |
Sebastian Haering | DE | Wolfsburg | 2012-02-16 / 20120039085 - Vehicle Light | 2 |
Niels Haering | US | Reston | 2016-05-05 / 20160127699 - VIEW HANDLING IN VIDEO SURVEILLANCE SYSTEMS | 16 |
Rainer Haering | DE | Lauben | 2009-10-22 / 20090260325 - Packaging machine and method for packaging of products in bags | 2 |
Hans-Juergen Haering | DE | Waltenhofen | 2012-03-22 / 20120067622 - Protective Cover For A Flexible Printed Circuit Board | 1 |
Farzad Haerizadeh | US | San Diego | 2015-10-29 / 20150307901 - MOLECULAR BIOLOGY TOOLS FOR ALGAL ENGINEERING | 3 |
Andrew G. Haerle | US | Sutton | 2015-11-05 / 20150315441 - POLISHING SLURRY INCLUDING ZIRCONIA PARTICLES AND A METHOD OF USING THE POLISHING SLURRY | 9 |
Dieter Haerle | CA | Ottawa | 2013-01-03 / 20130003483 - WIDE FREQUENCY RANGE DELAY LOCKED LOOP | 1 |
Ann-Kathrin Haerle | US | 2015-02-12 / 20150044798 - Method for Producing an Optoelectronic Component | 1 | |
Jakob Johannes Haerle | US | 2015-02-12 / 20150044798 - Method for Producing an Optoelectronic Component | 1 | |
Andrew G. Haerle | US | Sutton | 2015-11-05 / 20150315441 - POLISHING SLURRY INCLUDING ZIRCONIA PARTICLES AND A METHOD OF USING THE POLISHING SLURRY | 9 |
Johanna Magdalena Haerle | DE | Deuerling | 2015-02-12 / 20150044798 - Method for Producing an Optoelectronic Component | 1 |
Volker Klaus Haerle | DE | Deuerling | 2015-02-12 / 20150044798 - Method for Producing an Optoelectronic Component | 1 |
Mark L. Haerle | US | Bloomington | 2009-04-02 / 20090088820 - MEDICAL DEVICE FUNCTION CONFIGURATION POST-MANUFACTURING | 1 |
Dieter Haerle | AT | Villach | 2014-03-27 / 20140084977 - Wide Frequency Range Delay Locked Loop | 11 |
Kaspar Haerle | DE | Ehingen | 2011-12-01 / 20110292654 - Lighting device having a plurality of light sources and a reflection arrangement and reflector unit | 1 |
Daniel Haerle | DE | Oberstadion-Moosbeuren | 2014-02-06 / 20140039189 - NOVEL PROCESS FOR THE PREPARATION OF ACYLGUANIDINES AND ACYLTHIOUREAS | 2 |
Klaus Haerle | DE | Hergensweiler | 2010-10-07 / 20100251831 - Load Cell for Sensing Supporting Forces in a Support Element | 1 |
Dieter Haerle | AT | Villach | 2014-03-27 / 20140084977 - Wide Frequency Range Delay Locked Loop | 11 |
Peter Haerle | DE | Walldorf | 2013-02-07 / 20130036115 - GENERIC FRAMEWORK FOR HISTORICAL ANALYSIS OF BUSINESS OBJECTS | 3 |
Volker Haerle | DE | Laaber | 2015-10-08 / 20150287883 - Composite Substrate, Semiconductor Chip Having a Composite Substrate and Method for Producing Composite Substrates and Semiconductor Chips | 4 |
Rainer Haerle | DE | Schwieberdingen | 2011-01-06 / 20110000258 - APPARATUS FOR SOLVENT RECOVERY | 1 |
Hans Peter Haerri | CH | Ettingen | 2012-07-05 / 20120167315 - Dyeing Auxiliary | 1 |
Rita Haerteis | DE | Ried-Kochel A. S. | 2012-09-06 / 20120225428 - TYPE OF UNIVERSAL PROBE FOR THE DETECTION OF GENOMIC VARIANTS | 2 |
Carlos Jimenez Haertel | DE | Munich | / - | 1 |
Heiko A. Haertel | US | Durham | 2009-11-05 / 20090276921 - Nucleic Acid Molecules Encoding Fatty Acid Desaturase Genes from Plants and Methods of Use | 1 |
Michael Haertel | US | Portland | 2011-01-13 / 20110010707 - VIRTUAL MACHINE DEVICE AND METHODS THEREOF | 1 |
Robbie A. Haertel | US | Sandy | 2015-07-02 / 20150186800 - Predictive Model Evaluation and Training Based on Utility | 1 |
Meiko Haertel | DE | Ennepetal | 2015-09-17 / 20150258618 - RING-SHAPED TOOL FOR PROCESSING A WORK PIECE | 1 |
Heiko A. Haertel | DE | Berlin | 2015-05-21 / 20150143584 - NUCLEIC ACID MOLECULES ENCODING POLYPEPTIDES INVOLVED IN REGULATION OF SUGAR AND LIPID METABOLISM AND METHODS OF USE VIII | 9 |
Michael Haertel | US | Sunnyvale | 2010-04-15 / 20100095085 - DMA Address Translation in an IOMMU | 2 |
Manfred Haertel | DE | Weilerbach | 2015-10-22 / 20150298828 - METHOD AND DEVICE FOR PRODUCING A CONTAINER FILLED WITH A LIQUID FILLING MATERIAL | 1 |
Michael J. Haertel | US | Portland | 2009-11-05 / 20090276573 - Transient Transactional Cache | 5 |
Jamie Haertel | DE | Berliln | 2013-10-17 / 20130276172 - Yield Increase in Plants Overexpressing the ACCDP Genes | 1 |
Carlos Jimenez Haertel | DE | Muenchen | 2009-07-30 / 20090191073 - MAGNETIC PUMPING MACHINES | 1 |
Geneva D. Haertel | US | Los Angeles | 2015-03-26 / 20150086960 - GUIDING CONSTRUCTION AND VALIDATION OF ASSESSMENT ITEMS | 1 |
Michael John Haertel | US | Portland | 2008-09-25 / 20080235485 - ECC implementation in non-ECC components | 1 |
Heiko Haertel | US | Durham | 2008-09-18 / 20080229452 - Sugar and lipid metabolism regulators in plants II | 1 |
Jamie Haertel | US | Durham | 2011-06-23 / 20110154531 - Yield Increase in Plants Overexpressing the MTP Genes | 3 |
Sven Haertel | DE | Hamburg | 2014-09-11 / 20140255137 - System for moving loads | 1 |
Carlos Haertel | DE | Munchen | 2009-12-17 / 20090311096 - METHOD AND APPARATUS FOR MEASURING AIR FLOW CONDITION AT A WIND TURBINE BLADE | 1 |
Claudia Haertel | DE | Wurmlingen | 2010-01-14 / 20100010536 - ADHESIVE COMPOSITIONS FOR USE IN SURGICAL THERAPY | 1 |
Heiko Haertel | DE | Berlin | 2011-09-01 / 20110214203 - SUGAR AND LIPID METABOLISM REGULATORS IN PLANTS II | 1 |
Heiko A. Haertel | DE | Berlin | 2015-05-21 / 20150143584 - NUCLEIC ACID MOLECULES ENCODING POLYPEPTIDES INVOLVED IN REGULATION OF SUGAR AND LIPID METABOLISM AND METHODS OF USE VIII | 9 |
Michael Haerter | DE | Ostfildern | 2015-02-26 / 20150053514 - COMPACT CONTROL DEVICE FOR FAILSAFELY CONTROLLING AN ELECTRICAL ACTUATOR | 2 |
Ralph Haerter | CH | Biel-Benken | 2010-01-21 / 20100016591 - SYNTHESIS OF 4-AMINO-PYRIMIDINES SCAFFOLDS | 1 |
Daniela Haerter | DE | Muenchen | 2014-01-02 / 20140002218 - ELECTROMAGNETIC ACTUATOR DEVICE | 1 |
Michael Haerter | DE | Leverkusen | 2009-07-23 / 20090186911 - SULPHOXIMINE-SUBSTITUTED QUINAZOLINE DERIVATIVES AS IMMUNO-MODULATORS, THEIR PREPARATION AND USE AS MEDICAMENTS | 2 |
Tobias Haerter | DE | Stuttgart | 2016-05-19 / 20160138706 - TRANSMISSION DEVICE FOR A MOTOR VEHICLE | 3 |
Martin Haerterich | DE | Wiesloch | 2016-05-12 / 20160132692 - SEARCHABLE ENCRYPTION FOR INFREQUENT QUERIES IN ADJUSTABLE ENCRYPTED DATABASES | 17 |
Daryl W. Haerther | US | Strasburg | 2014-08-28 / 20140238934 - SYSTEM AND METHOD FOR REMEDIATION OF WASTEWATER INCLUDING AEROBIC AND ELECTROCOAGULATION TREATMENT | 1 |
Margit Haerting | ZA | Mowbray | 2015-08-20 / 20150236140 - CURRENT SWITCHING TRANSISTOR | 1 |
Roland A. Haertl | US | Camas | 2015-08-06 / 20150216310 - COLLAPSIBLE CHAIR | 1 |
Andreas Haertl | DE | Munich | 2016-05-19 / 20160141406 - Semiconductor to Metal Transition | 3 |
Andreas Haertl | DE | Muenchen | 2015-11-26 / 20150340234 - METHOD FOR PROCESSING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 1 |
Hans-Georg Haertl | DE | Karlsruhe | 2016-03-03 / 20160059150 - SEALING CONFIGURATION WITH METAL-COATED STRUCTURE | 3 |
Thomas Haertl | DE | Beutelsbach | 2009-01-22 / 20090020375 - Disc Brake for a Commercial Vehicle | 1 |
Michael Haertl | DE | Traunstein | 2013-05-30 / 20130135874 - Lamp Housing | 1 |
Hans-Georg Haertl | DE | Waldbronn | 2014-10-16 / 20140305228 - Debris Filter for Fluidic Measurement with Recess Size Decreasing in Fluid Flow Direction | 3 |
Cameron Haery | US | Chicago | 2012-04-19 / 20120095395 - APPARATUS AND PROCESSES FOR APPLYING SUBSTANCES WITHIN MAMMALIAN TISSUE | 1 |
Cameron Haery | US | Highland Park | 2015-12-10 / 20150352334 - APPARATUS AND PROCESSES FOR APPLYING SUBSTANCES WITHIN MAMMALIAN TISSUE | 1 |
Alexander Haese | DE | Hamburg | 2012-10-18 / 20120264638 - CIRCULATING miRNAs AS NON-INVASIVE MARKERS FOR DIAGNOSIS AND STAGING IN PROSTATE CANCER | 1 |
Frank Haese | DE | Dietzenbach | 2012-10-18 / 20120264979 - PROCESS FOR PREPARING N,N-DIMETHYLAMINOETHOXYETHANOL | 7 |
Wilfried Haese | DE | Odenthal | 2013-01-31 / 20130029081 - HIGH SPEED DVDS | 7 |
Juergen Haese | DE | Kahla | 2014-07-24 / 20140202265 - METHOD FOR EMBEDDING A BIOLOGICAL SAMPLE IN A TRANSPARENT MATRIX FOR ANALYSIS USING SINGLE PLANE ILLUMINATION MICROSCOPY | 1 |
Larry Wayne Haese | US | San Antonio | 2011-03-03 / 20110052320 - GRAYWATER RECYCLING SYSTEM INCLUDING RAINWATER RECOVERY | 1 |
Jürgen Haese | DE | Kahla | 2011-04-28 / 20110094318 - METHOD FOR EMBEDDING A BIOLOGICAL SAMPLE IN A TRANSPARENT MATRIX FOR ANALYSIS USING SINGLE PLANE ILLUMINATION MICROSCOPY | 1 |
Frank Haese | DE | Bollingstedt | 2009-05-21 / 20090131722 - METHODS OF TREATING TRIETHANOLAMINE WITH ACID COMPONENTS AND BASIC COMPONENTS, AND TRIETHANOLAMINE COMPOSITIONS CONTAINING THE SAME | 2 |
Jürgen Haese | DE | Kahla | 2011-04-28 / 20110094318 - METHOD FOR EMBEDDING A BIOLOGICAL SAMPLE IN A TRANSPARENT MATRIX FOR ANALYSIS USING SINGLE PLANE ILLUMINATION MICROSCOPY | 1 |
Freddy Haesebrouck | BE | Knokke-Heist | 2012-02-02 / 20120027734 - METHOD FOR ALLEVIATING INTESTINAL PROBLEMS AND NOVEL BACTERIAL STRAINS THEREFOR | 2 |
Freddy Haesebrouck | BE | Merelbeke | 2013-02-28 / 20130052230 - SALMONELLA VACCINE | 1 |
Freddy Haesebrouck | BE | Heist-Aan-Zee | 2014-07-31 / 20140212454 - PREVENTION OF SALMONELLA RECRUDESCENSE | 1 |
Gertrud Haeseler | DE | Hannover | 2016-04-07 / 20160095823 - METHODS OF USING PROPOFOL DERIVATIVES FOR ANALGESIA | 2 |
Claus-Christian Haeselhoff | DE | Gladbeck | 2009-01-08 / 20090012286 - PROCESS FOR THE PREPARATION OF 17-(3-HYDROXYPROPYL)-17-HYDROXYSTEROIDS | 1 |
Claus Christian Haeselhoff | DE | Gelsenkirchen | 2008-12-04 / 20080300428 - USE OF alpha,beta -UNSATURATED CARBONYL COMPOUNDS AS QUENCH REAGENTS FOR THE BIRCH REDUCTION | 1 |
Kathleen Haeselin | US | Montrose | 2010-06-17 / 20100148941 - INDICATING ARTICLE, DEVICE AND METHOD | 1 |
Gottfried Wilhelm Haesemann | DE | Kurten | 2009-01-15 / 20090013659 - Apparatus and Method for Discontinuous Welding of Metallic Fibers, Method for Filtering Exhaust Gases and Exhaust-Gas Treatment Component | 2 |
Gottfried Wilhelm Haesemann | DE | Kuerten | 2013-08-22 / 20130213019 - EXHAUST GAS TREATMENT UNIT FOR AN EXHAUST GAS RECIRCULATION LINE AND INTERNAL COMBUSTION ENGINE AND MOTOR VEHICLE HAVING AN EXHAUST-GAS TREATMENT UNIT | 1 |
Gottfried Wilhelm Haesemann | DE | Kuerten-Bechen | 2015-12-31 / 20150377105 - METERING VALVE FOR ADDITIVES AT RISK OF FREEZING | 1 |
Frank Haesendonckx | DE | Hamburg | 2016-01-28 / 20160023397 - METHOD AND DEVICE FOR TRANSPORTING PREFORMS | 13 |
Christian Haeske | DE | Willich | 2013-01-03 / 20130006392 - SYSTEM AND METHOD FOR SYSTEM AUTOMATION BASED ON INTERPRETING A TREE SEQUENCE OF OPERATIONS | 1 |
Stephen C. Haeske | US | Allendale | 2015-11-12 / 20150321033 - AUTOMATED WILDFIRE PREVENTION AND PROTECTION SYSTEM FOR DWELLINGS, BUILDINGS, STRUCTURES AND PROPERTY | 2 |
David Haeske | US | Saint Cloud | 2014-12-25 / 20140379653 - METHODS AND APPARATUS FOR SYNCHRONIZING CLOSED HETEROGENOUS SYSTEMS | 1 |
Steve Craig Haeske | US | Allendale | 2011-01-27 / 20110017842 - Rotary Irrigation Sprinkler With A Turret Mounted Drive System | 1 |
Steve Haeske | US | Allendale | 2012-03-08 / 20120059277 - CORE BIOPSY DEVICE | 1 |
Robert L. Haeske | US | Milan | 2014-01-02 / 20140001811 - VEHICLE SEAT HEADREST ASSEMBLY HAVING VERTICAL AND LONGITUDINAL ADJUSTMENT | 3 |
Bernd Haesler | DE | Halle/westfalen | 2012-10-18 / 20120260491 - SETTING DEVICE, SUPPLY MODULE FOR THE SETTING DEVICE, AND A JOINING METHOD FOR CONNECTING AT LEAST TWO COMPONENTS | 2 |
Bernd Haesler | DE | Halle/westfahlen | 2011-12-01 / 20110290848 - SETTING DEVICE HAVING A MAGAZINE AND A PROVISIONING MODULE FOR JOINING ELEMENTS | 1 |
Jacques Haesler | CH | Morat | 2012-11-29 / 20120301631 - METHOD FOR PRODUCING A MICROFABRICATED ATOMIC VAPOR CELL | 2 |
Peter Ludwig Haesler | DE | Idstein | 2009-06-18 / 20090157033 - INDIVIDUALLY PACKAGED DISPOSABLE ABSORBENT ARTICLE | 1 |
Jacques Haesler | CH | Murten | 2012-10-11 / 20120256696 - DEVICE FOR AN ATOMIC CLOCK | 2 |
Juergen G. Haess | DE | Schoenaich | 2010-06-10 / 20100146027 - RESIDUE CALCULATION WITH BUILT-IN CORRECTION IN A FLOATING POINT UNIT | 1 |
Juergen Georg Haess | DE | Schoenaich | 2010-04-22 / 20100100578 - DISTRIBUTED RESIDUE-CHECKING OF A FLOATING POINT UNIT | 1 |
Juergen Haess | DE | Boeblingen | 2016-04-07 / 20160098249 - DECIMAL AND BINARY FLOATING POINT ARITHMETIC CALCULATIONS | 1 |
Juergen Haess | DE | Schoenaich | 2016-05-12 / 20160132390 - USING ERROR CORRECTING CODES FOR PARITY PURPOSES | 7 |
Timothy A. Haessler | US | Dubuque | 2014-11-27 / 20140345812 - Systems and Methods to Retain and Refeed Door Curtains | 1 |
Ludovic Haessler | FR | Vincennes | 2015-04-02 / 20150094011 - METHOD FOR SWITCHING A TERMINAL OVER FROM A FIRST RADIOCOMMUNICATIONS NETWORK TO A SECOND RADIOCOMMUNICATIONS NETWORK, CORRESPONDING COMPUTER PROGRAM PRODUCT, STORAGE MEANS AND TERMINAL | 2 |
Alanna Nicole Haessler | US | Appleton | 2015-08-06 / 20150216743 - Absorbent Article Having a Fastening System with Improved Flexibility | 1 |
Timothy A. Haessler | US | Duduque | 2014-10-16 / 20140305600 - SYSTEMS AND METHODS TO RETAIN AND REFEED DOOR CURTAINS | 1 |
Achim Haessler | DE | Waldenbuch | 2010-02-04 / 20100030968 - Methods of Cache Bounded Reference Counting | 1 |
Alexandra Haessler | US | Larkspur | 2014-10-02 / 20140296933 - DEVICES AND METHODS FOR STIMULATING NERVES | 5 |
Alanna Haessler | US | Appleton | 2015-01-29 / 20150032078 - ABSORBENT ARTICLE HAVING A FASTENING SYSTEM WITH A VISUAL CUE | 4 |
Ludovic Haessler | GB | Berkshire | 2010-12-23 / 20100322216 - Method for controlling the steering of the roaming of user equipment in a wireless telecommunication network | 1 |
Hans Walter Haesslin | DE | Grenzach-Wyhlen | 2008-10-09 / 20080248955 - Emulsifiable Concentrates Containing Adjuvants | 1 |
Michel Haesslin | DE | Grenzach-Wyhlen | 2008-10-09 / 20080248955 - Emulsifiable Concentrates Containing Adjuvants | 1 |
Angelika Haesslin | DE | Grenzach-Wyhlen | 2008-10-09 / 20080248955 - Emulsifiable Concentrates Containing Adjuvants | 1 |
Hans Walter Haesslin | CH | Muenchwilen | 2010-04-29 / 20100105556 - SUSPOEMULSIONS COMPRISING AN HPPD-INHIBITING AND A CHLORACETAMIDE HERBICIDE | 1 |
Anna Haesslin | DE | Grenzach-Wyhlen | 2008-10-09 / 20080248955 - Emulsifiable Concentrates Containing Adjuvants | 1 |
Angelika Haesslin | CH | Muenchwilen | 2010-04-29 / 20100105556 - SUSPOEMULSIONS COMPRISING AN HPPD-INHIBITING AND A CHLORACETAMIDE HERBICIDE | 1 |
Andreas Haesslin | DE | Grenzach-Wyhlen | 2008-10-09 / 20080248955 - Emulsifiable Concentrates Containing Adjuvants | 1 |
Juergen Haeufgloeckner | DE | Schneeberg | 2015-11-26 / 20150336200 - Welding Controller and Method for Controlling a Welding Operation | 8 |
Bernhard Haeupler | US | Boston | 2016-01-07 / 20160006676 - Method And Apparatus For Performing Finite Memory Network Coding In An Arbitrary Network | 2 |
Wolfgang Haeupler | DE | Rosenheim | 2015-10-22 / 20150303543 - RADIO-FREQUENCY BLOCKING FILTER | 1 |
Bernhard Haeupler | US | Pittsburgh | 2016-04-14 / 20160105323 - NODE IDENTIFICATION USING CLUSTERS | 1 |
Bernhard Haeupler | US | Mountain View | 2016-01-28 / 20160028679 - ERROR CORRECTION FOR INTERACTIVE MESSAGE EXCHANGES USING SUMMARIES | 2 |
Peter Haeuser | DE | Effeltrich | 2011-12-08 / 20110302461 - ERROR PATTERN IDENTIFICATION IN AN INSTALLED BASE OF SYSTEMS | 2 |
Anthony A. Haeuser | US | Aurora | 2014-09-25 / 20140289757 - SYSTEM AND METHOD FOR A HOUSEHOLD MOSAIC VIEWER | 3 |
Tony Haeuser | US | Aurora | 2015-09-03 / 20150249626 - System and Method of Delivering Notifications | 2 |
Karl Haeuser | DE | Beverungen | 2010-12-30 / 20100326512 - MULTI-LAYER SYSTEM WITH CONTACT ELEMENTS AND A METHOD FOR CONSTRUCTING A CONTACT ELEMENT FOR A MULTI-LAYER SYSTEM | 1 |
William W. Haeuser | US | Chaska | 2011-07-07 / 20110166999 - SYSTEM AND APPARATUS FOR SMART CARD PERSONALIZATION | 1 |
Isolde Haeuser-Hahn | DE | Leverkusen | 2015-08-06 / 20150216172 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUNDS FOR PLANT DISEASE CONTROL | 16 |
Isolde Haeuser-Hahn | DE | Leverkusen | 2015-08-06 / 20150216172 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUNDS FOR PLANT DISEASE CONTROL | 16 |
Darius Haeusler | DE | Northeim | 2013-03-28 / 20130078147 - LIQUID-TRANSPORT AND ANALYTICAL TEST DEVICE | 1 |
Olaf Haeusler | FR | Fletre | 2013-08-08 / 20130202691 - MODIFIED STARCH DERIVATIVE-BASED MATRIX FOR COLON TARGETING | 3 |
Marcus Haeusler | DE | Erlangen | 2011-11-03 / 20110266876 - POWER SUPPLY SYSTEM AND PHOTOVOLTAIC DEVICE THEREFOR | 1 |
Felix Haeusler | DE | Osnabrueck | 2012-01-19 / 20120015771 - TRANSMISSION UNIT HAVING A TRANSVERSAL DEGREE OF FREEDOM | 1 |
Klaus Haeusler | CH | Zurich | 2013-07-04 / 20130167620 - FLUID PROPERTIES MEASUREMENT DEVICE HAVING A SYMMETRIC RESONATOR | 1 |
Felix Haeusler | DE | Osnabruck | 2011-04-28 / 20110095569 - SUSPENSION DEVICE HAVING ACTIVE WATT LINKAGE | 10 |
Alfred Haeusler | DE | Meitingen | 2014-02-13 / 20140044979 - METHOD FOR PRODUCING A CERAMIC COMPONENT COMPOSED OF A PLURALITY OF JOINED PREFORMS AND COMPONENT OBTAINED BY THE METHOD | 2 |
Frank Haeusler | DE | Duesseldorf | 2016-03-03 / 20160060859 - STRUCTURE HAVING A STRENGTHENING ELEMENT MADE OF HIGH-STRENGTH CONCRETE FOR INCREASING PUNCHING SHEAR STRENGTH | 1 |
Alfred Haeusler | DE | Freising | 2013-10-24 / 20130280906 - SEMICONDUCTOR DEVICE INCLUDING A DEEP CONTACT AND A METHOD OF MANUFACTURING SUCH A DEVICE | 9 |
Alfred Haeusler | US | 2011-05-12 / 20110111553 - ADVANCED CMOS USING SUPER STEEP RETROGRADE WELLS | 1 | |
Alfred Haeusler | DE | Freising | 2013-10-24 / 20130280906 - SEMICONDUCTOR DEVICE INCLUDING A DEEP CONTACT AND A METHOD OF MANUFACTURING SUCH A DEVICE | 9 |
Conrad Haeussermann | DE | Sonnenbuehl | 2009-03-12 / 20090066128 - CARRIER ELEMENT | 2 |
Conrad Haeussermann | DE | Trochtelfingen | 2016-05-19 / 20160141769 - Device for attaching and contacting an electrical component and method for manufacturing the device | 3 |
Manfred Haeussler | DE | Karlsruhe | 2016-03-17 / 20160075528 - DEVICE FOR COMBINING AN AUXILIARY STACK WITH A MAIN STACK AND SHEET-FED PRINTING PRESS OR SHEET PUNCHING MACHINE HAVING THE DEVICE | 3 |
Christoph Haeussler | DE | Reutlingen | 2015-07-02 / 20150188238 - ANTENNA SYSTEM FOR BROADBAND SATELLITE COMMUNICATION IN THE GHz FREQUENCY RANGE, COMPRISING HORN ANTENNAS WITH GEOMETRICAL CONSTRICTIONS | 3 |
Thomas Haeussler | DE | Kuenzelsau-Vogelsberg | 2015-12-24 / 20150367847 - Method and Device for Swerve Assistance for a Motor Vehicle | 6 |
Bernd Haeussler | DE | Oehringen | 2010-11-25 / 20100294799 - DISPENSING DEVICE | 1 |
Matthias Haeussler | DE | Bad Lauchstaedt | 2010-05-27 / 20100129757 - SYNTHESIS OF ACYLARYLENES AND HYPERBRANCHED POLY(ACLARYLENE)S BY METAL-FREE CYCLOTRIMERIZATION OF ALKYNES | 3 |
Helmut Haeussler | DE | Aichtal | 2010-02-18 / 20100039780 - Electronic Device for a Vehicle Having a Printed Circuit B | 1 |
Jochen Haeussler | DE | Hermaringen | 2014-03-20 / 20140078482 - LITHOGRAPHIC PROJECTION OBJECTIVE | 2 |
Matthias Haeussler | DE | Nattheim | 2008-10-02 / 20080244623 - Method, System and Program Product For Dynamically Integrating Backend Systems Into A J2EE Application Server Environment | 1 |
Ralf Haeussler | DE | Dresden | 2008-09-11 / 20080219301 - Sweep Speed Compensation | 1 |
Gerd Haeussler | DE | Giengen | 2011-10-13 / 20110248204 - VALVE ARRANGEMENT | 1 |
Rolf Haeussler | DE | Oestrich-Winkel | 2012-10-04 / 20120251700 - FOOD ADDITIVE | 3 |
Jean-Francois Haeuw | FR | Beaumont | 2014-07-10 / 20140193329 - ANTI-CD151 ANTIBODIES AND THEIR USE IN THE TREATMENT OF CANCER | 9 |
Jean-François Haeuw | FR | Beaumont | 2013-11-14 / 20130303734 - NOVEL HOMOGENEOUS HUMANIZED ANTIPROLIFERATION ANTIBODIES | 5 |
Jean-Francois Haeuw | FR | St. Julien En Genevois | 2012-08-16 / 20120208721 - NOVEL ANTI-IGF-IR AND/OR ANTI-INSULIN/IGF-I HYBRID RECEPTORS ANTIBODIES AND USES THEREOF | 2 |
Jean-Francois Haeuw | FR | Beaumont | 2014-07-10 / 20140193329 - ANTI-CD151 ANTIBODIES AND THEIR USE IN THE TREATMENT OF CANCER | 9 |
Martijn Haex | NL | Waalwijk | 2012-03-15 / 20120061873 - Thermoforming Device with a Plurality of Cutting Lines | 1 |
April J. A. Hafalia | US | Dale City | 2009-11-05 / 20090274680 - Human Phospholipases | 1 |
April J. A. Hafalia | US | Daly City | 2012-01-19 / 20120014937 - Human Beta-Adrenergic receptor kinase polypeptide and methods | 1 |
April J.a. Hafalia | US | Daly City | 2013-03-07 / 20130058942 - HUMAN BETA-ADRENERGIC RECEPTOR KINASE POLYPEPTIDE AND METHODS | 2 |
April J. A. Hafalia | US | Santa Clara | 2014-02-27 / 20140056906 - SECRETED PROTEINS | 2 |
April J. A. Hafalia | US | Pacifica | 2014-12-04 / 20140356342 - HUMAN BETA-ADRENERGIC RECEPTOR KINASE POLYPEPTIDE AND METHODS | 1 |
Jane E. Hafdal | US | Alexandria | 2012-11-15 / 20120284972 - Belt Buckle Accessory | 1 |
Bill Hafdal | US | Alexandria | 2011-01-20 / 20110015001 - Clip-On Golf Ball Tool | 1 |
Nadia Hafdi | FR | Illkirch | 2010-11-25 / 20100297756 - MEANS FOR DELIVERY OF NUCLEIC ACIDS ACTIVE FOR GENE SILENCING USING SYNTHETIC POLYMERS | 1 |
Mohamed M. Hafed | CA | Montreal | 2011-06-30 / 20110161755 - Methods of Parametric Testing in Digital Circuits | 3 |
Abdulfauf Hafeez | US | Cary | 2010-07-01 / 20100167717 - COORDINATED MULTIPOINT WIRELESS COMMUNICATION | 1 |
Abdulrauf Hafeez | US | Cary | 2013-08-01 / 20130194942 - Method and Arrangement in a Wireless Communication System | 14 |
Mohammad Adil Hafeez | US | Redmond | 2014-06-26 / 20140177966 - DISCOVERING AUTHORITATIVE IMAGES OF PEOPLE ENTITIES | 1 |
Lusman Hafeez | US | Chicago | 2014-12-11 / 20140365331 - SYSTEM AND METHOD FOR PROVIDING WEB-BASED USER FEEDBACK | 1 |
Usman Hafeez | US | Chicago | 2016-02-25 / 20160055551 - SYSTEM AND METHOD FOR PROVIDING WEB-BASED USER FEEDBACK | 5 |
Faran Hafeez | CA | Mississauga | 2015-07-16 / 20150198627 - SYSTEM AND METHOD FOR SPEED SENSOR POSITION DETECTION IN A MULTIPLE CHANNEL CONTROL SYSTEM | 1 |
Kurt F. Hafeken, Sr. | US | Elida | 2009-05-14 / 20090120469 - Behind Sink Dishwasher | 1 |
Paul B. Hafeli | US | Ventura | 2013-07-25 / 20130186942 - GOLD REMOVAL FROM ELECTRONIC COMPONENTS | 2 |
Paul Brian Hafeli | US | Ventura | 2012-08-02 / 20120195015 - SYSTEM AND METHOD FOR SECURING A SEMICONDUCTOR DEVICE TO A PRINTED WIRE BOARD | 1 |
Reinhard Hafellner | AT | Spielberg | 2016-04-28 / 20160114562 - THREE-DIMENSIONAL STRUCTUREAL MEMBER FORMED BY A SANDWICH STRUCTURE WITH FOAM CORE BETWEEN METALLIC LAYERS | 3 |
Martin Hafellner | AT | Murzhofen | 2013-10-31 / 20130288544 - COST OPTIMIZED CELL VOLTAGE TAP-OFF CONNECTION | 1 |
Richard Hafellner | AU | St. Margarethen | 2010-07-22 / 20100183477 - Methods and apparatus for analyzing an analysis fluid | 1 |
Dean G. Hafeman | US | Hillborough | 2008-11-20 / 20080285036 - Determination of Light Absorption Pathlength in a Vertical-Beam Photometer | 1 |
Dean G. Hafeman | US | Hillsborough | 2009-03-19 / 20090071834 - Methods and Devices for Concentration and Fractionation of Analytes for Chemical Analysis Including Matrix-Assisted Laser Desorption/Ionization (MALDI) Mass Spectrometry (MS) | 1 |
Andrea E. Hafeman | US | Hillsborough | 2011-02-17 / 20110038946 - RELEASE OF ANTIBIOTIC FROM INJECTABLE, BIODEGRADABLE POLYURETHANE SCAFFOLDS FOR ENHANCED BONE FRACTURE HEALING | 1 |
Joseph E. Hafeman | US | Holliston | 2009-04-30 / 20090113518 - Method for Establishing a Person as a User in a System | 1 |
Dean Hafeman | US | Hillsborough | 2010-06-03 / 20100133098 - Methods and Devices for Concentration and Purification of Analytes for Chemical Analysis Including Matrix-Assisted Laser Desportion/Ionization (MALDI) Mass Spectrometry (MS) | 1 |
Andrea Hafeman | US | Hillsborough | 2013-11-07 / 20130295081 - Polyurethane Composite for Wound Healing and Methods Thereof | 1 |
Andrea E. Hafeman | US | Nashville | 2010-03-18 / 20100068171 - INJECTABLE BONE/POLYMER COMPOSITE BONE VOID FILLERS | 2 |
Daniel R. Hafeman | US | Sunnyvale | 2010-01-21 / 20100017777 - Method and apparatus for synthesizing a hardware system from a software | 1 |
Carolyn W. Hafeman | US | Evergreen | 2016-02-04 / 20160037319 - Communication Apparatus, System and Method | 3 |
Andrea E. Hafeman | US | Los Angeles | 2011-09-29 / 20110236501 - INJECTABLE DUAL DELIVERY ALLOGRAPH BONE/POLYMER COMPOSITE FOR TREATMENT OF OPEN FRACTURES | 1 |
Thomas Hafemeister | DE | Hamburg | 2010-02-25 / 20100045874 - INTERMEDIATE FREQUENCY PROCESSING DEVICE FOR PROCESSING BOTH ANALOGUE AND DIGITAL TELEVISION INTERMEDIATE FREQUENCY SIGNALS | 1 |
Jens Hafemeister | DE | Neustrelitz | 2011-02-17 / 20110039180 - FUEL CELL STACK, AND METHOD FOR THE PRODUCTION OF A FUEL CELL STACK | 6 |
Gary H. Hafemeister | US | Plymouth | 2009-05-07 / 20090114578 - Suction Filter for an Automatic Transmission | 1 |
Brian Hafen | US | Draper | 2013-11-28 / 20130317266 - OIL PRODUCTION SYSTEM AND METHODS | 1 |
Daniel Hafen | DE | Hausen Ob Verena | 2012-01-12 / 20120006662 - ELECTRICAL SWITCH | 1 |
Ryan Hafen | US | West Richland | 2013-01-31 / 20130031041 - Forecasting Hotspots using Predictive Visual Analytics Approach | 1 |
Coby Don Hafen | US | Herriman | 2013-06-20 / 20130159078 - Method and System for Administering a Bank Rewards Program | 1 |
Ryan P. Hafen | US | West Richland | 2013-12-26 / 20130345999 - Methods and Apparatus of Analyzing Electrical Power Grid Data | 1 |
Thomas Hafen | DE | Salem | 2015-02-05 / 20150039182 - METHOD FOR DECOUPLING A POWER TAKE-OFF OF A MOTOR VEHICLE TRANSMISSION WHILE DRIVING A MOTOR VEHICLE | 4 |
Daniel B. Hafen | US | Frisco | 2015-02-05 / 20150034003 - IMPACT INDICATOR | 2 |
Doris Hafenbradl | DE | Pullach | 2009-08-06 / 20090196912 - Pyridinylamines | 2 |
James T. Hafendorfer | US | Louisville | 2009-03-26 / 20090081012 - System and Method for Securing Lift Gate | 1 |
Joseph L. Hafenrichter | US | Seattle | 2015-10-22 / 20150303586 - MODULAR ANTENNA ASSEMBLY | 13 |
Joseph L. Hafenrichter | US | Seattle | 2015-10-22 / 20150303586 - MODULAR ANTENNA ASSEMBLY | 13 |
Joseph L. Hafenrichter | US | Redmond | 2008-12-25 / 20080315869 - MAGNETIC INDEXER FOR HIGH ACCURACY HOLE DRILLING | 1 |
Michael Hafer | US | Highlands Ranch | 2009-10-22 / 20090265272 - MONEY TRANSFERS UTILIZING A UNIQUE RECEIVER IDENTIFIER | 1 |
Kevin Hafer | US | Scottsdale | 2014-07-03 / 20140183274 - ACTIVATING VOLATILE DISPENSING DEVICES | 6 |
Thomas Frederick Hafer | US | Arlington | 2015-01-22 / 20150020678 - Ultra Light Bar Armor | 1 |
Mike Hafer | US | Highlands Ranch | 2009-01-01 / 20090006183 - METHODS AND SYSTEMS FOR CUSTOMIZED COUPON GENERATION | 1 |
Brian Hafer | US | Lafayette | 2009-03-26 / 20090083417 - METHOD AND APPARATUS FOR TRACING USERS OF ONLINE VIDEO WEB SITES | 1 |
Gregory Scott Hafer | US | Roswell | 2009-04-16 / 20090094729 - Patch For Securing A Surgical Gown Tie | 1 |
Laurie Jean Hafer | US | East Fallowfield | 2009-09-03 / 20090220965 - METHODS FOR PROGNOSING THE RECURRENCE OF GASTROINTESTINAL AND OTHER CANCERS USING THE SHC PROTEINS | 1 |
Kevin G. Hafer | US | Douglassville | 2014-02-20 / 20140049274 - SYSTEM FOR MEASURING MATERIAL LEVELS USING CAPACITANCE AND TIME DOMAIN REFLECTOMETRY SENSORS | 5 |
John Hafer | US | Winchester | 2010-02-25 / 20100047067 - SYSTEM AND METHODS FOR ADAPTIVE BLADE CONTROL SURFACE ADJUSTMENT | 1 |
William Hafer | US | College Station | 2012-07-26 / 20120187243 - Unmanned aerial vehicle(UAV) recovery system | 1 |
Kevin Hafer | US | Chandler | 2012-04-19 / 20120091218 - METHOD FOR THE PRODUCTION OF LOW VOC FRAGRANCED HYDROGEL SPHERES HAVING IMPROVED OPTICAL CLARITY | 8 |
Thomas F. Hafer | US | Arlington | 2012-03-15 / 20120060677 - VEHICLE PROTECTION METHOD | 2 |
Kevin J. Hafer | US | Woodinville | 2011-01-13 / 20110010632 - COMPUTER INTERCONNECTION SYSTEM | 1 |
Jason P. Hafer | US | Gaines | 2012-05-03 / 20120109408 - ELECTRICAL VEHICLE RANGE PREDICTION | 2 |
Kurt M. Hafer | US | Los Angeles | 2011-03-17 / 20110065131 - ASSAYS FOR MUTAGENESIS DETECTION | 1 |
Kevin Hafer | US | Chandler | 2012-04-19 / 20120091218 - METHOD FOR THE PRODUCTION OF LOW VOC FRAGRANCED HYDROGEL SPHERES HAVING IMPROVED OPTICAL CLARITY | 8 |
Dax Hafer | US | Indianapolis | 2014-10-02 / 20140298096 - LOW POWER TEST SIGNAL GENERATOR FOR MEDICAL EQUIPMENT | 2 |
Torsten Haferlach | DE | Munich | 2009-05-07 / 20090118132 - Classification of Acute Myeloid Leukemia | 1 |
Torsten Haferlach | DE | Inning | 2015-01-22 / 20150024948 - METHOD FOR DETECTING BALANCED CHROMOSOMAL ABERRATIONS IN A GENOME | 2 |
Claudia Haferlach | DE | Inning | 2015-01-22 / 20150024948 - METHOD FOR DETECTING BALANCED CHROMOSOMAL ABERRATIONS IN A GENOME | 2 |
Nikolaus Hafermaas | US | Los Angeles | 2012-03-08 / 20120055342 - Dispensing System and Method | 1 |
Jörg Hafermaas | DE | Erlangen | 2010-03-11 / 20100059245 - GROUNDING APPARATUS FOR GROUNDING OF ELECTRICAL PARTS WHICH ARE INSTALLED IN A ROW | 1 |
Jörg Hafermaas | DE | Erlangen | 2010-03-11 / 20100059245 - GROUNDING APPARATUS FOR GROUNDING OF ELECTRICAL PARTS WHICH ARE INSTALLED IN A ROW | 1 |
Jens Hafermalz | DE | Waeschenbeuren | 2016-04-07 / 20160097424 - STEERING GEAR | 8 |
Jens-Uwe Hafermalz | DE | Waeschenbeuren | 2012-05-24 / 20120125132 - Bearing system for a worm in a steering gear | 2 |
Daniel Charles Haferman | US | Marblehead | 2013-09-05 / 20130229074 - Rotor Assembly Having Ball Nut Cartridge | 1 |
Steven Hafernik | US | Houston | 2015-05-21 / 20150136412 - RISER TENSIONING SYSTEM | 2 |
Steven M. Hafernik | US | Houston | 2016-01-28 / 20160024853 - DEFLECTION ABSORBING TENSIONER FRAME | 1 |
Robert Hafernik | US | Austin | 2014-09-18 / 20140277768 - METHODS AND SYSTEMS FOR REMOTELY MONITORING AND CONTROLLING HVAC UNITS | 1 |
Hooman Hafesi | US | Redwood City | 2012-03-08 / 20120059257 - Ingestible Event Markers Comprising an Ingestible Component | 1 |
Christopher E. Hafey | US | Edina | 2012-11-08 / 20120284657 - USER INTERFACE FOR PROVIDING CLINICAL APPLICATIONS AND ASSOCIATED DATA SETS BASED ON IMAGE DATA | 1 |
Paul D. Hafey | US | Victor | 2008-10-23 / 20080260845 - MULTIPLE ACTIVE DRUG RESIN CONJUGATE | 1 |
Thomas V. Hafey | US | Torrington | 2009-08-06 / 20090195132 - ADJUSTABLE PIVOTING PANEL DISPLAY AND/OR STORAGE SYSTEM WITH ADJACENT PANEL NON-INTERFERENCE FEATURE | 1 |
Moustapha Hafez | FR | Arcueil | 2015-06-11 / 20150162853 - ACTUATOR FOR AN ULTRASONIC MOTOR AND ULTRASONIC MOTOR COMPRISING AT LEAST ONE SUCH ACTUATOR | 9 |
Alaaeldin M. Hafez | SA | Riyadh | 2011-12-01 / 20110296193 - CODE-BASED HASHING FOR MESSAGE AUTHENTICATION CODES | 1 |
Tareq Hafez | AU | Marsfield | 2009-05-21 / 20090132690 - On-Demand Download Network | 1 |
Moustapha Hafez | FR | Paris | 2010-02-11 / 20100031803 - TACTILE OR HAPTIC DEVICE, AND A MUSICAL KEYBOARD WITH AT LEAST ONE SUCH SIMULATION DEVICE | 4 |
Yaser A. Hafez | SA | Riyadh | 2015-02-05 / 20150036718 - METHOD FOR DETECTING THERMAL EMISSIONS OF THE NEW MOON | 1 |
Mahmoud A. Hafez | EG | Cairo | 2015-07-02 / 20150182342 - Patient Specific Instruments and Related Methods for Joint Replacement | 2 |
Basil Hafez | US | New York | 2012-09-27 / 20120246588 - CROSS MARKETING TOOL | 2 |
Walid M. Hafez | US | Portland | 2016-04-21 / 20160111449 - HIGH VOLTAGE THREE-DIMENSIONAL DEVICES HAVING DIELECTRIC LINERS | 33 |
Walid M. Hafez | US | Portland | 2016-04-21 / 20160111449 - HIGH VOLTAGE THREE-DIMENSIONAL DEVICES HAVING DIELECTRIC LINERS | 33 |
Moustapha Hafez | FR | Arcueil | 2015-06-11 / 20150162853 - ACTUATOR FOR AN ULTRASONIC MOTOR AND ULTRASONIC MOTOR COMPRISING AT LEAST ONE SUCH ACTUATOR | 9 |
Hisham Mohamed Hafez | CA | London | 2016-03-10 / 20160068794 - INTEGRATED SYSTEM FOR HYDROGEN AND METHANE PRODUCTION FROM INDUSTRIAL ORGANIC WASTES AND BIOMASS | 5 |
Ismail Hafez | CA | Vancouver | 2012-11-01 / 20120276209 - NUCLEIC ACID-CONTAINING LIPID PARTICLES AND RELATED METHODS | 1 |
Amr N. Hafez | EG | Cairo | 2014-05-22 / 20140139839 - Self Calibration for mirror positioning in Optical MEMS Interferometers | 2 |
Zachary Hafez | US | Columbia | 2012-08-30 / 20120221059 - CERVICAL SPINE CLAMP | 3 |
Mostafa Hafez | EG | Heliopolis | 2016-01-07 / 20160001187 - MULTI-PLATFORM SYSTEM AND METHODS | 2 |
Marjan Hafezi | US | Redwood City | 2010-08-12 / 20100201526 - Pregnancy Belt | 1 |
Hooman Hafezi | US | San Francisco | 2016-01-28 / 20160022187 - ON-BODY MICROSENSOR FOR BIOMONITORING | 3 |
Jonathan Hafezi | US | Charlotte | 2013-09-19 / 20130244769 - FINANCIAL MANAGEMENT SYSTEM AND METHOD FOR A NETWORKED GAMING SYSTEM | 2 |
Jonathan K. Hafezi | US | Charlotte | 2013-09-19 / 20130244771 - NETWORKED GAMING SYSTEM METHOD AND APPARATUS | 1 |
Jonathan Khashayar Hafezi | US | Charlotte | 2015-02-26 / 20150057087 - NETWORKED GAMING SYSTEM AND METHOD | 4 |
Mohammad Hafezi | US | Washington | 2014-10-30 / 20140321502 - OPTICAL TEMPERATURE SENSOR AND USE OF SAME | 3 |
Hooman Hafezi | US | Fremont | 2011-10-27 / 20110259750 - METHOD OF DIRECT PLATING OF COPPER ON A RUTHENIUM ALLOY | 1 |
Hooman Hafezi | US | Redwood City | 2015-12-17 / 20150361234 - HIGHLY-SWELLABLE POLYMERIC FILMS AND COMPOSITIONS COMPRISING THE SAME | 47 |
Sina Hafezi | GB | Willesden | 2015-04-30 / 20150117685 - SYSTEM AND METHOD FOR AUTONOMOUS MULTI-TRACK AUDIO PROCESSING | 1 |
Farhad Hafezi | CH | Vesenaz | 2016-05-05 / 20160120979 - METHOD OF APPLYING A COMPOSITION AND PHARMACEUTICAL COMPOSITION WITH A REGIMEN OF ADMINISTERING IT | 2 |
Faramarz Hafezi | US | Wake Forest | 2009-08-20 / 20090207609 - LED Socket and Replaceble LED Assemblies | 1 |
Rameh Hafezi | US | Franklin Park | 2010-09-16 / 20100233172 - METHODS OF INHIBITING QUIESCENT TUMOR PROLIFERATION | 2 |
Allen F. Hafezipour | US | Bothell | 2014-08-28 / 20140245437 - SANDBOXED EXECUTION OF PLUG-INS | 2 |
Alicia Jordan Haff | US | San Antonio | 2014-09-25 / 20140289147 - SYSTEM AND METHOD FOR CALCULATING EMPLOYEE ELIGIBILITY OF HEALTH CARE BENEFITS | 1 |
Olle Haff | SE | Umea | 2015-02-26 / 20150058871 - Distance-Based Rendering of Media Files | 6 |
Maurice W. Haff | US | Easton | 2015-11-19 / 20150334066 - METHOD AND APPARATUS FOR DATA FILE TRANSFER USING DESTINATION LINKED DIRECTORIES | 7 |
Lawrence A. Haff | US | Westborough | 2013-04-04 / 20130084561 - BIODETECTION BY NUCLEIC ACID-TEMPLATED CHEMISTRY | 3 |
Olle Haff | SE | Linkoping | 2009-08-06 / 20090197524 - INTELLIGENT INTERACTION BETWEEN DEVICES IN A LOCAL NETWORK | 1 |
Maurice Haff | US | Daytona Beach | 2013-09-19 / 20130242515 - DISPLAY DEVICE MOUNTABLE ON AN AUTOMOTIVE VEHICLE, AND METHOD | 3 |
Maurice Haff | US | Bethesda | 2011-10-27 / 20110260440 - DISPOSABLE CUP WITH INTERNAL AND EXTERNAL FLUID LEVEL INDICATORS AND METHOD | 1 |
Maurice Haff | US | Edmond | 2014-04-24 / 20140111323 - DISPLAY DEVICE MOUNTABLE ON AN AUTOMOTIVE VEHICLE AND METHOD | 3 |
Ousama Haffar | US | San Jose | 2012-10-04 / 20120254308 - Apparatus and Method for Augmenting Digital Educational Content with Social Learning Tools | 1 |
Keith N. Haffer | US | Garretson | 2016-02-25 / 20160051668 - METHODS FOR IMPROVING IMMUNOLOGICAL RESPONSE IN VACCINATED ANIMALS | 6 |
Andreas Haffert | DE | Gusterloh | 2009-05-07 / 20090113868 - Harvester having a transfer means | 1 |
Andreas Haffert | DE | Gutersloh | 2009-05-07 / 20090113866 - Harvester having an adjustable transfer means | 1 |
Andreas Haffert | DE | Guetersloh | 2009-12-17 / 20090312920 - Agricultural harvesting machine | 1 |
Kyle Haffey | US | Omaha | 2014-10-02 / 20140297519 - AUTOMATIC RESTITUTION OF TRANSACTION FEES, PUNISHMENT OF NON-PAYING BIDDERS, AND MANAGEMENT OF APPEALS | 2 |
Paco Haffmans | DE | Stuttgart | 2008-08-28 / 20080204308 - Radar Sensor | 1 |
Paco Haffmans | DE | Boeblingen | 2009-02-12 / 20090038873 - Method And Device For Controlling Automatic Emergency Braking | 1 |
Philipp Haffmans | DE | Berlin | 2008-11-13 / 20080278677 - Spectacle Frame Comprising a Joint for Bending the Spectacle Bows | 1 |
Daniel Haffmans | DE | Berlin | 2012-12-06 / 20120307197 - EYEGLASS JOINT AND EYEGLASS FRAME | 2 |
Paco Haffmans | DE | Boeblinger | 2010-04-22 / 20100097200 - Method and device for identifying and classifying objects | 1 |
Phillipp Haffmans | DE | Berlin | 2012-12-06 / 20120307197 - EYEGLASS JOINT AND EYEGLASS FRAME | 1 |
Crosby Haffner | US | Glendale | 2014-12-25 / 20140379864 - Intermediary Content Provider | 9 |
Stefan Haffner | DE | Hockenheim | 2014-12-04 / 20140359594 - REPOSITORY LAYER STRATEGY ADAPTATION FOR SOFTWARE SOLUTION HOSTING | 6 |
Patrick Haffner | US | Atlantic Highland | 2016-04-14 / 20160105462 - Systems and Methods for Rule-Based Anomaly Detection on IP Network Flow | 3 |
David S. Haffner | US | Mission Viejo | 2016-02-18 / 20160045363 - IMPLANTS WITH CONTROLLED DRUG DELIVERY FEATURES AND METHODS OF USING SAME | 15 |
Patrick Guy Haffner | US | Atlantic Highlands | 2015-07-02 / 20150186747 - METHOD AND SYSTEM FOR CLASSIFYING IMAGE ELEMENTS | 10 |
Patrick Guy Haffner | US | Atlantic Heights | 2014-11-27 / 20140350915 - On-Demand Language Translation for Television Programs | 2 |
Mark Haffner | US | Wantagh | 2016-03-03 / 20160059322 - SECOND STAGE DRILL BIT | 1 |
David Haffner | US | Mission Viejo | 2015-08-13 / 20150223981 - COMBINED TREATMENT FOR CATARACT AND GLAUCOMA TREATMENT | 16 |
Martin Haffner | DE | Friedrichshafen | 2011-03-17 / 20110061524 - HYDRAULIC MODULE | 1 |
Ken Yves Haffner | CH | Baden | 2016-02-18 / 20160047769 - STEAM WETNESS MEASUREMENT DEVICE | 9 |
Peter Haffner | DE | Barntrup | 2015-05-07 / 20150123419 - BODY SUPPORTING STRUCTURE FOR A VEHICLE BODY | 1 |
Robin Haffner | US | New York | 2011-07-07 / 20110167084 - SYSTEMS AND METHODS FOR PROVIDING ADVANCED INFORMATION SEARCHING IN AN INTERACTIVE MEDIA GUIDANCE APPLICATION | 2 |
Patrick Haffner | US | Atlantic Heights | 2014-12-04 / 20140358537 - System and Method for Combining Speech Recognition Outputs From a Plurality of Domain-Specific Speech Recognizers Via Machine Learning | 1 |
Patrick Haffner | US | Atlantic Highlands | 2016-03-17 / 20160078869 - SYSTEM AND METHOD FOR DYNAMIC FACIAL FEATURES FOR SPEAKER RECOGNITION | 18 |
Jean-Christian M. Haffner | US | Houston | 2015-07-16 / 20150197907 - METHOD OF FORMING A MUDLINE CELLAR FOR OFFSHORE ARCTIC DRILLING | 1 |
Curt Dale Haffner | US | Durham | / - | 1 |
David S. Haffner | US | Carthage | 2014-09-25 / 20140283309 - Spring Core Having Border Wire With Generally Rectangular Cross-Section | 5 |
Matthew Troy Haffner | US | Honea Path | 2011-07-21 / 20110176923 - SEAL PLATE AND BUCKET RETENTION PIN ASSEMBLY | 1 |
Henning Haffner | US | Pawling | 2014-12-04 / 20140353757 - Integrated Circuits and Methods of Design and Manufacture Thereof | 20 |
Patrick Haffner | US | Atlantic Highlands | 2016-03-17 / 20160078869 - SYSTEM AND METHOD FOR DYNAMIC FACIAL FEATURES FOR SPEAKER RECOGNITION | 18 |
Patrick Guy Haffner | US | Atlantic Highlands | 2015-07-02 / 20150186747 - METHOD AND SYSTEM FOR CLASSIFYING IMAGE ELEMENTS | 10 |
Crosby Haffner | US | Glendale | 2014-12-25 / 20140379864 - Intermediary Content Provider | 9 |
David S. Haffner | US | Mission Viejo | 2016-02-18 / 20160045363 - IMPLANTS WITH CONTROLLED DRUG DELIVERY FEATURES AND METHODS OF USING SAME | 15 |
Ken Yves Haffner | CH | Baden | 2016-02-18 / 20160047769 - STEAM WETNESS MEASUREMENT DEVICE | 9 |
David Steven Haffner | US | Mission Viejo | 2016-04-14 / 20160100983 - OCULAR SYSTEM WITH ANCHORING IMPLANT AND THERAPEUTIC AGENT | 3 |
Michael Christoph Haffner | US | Baltimore | 2014-02-06 / 20140038183 - 5-HYDROXYMETHYLCYTOSINE IN HUMAN CANCER | 1 |
Bruce G. Haffty | US | New Brunswick | 2013-08-15 / 20130210872 - COMBINATION THERAPY USING RILUZOLE TO ENHANCE TUMOR SENSITIVITY TO IONIZING RADIATION | 1 |
Bruce G. Haffty | US | Somerset | 2012-03-08 / 20120058901 - ASSAY FOR THE DETECTION OF RECURRENCE IN BREAST CANCER USING THE NOVEL TUMOR SUPPRESSOR DEAR1 | 1 |
Hisham Hafi | IL | Akko | 2014-10-02 / 20140298140 - APPARATUS AND METHOD FOR IMPLEMENT A MULTI-LEVEL MEMORY HIERARCHY | 1 |
Abdelhakim Hafid | CA | Laval | 2011-02-03 / 20110026398 - Dynamic Traffic Rearrangement to Enforce Policy Change in MPLS Networks | 2 |
Daniel Hafid | US | Newport Beach | 2014-11-13 / 20140335537 - DEVICES FOR PERFORMING COLORIMETRIC ASSAY WITH PLUCKED HUMAN HAIR | 1 |
Tair Hafid | IT | Ceggia | 2014-01-16 / 20140017353 - BLOW MOULD | 1 |
Abdelhakim Hafid | US | North Plainfield | 2014-01-16 / 20140016652 - DYNAMIC TRAFFIC REARRANGEMENT AND RESTORATION FOR MPLS NETWORKS WITH DIFFERENTIATED SERVICES CAPABILITIES | 2 |
Abouaissa Hafid | FR | Colmar | 2009-03-19 / 20090073893 - Routing Method in an Ad Hoc Network | 1 |
Sitti Rahma Abdul Hafid | MY | Kajang | 2012-02-02 / 20120029068 - TRANSDERMAL FLUID | 1 |
Basim Hafidh | QA | Doha | 2015-10-22 / 20150301643 - PLUG AND PLAY TANGIBLE USER INTERFACE SYSTEM | 1 |
Abdeslam Hafidi | US | Cupertino | 2013-10-24 / 20130278140 - ELECTRODELESS PLASMA LAMP UTILIZING ACOUSTIC MODULATION | 13 |
Omar Hafiz | US | San Diego | 2015-08-27 / 20150244385 - CIRCUIT INTERFACING SINGLE-ENDED INPUT TO AN ANALOG TO DIGITAL CONVERTER | 1 |
Jami Hafiz | US | Minneapolis | 2013-03-21 / 20130073042 - Medical Implants With Increased Hydrophilicity | 6 |
Rizal Hafiz | GB | Bristol | 2013-01-31 / 20130026751 - PIPE CONNECTOR | 3 |
Sheikh Ashik Hafiz | US | San Diego | 2009-08-20 / 20090207745 - PROVIDING REMOTE FIELD TESTING FOR MOBILE DEVICES | 2 |
Nauman Hafiz | US | New York | 2015-03-05 / 20150067811 - CONDUCTING SESSIONS WITH CAPTURED IMAGE DATA OF PHYSICAL ACTIVITY AND UPLOADING USING TOKEN-VERIFIABLE PROXY UPLOADER | 1 |
Jami A. Hafiz | US | Cedar Park | 2016-05-05 / 20160120423 - MULTI-LAYERED STRUCTURE | 2 |
Rehan Hafiz | PK | Islamabad | 2015-01-29 / 20150029306 - METHOD AND APPARATUS FOR STABILIZING PANORAMA VIDEO CAPTURED BASED ON MULTI-CAMERA PLATFORM | 4 |
Bahman Hafizi | US | Bethesda | 2015-05-28 / 20150146748 - Pulse-Shaping Interferometer for Chirped-Pulsed Amplification Laser | 3 |
Madjid Hafizi | US | San Diego | 2015-10-22 / 20150304134 - SERDES VOLTAGE-MODE DRIVER WITH SKEW CORRECTION | 4 |
Jasmina Hafizovic | NO | Oslo | 2014-10-30 / 20140322123 - METAL ORGANIC FRAMEWORK COMPOUNDS | 3 |
Sadik Hafizovic | CH | Zurich | 2016-05-12 / 20160132202 - COMPUTER-IMPLEMENTED SYSTEM AND METHOD OF ENABLING A USER TO INTERACT WITH AN ELECTRONIC TEST EQUIPMENT USING A MOBILE DEVICE | 4 |
Ines Hafizovic | NO | Oslo | 2008-10-09 / 20080247567 - Directional Audio Capturing | 1 |
Sabine Hafkemeyer | DE | Marl | 2015-02-12 / 20150044744 - BIOLOGICAL ALKANE OXIDATION | 2 |
Marcus Hafkemeyer | US | Jersey City | 2013-09-26 / 20130252035 - Energy Storage Device for a Motor Vehicle | 1 |
Marcus Hafkemeyer | DE | Muenchen | 2010-01-07 / 20100001581 - System for Supplying Voltage to Electrical Loads of a Motor Vehicle | 1 |
Markus Hafkemeyer | DE | Bonn | 2011-06-23 / 20110146313 - REFRIGERATION CIRCUIT | 3 |
David Hafler | US | Newton | 2015-02-19 / 20150050732 - SELECTIVE DIFFERENTIATION, IDENTIFICATION, AND MODULATION OF HUMAN TH17 CELLS | 2 |
David A. Hafler | US | Newton | 2009-02-26 / 20090054251 - Diagnosis of Autoimmune Disease | 1 |
David A. Hafler | US | Boston | 2010-03-11 / 20100061992 - THERAPEUTIC USES OF TIM-3 MODULATORS | 1 |
Cory Haflett | US | Centerville | 2014-12-25 / 20140376528 - CONFIGURATION CONNECTION DEVICE | 1 |
Robert A. Hafley | US | Yorktown | 2015-09-17 / 20150258626 - Height Control and Deposition Measurement for the Electron Beam Free Form Fabrication (EBF3) Process | 3 |
Martyn Neil Rufus Hafley | US | Fort Worth | 2013-04-11 / 20130089578 - LIQUID INSECTICIDE INCLUDING DIATOMACEOUS EARTH | 1 |
Thomas Hafley | US | Manassas | 2015-01-08 / 20150011220 - Apparatus, Method and System for Integrating Mobile and Satellite Phone Service | 1 |
Andreas Hafliger | CH | Triengen | 2009-04-09 / 20090090643 - Blister package for display of a toothbrush | 1 |
Werner Hafliger | CH | Mettmenstetten | 2011-01-06 / 20110000742 - Device for Evacuating People from a Building | 1 |
Daniel Hafliger | DK | Copenhagen | 2008-08-28 / 20080206884 - Sensor System with Actuated Structure Releasing a Coloured Indicator | 1 |
Pascal Jean-Marie Hafliger | CH | Zurich | 2009-11-12 / 20090280057 - Combination of Intercalating Organometallic Complexes and Tumor Seeking Biomolecules for DNA Cleavage and Radiotherapy | 1 |
Danielle Marie Hafling | US | Saint Joseph | 2009-03-12 / 20090065455 - STEMWARE HOLDER FOR AN AUTOMATIC DISHWASHER | 1 |
James J. Haflinger | US | San Diego | 2011-06-16 / 20110141182 - METHOD OF POSITION DETECTION WITH TWO-DIMENSIONAL SENSOR IN PRINTER | 6 |
James J. Haflinger | US | Carlsbad | 2015-05-07 / 20150123785 - Motion Sensing Necklace System | 2 |
Roderick Peter Hafner | GB | Wiltshire | 2016-04-07 / 20160095989 - NASAL DELIVERY DEVICES | 12 |
Alexis Hafner | FR | Paris | 2014-12-04 / 20140359309 - DELETION OF CONTENT IN STORAGE SYSTEMS | 1 |
Brian Hafner | US | Seattle | 2014-09-25 / 20140288669 - Limb Volume Accommodation in People With Limb Amputation | 4 |
Brandon Hafner | US | Franklinton | 2015-05-21 / 20150135669 - Mower for Mowing Around Fence and Railing Posts | 2 |
William R. Hafner | US | Huntingdon Valley | 2010-06-24 / 20100155175 - Worldwide Escape Systems | 1 |
Andreas Hafner | CH | Gelterkinden | 2015-09-03 / 20150246901 - MULTICOMPONENT CRYSTALLINE SYSTEM COMPRISING NILOTINIB AND SELECTED CO-CRYSTAL FORMERS | 13 |
Timo Hafner | DE | Radolfzell | 2012-07-19 / 20120180705 - TABLE FOR KEEPING FOODS LOCATED IN DISHES WARM AND TABLE COMPRISING ONE OR MORE INDUCTION MODULES | 2 |
Greg John Hafner | AU | Carina | 2011-05-19 / 20110119782 - CONSTRUCT CAPABLE OF RELEASE IN CLOSED CIRCULAR FORM FROM A LARGER NUCLEOTIDE SEQUENCE PERMITTING SITE SPECIFIC EXPRESSION AND/OR DEVELOPMENTALLY REGULATED EXPRESSION OF SELECTED GENETIC SEQUENCES | 1 |
Valeria Hafner | CH | Geneva | 2015-11-12 / 20150322374 - ETHANOL-FREE MICROEMULSION PERFUMING COMPOSITIONS | 2 |
Hans W. Hafner | DE | Aichach-Walchshofen | 2008-09-11 / 20080217071 - Gravimetric Metering Device for Bulk Products | 1 |
Michael Hafner | US | Ann Arbor | 2015-07-30 / 20150210317 - TRAILER BACKUP ASSIST SYSTEM WITH OFF-SHOOT CORRECTION | 8 |
Frank-Thorsten Hafner | DE | Wuppertal | 2016-05-05 / 20160122337 - 2-HETEROARYL CARBOXAMIDES | 15 |
James Lee Hafner | US | San Jose | 2012-08-30 / 20120221160 - Network Based Energy Preference Service for Managing Electric Vehicle Charging Preferences | 18 |
Thomas Hafner | MY | Kuala Lumpur | 2016-02-25 / 20160051574 - COMPOSITION FOR REDUCING ABSORPTION OF DIETARY FAT | 2 |
Roderick Peter Hafner | GB | Oxford | 2016-05-05 / 20160120965 - VACCINE PEPTIDE COMBINATIONS AGAINST CAT ALLERGY | 20 |
Tobias Hafner | CH | Muri | 2011-11-24 / 20110284344 - SYSTEM FOR SUPPLYING COMPONENTS | 1 |
Josef Thomas Hafner | CH | Bundesland | 2010-08-19 / 20100206187 - PUNCHING PRESS | 1 |
Dieter Hafner | DE | Regensburg | 2014-10-16 / 20140306393 - CONTAINER TREATMENT MACHINE | 11 |
James L. Hafner | US | Pacific Grove | 2015-08-13 / 20150229717 - MIGRATING LOCAL CACHE STATE WITH A VIRTUAL MACHINE | 1 |
Horst Hafner | DE | Vorra | 2015-08-06 / 20150218388 - Zinc-Magnesium Anticorrosion Pigments, Anticorrosion Paint, and Method for the Production of Said Anticorrosion Pigments | 1 |
Michael Hafner | US | Warsaw | 2010-06-10 / 20100140124 - METHOD AND APPARATUS FOR PACKAGING MEDICAL DEVICES | 1 |
Carsten Hafner | DE | Gosserweiler | 2011-05-26 / 20110120102 - Fluid Container and Reductant Supply System with such a Fluid Container | 1 |
Craig Hafner | US | Lutherville | 2013-01-31 / 20130026775 - METHOD AND SYSTEM FOR REPOSITIONING OF A FLEXIBLE SUBSTRATE | 2 |
Simon Boris Hafner | DE | Dortmund | 2015-08-20 / 20150232770 - DEVICE AND METHOD FOR INTRODUCING OXYGEN INTO A PRESSURIZED FLUIDIZED-BED GASIFICATION PROCESS | 1 |
Roland Hafner | DE | Stuttgart | 2009-12-31 / 20090320798 - METHOD FOR DETERMINING A RAIL PRESSURE SETPOINT VALUE | 1 |
Manfred Hafner | DE | Leopoldshohe | 2008-09-25 / 20080230648 - Spool for Receiving a Wound Skein Material | 1 |
Markus Hafner | DE | Bonn | 2010-02-25 / 20100048594 - USE OF CYTOHESIN INHIBITORS FOR CHEMICALLY INDUCING LONGEVITY | 2 |
Susi Hafner | DE | Nurnberg | 2008-10-23 / 20080260452 - Writing instrument, drawing instrument and/or marking instrument and reservoir for such instruments | 1 |
Todd Hafner | US | Oskaloosa | 2012-11-01 / 20120275950 - Scented Vent Clip | 1 |
Josef Hafner | CH | Künten | 2010-09-30 / 20100247309 - LAMELLAR SEAL FOR A TURBOMACHINE | 1 |
Markus Hafner | US | New York | 2015-12-10 / 20150353923 - Method for Identifying RNA Segments Bound by RNA-Binding Proteins or Ribonucleoprotein Complexes | 2 |
Matthew T. Hafner | US | Blue Springs | 2014-05-22 / 20140137996 - Propellant compositions including stabilized red phosphorus and methods of forming same | 2 |
Nikolaus Hafner | DE | Tuttlingen | 2015-10-22 / 20150297237 - ANASTOMOSIS INSTRUMENT WITH PIVOTABLE ANVIL | 3 |
Dieter Hafner | DE | Tübingen | 2010-01-21 / 20100016879 - TUBULAR SHAFT INSTRUMENT | 1 |
Dieter Hafner | DE | Regensburg | 2014-10-16 / 20140306393 - CONTAINER TREATMENT MACHINE | 11 |
Sascha Hafner | DE | Wiesloch | 2014-01-02 / 20140006257 - Consistent Interface for Payment Order, Payment Order Processing Statement and Product Valuation Data | 1 |
Carsten Hafner | DE | Gossersweiler | 2008-11-13 / 20080277401 - Fluid container and reductant supply system with such a fluid container | 1 |
Daniel S. Hafner | US | Fairfield | 2014-05-15 / 20140136316 - INDIVIDUALIZED MARKETING TO IMPROVE CAPACITY UTILIZATION | 2 |
Josef Hafner | CH | Künten | 2010-09-30 / 20100247309 - LAMELLAR SEAL FOR A TURBOMACHINE | 1 |
Don Hafner | US | St. Paul | 2009-10-22 / 20090264738 - METHOD AND APPARATUS FOR MAPPING A STRUCTURE | 1 |
Dieter Hafner | DE | Tuebingen | 2013-03-28 / 20130079764 - ELECTROSURGICAL FORCEPS | 1 |
Andreas Hafner | CH | Gelterkinden | 2015-09-03 / 20150246901 - MULTICOMPONENT CRYSTALLINE SYSTEM COMPRISING NILOTINIB AND SELECTED CO-CRYSTAL FORMERS | 13 |
Ronald Hafner | DE | Leibertingen (thalheim) | 2011-08-25 / 20110208170 - Surgical drive unit, surgical instrument and surgical drive system | 2 |
Andreas Hafner | DE | Goppingen | 2009-02-05 / 20090035521 - Die consisting of PCBN or a CBN composite material comprising a clamping depression | 1 |
Timo Hafner | DE | Gussenstadt | 2009-09-03 / 20090220203 - Apparatus and Method for Processing at Least One Optical Waveguide | 1 |
Tobias Hafner | DE | Dortmund | 2016-04-07 / 20160096415 - Connecting Adapter for Connecting Fragrance Container to Fragrance Emitter and Fragrance Apparatus with such Connecting Adapter | 1 |
Greg Hafner | US | Blythewood | 2010-09-02 / 20100219266 - FUEL INJECTOR ASSEMBLY | 1 |
Roger Hafner | DE | Hartheim | 2016-04-21 / 20160107336 - METHOD AND DEVICE FOR TREATING VISCOUS, PASTE-LIKE MATERIALS | 1 |
Dieter Hafner | DE | Tubingen | 2010-02-04 / 20100030213 - TUBULAR SHAFT INSTRUMENT | 5 |
Steve Hafner | US | Fairfield | 2014-05-15 / 20140136316 - INDIVIDUALIZED MARKETING TO IMPROVE CAPACITY UTILIZATION | 2 |
Klaus Hafner | DE | Fridingen | 2014-08-21 / 20140231434 - COMPOSITE FILM | 1 |
Andreas Hafner | CH | Gelterkinden Bl | 2012-12-06 / 20120309036 - Test Arrangement | 1 |
Dietrich Hafner | DE | Konstanz | 2009-01-08 / 20090011978 - Use of Surfactant Preparations for the Treatment of Surgical Adhesions | 1 |
Amanda Hafner | US | Oskaloosa | 2012-11-01 / 20120275950 - Scented Vent Clip | 1 |
Michael Robert Hafner | US | Ann Arbor | 2012-12-27 / 20120330542 - COMPUTATIONALLY EFFICIENT INTERSECTION COLLISION AVOIDANCE SYSTEM | 1 |
Jason H. Hafner | US | Houston | 2011-04-14 / 20110086781 - METHOD FOR FORMING COMPOSITES OF SUB-ARRAYS OF FULLERENE NANOTUBES | 6 |
Roland Hafner | CH | Uzwil | 2015-11-26 / 20150337967 - EXPLOSION-PROOF SLIDING GATE VALVE FOR BLOCKING A FLUID FLOW IN A PIPELINE | 1 |
Mathias Hafner | DE | Mannheim | 2010-11-25 / 20100298280 - Compounds for the Modulation of Huntingtin Aggregation, Methods and Means for Identifying Such Compounds | 2 |
Matthew Troy Hafner | US | Greenville | 2012-07-05 / 20120171022 - SYSTEMS, METHODS, AND APPARATUS FOR A TURBINE INTERSTAGE RIM SEAL | 2 |
Josef Thomas Hafner | CH | Rorschacherberg | 2016-05-19 / 20160136710 - METHOD FOR BENDING THE MACHINE BED OF A STAMPING PRESS, AND STAMPING PRESS | 2 |
Craig F. Hafner | US | Bridgewater | 2011-09-08 / 20110214534 - METHOD FOR THE PRODUCTION OF TANTALUM POWDER USING RECLAIMED SCRAP AS SOURCE MATERIAL | 2 |
James L. Hafner | US | San Jose | 2013-08-08 / 20130205181 - PARTIAL-MAXIMUM DISTANCE SEPARABLE (PMDS) ERASURE CORRECTING CODES FOR STORAGE ARRAYS | 24 |
Frank-Thorsten Hafner | DE | Wuppertal | 2016-05-05 / 20160122337 - 2-HETEROARYL CARBOXAMIDES | 15 |
Dieter Hafner | DE | Offenburg | 2015-09-24 / 20150265140 - INSTRUMENT HOLDER FOR MOUNTING A MEDICAL INSTRUMENT ON A JOINT ARM | 1 |
Wolfgang Hafner | DE | Koenigsbronn | 2011-09-01 / 20110211180 - OPTICAL COMPONENT HAVING AN IMPROVED TRANSIENT THERMAL BEHAVIOR AND METHOD FOR IMPROVING THE TRANSIENT THERMAL BEHAVIOR OF AN OPTICAL COMPONENT | 2 |
Martin Hafner | AT | Vienna | 2013-02-07 / 20130034575 - METHOD FOR IDENTIFICATION, ISOLATION AND PRODUCTION OF ANTIGENS TO A SPECIFIC PATHOGEN | 2 |
James Hafner | US | San Jose | 2009-03-26 / 20090083504 - Data Integrity Validation in Storage Systems | 1 |
Wolfgang Hafner | DE | Sussen | 2015-04-23 / 20150107069 - METHOD FOR END MACHINING AND MACHINE FOR THE METHOD | 1 |
Michael Hafner | US | Ann Arbor | 2015-07-30 / 20150210317 - TRAILER BACKUP ASSIST SYSTEM WITH OFF-SHOOT CORRECTION | 8 |
Roderick Peter Hafner | GB | Wiltshire | 2016-04-07 / 20160095989 - NASAL DELIVERY DEVICES | 12 |
Urs Hafner | CH | Kunten Ag | 2013-12-19 / 20130333201 - METHOD AND DEVICE FOR EXTRACTION OR INTRODUCTION OF A ROTOR FROM OR INTO A STATOR OF AN ELECTRIC MACHINE | 1 |
Frederick William Hafner | US | Effort | 2009-05-21 / 20090128792 - Lithographic apparatus and method | 1 |
Michelle Hafner | US | Chesterfield | 2016-03-17 / 20160078436 - SYSTEMS AND METHODS FOR PROVIDING RISK BASED DECISIONING SERVICE TO A MERCHANT | 1 |
Roderick Peter Hafner | GB | Oxford | 2016-05-05 / 20160120965 - VACCINE PEPTIDE COMBINATIONS AGAINST CAT ALLERGY | 20 |
Roderick Peter Hafner | GB | Swindon | 2015-12-24 / 20150367091 - NASAL ADMINISTRATION | 4 |
Gregory G. Hafner | US | Columbia | 2016-03-17 / 20160076502 - LOCATING PIN | 1 |
Matthew Troy Hafner | US | Honea Path | 2014-11-13 / 20140334929 - COMPRESSOR ROTOR HEAT SHIELD | 7 |
Roderick Peter Hafner | UK | Oxforshire | 2016-03-24 / 20160082207 - Delivery Device and Method | 1 |
Norbert Hafner | AT | Linz | 2016-05-19 / 20160137761 - PROCESS FOR PRODUCTION OF POLYPROPYLENE WITH HIGH POLYDISPERSITY | 11 |
James L. Hafner | US | San Jose | 2013-08-08 / 20130205181 - PARTIAL-MAXIMUM DISTANCE SEPARABLE (PMDS) ERASURE CORRECTING CODES FOR STORAGE ARRAYS | 24 |
Gregory John Hafner | AU | Wynnum | 2010-11-04 / 20100281566 - TRANSCRIPTIONAL CONTROL ELEMENT, CHIMERIC CONSRUCTS AND USES THEREFOR | 1 |
Roderick Peter Hafner | GB | Oxfordshire | 2016-03-24 / 20160082206 - Delivery Device and Method | 1 |
Louise Hafner | AU | Queensland | 2009-01-29 / 20090028891 - Chlamydia Antigens and Uses Thereof | 1 |
Norbert Hafner | AT | Linz | 2016-05-19 / 20160137761 - PROCESS FOR PRODUCTION OF POLYPROPYLENE WITH HIGH POLYDISPERSITY | 11 |
Angela Valeska Hafner | US | Boston | 2015-08-20 / 20150233949 - DETECTION AND MODULATION OF CYTOCHROME C ACETYLATION | 2 |
Peter Roderick Hafner | GB | Wiltshire | 2014-05-29 / 20140144443 - NASAL ADMINISTRATION | 3 |
Valeria Hafner | CH | Geneva 8 | 2013-01-03 / 20130004615 - PREPARATION OF SOLID CAPSULES COMPRISING FLAVOURS | 1 |
Håkon Hafnor | NO | Drammen | 2015-03-26 / 20150085431 - POWER SUPPLY SYSTEM COMPRISING A POWER CONVERTER UNIT AND A RACK | 2 |
John Erik Hafsås | NO | Sunndalsora | 2011-03-03 / 20110048667 - ARRANGEMENT RELATED TO EQUIPMENT FOR CONTINUOUS OR SEMI-CONTINUOUS CASTING OF METAL | 1 |
John Erik Hafsås | NO | Sunndalsora | 2011-03-03 / 20110048667 - ARRANGEMENT RELATED TO EQUIPMENT FOR CONTINUOUS OR SEMI-CONTINUOUS CASTING OF METAL | 1 |
Gudmundur Hafsteinsson | US | Los Gatos | 2016-05-12 / 20160132293 - Multi-Modal Input on an Electronic Device | 8 |
Gudmundur Hafsteinsson | US | Los Gatos | 2016-05-12 / 20160132293 - Multi-Modal Input on an Electronic Device | 8 |
Gerhard Haft | DE | Obermotzing | 2011-06-23 / 20110146388 - METHOD AND APPARATUS FOR DETERMINING THE ETHANOL PROPORTION OF THE FUEL IN A MOTOR VEHICLE | 6 |
Gerhard Haft | DE | Lappersdorf | 2015-10-15 / 20150292983 - Method for Detecting Fuel Discharge From the Oil | 2 |
Michael Haft | DE | Zorneding | 2013-03-28 / 20130080210 - ENERGY BENCHMARKING ANALYTICS | 2 |
Sina Haftchenary | CA | Thornhill | 2015-06-11 / 20150158894 - SALICYCLIC ACID DERIVATIVES, PHARMACEUTICALLY ACCEPTABLE SALT THEREOF, COMPOSITION THEREOF AND METHOD OF USE THEREOF | 1 |
Sina Haftchenary | CA | Toronto | 2016-03-10 / 20160068478 - NOVEL STAT3 INHIBITORS | 1 |
Eric L. Hafter | US | Sacramento | 2015-11-12 / 20150326171 - Roof Mounted Installation Solar Power System | 1 |
Johannes G. Haftmann | DE | Heideck | 2011-09-29 / 20110237955 - Real Time Ultrasound Catheter Probe | 1 |
Takamitsu Hafuka | JP | Tokyo | 2012-08-23 / 20120212290 - FSK DEMODULATOR | 3 |
Motohisa Haga | JP | Yamato | 2014-10-02 / 20140295697 - CONNECTOR FOR MULTI-LAYERED BOARD | 1 |
Yuta Haga | JP | Chigasaki-Shi | 2014-05-15 / 20140135205 - SOLID CATALYST COMPONENT FOR OLEFIN POLYMERIZATION, AND CATALYST | 1 |
Nobuyasu Haga | JP | Aichi-Ken | 2012-05-03 / 20120109443 - CONTROL SYSTEM OF VEHICLE | 3 |
Candice Haga | US | Tustin | 2016-05-12 / 20160132849 - SYSTEM AND METHOD FOR AN ON DEMAND MEDIA KIOSK | 1 |
Yoichi Haga | JP | Sendai-Shi | 2012-09-13 / 20120232346 - INSERTION DEVICE AND ENDOSCOPE | 1 |
Taketo Haga | JP | Tokyo | 2011-09-01 / 20110214093 - STORAGE MEDIUM STORING OBJECT CONTROLLING PROGRAM, OBJECT CONTROLLING APPARATUS AND OBJECT CONTROLLING METHOD | 1 |
Katsunosuke Haga | JP | Takasaki-Shi | 2016-01-07 / 20160007446 - MULTILAYER CERAMIC CAPACITOR WITH INTERPOSER, AND INTERPOSER FOR MULTILAYER CERAMIC CAPACITOR | 3 |
Takeo Haga | JP | Nagaokakyo-Shi | 2013-10-31 / 20130288091 - TEMPERATURE SENSOR AND TEMPERATURE SENSOR ATTACHING STRUCTURE | 1 |
Yumi Haga | JP | Miyagi | 2012-01-12 / 20120008206 - OPTICAL FILM AND METHOD FOR MANUFACTURING THE SAME, ANTIGLARE FILM, POLARIZER WITH OPTICAL LAYER, AND DISPLAY APPARATUS | 10 |
Takenobu Haga | JP | Kawachi-Gun | 2013-08-08 / 20130200654 - VEHICLE BODY REAR STRUCTURE | 1 |
Koukichi Haga | JP | Yokkaichi-City | 2013-05-02 / 20130109250 - FEMALE TERMINAL FITTING | 1 |
Hidemi Haga | JP | Yokohama-Shi | 2015-08-27 / 20150244127 - VEHICLE USB PORT | 2 |
Takanovu Haga | JP | Kokubunji | 2011-05-26 / 20110121204 - TOTAL REFLECTION FLUORESCENCE OBSERVATION DEVICE | 1 |
Nils Rune Haga | NO | Royneberg | 2015-08-20 / 20150233218 - Hydraulic Cutting Tool, System and Method for Controlled Hydraulic Cutting Through a Pipe Wall in a Well | 1 |
Toshio Haga | JP | Miyagi | 2015-09-17 / 20150262794 - PLASMA PROCESSING METHOD | 2 |
Jun Haga | JP | Tokyo | 2015-03-19 / 20150075680 - STEEL SHEET SUITABLE FOR IMPACT ABSORBING MEMBER AND METHOD FOR ITS MANUFACTURE | 3 |
Yoshiaki Haga | JP | Nishio-Shi | 2014-08-21 / 20140230768 - LASH ADJUSTER | 2 |
Tatsuyoshi Haga | JP | Tokyo | 2014-02-13 / 20140043634 - Image Forming Apparatus and Method of Controllling the Same | 11 |
Takenobu Haga | JP | Wako-Shi | 2014-12-04 / 20140354007 - VEHICLE DOOR | 3 |
Noriyuki Haga | JP | Tokyo | 2014-12-04 / 20140358818 - PRODUCT-INFORMATION MANAGEMENT DEVICE, METHOD, AND PROGRAM | 1 |
Yasuichi Haga | JP | Tokyo | 2015-02-19 / 20150048018 - Device For Recovering Floating Materials on the Liquid Surface | 3 |
Ryohei Haga | JP | Kobe-Shi | 2013-11-14 / 20130304947 - SERIAL COMMUNICATION DEVICE, SERIAL COMMUNICATION SYSTEM, AND SERIAL COMMUNICATION METHOD | 1 |
Hiroyoshi Haga | JP | Kanagawa | 2016-04-21 / 20160109832 - IMAGE FORMING APPARATUS | 14 |
Yuta Haga | JP | Iwate | 2014-11-27 / 20140345523 - SUBSTRATE EJECTION DETECTION DEVICE, METHOD OF DETECTING SUBSTRATE EJECTION AND SUBSTRATE PROCESSING APPARATUS | 1 |
Naomi Haga | JP | Tokyo | 2015-06-25 / 20150174090 - IMMUNE TOLERANCE INDUCER | 1 |
Matthew H. Haga | US | Austin | 2011-11-03 / 20110269552 - BUTTON PANEL MOUNT FOR A GAMING MACHINE CABINET | 1 |
Kensuke Haga | JP | Toyama-Shi | 2015-05-28 / 20150147873 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 1 |
Takanobu Haga | JP | Tachikawa | 2011-11-10 / 20110272596 - FLUORESCENCE DETECTOR | 1 |
Kouichiro Haga | JP | Toyota-Shi | 2015-12-03 / 20150343927 - HEADREST AND VEHICLE SEAT PROVIDED THEREWITH | 2 |
Nobuhiro Haga | JP | Toyonaka-Shi | 2016-02-04 / 20160031896 - CRYSTAL OF 6,7-UNSATURATED-7-CARBAMOYL MORPHINAN DERIVATIVE AND METHOD FOR PRODUCING THE SAME | 3 |
Hisao Haga | JP | Saitama | 2012-10-11 / 20120255286 - EXHAUST PURIFICATION SYSTEM FOR INTERNAL COMBUSTION ENGINE | 2 |
Takafumi Haga | JP | Tokyo | 2010-10-07 / 20100252158 - PNEUMATIC TIRE | 1 |
Seiji Haga | JP | Tokyo | 2013-05-16 / 20130119795 - TOTALLY-ENCLOSED FAN-COOLED MOTOR | 2 |
Shinsuke Haga | JP | Tokyo | 2015-10-01 / 20150275164 - CULTURE CONTAINER, CULTURE OBSERVATION APPARATUS AND CULTURE OBSERVATION METHOD | 4 |
Hiroshi Haga | JP | Kanagawa | 2016-05-12 / 20160132115 - ELECTRONIC APPARATUS AND ELECTRONIC APPARATUS OPERATION CONTROL METHOD | 16 |
Brian Haga | US | Bluff City | 2014-11-20 / 20140343608 - EXPANSION INTERSPINOUS FIXATION DEVICE AND METHOD | 1 |
Shuichi Haga | JP | Kanagawa | 2014-09-04 / 20140247391 - SIGNAL PROCESSING APPARATUS AND METHOD, AND PROGRAM | 10 |
Nobuyasu Haga | JP | Aichi | 2012-08-16 / 20120209551 - STATE ESTIMATION METHOD AND STATE ESTIMATION APPARATUS OF ELECTRIC STORAGE ELEMENT | 1 |
Tatsuyoshi Haga | JP | Tokyo | 2014-02-13 / 20140043634 - Image Forming Apparatus and Method of Controllling the Same | 11 |
Motoharu Haga | JP | Kyoto-Shi | 2016-01-07 / 20160005708 - SEMICONDUCTOR DEVICE AND METHOD FOR MAKING SEMICONDUCTOR DEVICE | 3 |
Tsuneyuki Haga | JP | Tokyo | 2011-03-24 / 20110070655 - FLOW CELL AND LIQUID DELIVERY METHOD | 2 |
Akiko Haga | JP | Tokyo | 2011-03-03 / 20110052846 - HEAT-SHRINKABLE CYLINDRICAL LABEL, LONG CYLINDRICAL BODY, AND CYLINDRICAL-LABEL-ATTACHED ARTICLE | 1 |
Futoshi Haga | US | Cupertino | 2014-02-13 / 20140047144 - I/O DEVICE AND STORAGE MANAGEMENT SYSTEM | 4 |
Kouji Haga | JP | Ibaraki | 2014-12-18 / 20140370707 - POLISHING LIQUID FOR METAL AND POLISHING METHOD | 4 |
Toyokazu Haga | JP | Ibaraki | 2009-06-11 / 20090149647 - PROCESS FOR PRODUCTION OF LIPID A ANALOGUE | 1 |
Kazuhiro Haga | JP | Tokyo | 2010-11-25 / 20100297786 - Method for Manufacturing Compound Semiconductor and Apparatus for Manufacturing the Same | 1 |
Yumi Haga | JP | Tokyo | 2010-02-04 / 20100027124 - ANTI-GLARE FILM, METHOD OF MANUFACTURING THE SAME, AND DISPLAY APPARATUS USING THE SAME | 1 |
Takahiro Haga | JP | Ibaraki | 2010-08-05 / 20100192728 - Spherical Copper Fine Powder and Process for Producing the Same | 1 |
Kazuaki Haga | JP | Toyota-Shi | 2016-04-07 / 20160099104 - METHOD FOR MANUFACTURING RARE-EARTH MAGNETS | 7 |
Yuji Haga | JP | Ibaraki | 2012-02-09 / 20120035155 - INDOLE-2-CARBOXAMIDE DEIVATIVE | 6 |
Takuya Haga | JP | Yokohama-Shi | 2014-09-18 / 20140281678 - MEMORY CONTROLLER AND MEMORY SYSTEM | 1 |
Tsugihiko Haga | JP | Tokyo | 2009-10-22 / 20090262185 - Display apparatus and display method | 5 |
Kenichi Haga | JP | Yamaguchi | 2013-10-24 / 20130281724 - DIETHYLZINC COMPOSITION, METHOD FOR HEAT STABILIZATION, AND COMPOUND FOR HEAT STABILIZATION | 3 |
Norio Haga | JP | Tokyo | 2009-05-07 / 20090115781 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 2 |
Tatsuya Haga | JP | Fujisawa-Shi | 2014-06-26 / 20140177085 - DISK STORAGE APPARATUS AND METHOD FOR SHINGLED MAGNETIC RECORDING | 1 |
Tadashi Haga | JP | Tokyo | 2009-03-26 / 20090077915 - Projected Corner Structure of Building and Indoor Recessed Wall Structure | 1 |
Takahiro Haga | JP | Moriguchi-City | 2014-07-31 / 20140209150 - SOLAR CELL MODULE | 1 |
Goro Haga | JP | Tokyo | 2008-12-04 / 20080299832 - Connector | 1 |
Shunichi Haga | JP | Tokyo | 2008-10-02 / 20080239479 - Observation Device and Binoculars | 1 |
Hiroki Haga | JP | Kanagawa | 2013-03-21 / 20130070820 - TRANSMITTING APPARATUS AND METHOD | 18 |
Tetsuji Haga | JP | Tokyo | 2013-10-03 / 20130258047 - MOVING OBJECT PERIPHERY IMAGE CORRECTION APPARATUS | 3 |
Junji Haga | JP | Takasago-Shi | 2015-11-19 / 20150329968 - IN-LINE PLASMA CVD APPARATUS | 3 |
Masa-Aki Haga | JP | Tokyo | 2009-04-23 / 20090102363 - METAL COMPLEX COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME | 2 |
Takahiro Haga | JP | Kusatsu-Shi | 2011-08-11 / 20110195930 - PYRIDINE DERIVATIVE OR ITS SALT, PESTICIDE CONTAINING IT AND PROCESS FOR ITS PRODUCTION | 1 |
Jason H. Haga | US | San Diego | 2014-04-03 / 20140094466 - SSH-2 (SLINGSHOT-2) INHIBITORS AND METHODS FOR MAKING AND USING THEM | 1 |
Hiroki Haga | JP | Tokyo | 2014-09-25 / 20140286186 - TRANSMITTING APPARATUS AND METHOD | 2 |
Yoshiaki Haga | JP | Tokyo | 2014-03-27 / 20140084751 - DRIVING APPARATUS | 2 |
Hisao Haga | JP | Saitama-Ken | 2012-05-10 / 20120117011 - CONTROL SYSTEM FOR PLANT | 3 |
Naomi Haga | JP | Minato-Ku | 2014-09-04 / 20140249217 - ENHANCER OF SURVIVAL OF TRANSPLANTED ORGAN | 1 |
Douwe D. Haga | US | Redwood City | 2011-07-07 / 20110164862 - HEATED COVER METHODS AND TECHNOLOGY | 4 |
Ismar Rocha Haga | IE | Dublin | 2009-06-04 / 20090143289 - Orthopoxvirus vectors, genes and products thereof | 1 |
Tadashi Haga | JP | Shizuoka | 2012-11-15 / 20120288887 - BLOOD CELL AGGLUTINATION IMAGE DETERMINING METHOD AND BLOOD CELL AGGLUTINATION IMAGE DETERMINING APPARATUS | 3 |
Chris Edward Haga | US | Mckinney | 2010-01-21 / 20100015761 - Thermally Enhanced Single Inline Package (SIP) | 1 |
Takuya Haga | JP | Yokohama | 2016-03-10 / 20160070507 - MEMORY SYSTEM AND METHOD OF CONTROLLING MEMORY DEVICE | 1 |
Douwe Haga | US | Redwood City | 2015-04-16 / 20150102025 - Heated Cover Methods and Technology | 4 |
Seiji Haga | JP | Chiyoda-Ku | 2014-06-12 / 20140158324 - COOLING APPARATUS | 2 |
Jun Haga | JP | Nishinomiya-Shi, Hyogo | 2014-07-31 / 20140212686 - HOT-DIP GALVANIZED COLD-ROLLED STEEL SHEET AND PROCESS FOR PRODUCING SAME | 1 |
Toshio Haga | JP | Osaka-Shi | 2015-10-01 / 20150275335 - HYPEREUTECTIC ALUMINUM-SILICON ALLOY DIE-CAST MEMBER AND PROCESS FOR PRODUCING SAME | 1 |
Kengo Haga | JP | Susono-Shi | 2015-12-10 / 20150357674 - All-Solid Battery and Method for Manufacturing the Same | 5 |
Shinichi Haga | JP | Gifu-Ken | 2012-01-12 / 20120009506 - MANUFACTURING METHOD OF CELL ASSEMBLY FOR FUEL CELL AND MANUFACTURING METHOD OF FUEL CELL | 2 |
Minoru Haga | JP | Kawasaki-Shi | 2015-11-26 / 20150341554 - IMAGING APPARATUS | 5 |
Fumihiro Haga | JP | Zushi-Shi | 2013-03-14 / 20130065116 - LITHIUM ION SECONDARY BATTERY | 1 |
Tomohiro Haga | JP | Kagawa | 2011-01-06 / 20110000170 - SYSTEM AND METHOD FOR GENERATING A SCHEDULE FOR ADMINISTERING DOSES OF MEDICATION TO A PATIENT | 1 |
Hiroyuki Haga | JP | Numazu-Shi | 2015-11-19 / 20150330265 - CONTROL DEVICE OF INTERNAL COMBUSTION ENGINE AND VARIABLE VALVE DEVICE OF INTERNAL COMBUSTION ENGINE | 1 |
Tsuneyuki Haga | JP | Atsugi-Shi | 2014-12-11 / 20140363337 - CHIP FOR OPTICAL ANALYSIS | 5 |
Futoshi Haga | JP | Sagamihara | 2010-10-28 / 20100274984 - MANAGEMENT SERVER DEVICE FOR MANAGING VIRTUAL STORAGE DEVICE, AND METHOD FOR MANAGING VIRTUAL STORAGE DEVICE | 4 |
Masaru Haga | JP | Osaka | 2010-10-07 / 20100252781 - GRAIN CLASSIFYING DEVICE AND ADHESIVE CONTAINING GRAINS CLASSIFIED BY THE DEVICE | 2 |
Kohjiroh Haga | JP | Miyagi | 2014-07-24 / 20140203501 - SHEET DISCHARGING DEVICE, IMAGE FORMING SYSTEM, AND SHEET DISCHARGING METHOD | 2 |
Takanobu Haga | JP | Tokyo | 2015-07-23 / 20150202618 - NUCLEIC ACID ANALYSIS DEVICE | 3 |
Tsuneyuki Haga | JP | Kanagawa-Ken | 2010-09-30 / 20100248351 - CHIP FOR OPTICAL ANALYSIS | 3 |
Toshio Haga | JP | Nirasaki | 2010-08-26 / 20100213162 - PLASMA ETCHING METHOD, PLASMA ETCHING APPARATUS AND STORAGE MEDIUM | 1 |
Tatsuya Haga | JP | Higashine | 2010-05-20 / 20100123964 - STORAGE DEVICE AND CONTROL DEVICE | 2 |
Naoki Haga | JP | Yokosuka-Shi | 2010-05-13 / 20100120641 - POUR POINT DEPRESSANT FOR LUBRICANT | 1 |
Takahiro Haga | JP | Shiga | 2010-04-08 / 20100087452 - PYRIDYL-TRIAZOLOPYRIMIDINE DERIVATIVE OR ITS SALT, PESTICIDE CONTAINING IT AND ITS PRODUCTION PROCESS | 1 |
Ryoichi Haga | JP | Hitachi | 2010-04-01 / 20100081122 - SYSTEM AND METHOD FOR CULTIVATING CELLS | 2 |
Shigetaka Haga | JP | Ibaraki-Ken | 2009-01-08 / 20090008382 - Electron bombardment heating apparatus and temperature controlling apparatus and control method thereof | 1 |
Tomoko Haga | JP | Miyagi | 2009-09-03 / 20090220709 - SHEET FOR THERMAL TRANSCRIPTION | 1 |
Seiji Haga | JP | Chiyoda-Ku, Tokyo | 2015-12-10 / 20150355425 - HEAT DISSIPATION STRUCTURE AND OPTICAL TRANSCEIVER | 1 |
Junko Haga | JP | Tokyo | 2012-10-25 / 20120271065 - METHOD FOR RECOVERING ANIONIC FLUORINATED EMULSIFIER | 2 |
Osamu Haga | JP | Miyagi-Ken | 2011-06-23 / 20110147186 - SWITCH DEVICE AND METHOD OF ASSEMBLING SNAP ACTION MECHANISM | 1 |
Jun Haga | JP | Nishinomiya-Shi | 2014-08-28 / 20140241933 - COLD-ROLLED STEEL SHEET | 5 |
Hitoshi Haga | JP | Miyagi | 2010-06-17 / 20100148706 - POWER CONVERTER | 5 |
Kohei Haga | JP | Tokyo | 2013-05-23 / 20130132528 - APPLICATION DISTRIBUTION SYSTEM, APPLICATION DISTRIBUTION METHOD, TERMINAL, AND PROGRAM | 1 |
Yoichi Haga | JP | Miyagi | 2015-11-19 / 20150331233 - OPTICAL SCANNING APPARATUS | 3 |
Masayasu Haga | JP | Toyokawa-Shi | 2014-10-30 / 20140320870 - SUPPORT SYSTEM, CONTROL DEVICE, IMAGE FORMING APPARATUS, AND SUPPORT METHOD | 4 |
Kouichiro Haga | JP | Okazaki-Shi | 2015-09-03 / 20150246628 - HEADREST AND VEHICLE SEAT PROVIDED THEREWITH, AND METHOD OF MANUFACTURING HEADREST | 1 |
Takanobu Haga | JP | Kokubunji | 2012-04-26 / 20120097864 - FLUORESCENCE ANALYZING APPARATUS AND FLUORESCENCE DETECTING APPARATUS | 6 |
Yuji Haga | JP | Ibi-Gun | 2012-05-10 / 20120111477 - METHOD OF MANUFACTURING HONEYCOMB STRUCTURE AND DEGREASING APPARATUS FOR HONEYCOMB MOLDED BODY | 2 |
Ryoichi Haga | JP | Tokyo | 2015-12-10 / 20150354467 - GAS TURBINE SYSTEM, GAS TURBINE COMBUSTOR CONTROL DEVICE, AND GAS TURBINE COMBUSTOR CONTROL METHOD | 3 |
Nobuaki Haga | JP | Miyagi-Ken | 2015-01-15 / 20150015113 - POLYMER ACTUATOR DEVICE AND APPARATUS AND METHOD FOR DRIVING POLYMER ACTUATOR DEVICE | 6 |
Hiroshi Haga | JP | Ibaraki | 2012-01-19 / 20120014065 - Power Tool Having Circuit Board | 2 |
Makoto Haga | JP | Minamitsuru-Gun | 2016-03-24 / 20160085232 - NUMERICAL CONTROL DEVICE | 9 |
Takuya Haga | JP | Kanagawa | 2012-08-02 / 20120194249 - Semiconductor Integrated Circuit | 1 |
Tomoyuki Haga | JP | Kadoma-Shi | 2011-02-24 / 20110044451 - INFORMATION PROCESSING APPARATUS AND FALSIFICATION VERIFICATION METHOD | 1 |
Nils Haga | NO | Oppegard | 2011-09-22 / 20110225698 - Ventilation device for clothing | 1 |
Motoharu Haga | JP | Kyoto | 2015-07-16 / 20150200181 - SEMICONDUCTOR DEVICE | 15 |
Kengo Haga | US | 2015-12-10 / 20150357674 - All-Solid Battery and Method for Manufacturing the Same | 2 | |
Takeshi Haga | JP | Hitachinaka-Shi, Ibaraki | 2015-12-03 / 20150349390 - Battery Block and Secondary Battery Module | 1 |
Kouji Haga | JP | Hitachi-Shi | 2013-08-22 / 20130217229 - POLISHING LIQUID FOR METAL FILM AND POLISHING METHOD | 5 |
Takahiro Haga | JP | Osaka | 2014-07-10 / 20140190549 - SOLAR CELL AND SOLAR MODULE | 3 |
Kohjiroh Haga | JP | Kanagawa | 2016-05-05 / 20160122144 - PAPER FEEDING APPARATUS, IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM | 6 |
Takeshi Haga | JP | Hitachinaka | 2015-12-03 / 20150349389 - Battery Block and Secondary Battery Module | 1 |
Hiroyuki Haga | JP | Yokohama-Shi | 2009-05-07 / 20090114025 - Thickness measuring device for vessel steel plate | 1 |
Makoto Haga | JP | Minamitsuru-Gun | 2016-03-24 / 20160085232 - NUMERICAL CONTROL DEVICE | 9 |
Masayoshi Haga | JP | Nagoya-Shi | 2012-09-06 / 20120223611 - STATOR AND METHOD FOR MANUFACTURING STATOR | 5 |
Yuji Haga | JP | Tsukuba-Shi | 2010-08-19 / 20100210637 - HETEROARYLOXY NITROGENOUS SATURATED HETEROCYCLIC DERIVATIVE | 1 |
Akiko Haga | JP | Osaka | 2010-07-15 / 20100178438 - SHRINKABLE LABEL HAVING A HOLOGRAM LAYER AND CONTAINER WITH THE LABEL | 1 |
Kazuyoshi Haga | JP | Saitama | 2011-08-04 / 20110188266 - SEMICONDUCTOR LIGHT EMITTING DEVICE, COMPOSITE LIGHT EMITTING DEVICE WITH ARRANGEMENT OF SEMICONDUCTOR LIGHT EMITTING DEVICES, AND PLANAR LIGHT SOURCE USING COMPOSITE LIGHT EMITTING DEVICE | 1 |
Tomoyuki Haga | JP | Nara | 2016-03-10 / 20160072630 - AUTHENTICATION SYSTEM AND AUTHENTICATION METHOD | 54 |
Hiroshi Haga | JP | Kawasaki | 2014-05-15 / 20140132859 - DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY DEVICE, ELECTRONIC APPARATUS, AND DISPLAY DEVICE MANUFACTURING METHOD | 5 |
Nobuaki Haga | JP | Tokyo | 2015-03-05 / 20150061457 - ACTUATOR ELEMENT USING CARBON ELECTRODE | 1 |
Hiroyoshi Haga | JP | Kanagawa | 2016-04-21 / 20160109832 - IMAGE FORMING APPARATUS | 14 |
Akiko Haga | JP | Osaka-Shi Osaka | 2010-07-08 / 20100173229 - SHRINKABLE LABEL HAVING A HOLOGRAM LAYER AND CONTAINER WITH THE LABEL | 1 |
Mitsuhiro Haga | JP | Hidaka-Shi | 2010-06-24 / 20100157074 - DRIVING APPARATUS AND IMAGE PICKUP APPARATUS USING THE SAME | 1 |
Hidehiro Haga | JP | Kyoto | 2014-12-25 / 20140375161 - STATOR UNIT AND MOTOR | 7 |
Nobuyasu Haga | JP | Seto-Shi | 2015-04-23 / 20150112619 - BATTERY STATUS ESTIMATING DEVICE | 8 |
Hiroyuki Haga | JP | Sunsono-Shi | 2010-05-27 / 20100126142 - INTERNAL COMBUSTION ENGINE EXHAUST GAS CONTROL SYSTEM AND CONTROL METHOD OF INTERNAL COMBUSTION ENGINE EXHAUST GAS CONTROL SYSTEM | 1 |
Takafumi Haga | JP | Kodaira-Shi | 2014-08-28 / 20140238568 - PNEUMATIC TIRE FOR HEAVY LOAD | 1 |
Hiroshi Haga | JP | Kanagawa | 2016-05-12 / 20160132115 - ELECTRONIC APPARATUS AND ELECTRONIC APPARATUS OPERATION CONTROL METHOD | 16 |
Hiroyuki Haga | JP | Susono-Shi | 2012-05-24 / 20120124978 - EXHAUST GAS PURIFICATION SYSTEM | 3 |
Hiroyoshi Haga | JP | Zama-Shi | 2010-02-18 / 20100040386 - Apparatus and method of image forming | 1 |
Hiroyuki Haga | JP | Shizuoka-Ken | 2010-01-07 / 20100000500 - EGR SYSTEM FOR INTERNAL COMBUSTION ENGINE AND METHOD FOR CONTROLLING THE SAME | 3 |
Kenji Haga | JP | Nishikasugai-Gun | 2009-12-31 / 20090324172 - Optical branching-coupling device, and manufacturing method and optical module of the same | 1 |
Nobuyasu Haga | JP | Seto-Shi | 2015-04-23 / 20150112619 - BATTERY STATUS ESTIMATING DEVICE | 8 |
Koukichi Haga | JP | Yokkaichi-Shi | 2014-05-01 / 20140120362 - Partial Plating Method, a Laser Plating Device, and a Plated Material | 2 |
Kenichi Haga | JP | Shunan-Shi | 2009-11-19 / 20090283041 - SOLID ORGANOMETALLIC COMPOUND-FILLED CONTAINER AND FILLING METHOD THEREOF | 1 |
Hiroyuki Haga | JP | Azumino-Shi | 2011-06-16 / 20110141505 - PRINTING SYSTEM, PRINTER DRIVER AND COPIER | 1 |
Daisuke Haga | JP | Tokyo | 2016-04-28 / 20160116831 - IMAGE DISPLAY ELEMENT HOLDING MECHANISM, PRISM UNIT, AND PROJECTOR | 1 |
Tadashi Haga | JP | Mishima-Shi | 2009-11-19 / 20090287419 - AGGLUTINATION JUDGMENT METHOD | 1 |
Hiroshi Haga | JP | Tokyo | 2012-06-28 / 20120162163 - DATA-HOLDING CIRCUIT AND SUBSTRATE FOR A DISPLAY DEVICE | 3 |
Tatsuya Haga | JP | Ome-Shi | 2012-08-23 / 20120212847 - INFORMATION RECORDING DEVICE AND INFORMATION RECORDING METHOD | 4 |
Tatsuya Haga | JP | Tokyo | 2011-12-01 / 20110292538 - RECORDING MEDIUM CONTROLLER AND METHOD THEREOF | 1 |
Tsuyoshi Haga | JP | Hyogo | 2009-10-29 / 20090269549 - Anisotropic conductive sheet, production method thereof, connection method and inspection method | 2 |
Noriyuki Haga | JP | Yokohama | 2013-11-28 / 20130318103 - PRODUCTS INFORMATION MANAGEMENT ASSISTANCE APPARATUS | 3 |
Toyokazu Haga | JP | Kamisu-Shi | 2009-10-22 / 20090264659 - SALTS OF BENZIMIDAZOLE DERIVATIVE WITH AMINES AND PROCESS FOR MANUFACTURING THE SAME | 2 |
Shinichi Haga | JP | Kakamigahara-Shi | 2016-02-18 / 20160049670 - MANUFACTURING METHOD OF FUEL CELL MODULE AND MANUFACTURING METHOD OF FUEL CELL | 2 |
Nobuhiro Haga | JP | Osaka | 2012-05-31 / 20120135978 - 6,7-UNSATURATED-7-CARBAMOYL SUBSTITUTED MORPHINAN DERIVATIVE | 2 |
Kenji Haga | JP | Aichi-Ken | 2009-08-06 / 20090196552 - Light coupler and manufacturing method thereof | 1 |
Tomoyuki Haga | JP | Osaka | 2009-03-26 / 20090083521 - Program illegiblizing device and method | 1 |
Koichi Haga | JP | Ebina-Shi | 2009-03-05 / 20090059330 - OPTICAL APPARATUS | 1 |
Masaru Haga | JP | Hitachi | 2008-10-23 / 20080259531 - DC SWITCHGEAR PROVIDED WITH A COMMUTATION-TYPE DC CIRCUIT BREAKER | 1 |
Toshio Haga | JP | Oosaka | 2008-10-09 / 20080245499 - METHOD AND APPARATUS FOR PRODUCING THIN MAGNESIUM BASED ALLOY PLATE | 1 |
Zenku Haga | JP | Nogata-Shi | 2008-10-02 / 20080241300 - INJECTION MOLD NOZZLE STRUCTURE | 1 |
Takashi Haga | JP | Obu-Shi | 2013-01-24 / 20130023374 - SPEED REDUCER INCORPORATING PORTION STRUCTURE, INCORPORATING METHOD, AND ECCENTRIC OSCILLATING TYPE SPEED REDUCER | 2 |
Tatsuya Haga | JP | Kawasaki-Shi | 2008-08-28 / 20080209103 - Storage device control apparatus, storage device, and data storage control method | 1 |
Motoharu Haga | JP | Kyoto | 2015-07-16 / 20150200181 - SEMICONDUCTOR DEVICE | 15 |
Daisuke Haga | JP | Yasu-Shi | 2008-08-21 / 20080199724 - METHOD FOR MANUFACTURING MULTILAYER CERAMIC ELECTRONIC COMPONENT AND MULTILAYER CERAMIC ELECTRONIC COMPONENT | 1 |
Hiroshi Haga | JP | Hitachiaka-Shi | 2015-01-15 / 20150015094 - POWER TOOL HAVING CIRCUIT BOARD | 1 |
Toshio Haga | JP | Osaka-Shi, Osaka | 2015-10-22 / 20150298208 - MANUFACTURING DEVICE FOR CAST BAR AND TUBE AND METAL MATERIALS OBTAINED BY THE DEVICE | 1 |
Ryuta Haga | JP | Chiba | 2015-01-15 / 20150017088 - METHOD FOR PRODUCING NON-GRAPHITIZABLE CARBON MATERIAL, NON-GRAPHITIZABLE CARBON MATERIAL, NEGATIVE ELECTRODE MATERIAL FOR LITHIUM-ION SECONDARY BATTERY, AND LITHIUM-ION SECONDARY BATTERY | 1 |
Takashi Haga | JP | Kanagawa | 2011-03-03 / 20110049850 - AIR BAG DEVICE | 1 |
Tsuneyuki Haga | JP | Kanagawa | 2010-11-11 / 20100284013 - SURFACE PLASMON RESONANCE MEASURING DEVICE, SAMPLE CELL, AND MEASURING METHOD | 1 |
Shinsuke Haga | JP | Kanagawa | 2010-04-15 / 20100093112 - LASER ANNEALING METHOD AND LASER ANNEALING APPARATUS | 2 |
Tsuyoshi Haga | JP | Kanagawa | 2015-08-13 / 20150229284 - AMPLIFIER APPARATUS | 4 |
Ryuta Haga | JP | Tokyo | 2014-10-02 / 20140295284 - METHOD FOR PRODUCING AMORPHOUS CARBON PARTICLES, AMORPHOUS CARBON PARTICLES, NEGATIVE ELECTRODE MATERIAL FOR LITHIUM ION SECONDARY BATTERY, AND LITHIUM ION SECONDARY BATTERY | 2 |
Hirofumi Haga | JP | Miyagi | 2015-12-31 / 20150380282 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Koichi Haga | JP | Kanagawa | 2010-09-09 / 20100225866 - DISPLAY MEDIUM | 3 |
Shuichi Haga | JP | Kanagawa | 2014-09-04 / 20140247391 - SIGNAL PROCESSING APPARATUS AND METHOD, AND PROGRAM | 10 |
Jeffrey Wayne Hagadone | US | Canton | 2010-08-12 / 20100204960 - REMOTE FAULT DETECTION AND CONDITION MONITORING | 1 |
Scott J. Hagadone | US | Tracy | 2013-05-09 / 20130115500 - BATTERY ASSEMBLY FOR BATTERY POWERED PORTABLE DEVICES | 2 |
John R. Hagadorn | US | Houston | 2015-10-15 / 20150291710 - Vinyl Terminated Macromonomers | 39 |
John Robert Hagadorn | US | Houston | 2012-09-20 / 20120238713 - Methods For Preparation of Pyridylamines And Their Use In Oligomerization Reactions | 2 |
Ofir Hagag | IL | Moshav Azarya | 2014-07-31 / 20140213093 - AUTO-LOCKING AUDIOPHILE POWER RECEPTACLE | 1 |
Noam Hagag | IL | Newe Mivtah | 2013-03-28 / 20130080479 - SYSTEM AND METHOD FOR SELF-EXPIRING DATA CONTENT | 1 |
Benny Hagag | US | Plantation | 2011-04-07 / 20110082468 - SURGICAL SYSTEM FOR POSITIONING PROSTHETIC COMPONENT AND/OR FOR CONSTRAINING MOVEMENT OF SURGICAL TOOL | 2 |
Naoki Hagai | JP | Komaki-Shi | 2015-12-31 / 20150378657 - SERVER RECEIVING IMAGE DATA FROM TERMINAL DEVICE AND GENERATING PRINT DATA BASED ON IMAGE DATA | 1 |
Esther Lukasiewicz Hagai | IL | Tel Aviv | 2015-06-25 / 20150174118 - USE OF LAQUINIMOD TO DELAY HUNTINGTON'S DISEASE PROGRESSION | 2 |
Makoto Hagai | JP | Moriguchi-Shi | 2013-02-07 / 20130034308 - PICTURE CODING METHOD AND PICTURE DECODING METHOD | 36 |
Aronowitz Hagai | IL | Petah-Tikva | 2012-03-15 / 20120065974 - JOINT FACTOR ANALYSIS SCORING FOR SPEECH PROCESSING SYSTEMS | 1 |
Makoto Hagai | JP | Osaka-Shi | 2012-10-25 / 20120269054 - DATA TRANSMISSION METHOD AND DATA TRANSMISSION APPARATUS | 2 |
Makoto Hagai | JP | Osaka | 2016-04-28 / 20160119640 - MOTION VECTOR DERIVATION METHOD, MOVING PICTURE CODING METHOD AND MOVING PICTURE DECODING METHOD | 56 |
Makoto Hagai | JP | Morighuchi-Shi | 2010-06-10 / 20100141485 - VARIABLE LENGTH CODING METHOD AND VARIABLE LENGTH DECODING METHOD | 1 |
Anthony Richard Hagale | US | Austin | 2012-07-05 / 20120173495 - Computer Readable Medium, Systems, and Methods of Detecting a Discrepancy in a Chain-of-title of an Asset | 4 |
Suhail Hagalwadi | IN | Bangalore | 2015-11-05 / 20150317199 - SYSTEM-LEVEL ISSUE DETECTION AND HANDLING | 3 |
John T. Hagaman | US | West Hills | 2012-02-16 / 20120037235 - Surface Disruptor for Laminar Jet Fountain | 3 |
Logan R. Hagaman | US | Flagstaff | 2014-06-26 / 20140180400 - PROSTHETIC VALVES, FRAMES AND LEAFLETS AND METHODS THEREOF | 6 |
Jordan E. Hagaman | US | Wilmington | 2014-06-26 / 20140175106 - ENTRAINMENT-REDUCING ASSEMBLY, SYSTEM INCLUDING THE ASSEMBLY, AND METHOD OF REDUCING ENTRAINMENT OF GASES WITH THE ASSEMBLY | 3 |
Edward T. Hagaman | US | Tolland | 2009-10-29 / 20090271086 - Hybrid Engine Accessory Power System | 1 |
David W. Hagan | US | Orlando | 2012-01-19 / 20120016827 - BIOMETRICS WITH MENTAL/ PHYSICAL STATE DETERMINATION METHODS AND SYSTEMS | 1 |
Cynthia Hagan | US | Seattle | 2010-11-18 / 20100293105 - SOCIAL NETWORKING UPDATES FOR IMAGE DISPLAY DEVICES | 1 |
Richard T. Hagan | US | Mission Viejo | 2015-12-31 / 20150378108 - FIBER OPTIC END-FACE TRANSPARENT PROTECTOR | 10 |
Rose Anne Hagan | US | Los Altos | 2011-03-24 / 20110072518 - AUTOMATED SCREENING OF CONTENT BASED ON INTELLECTUAL PROPERTY RIGHTS | 1 |
Ed B. Hagan | US | Hastings | 2011-05-26 / 20110123303 - Methods and Systems for Material Transfer | 4 |
Kirk D. Hagan | US | Ogden | 2011-03-10 / 20110056992 - FLUID DELIVERY VALVE HAVING A COMPRESSION MEMBER | 1 |
Barry Edward Hagan | US | Fort Mill | 2009-12-31 / 20090327154 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR MONITORING COLLATERALIZATION OF PUBLIC-DEPOSIT ACCOUNTS | 1 |
James E. Hagan | US | Grantham | 2011-02-03 / 20110026772 - METHOD OF USING LASER SCANNED POINT CLOUDS TO CREATE SELECTIVE COMPRESSION MASKS | 1 |
Todd A. Hagan | US | Windsor | 2015-01-15 / 20150014005 - SCREWDRIVING TOOL HAVING A DRIVING TOOL WITH A REMOVABLE CONTACT TRIP ASSEMBLY | 14 |
John B. Hagan | US | Rochester | 2011-01-06 / 20110001042 - ASSESSING TREATMENT COMPLIANCE | 1 |
Benjamin F. Hagan | US | Manchester | 2016-03-03 / 20160061112 - SHIELDED PASS THROUGH PASSAGE IN A GAS TURBINE ENGINE STRUCTURE | 4 |
Ed Hagan | US | Hastings | 2010-03-25 / 20100071284 - Self Erecting Storage Unit | 1 |
Luke Hagan | US | Providence | 2013-01-17 / 20130018344 - Dynamic Fitting Body Adhering Absorbent Article | 2 |
Aaron M. Hagan | US | North Canton | 2010-01-14 / 20100005866 - VISCOMETER SYSTEM UTILIZING AN OPTICAL FLOW CELL | 1 |
Timothy J. Hagan | US | Succasunna | 2016-04-14 / 20160101712 - LINEAR MOTION ASSEMBLIES AND BEARINGS FOR USE IN LINEAR MOTION ASSEMBLIES | 14 |
John T. Hagan | US | Roscoe | 2011-06-23 / 20110146085 - STUD PUNCH TOOL | 2 |
Luke Delehanty Hagan | US | Providence | 2009-08-13 / 20090204090 - PACKAGED BODY ADHERING ABSORBENT ARTICLE | 1 |
Thomas Hagan | US | Ho-Ho-Kus | 2009-07-02 / 20090171982 - Privacy and Security Method and System for a World-Wide-Web Site | 1 |
Lynn Hagan | US | Brooks | 2009-04-09 / 20090094292 - Quality Assurance Tool Kit and Method | 1 |
James Hagan | US | Fountain Valley | 2009-02-26 / 20090053944 - PROPULSION SYSTEM FOR A SHIP OR SEAGOING VESSEL | 1 |
John Mark Hagan | US | Tyler | 2015-08-06 / 20150221207 - HVAC System with Visitor Presence Sensor | 4 |
Willard F. Hagan | US | Phoenix | 2016-04-07 / 20160096625 - INFLATABLE PERSONAL RESTRAINT SYSTEMS | 4 |
Breen Hagan | US | Cupertino | 2009-01-01 / 20090006389 - NAMED URL ENTRY | 1 |
Timothy Hagan | US | Sugar Grove | 2008-12-18 / 20080310167 - INTERCONNECT DEVICE WHICH FORMS A HEAT SINK AND ELECTRICAL CONNECTIONS BETWEEN A HEAT GENERATING DEVICE AND A POWER SOURCE | 2 |
Cary P. Hagan | US | Germantown | 2008-11-27 / 20080294256 - Methods and Materials for Connective Tissue Repair | 1 |
Robert Hagan | US | Durham | 2011-06-30 / 20110161858 - TARGET SERVER IDENTIFICATION IN A VIRTUALIZED DATA CENTER | 2 |
Mark Lloyd Hagan | US | Nyack | 2013-10-03 / 20130258571 - TABLET-TYPE DEVICE CONFIGURED FOR UNDERWATER OR SEVERE ENVIRONMENT | 1 |
Craig T. Hagan | US | Melbourne Beach | 2014-11-20 / 20140344230 - Methods and systems for node and link identification | 1 |
David A. Hagan | US | Bryan | 2016-02-04 / 20160030977 - HIGH GAS BARRIER THIN FILMS THROUGH PH MANIPULATION OF CLAY | 1 |
Daniel Scott Hagan | US | Pahrump | 2011-07-21 / 20110174951 - Hanger with an insulated hook | 1 |
Benjamin J. Hagan | US | Tempe | 2015-10-15 / 20150295430 - SMART DISTRIBUTED BATTERY SYSTEM AND METHOD | 1 |
Chris Hagan | GB | Halifax | 2016-02-18 / 20160050710 - WIRELESS ACCESS POINT ALLOCATION AND TRANSFER | 3 |
Rebecca Hagan | US | San Diego | 2015-04-09 / 20150099705 - PROTOXIN -II VARIANTS AND METHODS OF USE | 1 |
Guy R. Hagan | US | Snellville | 2014-09-11 / 20140258522 - Methods and Systems for Monitoring Computer Systems Using Wireless Devices | 3 |
Kenneth Hagan | US | Eastpointe | 2014-08-21 / 20140230198 - Clamping Device With Removable Handles | 2 |
Benjamin J. Hagan | US | Manchester | 2014-05-22 / 20140140827 - Full Ring Inner Air-Seal With Locking Nut | 1 |
Kevin Thomas Hagan | US | Paia | 2014-07-03 / 20140187333 - FINDING FRIENDS FOR MULTIUSER ONLINE GAMES | 1 |
Tobin D. Hagan | US | Plano | 2013-06-20 / 20130154483 - METHOD AND APPARATUS TO MEASURE LIGHT INTENSITY | 3 |
Luke Hagan | US | Neenah | 2014-08-07 / 20140221953 - PACKAGED BODY ADHERING ABSORBENT ARTICLE | 1 |
Kevin Thomas Hagan | US | Los Gatos | 2012-01-19 / 20120015741 - FINDING FRIENDS FOR MULTIUSER ONLINE GAMES | 3 |
Michael Hagan | US | West Newton | 2014-10-09 / 20140302303 - ALIGNED ARRAYS OF NANORODS, AND METHODS OF MAKING AND USING THEM | 1 |
Luke Hagan | US | Seattle | 2015-12-24 / 20150367117 - Transdermal Drug Delivery Device | 3 |
Joel C. Hagan | US | Batavia | 2014-01-16 / 20140017663 - ORGAN TRANSPORTER WITH TILT AND/OR SHOCK SENSING | 1 |
Nathan A. Hagan | US | Ellicott City | 2013-10-31 / 20130288356 - SYSTEM FOR DETERMINING DRUG RESISTANCE IN MICROORGANISMS | 2 |
Michael Hagan | US | La Mesa | 2012-03-15 / 20120065258 - STABLE PROSTAGLANDIN-CONTAINING COMPOSITIONS | 1 |
Cynthia M. Hagan | US | Seattle | 2014-02-06 / 20140040202 - AUTOMATIC SYNCHRONIZATION CONFLICT RESOLUTION | 2 |
David Hagan | US | Bloomington | 2014-09-18 / 20140277086 - UMBRELLA INFERIOR VENA CAVA FILTER RETRIEVAL DEVICE | 1 |
Leigh Hagan | US | Owensboro | 2014-09-18 / 20140271952 - PROTEIN-ENRICHED TOBACCO-DERIVED COMPOSITION | 2 |
David Wayne Hagan | US | Orlando | 2015-01-29 / 20150030212 - SYSTEMS AND METHODS FOR APPLYING COMMERCIAL WEB SEARCH TECHNOLOGIES TO BIOMETRIC MATCHING AND IDENTIFICATION | 1 |
Timothy J. Hagan | US | Succasunna | 2016-04-14 / 20160101712 - LINEAR MOTION ASSEMBLIES AND BEARINGS FOR USE IN LINEAR MOTION ASSEMBLIES | 14 |
James Hagan | US | Bryan | 2015-09-24 / 20150266396 - Soldier Platform System | 5 |
David J. Hagan | US | Oviedo | 2014-02-20 / 20140051191 - EXTREMELY NON-DEGENERATE TWO PHOTON ABSORPTION OPTICAL SENSING METHOD, APPARATUS AND APPLICATIONS | 1 |
Kenton D. Hagan | US | Marina Del Rey | 2016-02-11 / 20160042450 - Methods and systems for deal structuring for automobile dealers | 1 |
David Hagan | US | Louisville | 2016-02-11 / 20160038722 - CUTTING EDGE CUTTING CATHETER | 1 |
Daniel Lee Hagan | US | Oakland | 2015-07-23 / 20150204741 - Traction-Battery Vehicle Test Trailer | 1 |
James A. Hagan | US | Hopewell Junction | 2011-07-14 / 20110171832 - Chemical-Mechanical Polishing Formulation and Methods of Use | 1 |
Richard T. Hagan | US | Missionviejo | 2013-08-15 / 20130209038 - LOW PROFILE FIBER-TO-MODULE INTERFACE WITH RELAXED ALIGNMENT TOLERANCES | 1 |
John S. Hagan | US | Shrewsbury | 2015-07-23 / 20150202737 - COOLANT DELIVERY SYSTEM FOR GRINDING APPLICATIONS | 1 |
James Edward Hagan | US | Grantham | 2013-01-31 / 20130030692 - Method of Resolving a Location From Data Representative Thereof | 1 |
Mark L. Hagan | US | Nyack | 2011-07-14 / 20110169256 - SUBMERSIBLE TABLET FOR UNDERWATER OR EXTREME ENVIRONMENT | 1 |
Todd A. Hagan | US | Windsor | 2015-01-15 / 20150014005 - SCREWDRIVING TOOL HAVING A DRIVING TOOL WITH A REMOVABLE CONTACT TRIP ASSEMBLY | 14 |
Todd A. Hagan | US | Towson | 2012-04-19 / 20120090863 - SCREWDRIVING TOOL HAVING A DRIVING TOOL WITH A REMOVABLE CONTACT TRIP ASSEMBLY | 1 |
Heather J. Hagan | US | Charlotte | 2015-10-15 / 20150293973 - MANAGING ELECTRONIC RECORDS | 2 |
Richard Hagan | US | Manville | 2014-09-04 / 20140248096 - APPARATUS AND METHOD FOR CHARACTERIZING GLASS SHEETS | 2 |
Richard T. Hagan | US | Mission Viejo | 2015-12-31 / 20150378108 - FIBER OPTIC END-FACE TRANSPARENT PROTECTOR | 10 |
Nathan A. Hagan | US | Houston | 2015-05-21 / 20150136982 - DIVIDED-APERTURE INFRA-RED SPECTRAL IMAGING SYSTEM | 1 |
Peter Hagan | US | Alexandria | 2015-12-17 / 20150362439 - DEVICE AND METHOD FOR EVALUATION OF A MATERIAL | 1 |
Michael R. Hagan | US | Ann Arbor | 2011-11-24 / 20110285518 - METHOD FOR DETECTING RUMBLE STRIPS ON ROADWAYS | 1 |
Kevin Hagan | US | San Francisco | 2012-02-02 / 20120028713 - Apparatuses, Methods and Systems for an Engagement-Tracking Game Modifier | 1 |
Ed Bryan Hagan | US | Hastings | 2012-05-24 / 20120128449 - Proppant Transfer System | 1 |
James Hagan | US | Ira Township | 2012-10-04 / 20120248087 - WIND POWERED ELECTRIC FURNACE | 1 |
Suzanne Hagan | GB | Lanarkshire | 2009-08-27 / 20090215038 - Prediction of Metastasis | 1 |
James Joseph Hagan | GB | Essex | 2009-11-12 / 20090281078 - COMBINATION OF SABCOMELINE WITH A NEUROLEPTIC AGENT TO TREAT PSYCHOTIC DISORDERS | 2 |
Russell Hagan | GB | London | 2012-08-09 / 20120202758 - CORE 2GLCNAC-T INHIBITORS | 4 |
Christopher John Hagan | NO | Bergen | 2012-02-02 / 20120030097 - Apparatus and Method for Valuing Articles | 1 |
Edward Hagan | US | Grantham | 2011-11-17 / 20110282578 - Method of generating a Geodetic Reference Database Product | 1 |
Francis M. Hagan Iii | US | Glenshaw | 2008-10-30 / 20080264825 - ARTICLE SHIPPING AND/OR STORAGE CONTAINER AND A SHIPPING AND/OR STORAGE CONTAINER HAVING ARTICLES | 1 |
Daniel Lee Hagan, Jr. | US | Oakland | 2014-09-11 / 20140257627 - POTENTIAL CHASSIS DAMAGE IDENTIFICATION AND NOTIFICATION SYSTEM | 1 |
Hiroyuki Hagano | JP | Kiyosu-Shi | 2015-05-21 / 20150136768 - FUEL FILLER SYSTEMS AND METHODS OF ASSEMBLING SAME | 9 |
Hiroyuki Hagano | JP | Aichi-Ken | 2011-05-05 / 20110104379 - MANUFACTURING METHOD FOR ELASTIC MEMBER | 6 |
Hiroyuki Hagano | JP | Kiyosu-Shi | 2015-05-21 / 20150136768 - FUEL FILLER SYSTEMS AND METHODS OF ASSEMBLING SAME | 9 |
Patrick L. Hagans | US | Columbia | 2010-03-04 / 20100055538 - FUEL CELL FLOW FIELD HAVING METAL BIPOLAR PLATES | 2 |
Patrick Hagans | US | Dexter | 2013-07-18 / 20130183568 - COMPOSITE SEPARATOR FOR ELECTROCHEMICAL CELL AND METHOD FOR ITS MANUFACTURE | 1 |
Patrick L. Hagans | US | Cleveland Heights | 2015-04-02 / 20150091530 - DEEP-DISCHARGE CONDITIONING FOR LITHIUM-ION CELLS | 1 |
Capus Donnell Hagans | US | Jacksonville | 2014-08-07 / 20140215738 - HD Miracle Arm | 1 |
Patrick L. Hagans | US | Dexter | 2014-03-20 / 20140080014 - POWER GENERATION METHOD USING A FUEL CELL HAVING A STABILIZED CATHODE CATALYST | 3 |
Michael N. Hagans | US | Medina | 2008-09-18 / 20080227412 - Intelligent Scanning System and Method for Walkie-Talkie Devices | 1 |
Michael Keith Hagans | US | Wheelersburg | 2012-08-02 / 20120197045 - METHOD FOR THE DECOMPOSITION OF CUMENE HYDROPEROXIDE | 2 |
Lyndal Hagar | US | San Francisco | 2014-04-10 / 20140100923 - NATURAL LANGUAGE METRIC CONDITION ALERTS ORCHESTRATION | 3 |
Melanie M. Hagar | US | Park Forest | 2014-05-29 / 20140148057 - COMMUNICATION JACK HAVING AN INSULATING ELEMENT AND A SPRING ELEMENT | 3 |
Melanie M. Hagar | US | Bartlett | 2015-12-03 / 20150349463 - COMMUNICATION JACK HAVING AN INSULATING ELEMENT AND A SPRING ELEMENT | 1 |
David Adam Hagar | US | Dallas | 2013-03-14 / 20130066845 - Concept Bridge and Method of Operating the Same | 1 |
David Eric Hagar | US | Mountain View | 2013-12-12 / 20130333028 - Dashboards for Displaying Threat Insight Information | 2 |
David A. Hagar | US | Dallas | 2010-05-06 / 20100114890 - System and Method for Discovering Latent Relationships in Data | 1 |
Abdelrahman Abbas Hagar | CA | Niagara Falls | 2013-06-27 / 20130166084 - SYSTEM, METHOD AND CONTROLLER FOR MANAGING AND CONTROLLING A MICRO-GRID | 1 |
William J. Hagar | US | Perryville | 2016-02-11 / 20160038387 - TREATED SILICAS AND METAL SILICATES FOR IMPROVED CLEANING IN DENTIFRICE | 6 |
Hideki Hagari | JP | Chiyoda-Ku | 2015-10-22 / 20150300916 - ESTIMATION APPARATUS AND METHOD FOR CYLINDER INTAKE AIR AMOUNT OF INTERNAL COMBUSTION ENGINE | 18 |
Yasunori Hagari | JP | Tokyo | 2011-11-24 / 20110286773 - IMAGE FORMING APPARATUS | 1 |
Hideki Hagari | JP | Chiyoda-Ku | 2015-10-22 / 20150300916 - ESTIMATION APPARATUS AND METHOD FOR CYLINDER INTAKE AIR AMOUNT OF INTERNAL COMBUSTION ENGINE | 18 |
Hideki Hagari | JP | Tokyo | 2016-03-24 / 20160084176 - CONTROL DEVICE AND CONTROL METHOD FOR AN INTERNAL COMBUSTION ENGINE WITH A SUPERCHARGER | 8 |
Hideki Hagari | JP | Tokyo | 2016-03-24 / 20160084176 - CONTROL DEVICE AND CONTROL METHOD FOR AN INTERNAL COMBUSTION ENGINE WITH A SUPERCHARGER | 8 |
Yutaka Hagata | JP | Aichi-Ken | 2009-12-31 / 20090321612 - MOLD | 1 |
Yutaka Hagata | JP | Toyokawa-Shi | 2013-11-28 / 20130315030 - TANK APPARATUS, A SYSTEM FOR DISPERSING BY CIRCULATING A MIXTURE, AND A METHOD FOR DISPERSING BY CIRCULATING A MIXTURE | 4 |
Yutaka Hagata | JP | Aichi | 2010-12-09 / 20100307707 - CASTING METHOD TO PRODUCE A CASTING AND PRESS USED FOR THE CASTING METHOD | 2 |
Kjell Hagatun | NO | Finstadrabben | 2011-12-29 / 20110316274 - RISER CLAMP | 1 |
Serban Hagau | FR | Paris | 2011-01-13 / 20110009769 - APPARATUS FOR EARLY DIAGNOSIS OF CARPAL TUNNEL SYNDROME AND METHOD AND DEVICE FOR ACQUIRING INFORMATION ON THE SKIN SENSITIVITY OF A FINGER OF A PATIENT | 1 |
Toshihide Hagawa | JP | Chiba-Shi Chiba | 2010-05-13 / 20100118115 - IMAGE DATA RECEIVING DEVICE, OPERATION DEVICE, OPERATION SYSTEM, DATA STRUCTURE OF IMAGE DATA SET, CONTROL METHOD, OPERATION METHOD, PROGRAM, AND STORAGE MEDIUM | 2 |
Tatsuya Hagawa | JP | Hitachinaka | 2014-03-06 / 20140060507 - ENGINE AND ENGINE-DRIVEN WORKING MACHINE | 1 |
Kazuki Hagawa | JP | Otsu-Shi, Shiga | 2016-02-25 / 20160051937 - METHOD FOR CLEANING HOLLOW FIBER MEMBRANE MODULE | 1 |
Toshihide Hagawa | JP | Chiba | 2009-05-21 / 20090132914 - Electronic Program Guide Dispaly Device for Displaying Electronic Program Guide | 2 |
Yoshihito Hagawa | JP | Nerima-Ku | 2009-08-27 / 20090214695 - Feeding Stuff Composition Comprising Proliferation Promoting Agent for Bifidus Bacteria and Use of the Same | 1 |
Rika Hagawara | JP | Kyoto-Shi | 2013-12-12 / 20130330608 - MOLTEN SALT BATTERY | 1 |
Suzi Hagay | IL | Zur Yigal | 2010-02-25 / 20100043354 - MERGING VARIABLE DATA FOR PACKAGING IMPOSITION | 1 |
Yocheved Hagay | IL | Rehovot | 2009-09-03 / 20090220486 - ANTIBODIES AND USES THEREOF | 1 |
Yoheved Hagay | IL | Rehovot | 2015-10-01 / 20150275169 - PROCESS FOR THE LARGE SCALE PRODUCTION OF FRUIT CELLS | 1 |
Yngve Hagberg | SE | Goteborg | 2008-11-06 / 20080272562 - Height Adjustment System for a Vehicle | 1 |
Kyle K. Hagberg | US | Bothell | 2013-03-14 / 20130067362 - Object Management System | 1 |
Richard Hagberg | US | Langley | 2009-12-24 / 20090319344 - ASSESSMENT OF SALES FORCE PERSONNEL FOR IMPROVEMENT OF SALES PERFORMANCE | 1 |
Reginald K. Hagberg | US | Oswego | 2011-10-20 / 20110253029 - Architectural signs with interlocking solid color tactile components and method of production | 1 |
Sean Hagberg | US | San Francisco | 2014-10-09 / 20140303425 - METHOD AND APPARATUS FOR ELECTROMAGNETIC TREATMENT OF COGNITION AND NEUROLOGICAL INJURY | 1 |
Erik Christopher Hagberg | US | Evansville | 2012-06-21 / 20120155242 - METHOD FOR HIGH DENSITY DATA STORAGE AND IMAGING | 9 |
Erik Christopher Hagberg | US | Evansville | 2012-06-21 / 20120155242 - METHOD FOR HIGH DENSITY DATA STORAGE AND IMAGING | 9 |
Eric C. Hagberg | US | Decatur | 2013-04-18 / 20130093119 - PROCESSES FOR PRODUCING THERMOSTABLE POLYHYDROXYALKANOATE AND PRODUCTS PRODUCED THEREFROM | 1 |
Lars Hagberg | SE | Stockholm | 2012-08-09 / 20120202861 - NOVEL ESTROGEN RECEPTOR LIGANDS | 2 |
Kenneth Hagberg | SE | Sodertalje | 2010-01-28 / 20100018807 - OILING DEVICE, GEARBOX AND A METHOD TO LUBRICATE A GEARBOX | 2 |
Ross Hagberg | US | San Diego | 2013-03-28 / 20130075194 - PORTABLE WORK PLATFORMS AND METHOD THEREFOR | 1 |
Magnus Hagberg | SE | Sodertalje | 2012-04-19 / 20120090421 - DEVICE FOR THE AUTOMATIC OPERATION OF A MANUAL GEAR BOX | 4 |
Erik C. Hagberg | US | Decatur | 2012-07-26 / 20120190791 - METHODS OF PREPARING POLYMER-ORGANOCLAY COMPOSITES AND ARTICLES DERIVED THEREFROM | 3 |
Daniel Hagberg | SE | Askim | 2014-05-08 / 20140127399 - Additives for bitumen containing compositions | 1 |
Lars Hagberg | SE | Huddinge | 2014-10-30 / 20140323518 - NOVEL ESTROGEN RECEPTOR LIGANDS | 1 |
Aric Hagberg | US | Santa Fe | 2011-06-16 / 20110145386 - Policy-Based Content Delivery Network Selection | 2 |
Erik C Hagberg | US | Clifton Park | 2015-11-26 / 20150337461 - Crystallizable Polyetherimides, Method of Manufacture, and Articles Derived Therefrom | 1 |
Robert Carl Hagberg | US | Butler | 2009-08-13 / 20090199858 - Cannula cover | 1 |
Sabrina Hagberg | US | Greenwood Village | 2009-04-30 / 20090112643 - PROCESSING SYSTEM FOR ACQUIRING AND REPORTING COMPLIANCE WITH DATA SECURITY REQUIREMENTS | 1 |
Daniel Hagberg | SE | Kullavik | 2015-10-01 / 20150275441 - Phosphated compounds as adhesion promoters | 2 |
Ola Hagberg | SE | Bunkeflostrand | 2011-09-15 / 20110222719 - WIRED HEADSET ADAPTABLE FOR LEFT AND RIGHT EAR USE | 1 |
Erik Hagberg | US | Decatur | 2015-10-29 / 20150307510 - IMPROVED METHOD OF MAKING INTERNAL DEHYDRATION PRODUCTS OF SUGAR ALCOHOLS | 10 |
Erik Hagberg | US | Decatur | 2015-10-29 / 20150307510 - IMPROVED METHOD OF MAKING INTERNAL DEHYDRATION PRODUCTS OF SUGAR ALCOHOLS | 10 |
Gisela Hagberg | IT | Rome | 2009-03-26 / 20090080725 - METHODS USING RECURRENCE QUANTIFICATION ANALYSIS TO ANALYZE AND GENERATE IMAGES | 1 |
Hans M. Hagberg | US | Redondo Beach | 2009-04-09 / 20090094501 - METHODS AND APPARATUS TO SELECT TORNADO ERROR CORRECTION PARAMETER | 1 |
Birger Hagberg | SE | Karlskoga | 2012-05-03 / 20120108818 - MICROWAVE APPARATUS | 1 |
Yehezkel Hagbi | IL | D.n. Hof Ashkelon | 2011-08-11 / 20110192467 - METHOD AND APPARATUS FOR SMOOTHING FLOW IN FLOW PASSAGES | 1 |
Idan Hagbi | IL | Petach Tikva | / - | 1 |
Netanel Hagbi | IL | Be'Er Sheva | 2014-02-06 / 20140037137 - SYSTEMS AND METHODS FOR EFFICIENT 3D TRACKING OF WEAKLY TEXTURED PLANAR SURFACES FOR AUGMENTED REALITY APPLICATIONS | 3 |
Edward H. Hagbloom | US | Upland | 2010-12-09 / 20100307010 - Hydraulic rescue tool with quick-change head | 1 |
Hans R. Hagbrandt | US | Snohomish | 2009-04-16 / 20090095505 - POWERFEEDER SPACER | 1 |
Urban Hagby | SE | Grabo | 2010-07-15 / 20100179377 - SAMPLING NEEDLE | 1 |
Nazih H. Hage | US | Cypress | 2013-09-05 / 20130232510 - PROXY FOR ACCESSING A MIDDLEWARE SUBSYSTEM | 3 |
Ronald Hage | NL | Vlaardingen | 2014-07-31 / 20140213436 - PROCESS OF BLEACHING | 8 |
Bernd Hage | CH | Sachseln | 2014-10-02 / 20140292115 - STATOR FOR A HIGH-TEMPERATURE ELECTRIC MOTOR AND ELECTRIC MOTOR | 1 |
Leendert Mark Hage | NL | Eindhoven | 2014-03-06 / 20140062991 - SEQUENTIAL ADDRESSING OF DISPLAYS | 3 |
Preston Hage | US | Orlando | 2014-08-21 / 20140230251 - SAFETY RAZOR | 2 |
Bernd Hage | DE | Quedlinburg | 2008-09-18 / 20080222889 - Method of producing assembled camshafts | 1 |
Hermann Hage | DE | Hamburg | 2013-11-21 / 20130308673 - SLAB LASER | 2 |
Dan Hage | US | Paducah | 2014-03-20 / 20140079619 - MANUFACTURE OF PF5 | 1 |
Kam Hage | US | Troy | 2016-01-28 / 20160025586 - Protective Cover For Pressure Sensor Nozzle | 2 |
Johannes Adrianus Hage | NL | Arkel | 2014-11-13 / 20140336140 - METHODS FOR PROVIDING SIALYLATED OLIGOSACCHARIDES | 1 |
Daniel Hage | US | Paducah | 2012-11-15 / 20120285863 - AUTOMATED SULFUR RECOVERY LOOP | 1 |
Martin Lenard Hage | US | Maple Grove | 2011-03-24 / 20110070382 - Novel lens-protecting processing films | 1 |
Leendert Marinus Hage | NL | Eindhoven | 2012-08-23 / 20120212470 - Method and apparatus for driving an electronic display and a system comprising an electronic display | 4 |
Matthew Hage | US | San Diego | 2016-04-14 / 20160101420 - METHOD AND DEVICE FOR SEPARATING IMMISCIBLE LIQUIDS TO EFFECTIVELY ISOLATE AT LEAST ONE OF THE LIQUIDS | 7 |
Benoît Hage | FR | Vorion | 2012-12-27 / 20120326814 - Electric Protection Apparatus Comprising at Least One Breaking Module Controlled by a Control Device With Electromagnetic Coil | 1 |
Ronald Hage | NL | Leiden | 2016-04-14 / 20160102276 - BLEACH AND OXIDATION CATALYST | 19 |
Joseph Hage | US | Reno | 2009-02-19 / 20090049422 - METHOD AND SYSTEM FOR MODELING AND DEVELOPING A SOFTWARE APPLICATION | 1 |
Benoit Hage | FR | Saint Jean De Moirans | 2015-02-26 / 20150053648 - EXTINGUISHING CHAMBER FOR AN ELECTRIC PROTECTION APPARATUS AND ELECTRIC PROTECTION APPARATUS COMPRISING ONE SUCH CHAMBER | 1 |
Mohamed Hage | CA | Montreal | 2011-06-16 / 20110144827 - DYNAMIC GROWING SYSTEM | 1 |
David S. Hage | US | Hickman | 2012-12-06 / 20120309652 - Restricted Access Media and Methods for Making Restricted Access Media | 2 |
Ronald Hage | NL | Leiden | 2016-04-14 / 20160102276 - BLEACH AND OXIDATION CATALYST | 19 |
Antoine Hage | US | Cambridge | 2014-07-10 / 20140195324 - SYSTEM AND METHOD FOR ENHANCED COMMERCE | 1 |
Susanne Hage | NL | Delft | 2009-09-17 / 20090233287 - PRODUCTION OF COMPOUNDS IN A RECOMBINANT HOST | 1 |
Richard P. Ver Hage | US | Midland Park | 2010-01-14 / 20100006522 - ANIMAL HOUSING UNIT AND RACKING SYSTEM | 3 |
Abbas Hage | US | Atherton | 2009-12-31 / 20090327766 - Power Over Ethernet Reclassification | 1 |
Ronald Hage | NL | Vlaardingen | 2014-07-31 / 20140213436 - PROCESS OF BLEACHING | 8 |
John Hage | US | Sioux Falls | 2008-10-30 / 20080263924 - Transportable electronic sign display system | 1 |
Chad A. Hage | US | Clearwater | 2016-05-05 / 20160125440 - METHODS AND APPARATUS TO IDENTIFY PUBLISHER ADVERTISING BEHAVIOR | 4 |
Chad Hage | US | Oldsmar | 2014-09-18 / 20140280009 - METHODS AND APPARATUS TO SUPPLEMENT WEB CRAWLING WITH CACHED DATA FROM DISTRIBUTED DEVICES | 1 |
Benoît Hage | FR | Vorion | 2012-12-27 / 20120326814 - Electric Protection Apparatus Comprising at Least One Breaking Module Controlled by a Control Device With Electromagnetic Coil | 1 |
Wouter Hagedoorn | NL | Deventer | 2016-01-28 / 20160022310 - TROCAR | 3 |
Wouter Hagedoorn | NL | Hengelo | 2008-11-27 / 20080290982 - TRANSFORMER CORE | 1 |
Rolf Hagedorn | DE | Berlin | 2012-12-06 / 20120305398 - METHODS AND DEVICES FOR SEPARATING PARTICLES IN A LIQUID FLOW | 2 |
Peter Hagedorn | DK | Horsholm | 2014-09-18 / 20140272998 - DIAGNOSTIC MICRORNA PROFILING IN CUTANEOUS T-CELL LYMPHOMA (CTCL) | 2 |
Harro Hagedorn | DE | Frankfurt | 2015-06-11 / 20150162173 - Method for Producing a Multilayer Coating and Device for Carrying Out Said Method | 1 |
John Joseph Hagedorn | US | Newark | 2011-12-08 / 20110301390 - PRODUCING SHORT CHAIN PERFLUOROALKYL IODIDES | 2 |
Kevin V. Hagedorn | US | Northville | 2013-04-25 / 20130101830 - Metal Organic Complexes For Improved Smoothness And Uniformity Of Thin Films Deposited From Nanocolloids Via Electrophoresis | 3 |
Andreas Hagedorn | DE | Olpe | 2012-03-08 / 20120059632 - METHOD FOR INSTALLING A RADIAL GEOTHERMAL ENERGY PROBE FIELD | 2 |
Detlev Hagedorn | DE | Ingolstadt-Gerolfing | 2011-12-01 / 20110291336 - AXIALLY DAMPING HYDRAULIC MOUNT | 1 |
Peter H. Hagedorn | DK | Copenhagen | 2014-10-23 / 20140315740 - ANTIGEN ARRAY AND DIAGNOSTIC USES THEREOF | 1 |
Heinz Gert Hagedorn | DE | Moos-Iznang | 2016-01-07 / 20160001826 - Engine-transmission connection by means of adhesive tape | 1 |
Kevin V. Hagedorn | US | Ann Arbor | 2013-06-13 / 20130150231 - METHOD OF MANUFACTURING ORDERED INTERMETALLIC CATALYSTS | 1 |
Jan-Nils Hagedorn | DE | Verden | 2015-09-03 / 20150245627 - Apparatus for Filling Tubular Casings | 1 |
David W. Hagedorn | US | Jacksonville | 2016-01-07 / 20160000354 - ELECTROPHYSIOLOGY MEASUREMENT AND TRAINING AND REMOTE DATABASED AND DATA ANALYSIS MEASUREMENT METHOD AND SYSTEM | 8 |
Mark Edward Hagedorn | US | Hendersonville | 2014-10-23 / 20140311331 - Weapon Mounting System for Firearms | 4 |
Heinz Hagedorn | DE | Rottweil | 2015-04-16 / 20150105208 - Driven hatch arrangement for a motor vehicle | 3 |
Kevin Hagedorn | US | Ann Arbor | 2015-01-08 / 20150011014 - Method Of Manufacturing And Applications Of Biofunctionalized Amorphous Metal Colloidal Suspensions | 1 |
Yves-Christian Hagedorn | DE | Aachen | 2016-04-28 / 20160114427 - DEVICE AND METHOD FOR GENERATIVE COMPONENT PRODUCTION | 1 |
Craig Hagedorn | US | Houston | 2012-05-10 / 20120110787 - IMPLEMENT HANDLE WITH ERGONOMIC GRIP PROVIDING ROTATIONAL LEVERAGE | 1 |
Alexander Hagedorn | DE | Pulheim | 2012-03-22 / 20120067989 - ROLLER PRESS, PARTICULARLY FOR INTERPARTICLE COMMINUTION | 9 |
David W. Hagedorn | US | Jacksonville | 2016-01-07 / 20160000354 - ELECTROPHYSIOLOGY MEASUREMENT AND TRAINING AND REMOTE DATABASED AND DATA ANALYSIS MEASUREMENT METHOD AND SYSTEM | 8 |
Nadine Hagedorn | DE | Blaustein | 2013-04-04 / 20130084250 - PREPARATION | 2 |
Sebastian Hagedorn | DE | Dresden | 2011-04-21 / 20110088480 - PRESSURE SENSOR AND USE THEREOF IN A FLUID TANK | 1 |
Michael Hagedorn | DE | Dortmund | 2011-02-24 / 20110041946 - METHOD FOR THE PRODUCTION OF A HIGH-PRESSURE ACCUMULATOR PIPE OF STEEL FOR FUEL INJECTION SYSTEMS AND HIGH-PRESSURE ACCUMULATOR PIPE PRODUCED ACCORDING TO THIS METHOD | 1 |
Heinz Gert Hagedorn | DE | Rottweil | 2014-04-17 / 20140106926 - Planetary gear system | 4 |
Hans-Werner Hagedorn | DE | Remching | 2010-03-04 / 20100051319 - CONTROLLING THE POROSITY OF METAL PASTES FOR PRESSURE FREE, LOW TEMPERATURE SINTERING PROCESS | 1 |
Jan-Nils Hagedorn | DE | Ottersberg | / - | 1 |
Harro Hagedorn | DE | Frankfurt Am Main | 2016-04-21 / 20160111313 - APPARATUS FOR THE VACUUM TREATMENT OF SUBSTRATES | 3 |
Juergen Hagedorn | DE | Nussloch | 2012-03-22 / 20120072489 - MOBILE BUSINESS CLIENT | 3 |
Susanne Hagedorn | DE | Lippstadt | 2015-08-06 / 20150219299 - Optical Surface and Lighting Device for Vehicles | 3 |
Jason J Hagedorn | US | Bloomingdale | 2009-04-30 / 20090110530 - LINKAGE ASSEMBLY | 1 |
Martyn V. Hagedorn | GB | Swansea | 2010-06-10 / 20100139858 - MASKING ARTICLE COMPRISING SKINNED FOAM | 1 |
Timothy William Hagedorn | US | Saint Paul | 2010-07-01 / 20100169304 - SYSTEM AND METHOD TO RETRIEVE RELEVANT INFORMATION | 1 |
Louis Hagedorn | US | Neillsville | 2011-03-10 / 20110056583 - MULTI-WALLED TUBE AND METHOD OF MANUFACTURE | 1 |
Brian Boyum Hagedorn | DK | Soro | 2010-11-11 / 20100286615 - INSERTER HAVING BISTABLE EQUILIBRIUM STATES | 2 |
Martyn V. Hagedorn | GB | Upper Killay | 2011-12-22 / 20110308710 - MASKING ARTICLE COMPRISING SKINNED FOAM | 1 |
Hendrik Hagedorn | DE | Delmenhorst | 2015-07-09 / 20150190167 - Ultrasonic Surgical Instrument | 1 |
Curt Hagedorn | US | Salt Lake City | 2015-10-01 / 20150275307 - COMPOSITIONS AND METHODS FOR DETECTING SESSILE SERRATED ADENOMAS/POLYPS | 1 |
Martin Hagedorn | FR | Talence | 2011-12-29 / 20110318370 - CXCL4L1 AS A BIOMARKER OF PANCREATIC CANCER | 1 |
Michael Hagedorn | DE | Oer-Erkenschwick | 2011-11-03 / 20110265712 - METERING ROD FOR METERING SYSTEMS FOR DISPERSING AND WIPING OFF A LIQUID OR VISCOUS MEDIUM ON MOVING MATERIAL WEBS | 1 |
J. David Hagedorn | CA | Waterloo | 2015-03-05 / 20150061839 - DEVICE AND METHOD FOR DETERMINING REDUNDANCIES IN NEAR FIELD COMMUNICATION TAG DETECTION | 3 |
Harro Hagedorn | DE | Frankfurt A. M. | 2014-11-06 / 20140329095 - Method and Apparatus for Producing a Reflection-Reducing Layer on a Substrate | 1 |
Ralf Hagedorn | DE | Holdorf | 2014-12-11 / 20140363289 - Assembly For Fixing A Rotor Blade Of A Wind Power Plant | 4 |
Michael Hagedorn | US | Washington | 2013-11-14 / 20130299300 - COOL LOGIC WITH AN INTEGRATED COOLER INTO THE CLUTCH/ENGINE BASE | 1 |
Joseph A. Hagedorn | US | San Francisco | 2014-12-04 / 20140358411 - Architecture for Distributing Transit Data | 3 |
Jason J. Hagedorn | US | West Chicago | 2012-05-24 / 20120128456 - Z-Bar Linkage for Wheel Loader Machines | 1 |
Charles A. Hagedorn | US | Seattle | 2012-09-27 / 20120242999 - INTERFEROMETRIC QUASI-AUTOCOLLIMATOR | 1 |
Jason J. Hagedorn | SG | Singapore | 2014-04-17 / 20140105715 - TILTING SYSTEM FOR LOADER MACHINE | 1 |
Juergen Hagedorn | DE | Lübbecke | 2015-01-22 / 20150020376 - Apparatus and Method for Winding an Electric-Motor Laminated Core with a Magnet Coil | 1 |
Markus Hagedorn | DE | Muelheim/ruhr | 2012-10-04 / 20120251249 - CONVEYING DEVICE FOR POWDERED AND/OR GRANULATED MATERIAL AND MIXTURES OF THIS MATERIAL WITH LIQUIDS | 6 |
Bryan E. Hagee | US | Glassboro | 2013-10-10 / 20130264246 - HIGH VISCOSITY HIGH QUALITY GROUP II LUBE BASE STOCKS | 3 |
Rami Hagege | IL | Beer Sheva | 2009-02-19 / 20090046932 - PARAMETRIC ESTIMATION OF MULTI-DIMENSIONAL HOMEOMORPHIC TRANSFORMATIONS | 1 |
Edward Hagege | IL | Naharya | 2011-09-22 / 20110230931 - DEVICE FOR PERINEUM REEDUCATION | 1 |
Edward Hagege | IL | Herzliya | 2016-05-19 / 20160136421 - VAGINAL REHABILITATIVE DEVICE | 1 |
Edward Hagege | IL | Herzelya Pituach | 2013-10-17 / 20130274823 - VAGINAL REHABILITATIVE DEVICE | 1 |
Caroline HagÈge | FR | Grenoble | 2012-09-06 / 20120226707 - Linguistically enhanced email detector | 2 |
Caroline HagÈge | FR | Grenoble | 2014-12-18 / 20140372102 - COMBINING TEMPORAL PROCESSING AND TEXTUAL ENTAILMENT TO DETECT TEMPORALLY ANCHORED EVENTS | 7 |
Magali Hage Hassan | FR | Grasse | 2009-01-29 / 20090027987 - Memory Device and Testing | 1 |
Souheil Hage-Hassan | US | Reynoldsburg | 2014-07-03 / 20140183921 - HEADREST OF VEHICLE SEAT AND VEHICLE SEAT PROVIDED WITH HEADREST | 4 |
Gerald W. Hagel | US | Lombard | 2015-07-02 / 20150183014 - Method of Manufacturing a Hollow Externally Threaded Fastener | 3 |
Erik Hagel | SE | Stockholm | 2012-09-06 / 20120226194 - APPARATUS AND METHODS FOR BLOCKING NEEDLE AND CANNULA TRACTS | 1 |
Olle Jonny Hagel | SE | Linkoping | 2014-08-07 / 20140216791 - SHORT CIRCUIT REDUCTION IN AN ELECTRONIC COMPONENT COMPRISING A STACK OF LAYERS ARRANGED ON A FLEXIBLE SUBSTRATE | 2 |
Hubert Hagel | DE | Maselheim-Sulmingen | 2012-09-27 / 20120241120 - Latent heat store | 2 |
Valentin Hagel | DE | Stuttgart | 2015-08-27 / 20150240037 - NOVEL CROSS-LINKERS FOR HYDROGELS, HYDROGELS INCLUDING THESE CROSS-LINKERS AND APPLICATIONS THEREOF | 1 |
Margit Hagel | US | Roslindale | 2010-03-25 / 20100074890 - METHODS FOR IDENTIFYING HCV PROTEASE INHIBITORS | 1 |
Jill Hagel | US | Lenexa | 2010-04-22 / 20100100394 - DISPLAYING CLINICAL PREDICTED LENGTH OF STAY OF PATIENTS FOR WORKLOAD BALANCING IN A HEALTHCARE ENVIRONMENT | 1 |
Grith Hagel | DK | Dragor | 2013-05-16 / 20130123140 - IDENTIFICATION OF COMPOUNDS MODIFYING A CELLULAR RESPONSE | 3 |
Rainer Hagel | DE | Erlangen | 2011-08-25 / 20110207067 - HEAT-GENERATING MIXTURE AND DEVICE AND METHOD FOR HEAT GENERATION | 8 |
Rainer Hagel | DE | Erlangen | 2011-08-25 / 20110207067 - HEAT-GENERATING MIXTURE AND DEVICE AND METHOD FOR HEAT GENERATION | 8 |
Jeffrey Hagel | CA | Surrey | 2015-12-17 / 20150359721 - INCREASING MUSCULAR VOLUME IN A HUMAN USING HYALURONIC ACID | 1 |
Bent Hagel | DK | Fredensborg | / - | 1 |
Reinhold Hagel | DE | Pinzberg | 2013-08-01 / 20130197740 - Diagnostic Method for a Torsional Damper in a Drive Train of a Vehicle | 3 |
Rita Hagel | DE | Laupheim | 2008-12-18 / 20080307750 - System for Filling Pharmaceutical Products into Bottle-Shaped Containers | 1 |
Pasi Hagelberg | FI | Espoo | 2010-03-11 / 20100062255 - GYPSUM PRODUCT AND PROCESS FOR ITS PREPARATION | 2 |
Carl J. Hagele | US | The Villages | 2015-08-06 / 20150217702 - Agricultural Vehicle Console Track System | 1 |
Carl J. Hagele | US | Chicago | 2011-12-22 / 20110308870 - FLAT PLATE FRONT FRAME FOR A TRACTOR HAVING BALLAST, BUMPER, AND TOW CABLE CONNECTIONS | 1 |
Carl Joseph Hagele | US | Chicago | 2009-08-06 / 20090196057 - Side Lights For Work Vehicles | 2 |
Charles N. Hagele | US | Hillsboro | 2016-04-07 / 20160098930 - GRAPHICAL USER INTERFACE FOR TRANSPORTATION MANAGEMENT | 1 |
Markus Hagele | US | San Francisco | 2014-04-24 / 20140115508 - DOCUMENT PUBLISHINGMODEL | 11 |
Klaus Hagelganz | US | Mcminnville | 2012-11-01 / 20120273371 - Packaging for Orthodontic Appliances | 3 |
Rolf Hagelganz | US | Dundee | 2016-01-07 / 20160000530 - Base Configuration for Orthodontic Bracket | 11 |
Rolf Hagelganz | US | Dundee | 2016-01-07 / 20160000530 - Base Configuration for Orthodontic Bracket | 11 |
Paul Merritt Hagelin | US | Saratoga | 2012-11-22 / 20120295384 - Temperature Stable MEMS Resonator | 4 |
Hans-Ove Hagelin | SE | Linkoping | 2009-12-17 / 20090313199 - DECISION MAKING UNIT FOR AUTONOMOUS PLATFORM | 1 |
Gunnar Hagelin | NO | Oslo | 2011-01-27 / 20110017673 - ENDOTOXIN REMOVAL IN CONTRAST MEDIA | 1 |
Arne Hagelin | SE | Jonkoping | 2010-04-08 / 20100083992 - DRAWER DISHWASHER | 2 |
Julie Claire Hagelin | US | Ester | 2014-10-30 / 20140318476 - Method of Using Biologically-Relevant Chemical Attractants for Marine Predators | 1 |
Jack S. Hagelin | US | Woodinville | 2013-04-18 / 20130092489 - AEROELASTIC TUNED MASS DAMPER | 2 |
Helena Hagelin-Weaver | US | Gainsville | 2010-06-17 / 20100147699 - CONCURRENT O2 GENERATION AND CO2 CONTROL FOR ADVANCED LIFE SUPPORT | 1 |
Peter L. Hagelstein | US | Carlisle | 2016-05-12 / 20160133340 - SELF-TESTING DATA STORAGE DEVICES AND METHODS | 75 |
Ryan Lee Hagelstrom | US | Chaska | 2013-05-02 / 20130108050 - NETWORK HAVING MULTICAST SECURITY AND METHOD THEREFORE | 1 |
Göran Hageltorn | CA | Kanata | 2014-03-13 / 20140071904 - Resource Block Indication and Allocation for In-Device Coexistence Interference Avoidance | 1 |
Christian Hagelüken | DE | Hanau | 2011-03-31 / 20110075801 - Process and Apparatus for Identifying Autocatalysts | 1 |
Andre Hagelüken | DE | Speyer | 2015-03-19 / 20150076040 - Screen chain conveyor for root crop harvesting machines | 1 |
Christian Hagelüken | DE | Hanau | 2011-03-31 / 20110075801 - Process and Apparatus for Identifying Autocatalysts | 1 |
Peter Hagelund | DK | Lyngby | 2015-06-11 / 20150161406 - DESKTOP REDACTION AND MASKING | 1 |
Peter Hagelund | US | Monmouth Jct | 2012-07-26 / 20120192058 - METHOD FOR INTERNATIONALIZATION TECHNOLOGY | 2 |
Steen Hagelund | DK | Copenhagen Oe | 2009-06-25 / 20090164606 - WEB UPLOADS | 1 |
Rune Hagelund | NO | Dokka | 2015-09-10 / 20150253446 - Method to Determine the Deviation of Seismic Equipment From a Planned Curved Path | 2 |
Peter Hagelund | DK | Copenhagen | 2015-04-23 / 20150113659 - CONSISTENT DATA MASKING | 2 |
Craig Hageman | US | Arlington Heights | 2012-10-04 / 20120248122 - CARTRIDGE INSTALLED IN A PRESSURED TANK TO IMPROVE THE STORAGE CAPACITY OF METHANE OR HYDROGEN GAS | 1 |
James Hageman | US | West Granby | 2009-12-10 / 20090307253 - COMPUTER SYSTEM FOR GENERATING RANDOM SAMPLE IN RESPONSE TO SEARCH QUERY | 1 |
Gretchen Hageman | US | Ann Arbor | 2011-08-04 / 20110190679 - EXTRACORPOREAL CELL-BASED THERAPEUTIC DEVICE AND DELIVERY SYSTEM | 2 |
David C. Hageman | US | Chagrin Falls | 2013-12-05 / 20130320252 - CONTROL VALVE ASSEMBLY | 3 |
Michael L. Hageman | US | Mount Vernon | 2014-05-22 / 20140139290 - SYSTEM AND METHOD FOR PRE-CHARGING A CURRENT MIRROR | 4 |
Michael A. Hageman | US | Redford | 2009-06-04 / 20090139375 - QUICK CHANGE SPINDLE | 1 |
Andrew J. Hageman | US | Dyersville | 2012-10-04 / 20120247087 - Increased Fan Speed To Assist DPF Regeneration | 2 |
Gregory S. Hageman | US | Salt Lake City | 2016-04-07 / 20160096871 - Methods and Reagents for Treatment of Age-Related Macular Degeneration | 19 |
Gregory S. Hageman | US | Coralville | 2010-06-10 / 20100143950 - BINDING OF COMPLEMENT FACTOR H TO C-REACTIVE PROTEIN | 7 |
Gregory S. Hageman | US | Coraville | 2008-10-23 / 20080261211 - Variants in complement regulatory genes predict age-related macular degeneration | 1 |
Robert Hageman | US | San Francisco | 2015-03-19 / 20150079927 - SYSTEM AND METHOD FOR PRICING COMMUNICATION OF A TELECOMMUNICATION PLATFORM | 1 |
Gregory Hageman | US | Salt Lake City | 2015-07-30 / 20150211065 - METHODS OF PREDICTING THE DEVELOPMENT OF AMD BASED ON CHROMOSOME 1 AND CHROMOSOME 10 | 2 |
Joel Hageman | US | Lakewood | 2010-03-04 / 20100051485 - RETAIL DISPLAY OF FLAT ROLL GIFT WRAP PRODUCTS | 1 |
Bradley K. Hageman | US | Pottstown | 2014-06-12 / 20140162073 - AQUEOUS LEATHER COATING COMPOSITION AND METHOD FOR COATING LEATHER | 1 |
Colleen M. Hageman | US | Portland | 2015-10-08 / 20150285376 - BICYCLE GEAR SHIFT INDICATOR AND DISPLAY | 1 |
Ryan Joseph Hageman | US | Boca Raton | 2015-02-12 / 20150042483 - Marine Safety Analysis Software Application | 1 |
Keith Hageman | US | Colorado Springs | 2012-09-20 / 20120239859 - APPLICATION PROFILING IN A DATA STORAGE ARRAY | 1 |
Gregory S. Hageman | US | Salt Lake City | 2016-04-07 / 20160096871 - Methods and Reagents for Treatment of Age-Related Macular Degeneration | 19 |
Christopher D. Hageman | US | South Glastonbury | 2015-10-01 / 20150272291 - HANDLE FOR LUGGAGE | 5 |
Rober Johan Joseph Hageman | NL | Wageningen | 2013-08-08 / 20130203646 - SUPPORTING ACTIVITIES OF DAILY LIVING | 1 |
Andrew Joseph Hageman | US | Dyersville | / - | 1 |
Uwe Hageman | BR | Brazil | 2011-07-21 / 20110173837 - FABRIC TREATMENT COMPOSITION | 1 |
Robert Johan Joseph Hageman | NL | Wageningen | 2015-11-19 / 20150327586 - Non-Medical Increase or Maintenance of Body Weight of a Mammal | 40 |
Robert J.j. Hageman | NL | Wageningen | 2012-03-15 / 20120064127 - COMPOSITION FOR IMPROVING MEMBRANE COMPOSITION AND FUNCTIONING OF CELLS | 1 |
Joel Thomas Hageman | US | Lakewood | 2010-05-27 / 20100127055 - PRECONFIGURED GIFT WRAP AND PACKAGING ASSEMBLY | 1 |
Michael J. Hageman | US | Pennington | 2014-06-12 / 20140163071 - BIOAVAILABLE COMPOSITIONS OF AMORPHOUS PIPERIDINYL COMPOUNDS | 2 |
Beth Ann Hageman | US | Orchard Park | 2013-08-15 / 20130210317 - Protective Case for Portable Electronic Device | 2 |
Joel T. Hageman | US | Lakewood | 2011-03-03 / 20110048978 - GREETING CARD PACKAGING AND FRAME BOX STRUCTURES | 1 |
David Craig Hageman | US | Chagrin Falls | 2011-01-13 / 20110008128 - RELEASE PIN | 2 |
Scott D. Hageman | US | Maryland Heights | 2016-03-03 / 20160058023 - REUSE OF ACTIVATED CHLOROUS AGENT FOR MEAT AND POULTRY TREATMENT | 1 |
Robert Johan Joseph Hageman | NL | Waddinxveen | 2013-06-20 / 20130157978 - PREPARATION FOR THE PREVENTION AND/OR TREATMENT OF VASCULAR DISORDERS | 2 |
Halbe Hageman | NL | Rijen | 2010-09-09 / 20100227648 - DYNAMIC ANTENNA CONTROL | 1 |
Joost Cyrillus Lambert Hageman | NL | Eindhoven | 2011-09-08 / 20110216297 - Lithographic Apparatus and Method | 2 |
Robert J. J. Hageman | NL | Wageningen | 2009-01-22 / 20090022813 - COMPOSITION FOR IMPROVING MEMBRANE COMPOSITION AND FUNCTIONING OF CELLS | 2 |
Robert Johan Hageman | NL | Wageningen | 2009-01-08 / 20090011990 - CARBOHYDRATE FRACTION AND USE THEREOF FOR A FLAT POSTPRANDIAL GLUCOSE RESPONSE | 1 |
Robert V. Hageman | US | Oakland | 2008-11-27 / 20080293624 - Stabilized FGF formulations containing reducing agents | 1 |
Robert Johan Joseph Hageman | NL | Utrecht | 2015-10-22 / 20150297622 - PRODUCT AND METHOD FOR SUPPORTING URIDINE HOMEOSTASIS | 2 |
Jurre Hageman | NL | Groningen | 2013-08-01 / 20130196931 - MEANS AND METHODS FOR COUNTERACTING PROTEIN AGGREGATION | 2 |
Richard Bernard Hageman, Iii | US | Louisville | 2013-01-31 / 20130025386 - COUPLER ASSEMBLY AND DRIVE SYSTEMS INCLUDING SAME | 1 |
Robert C. Hageman, Iii | US | Chicago | 2011-10-13 / 20110252273 - MATCH SERVER FOR A FINANCIAL EXCHANGE HAVING FAULT TOLERANT OPERATION | 4 |
Richard B. Hageman, Iii | US | Louisville | 2013-01-31 / 20130025422 - WATERJET CUTTING SYSTEM WITH STANDOFF DISTANCE CONTROL | 1 |
Rachel Hagemann | US | Liberty | 2012-02-16 / 20120041774 - PATIENT-SPECIFIC CLINICAL DECISION SUPPORT | 1 |
Carsten Hagemann | AU | Southport | 2014-10-23 / 20140317730 - Providing a Domain to IP Address Reputation Service | 1 |
Andreas Hagemann | DE | Gronau | 2012-02-16 / 20120037300 - DIAPER CLOSURE AND METHOD OF MAKING SAME | 1 |
Urs Beat Hagemann | NO | Oslo | 2016-01-07 / 20160002349 - COMPOSITIONS FOR INHIBITING MASP-2 DEPENDENT COMPLEMENT ACTIVATION | 5 |
Frank Hagemann | DE | Willich | 2013-12-19 / 20130333433 - METHOD FOR PRODUCING SEAMLESS HOT-ROLLED PIPES IN CONTINUOUS PIPE ROLLING MILLS | 1 |
Ronald T. Hagemann | US | Edgerton | 2010-01-21 / 20100017347 - INTELLIGENT PALLET | 2 |
Carsten Hagemann | AU | Ashmore | 2016-02-25 / 20160057133 - SECURE CONNECTION CERTIFICATE VERIFICATION | 2 |
Markus Hagemann | DE | Stuttgart | 2010-07-29 / 20100191436 - Device for longitudinally guiding a motor vehicle | 3 |
Volker Hagemann | DE | Klein-Winterheim | 2013-02-07 / 20130034702 - COMPOSITE AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Tobias Hagemann | DE | Aachen | 2010-11-11 / 20100282002 - MEASURING DEVICE FOR DETECTING THE OPERATING STATE OF A SHAFT, METHOD AND SHAFT ARRANGEMENT COMPRISING SAID MEASURING DEVICE | 1 |
Uwe Hagemann | BR | Vinhedo | 2009-03-12 / 20090069212 - Fabric Treatment Composition | 2 |
Michael Hagemann | DE | Berlin | 2015-07-09 / 20150191037 - METHOD FOR PRODUCING A SECURITY AND/OR VALUABLE DOCUMENT WITH PERSONALIZED INFORMATION | 5 |
Joerg Hagemann | DE | Cologne | 2015-10-15 / 20150291782 - RUBBER MIXTURES CONTAINING SHORT-CHAIN ALKYL ESTERS OF GLYCERIN | 1 |
Volker Hagemann | DE | Klein-Winternheim | 2015-11-19 / 20150329778 - STRONGLY SCATTERING CERAMIC CONVERTER AND METHOD FOR PRODUCING SAME | 10 |
Bejamin Hagemann | DE | Gerlingen | 2012-12-20 / 20120319406 - Method for Operating a Wave Energy Converter and Wave Energy Converter | 1 |
Thorsten Hagemann | GB | Whitechapel, London | 2016-03-17 / 20160074494 - CANCER THERAPY | 1 |
Gerald Hagemann | DE | Poing | 2008-09-04 / 20080210786 - Injection head for the combustion chamber of A rocket propulsion unit | 1 |
Benjamin Hagemann | DE | Norderstedt | 2014-10-30 / 20140319969 - FLEXIBLE STRUCTURE FOR GENERATING ELECTRICAL ENERGY FROM WAVE MOTIONS | 5 |
Jörg Hagemann | DE | Blomberg | 2013-04-04 / 20130086291 - SWITCHING LOGIC MODULE | 1 |
Benjamin Hagemann | DE | Gerlingen | 2013-09-05 / 20130229013 - ALIGNMENT OF A WAVE ENERGY CONVERTER FOR THE CONVERSION OF ENERGY FROM THE WAVE MOTION OF A FLUID INTO ANOTHER FORM OF ENERGY | 9 |
Ole Hagemann | DK | Roskilde | 2011-04-21 / 20110088747 - PHOTOVOLTAIC DEVICE | 1 |
John Hagemann | US | Plano | 2013-01-03 / 20130001115 - BOW CASE | 1 |
Dirk Hagemann | DE | Bonn | 2015-04-30 / 20150120568 - ELECTRONIC TRANSACTION METHOD | 2 |
Jörg Hagemann | DE | Blomberg | 2013-04-04 / 20130086291 - SWITCHING LOGIC MODULE | 1 |
Robert Hagemann | US | San Francisco | 2016-05-19 / 20160139971 - SYSTEM AND METHOD FOR TAGGING AND TRACKING EVENTS OF AN APPLICATION PLATFORM | 3 |
Hermann Hagemann | DE | Leverkusen | 2012-09-20 / 20120238450 - C2-PHENYL-SUBSTITUTED CYCLIC KETONOLS | 3 |
Volker Hagemann | DE | Klein-Winternheim | 2015-11-19 / 20150329778 - STRONGLY SCATTERING CERAMIC CONVERTER AND METHOD FOR PRODUCING SAME | 10 |
Lawrence A. Hagemann | US | Raleigh | 2013-03-28 / 20130074383 - WINDOW INCLUDING INTEGRATED DISPLAY SIGNAGE | 1 |
Ted Hagemann | US | Lantana | 2009-07-02 / 20090165233 - Ergonomic handle design applicable to many hand held implements | 1 |
Frank Hagemann | DE | Dusseldorf | 2012-11-01 / 20120272704 - METHOD AND DEVICE FOR THE OPTIMIZED CIRCULATION OF RODS IN THE PRODUCTION OF A SEAMLESSLY HOT-FABRICATED STEEL PIPE ACCORDING TO THE CONTINUOUS PIPE METHOD | 1 |
Guenter Hagemann | DE | Gifhorm | 2014-08-07 / 20140216126 - Device for Measuring a Fuel Flow and Calibrating Device Therefor | 1 |
Michael Hagemann | DE | Kahl | 2016-04-28 / 20160115042 - PROCESS FOR PRODUCING METAL OXIDES | 2 |
Benjamin Hagemann | DE | Gerlingen | 2013-09-05 / 20130229013 - ALIGNMENT OF A WAVE ENERGY CONVERTER FOR THE CONVERSION OF ENERGY FROM THE WAVE MOTION OF A FLUID INTO ANOTHER FORM OF ENERGY | 9 |
Jan Hagemann | DE | Giessen | 2013-05-02 / 20130104737 - SUPERSONIC VENTURI SCRUBBER | 2 |
Helgo Hagemann | DE | Ochtrup | 2010-03-25 / 20100072636 - CONTACT BODY FOR AN EVAPORATION HUMIDIFIER OR MATERIAL EXCHANGER | 1 |
Sascha Hagemann | DE | Marburg | 2014-12-25 / 20140377271 - NATURALLY OCCURRING AUTOANTIBODIES AGAINST ALPHA-SYNUCLEIN THAT INHIBIT THE AGGREGATION AND CYTOTOXICITY OF ALPHA-SYNUCLEIN | 2 |
Benjamin Hagemann | DE | Bad Segeberg | 2014-08-07 / 20140216025 - WAVE ENERGY CONVERTER AND METHOD FOR OPERATING A WAVE ENERGY CONVERTER | 3 |
Andreas Hagemann | DE | Rhede | 2011-08-04 / 20110189437 - METHOD OF MAKING A THERMOPLASTIC FILM WITH A THREE-DIMENSIONALLY STRUCTURED SURFACE | 3 |
Andrew Hagemann | US | Plano | 2015-01-15 / 20150019873 - SYSTEM FOR EMBEDDED BIOMETRIC AUTHENTICATION, IDENTIFICATION AND DIFFERENTIATION | 1 |
Robert C. Hagemann, Iii | US | Chicago | 2014-09-11 / 20140258773 - Match Server for a Financial Exchange Having Fault Tolerant Operation | 8 |
Richard B. Hagemann, Iii | US | Louisville | 2011-09-08 / 20110214482 - LARGE VOLUME ANALYTE PRECONCENTRATOR | 1 |
Robert C. Hagemann, Iii | US | San Francisco | 2016-05-12 / 20160134757 - METHOD AND SYSTEM FOR PREVENTING ILLICIT USE OF A TELEPHONY PLATFORM | 4 |
Robert C. Hagemann, Iii | US | Chicago | 2014-09-11 / 20140258773 - Match Server for a Financial Exchange Having Fault Tolerant Operation | 8 |
Bent Hagemark | US | San Francisco | 2014-07-31 / 20140212029 - Markup Language for Interactive Geographic Information System | 2 |
Charles J. Hagemeier | US | Laguna Beach | 2011-10-06 / 20110245799 - SYSTEM AND METHOD FOR DELIVERY OF SUSPENSIONS AND OTHER MICROPARTICLE COMPOSITIONS | 2 |
Denis Hagemeier | DE | Berlin | 2010-09-23 / 20100238916 - METHOD FOR IMPROVING THE DATA RATE OF MOBILE/HANDHELD DATA AND THE QUALITY OF CHANNEL ESTIMATION IN AN ATSC-M/H TRANSPORT DATA STREAM | 4 |
Willi Hagemeier | DE | Schieder-Schwalenberg | 2015-12-24 / 20150372432 - CONNECTION MODULE WITH LIGHT DISPLAY | 2 |
Olaf Hagemeier | DE | Beckum | 2016-01-07 / 20160001327 - CLASSIFIER AND METHOD FOR OPERATING A CLASSIFIER | 3 |
Mark P. Hagemeister | US | Houston | 2015-10-01 / 20150275129 - LOW VISCOSITY, LOW VOLATILITY LUBRICATING OIL BASESTOCKS | 17 |
Mark Paul Hagemeister | US | Houston | 2013-04-11 / 20130090278 - HIGH EFFICIENCY ENGINE OIL COMPOSITIONS | 6 |
Constantin Hagemeister | DE | Wismar | 2013-08-29 / 20130220203 - Platform Topside for an Offshore Platform and Method for Installing Such a Platform Topside | 2 |
Mark P. Hagemeister | US | Houston | 2015-10-01 / 20150275129 - LOW VISCOSITY, LOW VOLATILITY LUBRICATING OIL BASESTOCKS | 17 |
Mark Hagemeister | US | Houston | 2013-06-27 / 20130165724 - Fluid Bed Reactor with Staged Baffles | 3 |
Timo Hagemeister | DE | Munich | 2010-03-18 / 20100068394 - SILOXANES CONTAINING METHYLOL GROUPS | 1 |
Nicola Hagemeister | CA | Montreal | 2010-12-09 / 20100312149 - HARNESS SYSTEM FOR KINEMATIC ANALYSIS OF THE KNEE | 1 |
Michael Hagemeister | CH | Zurich | 2011-04-07 / 20110079755 - HIGH FIELD STRENGTH VARISTOR MATERIAL | 1 |
Nicole Hagemeister | CA | Montreal | 2013-07-18 / 20130185310 - METHOD AND SYSTEM FOR HUMAN JOINT TREATMENT PLAN AND PERSONALIZED SURGERY PLANNING USING 3-D KINEMATICS, FUSION IMAGING AND SIMULATION | 1 |
Mark Paul Hagemeister | US | Montville | 2010-02-25 / 20100048434 - Fluids Having Partially Hydrogenated Substituted Styrene Linear Dimers and Method of Making Same | 1 |
Alfred Hagemeyer | US | Sunnyvale | 2015-11-12 / 20150321187 - SHAPED POROUS CARBON PRODUCTS | 9 |
Bruce Hagemeyer | US | Pella | 2016-04-21 / 20160108650 - OPPOSED HOOK SLIDING DOOR LOCK | 16 |
Frank Hagemeyer | DE | Wedemark | 2011-03-03 / 20110050195 - DC to DC Converter | 4 |
Alfred Hagemeyer | US | Sunnyvale | 2015-11-12 / 20150321187 - SHAPED POROUS CARBON PRODUCTS | 9 |
Alfred Hagemeyer | DE | Rheine | 2010-05-06 / 20100113260 - Ruthenium compositions and methods of making the same | 12 |
Andreas Hagemeyer | DE | Ostbevern | 2014-11-13 / 20140333279 - CHOKE CIRCUIT, AND BUS POWER SUPPLY INCORPORATING SAME | 1 |
Alfred Hagemeyer | DE | Bad Aibling | 2013-10-24 / 20130281287 - Nanocrystalline Copper Oxide and Method for the Production thereof | 18 |
Christoph Hagemeyer | AU | Victoria | 2010-11-04 / 20100278750 - POLYLYSINE DENDRIMER CONTRAST AGENT | 1 |
Bruce Hagemeyer | US | Pella | 2016-04-21 / 20160108650 - OPPOSED HOOK SLIDING DOOR LOCK | 16 |
Richard Hagemeyer | US | Nashville | 2011-06-23 / 20110151099 - Extended Shelf Life and Bulk Transport of Perishable Organic Liquids with Low Pressure Carbon Dioxide | 1 |
Roland Hagemeyer | US | Rochelle | 2012-06-28 / 20120160929 - ELECTRIC INTERLOCK FOR SPREADER | 1 |
Karluf Hagen | NO | Randaberg | 2015-04-09 / 20150096363 - Pressure Sensing Device and Method for Using the Same | 3 |
Rainer Hagen | DE | Berlin | 2015-11-19 / 20150329516 - DEVICE AND METHOD FOR SEPARATING A CYCLIC DIESTER FROM POLYMER MELTS | 11 |
William Edward Hagen | US | West Melbourne | 2013-06-13 / 20130151041 - METHOD AND SYSTEM FOR OPERATING A LOCOMOTIVE | 1 |
Harald Hagen | DE | Creussen | 2016-04-28 / 20160115907 - FUEL SYSTEM FOR A MOTOR VEHICLE | 22 |
Geo Hagen | NL | Nc Stolwijk | 2014-04-10 / 20140097106 - MULTIPLE COMPARTMENT CONTAINER | 1 |
Andrew Hagen | US | Berkeley | 2013-10-24 / 20130280766 - HYBRID POLYKETIDE SYNTHASES | 1 |
Joel Hagen | US | Phoenix | 2016-02-04 / 20160031565 - SYSTEMS AND METHODS FOR AVERTING OVERWEIGHT AIRCRAFT LANDING CIRCUMSTANCES | 1 |
Eric L. Hagen | US | Trafalgar | 2014-04-17 / 20140107885 - HEALTH MONITORING SYSTEMS AND TECHNIQUES FOR VEHICLE SYSTEMS | 1 |
Nicolas Hagen | US | Sioux Falls | 2015-08-27 / 20150240195 - ETHANOL PRODUCTION, USE, AND WASTE RECOVERY USING AQUATIC PLANTS | 1 |
Chad D. Hagen | US | Sleepy Eye | 2014-05-01 / 20140121275 - Method of Feeding Livestock to Improve Carcass Characteristics | 1 |
Wolfgang Hagen | DE | Meerbusch | 2014-05-01 / 20140121303 - GYPSUM-CONTAINING CONSTRUCTION MATERIAL COMPOUNDS | 1 |
Lisa I. Hagen | US | West Des Moines | 2015-08-27 / 20150240252 - DOWN-REGULATION OF AUXIN RESPONSIVE GENES FOR IMPROVED PLANT PERFORMANCE | 1 |
Lawrence T. Hagen | US | Deephaven | 2014-06-05 / 20140153768 - UNIVERSAL EARPIECE | 1 |
Derek Hagen | SE | Ytterby | 2013-10-31 / 20130288623 - RECEIVER SYSTEM COMPRISING A MAIN RECEIVER AND AU AUXILIARY RECEIVER FOR CORRECTING IMPERFECTIONS IN THE MAIN RECEIVER | 3 |
Roar Hagen | SE | Stockholm | 2012-01-19 / 20120014514 - METHOD OF INDICATING PRESENCE OF TRANSIENT NOISE IN A CALL AND APPARATUS THEREOF | 1 |
Jeffrey J. Hagen | US | Plymouth | 2013-08-22 / 20130218604 - SYSTEMS AND METHODS FOR INSURANCE BASED UPON MONITORED CHARACTERISTICS OF A COLLISION DETECTION SYSTEM | 3 |
Jeffrey W. Hagen | US | Eagan | 2009-03-19 / 20090074976 - METHOD OF REDUCING MOTTLE AND STREAK DEFECTS IN COATINGS | 1 |
Jeff Hagen | US | Plymouth | 2009-04-16 / 20090099625 - ELECTIVE SERVICE INDICATOR BASED ON PULSE COUNT FOR IMPLANTABLE DEVICE | 1 |
Lawrence Hagen | US | Deephaven | 2008-11-06 / 20080273727 - HEARING ASSITANCE SYSTEMS FOR PROVIDING SECOND-ORDER GRADIENT DIRECTIONAL SIGNALS | 1 |
Raymond Hagen | US | Apple Valley | 2014-11-13 / 20140334791 - METHODS AND SYSTEMS FOR DISTRIBUTING FIBER OPTIC TELECOMMUNICATIONS SERVICES TO LOCAL AREA | 4 |
Lawrence T. Hagen | US | Minnetonka | 2013-05-02 / 20130108093 - INTEGRATED AUTOMATIC TELEPHONE SWITCH | 2 |
Mark David Hagen | US | Rochester | 2011-06-30 / 20110158329 - SYSTEM AND METHOD FOR BI-PHASE MODULATION DECODING | 9 |
Ray Hagen | US | Apple Valley | 2010-05-06 / 20100111483 - FIBER TERMINATION BLOCK WITH SPLITTERS | 3 |
Gunter Hagen | DE | Helmsbrechts | 2014-09-25 / 20140283503 - METHOD FOR CONDITION DETERMINATION OF AN EXHAUST-GAS PURIFICATION SYSTEM | 1 |
Lars Hagen | DE | Mering | 2012-12-27 / 20120331410 - METHODS AND SYSTEMS FOR DESIGNING IT SERVICES | 1 |
Ed Hagen | US | Cornelius | 2014-10-16 / 20140310172 - CERTIFIED PERSON-TO-PERSON PAYMENT SYSTEM | 2 |
Sebastian Hagen | DE | Pegnitz | 2012-01-19 / 20120016713 - Information Technology System Change Planning | 1 |
Ronald A. Hagen | US | Stillwater | 2015-11-26 / 20150335921 - COMPACT SCROLL FAN ASSEMBLY | 1 |
Frank Hagen | US | Pleasant Plains | 2012-06-07 / 20120141209 - RDX PLANT INDICATOR SYSTEM | 1 |
Thomas Hagen | NL | Delden | 2014-10-02 / 20140290955 - METHOD | 1 |
Douglas W. Hagen | US | Fresno | 2013-04-11 / 20130088152 - DIMMING APPARATUS FOR SOLID STATE LIGHTING FIXTURES | 1 |
Larry Hagen | US | Wayzata | 2011-12-08 / 20110299709 - HEARING SYSTEM AND METHOD AS WELL AS EAR-LEVEL DEVICE AND CONTROL DEVICE APPLIED THEREIN | 1 |
Christopher Hagen | US | Redondo Beach | 2011-12-08 / 20110297795 - SPACECRAFT PANEL ARCHITECTURE | 1 |
Marcia M. Hagen | US | Fargo | 2014-09-18 / 20140271536 - Bee Attractants | 1 |
Brian F. Hagen | US | Wexford | 2015-12-31 / 20150374574 - Orthosis Machine | 2 |
Harald Hagen | DE | Wipperfurth | 2015-11-26 / 20150337998 - CONNECTING DEVICE FOR MEDIA LINES | 8 |
Kathy L. Hagen | US | Stillwater | 2011-11-10 / 20110273636 - EMBEDDED STEREOSCOPIC 3D DISPLAY AND 2D DISPLAY FILM STACK | 9 |
Monika Elizabeth Hagen | CH | Kreuzlingen | 2010-07-22 / 20100185053 - Transverse surgical tunneling | 1 |
Harald Hagen | AT | Lustenau | 2009-04-09 / 20090091223 - DEVICE FOR CONTROLLING A MOVEMENT OF FURNITURE PARTS WHICH CAN BE MOVED WITH RESPECT TO ONE ANOTHER, AND PIECE OF FURNITURE | 1 |
Hendrik H. Hagen | NL | Terneuzen | 2008-11-20 / 20080287634 - Heterogeneous, Compositionally Phase Separated, Ethylene Alphaolefin Interpolymers | 1 |
Wilfred Raymond Hagen | NL | Oosterbeek | 2008-09-18 / 20080223789 - MATERIAL AND A METHOD FOR REMOVING OXO-ANIONS AND METAL CATIONS FROM A LIQUID | 1 |
Harald Hagen | NO | Storebo | 2011-02-10 / 20110033889 - APPARATUS AND METHOD FOR HYDROLYSIS OF A PROTEIN CONTAINING RAW MATERIAL AND APPLICATION OF THE RESULTING HYDROLYSIS PRODUCTS | 1 |
Einar Andreas Hustadnes Hagen | NO | Oslo | 2014-04-17 / 20140104431 - System and Method for Utilizing a Surface for Remote Collaboration | 3 |
Trond Hagen | NO | Sandefjord | 2010-05-13 / 20100117854 - POWER SUPPLY SYSTEM FOR DOWNHOLE NETWORK | 1 |
Steinar Hagen | NO | Hagan | 2010-04-22 / 20100099654 - FATTY ACID ESTERS OF GLUCOCORTICOIDS AS ANTI-INFLAMMATORY AND ANTI-CANCER AGENTS | 2 |
Einar Andreas Hagen | NO | Oslo | 2010-04-15 / 20100091086 - METHOD, DEVICE, AND COMPUTER-READABLE MEDIUM FOR PROCESSING IMAGES DURING VIDEO CONFERENCING | 1 |
Eirik Hagen | NO | Porsgrunn | 2010-01-14 / 20100006448 - Method, apparatus and means for production of metals in a molten salt electrolyte | 1 |
Hugo Hagen | NO | Raelingen | 2009-12-03 / 20090295087 - ONE BET CARD GAME | 2 |
Trond Runar Hagen | NO | Oslo | 2009-08-27 / 20090213144 - APPARATUS AND METHOD TO CALCULATE RASTER DATA | 2 |
Sondre Hagen | NO | Oslo | 2009-05-28 / 20090136327 - Device for Storing Tubulars and Devices for Handling of Tubulars | 1 |
Huge Hagen | NO | Raelingen | 2009-04-23 / 20090102119 - LUCKY NUMBERS ROULETTE | 1 |
Jon Hagen | NO | Reistad | 2008-11-20 / 20080288778 - Method for Generating and Verifying an Electronic Signature | 1 |
Hugo Hagen | NO | Raetingen | / - | 1 |
Ewald Hagen | DE | Langenfeld | 2008-10-09 / 20080245125 - Method for Controlling the Position of a Mandrel of an Extrusion Press for Producing Tubular Workpieces | 1 |
Robert-Christian Hagen | DE | Sarching | 2009-02-26 / 20090051017 - Lead Frame with Non-Conductive Connective Bar | 2 |
Eberhard Hagen | DE | Jena | 2015-10-15 / 20150294458 - FLEXIBLE, MULTIMODAL RETINA IMAGE RECORDING SYSTEM AND MEASUREMENT SYSTEM | 2 |
Juergen Hagen | DE | Erlangen | 2009-05-21 / 20090130885 - PLUG CONNECTION DEVICE DESIGNED TO CONNECT TWO FUNCTION ELEMENTS FOR SIGNAL AND POWER TRANSMISSION | 1 |
Jürgen Hagen | DE | Erlangen | 2010-01-14 / 20100010337 - Combined PET/MRI device, component and local coil | 1 |
Sahm Hagen | DE | Dresden | 2010-03-11 / 20100060973 - Imaging Device for Influencing Incident Light | 1 |
Maurice Hendrik Hagen | DE | Walldorf | 2010-03-25 / 20100076821 - AUTOMATED PERFORMANCE APPRAISAL SYSTEM WITH A COMPENSATION SIMULATOR | 1 |
Helmut Hagen | DE | Frankenthal | 2011-06-23 / 20110152535 - PREPARATION OF ISOXAZOLIN-3-YLACYLBENZENES | 2 |
Christian Hagen | DE | Lemfoerde | 2014-03-13 / 20140073712 - POLYURETHANES COMPRISING HALOGEN COMPOUNDS | 3 |
Franz-Josef Hagen | DE | Paderborn | 2010-10-07 / 20100253191 - SAFETY CABINET | 3 |
Rainer Hagen | DE | Berlin | 2015-11-19 / 20150329516 - DEVICE AND METHOD FOR SEPARATING A CYCLIC DIESTER FROM POLYMER MELTS | 11 |
Jorg Hagen | DE | Berlin | 2008-09-18 / 20080224804 - Magnetic Actuating Device | 1 |
Jan Hagen | DE | Freiburg | 2011-06-16 / 20110139857 - METHOD FOR THE PERMANENT CONNECTION OF TWO COMPONENTS BY MEANS OF GLASS OR METAL SOLDER | 2 |
Dirk Hagen | DE | Eisfeld | 2010-11-11 / 20100284832 - REGULATABLE COOLANT PUMP | 1 |
Irina Hagen | DE | Halsenbach | 2014-07-03 / 20140188340 - METHOD AND DEVICE FOR ASCERTAINING THE STEERING ANGLE OF A STEERABLE MACHINE | 4 |
Jochen Von Hagen | DE | Kolbermoor | 2010-11-11 / 20100283110 - INTEGRATED SENSOR CHIP UNIT | 1 |
Harald Hagen | DE | Wipperfurth | 2015-11-26 / 20150337998 - CONNECTING DEVICE FOR MEDIA LINES | 8 |
Holger Hagen | DE | Lohne | 2015-10-22 / 20150298866 - CONTAINER | 3 |
Oliver Hagen | DE | Kosseinestrasse | 2011-02-24 / 20110045254 - METHOD FOR PRODUCING A DECORATED PROFILE BODY | 1 |
Frank Hagen | DE | Ludenscheid | 2011-03-17 / 20110061445 - SENSOR ARRANGEMENT | 1 |
Carmel Hagen | US | New York | 2015-12-10 / 20150356615 - Application Integrated Advertising Display Control | 1 |
Harald Hagen | DE | Creussen | 2016-04-28 / 20160115907 - FUEL SYSTEM FOR A MOTOR VEHICLE | 22 |
Werner Hagen | DE | Hagenbuchach-Brauersdorf | 2008-09-11 / 20080217978 - Lordosis Support | 1 |
Klaus Hagen | DE | Hof | 2009-01-29 / 20090026710 - Slide Ring Seal | 1 |
Wouterus Johannus Hagen | NL | Rotterdam | 2015-11-19 / 20150329219 - System and Method For Parking and Processing Aircraft | 1 |
Oliver Hagen | DE | Hof | 2013-10-17 / 20130273336 - METHOD FOR PRODUCING A DECORATED PROFILE BODY | 2 |
Jonas Hagen | CH | Richigen | 2014-11-06 / 20140327780 - METHOD AND DEVICE FOR MONITORING A MONITORING REGION | 1 |
Bjørn Erling Hagen | NO | Stavanger | 2015-11-19 / 20150330180 - REMOTELY OPERATED STAGE CEMENTING METHODS FOR LINER DRILLING INSTALLATIONS | 1 |
Daniel J. Hagen | US | Cottage Grove | 2012-02-02 / 20120029687 - ROBOTIC STORAGE AND RETRIEVAL SYSTEMS | 1 |
Eric Hagen | US | Lafayette | 2016-03-03 / 20160060300 - ROMIDEPSIN SOLID FORMS AND USES THEREOF | 8 |
Michael S. Hagen | US | Vancouver | 2010-10-28 / 20100271075 - TEST AND MEASUREMENT INSTRUMENT WITH AN AUTOMATIC THRESHOLD CONTROL | 3 |
Michael Hagen | US | Pittsford | 2014-02-06 / 20140037669 - MULTICOMPONENT IMMUNOGENIC COMPOSITION FOR THE PREVENTION OF BETA-HEMOLYTIC STREPTOCOCCAL (BHS) DISEASE | 3 |
Tony A. Hagen | US | Sioux Falls | 2015-12-03 / 20150342132 - SYSTEM AND METHOD OF STIMULATING ETHANOL PRODUCTION AND GROWTH OF AQUATIC PLANTS | 10 |
Joshua Andrew Hagen | US | Cincinnati | 2011-04-21 / 20110091531 - Cooling Composition | 1 |
Thomas D. Hagen | US | Grand Blanc | 2015-10-22 / 20150298629 - INDUCTION POWERED PANELS | 7 |
David M. Hagen | US | Corvallis | 2011-03-10 / 20110056983 - BAG-IN-BOX CONTAINER INCLUDING A PRE-POSITIONED, SECURED DISPENSING SPOUT | 2 |
Setareh Hagen | US | Draper | 2009-03-26 / 20090080808 - Grocery bag with pockets | 1 |
David Carl Hagen | US | Eugene | 2010-12-16 / 20100317543 - METHINE-SUBSTITUTED CYANINE DYE COMPOUNDS | 3 |
Casey M. Hagen | US | Seattle | 2009-01-01 / 20090002132 - CAUSING RFID TAG TO CHANGE HOW MANY REMAINING COMMANDS IT WILL COMPLY WITH | 2 |
William A. Hagen | US | Canton | 2012-09-20 / 20120234320 - Metered Dose Inhaler Port for Ventilated Patients | 3 |
Steven William Hagen | US | Marshalltown | 2015-08-27 / 20150240968 - APPARATUS FOR FLUID CONTROL DEVICE LEAK DETECTION | 3 |
William J. Hagen | US | Hilton | 2010-09-16 / 20100232821 - SELECTIVE PRINTING OF RAISED INFORMATION USING ELECTROGRAPHY | 2 |
Nathan Adrian Hagen | US | Durham | 2015-06-25 / 20150177496 - APPARATUS COMPRISING A COMPACT TELESCOPE | 2 |
Andreas Hagen | US | Boulder | 2010-03-18 / 20100070278 - Method for Creating a Speech Model | 1 |
Allen Bradley Hagen | US | Auburn | 2009-12-10 / 20090301648 - TIGHT CONSTELLATION COMPOSITE TAPE-LAYING MACHINE | 1 |
Tory Hagen | US | Tacoma | 2013-06-06 / 20130142773 - Mutations in OAS1 Genes | 3 |
Anne E. Hagen | US | Ledyard | 2009-11-19 / 20090286804 - METABOLITES OF 1-[6-(1-ETHYL-1-HYDROXY-PROPYL)-PYRIDIN-3-YL]-3-[2-(4-METHYL-PIPERAZIN-1-- YL)-BENZYL]-PYRROLIDIN-2-ONE AS SERATONIN RECEPTOR ANTAGONISTS | 1 |
Leslie Hagen | US | Cedar Park | 2009-11-19 / 20090287257 - CERVICAL PLATE | 1 |
Gary E. Hagen | US | Denver | 2009-09-17 / 20090232327 - AUTOMOTIVE SENSORY ENHANCEMENT SYSTEM | 1 |
Carl R. Hagen | US | Chandler | 2009-09-03 / 20090218041 - METHOD FOR MANUFACTURING A PORTABLE ELECTRONIC DEVICE HOUSING | 1 |
David C. Hagen | US | Eugene | 2013-03-21 / 20130072666 - Antibody Complexes and Methods for Immunolabeling | 3 |
Brent P. Hagen | US | Birgham City | 2009-02-12 / 20090042770 - Branched Chain Amino Acid Chelate | 1 |
Lance Hagen | US | Boulder | 2009-02-05 / 20090033658 - COMPUTATIONAL GEOMETRY USING CONTROL GEOMETRY HAVING AT LEAST TWO DIMENSIONS | 1 |
Scott Hagen | US | Longmont | 2009-02-05 / 20090033658 - COMPUTATIONAL GEOMETRY USING CONTROL GEOMETRY HAVING AT LEAST TWO DIMENSIONS | 1 |
Cole Douglas Hagen | US | Peoria | 2009-02-05 / 20090037836 - Interaction matrix creation tool | 1 |
Paul D. Hagen | US | Yorkville | 2009-04-30 / 20090110530 - LINKAGE ASSEMBLY | 1 |
Paul Douglas Hagen | US | Yorkville | 2009-07-23 / 20090187318 - Machine control system implementing speed-based clutch modulation | 1 |
Jon Hagen | US | Chicago | 2012-11-22 / 20120296851 - METHOD FOR PERSONALIZED GUIDANCE FOR REFERENCE DATE DEPENDENT INVESTMENTS | 3 |
Rodney W. Hagen | US | Lake In The Hills | 2016-03-24 / 20160087591 - METHODS AND SYSTEMS FOR EFFICIENT AND ADAPTIVE OPERATION OF CONTINUOUS-WAVE AMPLIFIERS | 2 |
Timothy Hagen | US | Lisle | 2014-10-09 / 20140301999 - BIARYL PDE4 INHIBITORS FOR TREATING INFLAMMATORY, CARDIOVASCULAR AND CNS DISORDERS | 5 |
Kevin H. Hagen | US | Mitchell | 2008-12-18 / 20080307692 - Planer board | 1 |
Kelly W. Hagen | US | Sugar Land | 2008-09-11 / 20080218374 - METHOD AND APPARATUS FOR COMMUNICATING SIGNALS TO AN INSTRUMENT IN A WELLBORE | 1 |
Cole D. Hagen | US | Peoria | 2010-05-13 / 20100121598 - CAPTURING SYSTEM INTERACTIONS | 1 |
Alexander R. Hagen | US | Lafayette | 2015-11-12 / 20150322310 - POLYLACTIC ACID ADHESIVE COMPOSITIONS AND METHODS FOR THEIR PREPARATION AND USE | 1 |
Markus Hagen | DE | Kronau | 2014-08-21 / 20140234723 - CATHODE UNIT FOR AN ALKALINE METAL/SULFUR BATTERY HAVING AN OPTIMISED ARRESTER STRUCTURE | 2 |
Rainer Hagen | DE | Leverkusen | 2016-03-31 / 20160091648 - THIN FILM TYPE CONTROLLED VIEWING WINDOW BACK LIGHT UNIT AND THIN FLAT TYPE CONTROLLED VIEWING WINDOW DISPLAY USING THE SAME | 8 |
Markus Hagen | DE | Uronau | 2014-02-13 / 20140045096 - ION-CONDUCTING SOLID-STATE SEPARATOR | 1 |
Asle Hagen | NO | Vannvikan | 2014-02-13 / 20140042837 - THREE PHASED BALANCED OR UNBALANCED ASYMMETRIC RELUCTANCE MOTOR | 1 |
Jeffrey John Hagen | US | Plymouth | 2014-05-22 / 20140141124 - UREA FOOD PELLETS AND ASSOCIATED METHODS | 23 |
Eric J. Hagen | US | Lafayette | 2015-08-13 / 20150225333 - Crystalline Solid and Amorphous Forms of (-)-Halofenate and Methods Related Thereto | 9 |
Jan Hagen | DE | Bonn | 2016-01-07 / 20160002100 - PANE WITH THERMAL RADIATION REFLECTING COATING | 4 |
Caitlin Jeanette Hagen | US | Boston | 2013-07-04 / 20130171189 - INDUCIBLE AND REPRESSIBLE VACCINIA VIRUSES WITH IMPROVED SAFETY | 1 |
Julie B. Hagen | US | Redmond | 2014-12-11 / 20140365511 - FILTERING CONTENT ON A ROLE TAILORED WORKSPACE | 1 |
Julie B. Hagen | US | Fargo | 2014-12-11 / 20140365952 - NAVIGATION AND MODIFYING CONTENT ON A ROLE TAILORED WORKSPACE | 2 |
David Hagen | US | Goshen | 2010-12-23 / 20100319594 - LOW COMBUSTION APPARATUS AND METHOD | 1 |
David L. Hagen | US | Goshen | 2013-10-10 / 20130266902 - LOW EMISSIONS COMBUSTION APPARATUS AND METHOD | 10 |
Eric Hagen | US | Lafayette | 2016-03-03 / 20160060300 - ROMIDEPSIN SOLID FORMS AND USES THEREOF | 8 |
Eric J. Hagen | US | Lafayette | 2015-08-13 / 20150225333 - Crystalline Solid and Amorphous Forms of (-)-Halofenate and Methods Related Thereto | 9 |
David Leroy Hagen | US | Goshen | 2016-03-24 / 20160083258 - CO2 CAPTURING CALCINER | 5 |
Thomas Hagen | DE | Rohrbach/inn | 2014-09-04 / 20140245942 - Underwater Vehicle having an Optical Beam Operating System | 1 |
Robert Sean Hagen | US | Dunedin | 2012-11-15 / 20120289878 - LOW PROFILE HIP ORTHOSIS | 2 |
Jeffrey W. Hagen | US | Woodbury | 2015-08-13 / 20150226883 - ARTICLES WITH BINDER-DEFICIENT SLIP COATING AND METHOD FOR MAKING SAME | 2 |
Ronald Antonius Jan Hagen | NL | 'S-Gravenhage | 2016-03-17 / 20160076872 - INTERFEROMETRIC DISTANCE SENSING DEVICE AND METHOD | 2 |
Clemens Hagen | AT | Mader | 2016-04-14 / 20160103328 - Semiconductor Laser Module | 1 |
Nathan Adrian Hagen | US | Houston | 2016-04-07 / 20160097713 - GAS LEAK EMISSION QUANTIFICATION WITH A GAS CLOUD IMAGER | 3 |
Christian Hagen | US | Lewistown | 2013-06-20 / 20130157513 - TWO-TERMINAL RADIAL CONNECTOR | 2 |
Chad Hagen | US | Portland | 2015-06-11 / 20150157258 - METHOD AND APPARATUS FOR ASSESSMENT OF SLEEP APNEA | 2 |
Christopher L. Hagen | US | Bend | 2014-08-28 / 20140238327 - INTERNAL COMBUSTION ENGINE FOR NATURAL GAS COMPRESSOR OPERATION | 1 |
Jürgen Hagen | DE | Erlangen | 2010-01-14 / 20100010337 - Combined PET/MRI device, component and local coil | 1 |
Andrew Hagen | US | Billerica | 2016-05-19 / 20160140340 - SIDE-CHANNEL LEAKAGE EVALUATOR AND ANALYSIS KIT | 1 |
Alexander L. Hagen | US | Ithaca | 2014-02-20 / 20140050580 - WIND TURBINE WITH ACTUATING TAIL AND METHOD OF OPERATION | 1 |
David Hagen | US | Eugene | 2016-01-07 / 20160003743 - Device and Methods for Quantifying Analytes | 4 |
Douglas S. Hagen | US | Portland | 2015-10-01 / 20150277418 - MODIFYING A DIGITAL OPHTHALMIC LENS MAP TO ACCOMMODATE CHARACTERISTICS OF A LENS SURFACING MACHINE | 3 |
Sean Hagen | US | Dunedin | 2014-01-30 / 20140026314 - Washable Bathtub Liner | 1 |
Robert Hagen | US | Minneapolis | 2016-04-21 / 20160106214 - DISPLAY MOUNT LEVELER | 2 |
Jessica Hagen | US | Lafayette | 2013-05-23 / 20130131551 - METHODS AND DEVICES FOR DIAGNOSING AND TREATING VOCAL CORD DYSFUNCTION | 1 |
Matthew S. Hagen | US | Palo Alto | 2015-01-22 / 20150025908 - CLUSTERING AND ANALYSIS OF ELECTRONIC MEDICAL RECORDS | 1 |
Jon Christopher Hagen | US | Chicago | 2015-01-22 / 20150026096 - POOL-BASED SYSTEM FOR ORGANIZING AND MEASURING PERSONALIZED FINANCIAL MANAGEMENT TECHNIQUES | 1 |
Yvonne Hagen | DE | Waldsee | 2015-11-12 / 20150322188 - Method for Removal of Residual Monomers from Water-Absorbing Polymer Particles | 4 |
Timothy J. Hagen | US | Lisle | 2016-05-19 / 20160137672 - HETEROARYL INHIBITORS OF PDE4 | 3 |
Timothy Sean Hagen | US | Onalaska | 2015-01-29 / 20150030490 - Bearing Housing and Assembly of a Screw Compressor | 2 |
Anthony Todd Hagen | US | Murray | 2015-04-30 / 20150115547 - Seal with Tabs for Retaining Energizing Member | 1 |
Shawna M. Hagen | US | Red Bank | 2012-11-29 / 20120298101 - Magnet Aided Intubation Systems, Kits, and Methods | 1 |
Henk Hagen | NL | Terneuzen | 2013-10-03 / 20130261342 - PROCESS FOR TELOMERIZATION OF BUTADIENE USING A MONO-ORTHOALKOXY SUBSTITUTED CATALYST | 4 |
Joel C. Hagen | US | Batavia | 2015-12-24 / 20150366183 - ORGAN TRANSPORTER WITH TILT AND/OR SHOCK SENSING | 1 |
Clemens Hagen | AT | Maeder | 2015-02-05 / 20150038955 - SKIN CONTACT DETECTING DEVICE FOR A DEVICE TO BE SECURED | 1 |
Thomas Hagen | DE | Mainz | 2013-07-04 / 20130168305 - DEVICE FOR TREATING A LIQUID | 3 |
Rainer Hagen | DE | Leverkusen | 2016-03-31 / 20160091648 - THIN FILM TYPE CONTROLLED VIEWING WINDOW BACK LIGHT UNIT AND THIN FLAT TYPE CONTROLLED VIEWING WINDOW DISPLAY USING THE SAME | 8 |
Christoph Hilmar Graf Vom Hagen | DE | Giessen | 2012-03-22 / 20120070119 - CONNECTING BRIDGE FOR TWO OPTICAL UNITS | 2 |
Gunther Hagen | DE | Helmbrechts | 2011-06-16 / 20110138781 - METHOD FOR REMOVING COMPOUNDS CONTAINING SULFUR FROM FUELS | 1 |
Benjamin Hagen | US | San Francisco | 2015-02-05 / 20150040229 - DYNAMIC SECURITY TESTING | 1 |
Thomas Hagen | DE | Rohrbach/ilm | 2014-08-07 / 20140218790 - Beam Focusing Device for a Laser Weapon System | 1 |
Roar Hagen | US | 2011-06-16 / 20110142126 - LOW BIT RATE CODEC | 1 | |
Mark David Hagen | US | Rochester | 2011-06-30 / 20110158329 - SYSTEM AND METHOD FOR BI-PHASE MODULATION DECODING | 9 |
Tony A. Hagen | US | Sioux Falls | 2015-12-03 / 20150342132 - SYSTEM AND METHOD OF STIMULATING ETHANOL PRODUCTION AND GROWTH OF AQUATIC PLANTS | 10 |
Douglas Scott Hagen | US | Portland | 2011-06-23 / 20110153054 - AUTOMATED OPTICAL LENS PROCESSING SYSTEM, SUCH AS A SYSTEM FOR PROVIDING SUPPLEMENTAL INFORMATION TO LABORATORY TECHNICIANS | 1 |
William Joseph Hagen | US | Hilton | 2016-03-03 / 20160062264 - PRINTING IMPROVED TACTILE IMAGES USING INTERMEDIATE TRANSFER MEMBER | 1 |
Norbert Hagen | US | Carlsbad | 2010-12-02 / 20100304429 - METHODS AND APPARATUS FOR SORTING CELLS USING AN OPTICAL SWITCH IN A MICROFLUIDIC CHANNEL NETWORK | 1 |
Norbert D. Hagen | US | Carlsbad | 2016-05-05 / 20160124005 - SYSTEM FOR PERFORMING A MAGNETIC SEPARATION PROCEDURE | 22 |
Frank A. Hagen | US | Palos Verdes Estates | 2008-09-25 / 20080233884 - STRATOSPHERIC-BASED COMMUNICATION SYSTEM FOR MOBILE USERS USING ADDITIONAL PHASED ARRAY ELEMENTS FOR INTERFERENCE REJECTION | 1 |
Charles Hagen | US | Davis | 2013-08-01 / 20130198902 - MULTIPLE VIRUS RESISTANCE IN PLANTS | 2 |
Markus Hagen | US | Glendale | 2014-06-12 / 20140164277 - SYSTEM AND METHOD FOR GENERATING A COMMUNITY CONTRIBUTION INDEX | 7 |
Brent T. Hagen | US | Oakland | 2012-04-19 / 20120094952 - Polysialic Acid Derivatives, Methods of Production, and Uses in Enhancing Cancer Antigen Production and Targeting | 4 |
Kyle Hagen | US | Redondo Beach | 2008-11-27 / 20080289310 - Lawn Mower With Grass Striping Mechanism | 1 |
Ronald Hagen | US | St. Paul | 2013-07-04 / 20130173449 - SYSTEM AND METHOD FOR AUTOMATED DISPUTE RESOLUTION OF CREDIT DATA | 1 |
Todd A. Hagen | US | Shakopee | 2014-04-03 / 20140095999 - SEARCH AND PARENTAL CONTROL FEATURES FOR DIGITAL ENTERTAINMENT SERVICES | 1 |
Frank Hagen | DE | Luedenscheid | 2014-08-21 / 20140233118 - Camera Arrangement for a Motor Vehicle | 2 |
Joshua A Hagen | US | Cincinnati | 2015-02-26 / 20150057515 - SWEAT SIMULATION, COLLECTING AND SENSING SYSTEMS | 1 |
Joshua Hagen | US | Cincinnati | 2015-09-03 / 20150247874 - METAL NANOPARTICLE-APTAMER CONJUGATES FOR DETECTION OF SMALL MOLECULES AND IN-THE-FIELD USE THEREOF | 2 |
Stian Hagen | FR | Chamonix | 2012-07-26 / 20120185998 - SKI PANT GAITER | 1 |
Lynn J. Hagen | US | Waunakee | 2011-07-07 / 20110163104 - MULTI-PURPOSE BUCKET, PARTICULARLY FOR PAINTING | 1 |
Andreas Hagen | DE | Stegaurach | 2012-03-29 / 20120078630 - Utterance Verification and Pronunciation Scoring by Lattice Transduction | 1 |
Ronald Allen Hagen | US | Seattle | 2014-01-09 / 20140007361 - HIDDEN USER INTERFACE PANEL FOR PERSONAL CARE APPLIANCES AND METHOD OF MAKING SAME | 1 |
Carlton E. Hagen | US | Memphis | 2014-09-18 / 20140264179 - Modified Lecithin Corrosion Inhibitor In Fluid Systems | 2 |
Thomas Hagen | NO | Oslo | 2008-10-02 / 20080243749 - SYSTEM AND METHOD FOR MULTIPLE VOLUME SEGMENTATION | 1 |
Thomas Hagen | NO | Stavanger | 2010-10-28 / 20100273682 - WELL TREATMENT | 4 |
Christopher Hagen | US | Bend | 2015-10-08 / 20150285182 - INTERNAL COMBUSTION ENGINE FOR NATURAL GAS COMPRESSOR OPERATION | 1 |
Thomas Hagen | DE | Erlangen | 2011-01-06 / 20110000922 - PRESSURIZED CONTAINER ARRANGEMENT WITH A COMPENSATION BELLOWS | 1 |
Thomas Hagen | DE | Tuttlingen | 2012-08-09 / 20120203350 - KNEE JOINT ENDOPROSTHESIS | 4 |
Markus Hagen | DE | Koeln | 2015-10-22 / 20150298635 - BUMPER COMPONENT WITH EMBEDDED SENSOR | 2 |
Axel Jakob Hagen | DE | Berlin | 2011-07-14 / 20110170107 - DETECTION CHAMBER WITH VARIABLE VOLUME | 1 |
Jeff Hagen | US | Redwood City | 2015-10-01 / 20150278245 - INFRASTRUCTURE FOR SYNCHRONIZATION OF MOBILE DEVICE WITH MOBILE CLOUD SERVICE | 1 |
Torsten Hagen | DE | Essen | 2014-12-18 / 20140370267 - COMPOSITE ELEMENTS WITH IMPROVED DIMENSIONAL STABILITY | 2 |
Anders Hagen | NO | Hommelvik | 2014-04-17 / 20140103743 - On-Board-Unit for Use in Vehicle Identification | 1 |
Nathan A. Hagen | US | Houston | 2015-03-12 / 20150069239 - DIVIDED-APERTURE INFRA-RED SPECTRAL IMAGING SYSTEM FOR CHEMICAL DETECTION | 1 |
Ketil Hagen | NO | Vaaler | 2011-07-28 / 20110181412 - ENERGY MANAGEMENT AND SECURITY IN MULTI-UNIT FACILITIES | 1 |
Norbert D. Hagen | US | Carlsbad | 2016-05-05 / 20160124005 - SYSTEM FOR PERFORMING A MAGNETIC SEPARATION PROCEDURE | 22 |
David L. Hagen | US | Goshen | 2013-10-10 / 20130266902 - LOW EMISSIONS COMBUSTION APPARATUS AND METHOD | 10 |
Rudolf Hagen | DE | Wurzburg | 2011-07-21 / 20110178529 - System, Apparatus, and Method for Facilitating Interface with Laryngeal Structures | 1 |
Christoph Hilmar Vom Hagen | DE | Giessen | 2014-01-09 / 20140007486 - RETICLE FOR A TELESCOPE | 3 |
Michael Hagen | US | Los Altos | 2015-12-03 / 20150347839 - IDENTIFICATION VERIFICATION USING A DEVICE WITH EMBEDDED RADIO-FREQUENCY IDENTIFICATION FUNCTIONALITY | 3 |
Arno Hagenaars | NL | Bergen Op Zoom | 2012-09-27 / 20120241686 - CARBON NANOTUBE MASTERBATCH, PREPARATION THEREOF, AND USE IN FORMING ELECTRICALLY CONDUCTIVE THERMOPLASTIC COMPOSITION | 5 |
Gwyn Hagenaers | BE | Zwijndrecht | 2013-09-12 / 20130236273 - Device for Dredging Soil Material Under Water | 1 |
Georg Hagenauer | DE | Bad Aibling | 2008-10-23 / 20080259937 - Circuit Arrangement and Method for the Analysis of a Network | 1 |
Andreas Hagenauer | DE | Friedberg | 2015-08-27 / 20150239123 - Method and Programming Means for Modification of a Robot Path | 9 |
Andreas Hagenauer | DE | Friedberg | 2015-08-27 / 20150239123 - Method and Programming Means for Modification of a Robot Path | 9 |
Gary Hagenauer | US | Bolingbrook | 2008-10-09 / 20080244841 - Modular pedestrian bridge and system | 1 |
Hendrik Willem Hagenberg | NL | Ln Aalsmeer | 2016-03-03 / 20160061374 - Method for the Rehabilitation of a Pipeline, Application Device and Read-out Device | 1 |
Konrad Hagenbuch | CH | Grabs | / - | 1 |
Matthew Lloyd Hagenbuch | US | Durham | 2015-12-24 / 20150370350 - DETERMINING A STYLUS ORIENTATION TO PROVIDE INPUT TO A TOUCH ENABLED DEVICE | 13 |
Matthew Lloyd Hagenbuch | US | Durham | 2015-12-24 / 20150370350 - DETERMINING A STYLUS ORIENTATION TO PROVIDE INPUT TO A TOUCH ENABLED DEVICE | 13 |
Leroy G. Hagenbuch | US | Peoria Heights | 2016-03-17 / 20160075269 - Hard Rock Mined Ore Truck Body | 27 |
Leroy G. Hagenbuch | US | Peoria | 2008-12-04 / 20080298941 - Charge Bucket Loading for Electric ARC Furnace Production | 1 |
Leroy G. Hagenbuch | US | Peoria Heights | 2016-03-17 / 20160075269 - Hard Rock Mined Ore Truck Body | 27 |
Daniel C. Hagenbuch | US | Holland | 2016-03-17 / 20160080823 - PROGRAM GUIDE SYSTEM WITH REAL-TIME DATA SOURCES | 9 |
Daniel C. Hagenbuch | US | Holland | 2016-03-17 / 20160080823 - PROGRAM GUIDE SYSTEM WITH REAL-TIME DATA SOURCES | 9 |
Patrizia Hagenbuch | DE | Karlsruhe | 2010-02-04 / 20100029008 - POLYMERASE-INDEPENDENT ANALYSIS OF THE SEQUENCE OF POLYNUCLEOTIDES | 1 |
Brian Hagenbuch | US | Annapolis | 2015-03-19 / 20150081667 - APPARATUS AND METHOD FOR ANALYZING QUERY OPTIMIZER PERFORMANCE | 3 |
Jan Willem Hagendoorn | NL | Oostzaan | 2015-09-17 / 20150257395 - POULTRY NECK BREAKER MACHINE AND METHOD FOR BREAKING THE NECK OF POULTRY | 5 |
Paul Hagendoorn | NL | Houten | 2008-12-04 / 20080301805 - METHODS OF COMMUNICATING OBJECT DATA | 1 |
Jeroen Hagendoorn | NL | Utrecht | 2012-09-20 / 20120237567 - MODULATING LYMPHATIC FUNCTION | 1 |
Jeroeng Hagendoorn | US | Boston | 2008-10-23 / 20080260861 - Modulating Lymphatic Function | 1 |
Annika Hagendorf | DE | Frankfurt Am Main | 2012-11-22 / 20120295846 - PHARMACEUTICAL COMPOSITION COMPRISING A GLP-1 AGONIST, AN INSULIN AND METHIONINE | 2 |
Annika Hagendorf | DE | Hattersheim | 2014-09-25 / 20140286933 - STABLE IGG4 BASED BINDING AGENT FORMULATIONS | 1 |
Nils Hagenlocher | DE | Stuttgart | 2014-10-30 / 20140324311 - BRAKE CONTROL UNIT | 1 |
Tobias Hagenlocher | DE | Ditzingen | 2013-12-12 / 20130327194 - Method for Monitoring Cutting Processing on a Workpiece | 2 |
Curt Oliver Hagenlocher | US | Mercer Island | 2011-12-22 / 20110314461 - IMPLEMENTING PARALLEL LOOPS WITH SERIAL SEMANTICS | 4 |
Roland Hagenlocher | DE | Planegg | 2015-02-12 / 20150042197 - PERMANENT MAGNET EXCITED ELECTRIC MACHINE | 3 |
Carl F. Hagenmaier, Jr. | US | Los Altos | 2016-03-24 / 20160082856 - Electrical Circuit Sharing for Electric Vehicle Charging Stations | 9 |
Carl F. Hagenmaier, Jr. | US | Los Altos | 2016-03-24 / 20160082856 - Electrical Circuit Sharing for Electric Vehicle Charging Stations | 9 |
Lorenz Hagenme | DE | Ostfildern | 2013-04-18 / 20130096732 - METHOD FOR ASSISTING A PARKING MANEUVER | 1 |
Veit Hagenmeyer | DE | Ludwigshafen | 2012-07-05 / 20120173002 - TWO-DEGREE-OF-FREEDOM CONTROL HAVING AN EXPLICIT SWITCHING FOR CONTROLLING CHEMICAL ENGINEERING PROCESSES | 1 |
Lorenz Hagenmeyer | DE | Ostfildern | 2012-11-22 / 20120293356 - METHOD FOR DETECTING OBJECTS | 1 |
Robert Hagens | US | Superior | 2013-08-01 / 20130198345 - System and Method for Video Recording, Management and Access | 3 |
Steven Hagens | NL | Bennekom | 2015-05-07 / 20150125424 - BACTERIOPHAGE FOR BIOCONTROL OF SALMONELLA AND IN THE MANUFACTURING OR PROCESSING OF FOODS | 1 |
Robert A. Hagens | US | Superior | 2015-06-04 / 20150156320 - SYSTEMS AND METHODS FOR LOCATING ENDPOINTS IN A COMMUNICATION NETWORK | 2 |
Kayla A. Hagens | US | Cottage Grove | 2015-04-23 / 20150109791 - SOLID STATE LIGHT WITH ENCLOSED LIGHT GUIDE AND INTEGRATED THERMAL GUIDE | 5 |
Graham Hagens | CA | Hamilton | 2015-10-08 / 20150282471 - WILDLIFE EXCLUSION COMPOSITION AND ASSEMBLY | 1 |
Rodger Graham Hagens | CA | Hamilton | 2010-06-24 / 20100159148 - SURFACE COATING COMPOSITIONS | 1 |
Pascal A.m.s. Hagens | DE | Kevelaer | 2014-10-02 / 20140298262 - METHOD FOR CANCELLING A USER ACTION TO BE APPLIED TO A DIGITAL OBJECT | 2 |
Bob Hagens | US | Denver | 2009-05-21 / 20090126410 - Sinker for knitting system and knitting system showing reduced wear | 1 |
Jeremy Hagens | CA | Hamilton | 2010-06-24 / 20100159148 - SURFACE COATING COMPOSITIONS | 1 |
Steen Hagensen | US | Atlanta | 2012-04-05 / 20120083194 - MECHANICAL DRAFT SYSTEMS | 1 |
Steen Hagensen | US | Roswell | 2012-05-10 / 20120115408 - Fan Assemblies, Mechanical Draft systems and Methods | 2 |
Dale Hagenson | US | East Bethel | 2010-01-28 / 20100020511 - STIFFENING SUPPORT FOR PRINTED CIRCUIT ASSEMBLIES | 1 |
Dale J. Hagenson | US | East Bethel | 2014-11-13 / 20140334123 - RADIATION SHIELD STANDOFF | 4 |
Dale J. Hagenson | US | Wyoming | 2009-03-19 / 20090072456 - CUPPED SPRING WASHER CLAMPING SYSTEMS | 1 |
Erik N. Hagenstad | US | Santa Rosa | 2013-10-03 / 20130260825 - CELL PHONE PERSONAL SAFETY ALARM | 1 |
Mike G. Hagenston | US | Bakersfield | 2010-08-26 / 20100214120 - Well test system | 1 |
Jonathan Hager | US | Salem | 2015-11-05 / 20150317682 - ADVERTISING BEACON FOR RETAIL ENVIRONMENTS | 1 |
Yuval Hager | CA | Slocan | 2013-08-15 / 20130212689 - MANAGING NETWORK DATA | 1 |
Soenke Hager | DE | Hamburg | 2015-08-13 / 20150225084 - CARGO RESTRAINING BARRIER, CARGO LOADING SYSTEM AND AIRCRAFT | 2 |
J. Stewart Hager | US | Knoxville | 2014-06-12 / 20140160479 - METHOD AND DEVICE FOR REMOTE SENSING OF AMOUNT OF INGREDIENTS AND TEMPERATURE OF GASES | 4 |
Robert J. Hager | US | Windsor | 2015-09-10 / 20150253754 - INPUT/OUTPUT MODULE BUS CONTACT SYSTEM AND METHOD | 4 |
Stanley L. Hager | US | Cross Lanes | 2014-09-18 / 20140275471 - ACTIVE POLYMER POLYOLS AND A PROCESS FOR THEIR PRODUCTION | 9 |
Marc Hager | FR | Haguenau | 2015-04-16 / 20150105527 - HEAT-TREATMENT OF WATER-ABSORBING POLYMERIC PARTICLES IN A FLUIDIZED BED | 10 |
James Hager | CA | Mississauga | 2015-08-20 / 20150235828 - METHOD AND SYSTEM FOR QUANTITATIVE AND QUALITATIVE ANALYSIS USING MASS SPECTROMETRY | 5 |
Bernhard Hager | AT | Puch | 2010-08-12 / 20100200485 - FILTER DEVICE WITH A HEATER | 2 |
Patrick J. Hager | US | Woodbury | 2016-04-21 / 20160108257 - EASY-CLEAN SURFACE AND METHOD OF MAKING THE SAME | 10 |
Tammy Hager | US | 2011-11-03 / 20110266092 - Checkstand and Method | 1 | |
Jörg Hager | FR | Mennecy | 2011-06-02 / 20110129820 - HUMAN DIABETES SUSCEPTIBILITY TNFRSF10B GENE | 7 |
Alexander Hager | DE | Erlangen | 2013-10-03 / 20130256102 - LOCKING DEVICE WITH DOOR INTERROGATOR | 2 |
Sönke Hager | DE | Bremen | 2014-07-24 / 20140202824 - FASTENING DEVICE FOR RELEASABLY FASTENING A MECHANISM IN THE REGION OF A FLOOR OF AN AIRCRAFT OR SPACE CRAFT AND ARRANGEMENT FOR A CARGO LOADING SYSTEM | 1 |
Nathan Hager | US | Rock Springs | 2015-08-13 / 20150226339 - Method and System of Valve Refurbishment | 1 |
Juergen Hager | DE | Herbrechtingen | 2015-06-25 / 20150176809 - LIGHTING DEVICE WITH PHOSPHOR SURFACE | 9 |
Jon M. Hager | US | Salem | 2015-05-28 / 20150146018 - CAMERA SYSTEM WITH INDUCTIVE POWERING OF WIRELESS CAMERA TAGS | 1 |
Garry Hager | US | Galesburg | 2014-07-10 / 20140190422 - LIVESTOCK ANIMAL SCALE PLATFORM | 1 |
Stanley L. Hager | US | Little River | 2015-05-21 / 20150141543 - PROCESS FOR THE PRODUCTION OF HIGH AIR FLOW POLYETHER FOAMS AND THE FOAMS PRODUCED BY THIS PROCESS | 2 |
Jonathan M. Hager | US | Salem | 2012-12-20 / 20120321094 - SOUND EXPOSURE MONITORING SYSTEM AND METHOD FOR OPERATING THE SAME | 1 |
Martin Hager | DE | Jena | 2015-07-23 / 20150207165 - Redox Flow Cell Comprising High Molecular Weight Compounds as Redox Pair and Semipermeable Membrane for Storage of Electrical Energy | 2 |
David L. Hager | US | Wake Forest | 2011-06-16 / 20110141699 - APPARATUS AND METHOD FOR ATTACHING SELECTED COMPONENTS TO A PRINTED CIRCUIT BOARD | 2 |
Gary Hager | US | Minneapolis | 2011-03-03 / 20110049450 - Boat Lift Motor Having Spline Shaft | 1 |
Scott Hager | US | Mccordsville | 2015-06-11 / 20150158708 - Canning Jar Band Tool and Method of Assembly of Same | 1 |
Markus Hager | AT | Attnang | 2010-11-11 / 20100281662 - Filling Fiber With Improved Opening Performance, Method For Its Production And Its Use | 1 |
Juergen Hager | DE | Heidenheim | 2014-05-15 / 20140133169 - LIGHTING DEVICE INCLUDING SEMICONDUCTOR LIGHT SOURCE | 1 |
Stefan Hager | DE | Munich | 2008-11-13 / 20080281989 - MEDICAL INSTRUMENT HAVING A SEPARATE TRANSMITTER FOR CONTROLLING MEDICAL TREATMENT SOFTWARE | 1 |
Carl Hager | US | Massillon | 2015-04-02 / 20150093065 - ANTI-FRETTING ADDITIVES FOR NON-LUBRICATED CONTACT SURFACES | 1 |
Paul Richard Hager | US | Baltimore | 2012-05-10 / 20120116868 - SYSTEM AND METHOD FOR OPTIMIZING MARKETING EFFECTIVENESS | 1 |
Werner Hager | DE | Fuerth | 2012-12-20 / 20120318930 - COMMUNICATION NETWORK FOR A RAILBORNE VEHICLE | 1 |
Deanna Hager | US | Parker | 2015-09-17 / 20150258405 - Toddler Snowboard Training Harness | 1 |
Harald Hager | DE | Freigericht | 2008-12-25 / 20080317986 - Multi-Layer Composite Comprising an Evoh Layer and a Protective Layer | 1 |
Stanley L. Hager | US | Cross Lanes | 2014-09-18 / 20140275471 - ACTIVE POLYMER POLYOLS AND A PROCESS FOR THEIR PRODUCTION | 9 |
Christian Hager | DE | Bruckmuhl | 2009-05-28 / 20090134671 - VEHICLE ROOF WITH A MOVABLE ROOF SECTION | 1 |
Martin Hager | DE | Nalbach | 2016-02-25 / 20160051916 - PROCESS AND APPARATUS FOR SEPARATING OUT AND REMOVING WATER PRESENT IN LIQUID FUELS, ESPECIALLY WATER FROM DIESEL OIL | 2 |
Marco Hager | DE | Eggenstein-Leopoldshafen | 2013-08-29 / 20130221994 - MOVEMENT AND POSITION IDENTIFICATION SENSOR | 1 |
Tom Hager | DE | Trippstadt | 2012-08-30 / 20120217424 - Sealing Tube | 1 |
Christian Hager | DE | Kastl | 2012-10-25 / 20120270407 - SUSCEPTOR FOR SUPPORTING A SEMICONDUCTOR WAFER AND METHOD FOR DEPOSITING A LAYER ON A FRONT SIDE OF A SEMICONDUCTOR WAFER | 5 |
Joerg Hager | DE | Koeln | 2010-01-07 / 20100004216 - Medicinal lipolysis of accumulation of fat | 1 |
Andreas Hager | DE | Spenge | 2011-03-31 / 20110073155 - MODULE FOR CONVERTING SOLAR RADIATION INTO ELECTRICITY | 1 |
Bradford Hager | US | Concord | 2011-03-17 / 20110066380 - SUBSURFACE RESERVOIR ANALYSIS BASED ON FLUID INJECTION | 1 |
Ludwig Hager | DE | Nürnberg | 2016-05-19 / 20160141940 - TRANSDUCER DEVICE WITH FORM-FITTING CONNECTION | 1 |
Christian Hager | US | Houston | 2014-05-01 / 20140118345 - SYSTEM AND METHOD FOR ANALYSIS OF TRAP INTEGRITY | 1 |
Stephane Hager | CH | Coppet | 2014-05-01 / 20140117262 - SHAPE MEMORY ALLOY ACTUATED PILOT CONTROLLED LATCHING VALVE | 1 |
Cecilia Ann-Christin Malmborg Hager | SE | Helsingborg | 2010-05-13 / 20100120684 - MUTANTS OF INTERLEUKIN- 1 RECEPTOR ANTAGONIST AND USES THEREOF | 1 |
Karl Hager | US | Branford | 2012-05-10 / 20120115140 - Molecular Diagnosis of Fragile X Syndrome Associated with FMR1 Gene | 2 |
Scott Hager | US | Muncie | 2012-07-05 / 20120168341 - Small Batch Canning System | 2 |
William Hager | US | Westerville | 2012-07-26 / 20120190263 - SOFT, FLEXIBLE NONWOVEN CHOPPED STRAND MAT FOR USE IN PULTRUSION PROCESSES | 1 |
Michael W. Hager | US | Lilburn | 2010-03-25 / 20100075945 - GRAM-POSITIVE CARBAPENEM ANTIBACTERIALS AND PROCESSES FOR THEIR PREPARATION | 1 |
Paul Hager | US | Stoughton | 2010-04-22 / 20100100319 - Mobile Navigation System with Graphic Crime-Risk Display | 1 |
Harold Hager | US | Bellevue | 2012-10-04 / 20120251107 - WDM Router | 2 |
Patrick J. Hager | US | Woodbury | 2016-04-21 / 20160108257 - EASY-CLEAN SURFACE AND METHOD OF MAKING THE SAME | 10 |
Gregory D. Hager | US | Baltimore | 2016-05-12 / 20160129590 - SYSTEM AND METHOD FOR FLEXIBLE HUMAN-MACHINE COLLABORATION | 15 |
Thomas P. Hager | US | Columbus | 2010-03-18 / 20100064606 - Storm Shutter System | 1 |
Geoffrey Hager | US | New York | 2010-01-07 / 20100005103 - DISTRIBUTED APPLICATION MANAGEMENT SOFTWARE | 1 |
Jim Hager | US | Dublin | 2012-05-03 / 20120102992 - COOLING SYSTEM AND RELATED EQUIPMENT FOR REFRIGERATION UNITS | 1 |
Robert Carl Hager | US | Euclid | 2009-12-10 / 20090304171 - System and Method for Identifying Redirected Calls | 2 |
Allen C. Hager | US | Grand Rapids | 2015-10-01 / 20150275513 - TRANSPARENT PANEL SYSTEM FOR PARTITIONS | 6 |
Bruce L. Hager | US | Canonsburg | 2016-02-04 / 20160032114 - POLYCARBONATE BLENDS EXHIBITING ANTIMICROBIAL BEHAVIOR, EXCELLENT IMPACT STRENGTH, AND COLOR AFTER AGING AT ELEVATED TEMPERATURE AND HUMIDITY | 2 |
Gregory L. Hager | US | Nebraska City | 2009-10-15 / 20090255125 - Cable sheath splitter | 1 |
Thomas P. Hager | US | Westerville | 2013-05-09 / 20130112342 - Compact, Hybrid Fiber Reinforced Rods For Optical Cable Reinforcements And Method For Making Same | 4 |
Sven Hager | DE | Wiesenthau | 2013-12-05 / 20130322791 - SEALING DEVICE AND ROTARY BEARING THEREWITH | 1 |
Gordon L. Hager | US | Garrett Park | 2015-08-06 / 20150219628 - METHODS FOR DETECTING AND MONITORING ENDOCRINE DISRUPTING CHEMICALS (EDCs) | 4 |
Patrick J. Hager | US | City Of Woodbury | 2009-06-11 / 20090145539 - REMOVABLE ADHESIVE TAPE | 1 |
Michael Hager | US | Kennesaw | 2009-06-11 / 20090149416 - 2' and 3'-Substituted Cyclobutyl Nucleoside Analogs for the Treatment Viral Infections and Abnormal Cellular Proliferation | 1 |
Danny R. Hager | US | Binghamton | 2012-05-17 / 20120123906 - METHOD, SYSTEM AND PROGRAM PRODUCT FOR APPROVING ITEM REQUESTS | 1 |
Harold E. Hager | US | Bellevue | 2015-04-09 / 20150099677 - REDOX COUPLE-BASED MITIGATION OF FLUID-FLOW-DRIVEN ELECTROCHEMICAL SURFACE DEGRADATION | 3 |
Thomas P. Hager | US | 2008-12-18 / 20080310802 - Low Cost, High Performance Flexible Reinforcement for Communications Cable | 2 | |
Corey Hager | US | Stanford | 2008-11-13 / 20080277883 - Multilayered gasket for internal combustion engine | 1 |
Garrett Hager | US | Lancaster | 2012-01-26 / 20120018444 - CLOSURE | 2 |
Gregory Hager | US | Baltimore | 2014-03-13 / 20140075370 - Dockable Tool Framework for Interaction with Large Scale Wall Displays | 6 |
W. David Hager | US | Bloomfield | 2010-08-12 / 20100203566 - Methods for the Detection and Monitoring of Congestive Heart Failure | 2 |
David Hager | US | Norwalk | 2012-07-05 / 20120169237 - HOLLOW CATHODE LAMP ELAPSED TIME RECORDING SYSTEM | 1 |
Scott Hager | US | Austin | 2012-02-16 / 20120041984 - Group Management Using Unix NIS Groups | 1 |
Jeff Hager | US | Castle Rock | 2015-11-12 / 20150324488 - SYSTEM ARCHITECTURE GENERATION | 1 |
John Hager | US | 2012-07-12 / 20120177282 - METHODS AND SYSTEMS FOR IMPROVED LOCALIZED FEATURE QUANTIFICATION IN SURFACE METROLOGY TOOLS | 1 | |
Corinna Hager | DE | Stuttgart | 2015-05-07 / 20150122115 - Hydrostatic Axial Piston Machine | 1 |
Brian A. Hager | US | Lenexa | 2015-07-02 / 20150181820 - IRRIGATION SPIKE WATERING SYSTEM AND METHOD | 1 |
Gottfried Hager | AT | Gallneukirchen | 2015-09-03 / 20150246472 - INJECTION ASSEMBLY FOR A MOLDING MACHINE | 1 |
Marc Hager | FR | Haguenau | 2015-04-16 / 20150105527 - HEAT-TREATMENT OF WATER-ABSORBING POLYMERIC PARTICLES IN A FLUIDIZED BED | 10 |
Gregory Donald Hager | US | Baltimore | 2016-04-28 / 20160119529 - SYSTEM AND METHOD FOR TARGETING FEEDBACK | 6 |
Dietmar Hager | DE | Wermelskirchen | 2014-03-20 / 20140076685 - ROLLER CONVEYOR WITH TORQUE SUPPORT | 1 |
William G. Hager | US | Westerville | 2014-02-06 / 20140038481 - FIBERGLASS REINFORCED COMPOSITES | 4 |
Keith A. Hager | US | Spring | 2015-01-15 / 20150014066 - FORCE APPLICATION REDUCTION EMPLOYING ACTUATOR AND THRUST BEARING | 2 |
Ron Hager | US | Thayne | 2013-12-26 / 20130340517 - PERMEAMETER PROBE | 1 |
Gregory Quinten Hager | US | Brooks | 2010-08-12 / 20100200331 - Toolshelf for extension ladder | 1 |
Andrew D. Hager | US | O'Fallon | 2010-08-26 / 20100216382 - APPARATUS FOR PROVIDING COOLANT FLUID | 1 |
Gregory D. Hager | US | Baltimore | 2016-05-12 / 20160129590 - SYSTEM AND METHOD FOR FLEXIBLE HUMAN-MACHINE COLLABORATION | 15 |
Paul M. Hager | US | Stoughton | 2016-04-28 / 20160117310 - METHODS AND SYSTEMS FOR CORRECTING TRANSCRIBED AUDIO FILES | 6 |
Bruce L. Hager | US | 2016-02-04 / 20160032114 - POLYCARBONATE BLENDS EXHIBITING ANTIMICROBIAL BEHAVIOR, EXCELLENT IMPACT STRENGTH, AND COLOR AFTER AGING AT ELEVATED TEMPERATURE AND HUMIDITY | 1 | |
Edgardo Adrian Hager | AR | Buenos Aires | 2008-12-25 / 20080314107 - Salts of Mineral Nutrients Stabilized With Amino Acids and/or Ammonium Salt, Products and Food Supplement That Contain Them and Procedures of Obtention | 1 |
James W. Hager | CA | Mississauga | 2011-08-25 / 20110204218 - Method of Processing Ions | 2 |
Jorgen Bruno Hager | SE | Helsingborg | 2009-07-16 / 20090182280 - REDUCING WITHDRAWAL FORCE IN A SAFETY IV CATHETER | 3 |
Ann-Christin Malmborg Hager | SE | Helsingborg | 2009-11-12 / 20090280526 - Method for in Vitro Molecular Evolution of Protein Function | 3 |
Michael Hager | US | Sweet Home | 2015-02-19 / 20150049142 - PROVIDE HEAT TO END REGIONS OF A PRINTHEAD DIE | 2 |
Jorgen Hager | SE | Helsingborg | 2014-01-09 / 20140012196 - Dual Chamber Syringe With Retractable Needle | 3 |
Aaron Hager | US | Saint Joseph | 2010-04-22 / 20100100988 - Herbicide Resistance Gene, Compositions and Methods | 1 |
Juergen Hager | DE | Herbrechtingen | 2015-06-25 / 20150176809 - LIGHTING DEVICE WITH PHOSPHOR SURFACE | 9 |
Robert M. Hager | US | Northfield | 2015-11-19 / 20150332423 - Automatic system for enhanced swing-issue voting | 1 |
Patrick T. Hager | US | San Diego | 2012-08-23 / 20120212491 - INDIRECT LIGHTING PROCESS FOR VIRTUAL ENVIRONMENTS | 1 |
Jeffrey H. Hager | US | San Diego | 2016-03-31 / 20160090378 - ESTROGEN RECEPTOR MODULATORS AND USES THEREOF | 5 |
Jörg Hager | FR | Mennecy | 2011-04-21 / 20110091899 - COMBINATION OF RISK ALLELES ASSOCIATED WITH AUTISM | 9 |
Brad Hager | US | New York | 2014-12-18 / 20140366420 - Wireless Safety Trigger System and Trigger Assembly | 1 |
Clifford Michael Hager | US | Fountain Inn | 2014-02-06 / 20140034459 - DEVICE FOR LOCKING PUSH-PULL CIRCUIT BREAKERS | 1 |
Pam Hager | US | Texhoma | 2013-02-07 / 20130032342 - Cascading Liquid Air Removal Filter System and Method | 1 |
Terry Hager | US | Texhoma | 2013-02-07 / 20130032342 - Cascading Liquid Air Removal Filter System and Method | 1 |
Jeffrey J. Hager | US | San Diego | 2015-09-17 / 20150258099 - METHODS AND COMPOSITIONS FOR MODULATING ESTROGEN RECEPTOR MUTANTS | 2 |
Soenke Hager | DE | Bremen | 2014-12-18 / 20140369781 - End Stop Device, Cargo Loading System And Aircraft | 2 |
Gunther Hager | AT | Micheldorf | 2015-12-24 / 20150369352 - WIND POWER PLANT GEAR MECHANISM | 3 |
Christopher Hager | US | Roanoke | 2016-03-31 / 20160090784 - ADJUSTABLE MULTIPURPOSE LADDER ACCESSORIES | 1 |
Ingemar Hagerbro | SE | Motala | 2013-09-26 / 20130248553 - MEDICINE DISPENSING DEVICE WITH LOCKING INTERACTION BETWEEN HATCH AND DIVIDING WALL | 1 |
Joseph George Hager, Iv | US | Valencia | 2016-04-21 / 20160112750 - DISPLAYING CUSTOM POSITIONED OVERLAYS TO A VIEWER | 1 |
Douglas Hagerman | US | Colorado Springs | 2008-10-30 / 20080267071 - Method of choosing nodes in a multi-network | 1 |
Paul Hagerman | US | Davis | 2014-10-02 / 20140295498 - Targeted Rolling Circle Amplification | 1 |
George William Hagerman | US | Denver | 2011-06-16 / 20110145127 - FINANCIAL MANAGEMENT SYSTEM AND RELATED METHODS | 1 |
Bo Hagerman | SE | Tyreso | 2016-05-12 / 20160135226 - Methods and Devices for Controlling Antenna Points | 54 |
Scott Hagerman | US | St. Paul | 2012-06-07 / 20120141448 - Method for increasing muscle mass and strength | 1 |
David T. Hagerman | US | 2016-01-07 / 20160001197 - THIN-FILM TREATMENT OF HIGH-VALUE GLYCOL AND AMINE SOLVENTS TO REMOVE CONTAMINANTS | 1 | |
Gregory S. Hagerman | US | Coralville | 2010-09-30 / 20100248263 - BIOMARKERS ASSOCIATED WITH AGE-RELATED MACULAR DEGENERATION | 1 |
Jim Hagerman | CA | Ottawa | 2012-11-08 / 20120283559 - APPARATUS AND METHOD TO CONVEY A FLUID | 3 |
Elizabeth M. Hagerman | US | Beverly Hills | 2009-12-03 / 20090298707 - SPARSE MATRIX SYSTEM AND METHOD FOR IDENTIFICATION OF SPECIFIC LIGANDS OR TARGETS | 1 |
Douglas Hagerman | US | Worcester | 2012-08-02 / 20120198093 - Interconnection Fabric Connection | 1 |
David Thomas Hagerman | US | Houston | 2016-01-07 / 20160001197 - THIN-FILM TREATMENT OF HIGH-VALUE GLYCOL AND AMINE SOLVENTS TO REMOVE CONTAMINANTS | 1 |
Bo Hagerman | SE | Stockholm | 2014-07-31 / 20140211760 - DATA PROCESSING IN INTRA-SITE HANDOVER | 4 |
Paul J. Hagerman | US | Davis | 2011-08-25 / 20110207153 - METHODS FOR DETECTING THE PRESENCE OF EXPANDED CGG REPEATS IN THE FMR1 GENE 5' UNTRANSLATED REGION | 1 |
Allison Hagerman | CA | Cochrane | 2012-11-01 / 20120273424 - METHODS OF PURIFYING VIRUSES USING GEL PERMEATION CHROMATOGRAPHY | 1 |
Elizabeth Hagerman | US | Beverly Hills | 2009-04-16 / 20090098050 - CALCIUM BINDING PEPTIDES | 1 |
George W. Hagerman | US | Denver | 2012-12-20 / 20120323759 - Money Market Trading Platform | 2 |
Niklas Hagerman | SE | Bjuv | 2009-08-13 / 20090204851 - Method and System for Software Testing | 1 |
Scott L. Hagerman | US | North Oaks | 2013-12-19 / 20130338114 - COMPOSITIONS FOR INCREASING STRENGTH, MUSCLE MASS, AND LEAN BODY MASS | 2 |
George M. Hagerman, Jr. | US | Alexandria | 2009-11-05 / 20090272817 - Method and apparatus for reducing the intensity of hurricanes at sea by deep-water upwelling | 1 |
Michaela Hagermark | SE | Malmo | 2014-10-30 / 20140319150 - CONTAINER WITH SUB-CHAMBERS SEPARATED BY PEELABLE SEAL | 1 |
Edward S. Hagermoser | US | Lancaster | 2015-09-17 / 20150261317 - OPTICAL DIGITIZER SYSTEM WITH POSITION-UNIQUE PHOTOLUMINESCENT INDICIA | 7 |
Steven G. Hagerott | US | Wichita | 2014-09-25 / 20140288731 - Flight Control System | 8 |
Steven G. Hagerott | US | Wichita | 2014-09-25 / 20140288731 - Flight Control System | 8 |
Erik Hagersten | SE | Uppsala | 2015-12-03 / 20150347302 - MANAGEMENT OF SHARED PIPELINE RESOURCE USAGE BASED ON LEVEL INFORMATION | 9 |
Karen N. Hagerty | US | Loveland | 2012-09-20 / 20120238823 - SURGICAL ACCESS DEVICES WITH ANVIL INTRODUCTION AND SPECIMEN RETRIEVAL STRUCTURES | 1 |
Kevin J. Hagerty | US | Richland | 2014-02-27 / 20140058183 - Immobilization of Technetium by Electroless Plating | 1 |
Lee Ann Hagerty | US | Mason | 2013-05-16 / 20130122154 - Palatable Pet Foods and Methods for Improving the Palatability of Pet Foods | 1 |
Larry A. Hagerty | US | San Pedro | 2010-04-15 / 20100089641 - LOW INDUCTANCE BUSBAR | 1 |
Christy A. Hagerty | US | Haymarket | 2014-07-24 / 20140206153 - METHOD FOR FABRICATING SOLDER COLUMNS FOR A COLUMN GRID ARRAY PACKAGE | 4 |
Lee Ann Hagerty | US | Brookline | 2010-12-30 / 20100332140 - METHOD OF ASSESSING THE EATING EXPERIENCE OF A COMPANION ANIMAL | 1 |
Matthew Charles Hagerty | US | Sonora | 2010-10-14 / 20100258000 - Wireless, waterproof remote video weapon mounted sighting system, SmartSight | 1 |
Thomas A. Hagerty | US | Somerville | 2015-05-14 / 20150130230 - CHAIR WITH COUPLING COMPANION STOOL BASE | 5 |
Timothy Hagerty | US | Chesapeake | 2012-05-10 / 20120117540 - METHOD AND COMPUTER PROGRAM PRODUCT FOR CREATING A QUESTIONNAIRE INTERFACE PROGRAM | 2 |
James P. Hagerty | US | Sonoma | 2013-02-14 / 20130038976 - THERMALLY-PROTECTED VARISTOR | 1 |
David Hagerty | US | Cardiff By The Sea | 2014-09-25 / 20140286948 - METHODS FOR TREATING SCLERODERMA BY ADMINISTERING A SOLUBLE CTLA4 MOLECULE | 7 |
Adam Scott Hagerty | US | Whitesboro | 2015-05-14 / 20150132983 - LOCKOUT FEATURES FOR ELECTRICAL RECEPTACLE ASSEMBLIES | 2 |
Robert Olds Hagerty | US | Laporte | 2008-11-20 / 20080287615 - Polymerization Process | 1 |
Timothy Hagerty | US | Cocoa Beach | 2014-06-26 / 20140181785 - METHOD AND COMPUTER PROGRAM PRODUCT FOR CREATING A QUESTIONNAIREINTERFACE PROGRAM | 1 |
Kathryn L. Hagerty | US | Somerville | 2012-08-16 / 20120205432 - SAFETY NET FOR BAR-CODED DOCUMENTS | 1 |
Robert O. Hagerty | US | Wyckoff | 2014-07-17 / 20140200317 - Methods for Reducing Static Charge of a Catalyst and Methods for Using the Catalyst to Produce Polyolefins | 8 |
Robert O. Hagerty | US | La Porte | 2010-11-11 / 20100286346 - Method for On-Line Determination of Degree or Onset of Resin Stickiness Using Acoustic Data | 7 |
Robert O. Hagerty | US | Wyckoff | 2014-07-17 / 20140200317 - Methods for Reducing Static Charge of a Catalyst and Methods for Using the Catalyst to Produce Polyolefins | 8 |
Andrea Hager-Wernet | US | 2013-12-05 / 20130324537 - GUANIDINE COMPOUNDS, AND USE THEREOF AS BINDING PARTNERS FOR 5-HT5 RECEPTORS | 1 | |
Andrea Hager-Wernet | DE | Newstadt An Der Weinstrasse | 2014-10-09 / 20140303138 - SUBSTITUTED OXINDOLE-DERIVATIVES AND THE USE THEREOF FOR THE TREATMENT OF VASOPRESSIN-DEPENDENT ILLNESSES | 1 |
Andrea Hager-Wernet | DE | Neustadt | 2013-11-28 / 20130317044 - NOVEL CARBOXYLIC ACID DERIVATIVES, THEIR PREPARATION AND USE | 9 |
Andrea Hager-Wernet | DE | Neustadl An Der Welnstrasse | 2011-05-05 / 20110105454 - SUBSTITUTED OXINDOLE-DERIVATIVES AND THE USE THEREOF FOR THE TREATMENT OF VASOPRESSIN-DEPENDENT ILLNESSES | 1 |
Andrea Hager-Wernet | DE | Neustadt | 2013-11-28 / 20130317044 - NOVEL CARBOXYLIC ACID DERIVATIVES, THEIR PREPARATION AND USE | 9 |
Andrea Hager-Wernet | DE | Neustadt An Der Weinstrasse | 2014-10-23 / 20140315914 - CARBAMATE-SUBSTITUTED OXINDOLE DERIVATIVES AND USE THEREOF FOR THE TREATMENT OF VASOPRESSIN-DEPENDENT DISEASES | 3 |
Sander Hagesteijn | NL | Je Enschede | 2012-06-14 / 20120150737 - PAYMENT TRANSACTION CLIENT, SERVER AND SYSTEM | 1 |
Daniel P. Hagewiesche | US | Carlsbad | 2013-03-14 / 20130066125 - Extending the Life of an Aromatization Catalyst | 3 |
Ryan Hagey | US | Alameda | 2015-12-10 / 20150356556 - SYSTEMS AND METHODS TO REGISTER MERCHANTS FOR DATA PROCESSING IN AN ELECTRONIC TRANSACTION SYSTEM | 28 |
Edward H. Hagey | US | La Jolla | 2010-09-30 / 20100248870 - Contoured Hand Grip Constructions For A Racquet | 1 |
Satu Hagfors | FI | Ylojarvi | 2012-05-31 / 20120132384 - SHOE PRESS BELT | 1 |
May-Britt Hagg | NO | Trondheim | 2014-09-25 / 20140283685 - GAS SEPARATION MEMBRANE | 3 |
Christoph Hagg | AT | Graz | 2015-10-15 / 20150290574 - Honeycomb Body Made Of Ceramic Material | 3 |
Ralph Henry Hagg | US | Corning | 2016-03-24 / 20160082618 - METHOD AND SYSTEM FOR CONTROL OF AN AXIAL SKINNING APPARATUS | 6 |
Theodoor Hagg | US | Louisville | 2009-10-01 / 20090247466 - NEUROPROTECTIVE INTEGRIN-BINDING PEPTIDE AND ANGIOPOIETIN-1 TREATMENTS | 2 |
Lennart Hagg | SE | Kungsbacka | 2014-06-26 / 20140174172 - IDENTIFYING UNDESIRED CONDITIONS IN THE FUNCTION OF A FLOATING ROOF OF A TANK | 4 |
Rupert Hagg | CH | Wittenbach | 2013-08-15 / 20130210130 - AUTOMATED CELL CULTURE SYSTEM | 1 |
Franklin Hagg | NL | Alkmaar | 2011-05-19 / 20110114084 - HEAT RECOVERY INSTALLATION USING SOLAR ENERGY | 4 |
Jessica Dawn Hagg | US | Maplewood | 2012-04-05 / 20120084303 - Interassociating Data of a Medical Device | 1 |
Mary Hagg | SE | Forsa | 2016-02-04 / 20160030802 - DEVICE FOR TRAINING OF FACE, LIP AND THROAT MUSCLES | 1 |
Martin Hagg | DE | Wannweil | 2015-11-12 / 20150320477 - HIGH-FREQUENCY SURGICAL DEVICE | 13 |
Rupert Hagg | CH | Winterthur | 2014-07-10 / 20140193895 - AUTOMATED TISSUE ENGINEERING SYSTEM | 2 |
Martin Hagg | DE | Wannweil | 2015-11-12 / 20150320477 - HIGH-FREQUENCY SURGICAL DEVICE | 13 |
Wilhelm Hagg | DE | Korb | 2015-08-27 / 20150242368 - METHOD AND DEVICE FOR REPRODUCING A CONTENT ITEM | 15 |
Salem Haggag | EG | Cairo | / - | 1 |
Hosam Haggag | US | Mountain View | 2011-07-28 / 20110182126 - FLASH MEMORY ARRAY OF FLOATING GATE-BASED NON-VOLATILE MEMORY CELLS | 7 |
Peter Frederick Haggar | US | Raleigh | 2013-11-14 / 20130305185 - SYSTEM AND METHOD FOR AVATAR CLONING | 9 |
Peter Frederick Haggar | US | Raleigh | 2013-11-14 / 20130305185 - SYSTEM AND METHOD FOR AVATAR CLONING | 9 |
Peter F. Haggar | US | Releigh | 2015-07-23 / 20150207828 - PROVIDING OF RECOMMENDATIONS DETERMINED FROM A COLLABORATION SESSION SYSTEM AND METHOD | 1 |
Jeffrey Douglas Haggar | US | Holly Springs | 2012-04-12 / 20120087373 - EFFICIENT DATA TRANSFER WITHIN A VIRTUAL NETWORK | 4 |
Jeffrey D. Haggar | US | Holly Springs | 2015-11-12 / 20150324933 - REAL-TIME SOCIAL GROUP BASED BIDDING SYSTEM | 13 |
Jeffrey D. Haggar | US | Holly Springs | 2015-11-12 / 20150324933 - REAL-TIME SOCIAL GROUP BASED BIDDING SYSTEM | 13 |
Peter F. Haggar | US | Raleigh | 2016-05-12 / 20160134579 - ALIGNING CONTENT AND SOCIAL NETWORK AUDIENCE USING ANALYTICS AND/OR VISUALIZATION | 23 |
Peter F. Haggar | US | Raleigh | 2016-05-12 / 20160134579 - ALIGNING CONTENT AND SOCIAL NETWORK AUDIENCE USING ANALYTICS AND/OR VISUALIZATION | 23 |
Brian E. Haggard | US | Fayetteville | 2013-10-03 / 20130255339 - PROCESS FOR REDUCING WATER SOLUBLE ELEMENTS USING AN AMENDED ANIMAL MANURE FERTILIZER OR LITTER | 1 |
Westley Haggard | US | Kirkland | 2011-12-15 / 20110307869 - DYNAMIC ADAPTIVE PROGRAMMING | 1 |
Adam Haggard | US | Huntertown | 2016-02-18 / 20160045777 - Weight Training Apparatus and Method of Using | 1 |
Jeff Haggard | US | Cocoa | 2013-06-20 / 20130157052 - CONTINUOUS, HOLLOW POLYMER PRECURSORS AND CARBON FIBERS PRODUCED THEREFROM | 2 |
Clifton C. Haggard | US | Austin | 2016-02-18 / 20160049319 - PACKAGING INSERT | 4 |
Warren Ollver Haggard | US | Bartlets | 2012-11-08 / 20120282302 - BIOMATERIAL COMPOSITE COMPOSITION AND METHOD OF USE | 1 |
Kenrick R. Haggard | US | Winston-Salem | 2013-09-26 / 20130247280 - Shirt Stay | 1 |
Warren Oliver Haggard | US | Bartlett | 2010-10-21 / 20100266694 - Chitosan/Carbon Nanotube Composite Scaffolds for Drug Delivery | 1 |
Matthew Haggard | US | Santa Rosa | 2012-10-25 / 20120271397 - Guidewire with Two Flexible End Portions and Method of Accessing a Branch Vessel Therewith | 1 |
Roy A. Haggard | US | Murrieta | 2013-11-14 / 20130299634 - AERODYNAMICALLY CONTROLLED GRAPPLE ASSEMBLY | 2 |
Natasha Haggard | US | Austin | 2014-04-03 / 20140094271 - GAMING SYSTEM, METHOD, AND PROGRAM PRODUCT FOR CONTROLLING A FREE PLAY SEQUENCE IN A WAGERING GAME | 1 |
James Haggard | US | Rapid City | 2014-07-24 / 20140203678 - MAGNETIC COUPLING | 1 |
Mathew Haggard | US | Santa Rosa | 2013-10-31 / 20130289696 - RECONFIGURABLE STENT-GRAFT DELIVERY SYSTEM AND METHOD OF USE | 3 |
Jeffrey S. Haggard | US | Cocoa | 2011-05-12 / 20110111225 - Extruded Filament Having High Definition Cross-Sectional Indicia/Coding, Microscopic Tagging System Formed Therefrom and Method of Use Thereof for Anti-Counterfeiting of Product Authentication | 6 |
J. Eric Haggard | US | South Elgin | 2011-03-24 / 20110067767 - SEQUENCE VALVE | 2 |
Justin C. Haggard | US | Houston | 2015-06-04 / 20150154038 - SCRIPTABLE HIERARCHICAL EMULATION ENGINE | 2 |
Trent A. Haggard | US | Milwaukee | 2012-12-20 / 20120319837 - SYSTEM AND METHOD FOR IDENTIFYING AND LOCATING A COTTON MODULETRANSPORTED PRIOR TO MARKING | 1 |
Roy A. Haggard | US | Temecula | 2011-10-20 / 20110254301 - AERODYNAMICALLY CONTROLLED GRAPPLE ASSEMBLY | 1 |
Wes Haggard | US | Kirkland | 2014-12-25 / 20140380275 - MECHANISM FOR COMPATIBILITY AND PRESERVING FRAMEWORK REFACTORING | 2 |
Jeffrey Haggard | US | Cocoa | 2015-01-15 / 20150017411 - SPUN-LAID WEBS WITH AT LEAST ONE OF LOFTY, ELASTIC AND HIGH STRENGTH CHARACTERISTICS | 1 |
Denise Haggard | US | Portland | 2015-12-03 / 20150342279 - HEAD COOLING SYSTEM AND DEVICES | 1 |
Richard Haggard | US | Portland | 2015-12-03 / 20150342279 - HEAD COOLING SYSTEM AND DEVICES | 1 |
Warren O. Haggard | US | Bartlett | 2016-01-07 / 20160000924 - METHODS FOR PRODUCING A BIODEGRADABLE CHITOSAN COMPOSITIONS AND USES THEREOF | 4 |
Stephen J. Haggarty | US | Dorchester | 2014-04-17 / 20140107141 - KINASE INHIBITORS AND METHODS OF USE THEREOF | 8 |
Stephen J. Haggarty | US | Gloucester | 2016-02-25 / 20160051619 - TREATMENT OF PROTEIN DEGRADATION DISORDERS | 4 |
Stephen J. Haggarty | US | Dorchester | 2014-04-17 / 20140107141 - KINASE INHIBITORS AND METHODS OF USE THEREOF | 8 |
Neill Ward Haggarty | NZ | Palmerston North | 2011-07-28 / 20110183008 - Lactoferrin | 5 |
Stephen Haggarty | US | Dorchester | 2014-07-03 / 20140187510 - MOLECULAR ACTIVATORS OF THE WNT/BETA-CATENIN PATHWAY | 11 |
Stephen Haggarty | US | Dorchester | 2014-07-03 / 20140187510 - MOLECULAR ACTIVATORS OF THE WNT/BETA-CATENIN PATHWAY | 11 |
Stephen J. Haggarty | US | Somerville | 2008-12-18 / 20080311589 - METHOD OF HIGH-THROUGHPUT SCREENING OF MOLECULES AND COMPOUNDS FOR THEIR EFFECTS ON BIOLOGICAL AND CHEMICAL PROCESSES | 2 |
Stephen Haggarty | US | Bridgewater | 2014-03-20 / 20140080802 - Inhibitors of Histone Deacetylase | 2 |
Stephen John Haggarty | US | Dorchester | 2016-01-28 / 20160022817 - Photoswitchable HDAC Inhibitors | 1 |
John Stephen Haggas | GB | West Yorkshire | 2013-02-28 / 20130053984 - PROSTHETIC APPARATUS AND ARTIFICIAL JOINT | 1 |
Yaakov Haggay | IL | Kfar Saba | 2011-06-16 / 20110141943 - SYSTEM AND METHOD FOR MONITORING PHYSICAL LAYER CONNECTIVITY | 1 |
Tom Jak Haggblom | FI | Vantaa | 2009-02-26 / 20090050148 - INHALATION ANAESTHESIA DELIVERY SYSTEM AND METHOD | 1 |
Tom Haggblom | FI | Vantaa | 2015-04-30 / 20150114395 - METHOD AND ARRANGEMENT FOR DETERMINING A VENTILATION NEED SPECIFIC FOR A PATIENT | 2 |
Tom Jakob Haggblom | FI | Vantaa | 2011-09-29 / 20110232641 - SYSTEM AND METHOD FOR VENTILATING LUNGS | 4 |
Harlan Hagge | US | Knightdale | 2015-12-03 / 20150348386 - PNEUMATIC DETECTION USING A LIQUEFIED COMPRESSED GAS | 4 |
Damian Hagge | US | Benson | 2014-08-07 / 20140222465 - BEDSIDE PATIENT INTERACTION SYSTEM AND METHOD | 1 |
Stefan Hagger | CH | Boppelsen | 2014-06-05 / 20140150208 - DISPLACEMENT DEVICE FOR SLIDABLE AND TURNABLE SEPARATION ELEMENTS AND FUNCTIONAL ENTITY | 3 |
Evelyn Hagger | US | Beaumont | 2012-11-15 / 20120285124 - BAG ME I'M LAUNDRY AND BAG ME I'M DRY CLEANING SYSTEMS | 1 |
Paul Hagger | US | Redmond | 2010-12-09 / 20100312669 - METHOD AND SYSTEM FOR PERFORMING SEARCHES AND RETURNING RESULTS BASED ON WEIGHTED CRITERIA | 1 |
Paul A. Hagger | US | Redmond | 2010-12-16 / 20100318524 - Displaying Key Differentiators Based On Standard Deviations Within A Distance Metric | 1 |
Christopher Michael Haggerson | US | Arlington | 2010-11-11 / 20100285555 - DISTRIBUTED BIOFUEL MANUFACTURING SYSTEM (DBMS) | 1 |
Ian Neal Haggerty | US | Dublin | 2011-05-12 / 20110111913 - DIFFERENTIAL LOCK ASSEMBLY INCLUDING COUPLER | 2 |
Kent Haggerty | US | Granite Bay | 2012-06-07 / 20120138626 - Garbage Bag Dispensing System | 1 |
David T. Haggerty | US | San Francisco | 2016-02-11 / 20160044495 - MANAGEMENT SYSTEMS FOR MULTIPLE ACCESS CONTROL ENTITIES | 21 |
John Haggerty | GB | Wirral | 2013-05-30 / 20130135314 - ANALYSIS METHOD | 1 |
Kenneth Haggerty | CA | Willowdale | 2012-10-25 / 20120267805 - SHAPED PACKING ELEMENT | 2 |
Charles Haggerty | US | Monument | 2013-11-07 / 20130296955 - SUTURE DEVICE | 1 |
Daniel Haggerty | US | Clive | 2008-09-04 / 20080210782 - Fuel injector | 1 |
Thomas Haggerty | US | Blackwood | 2015-11-19 / 20150332077 - LASER SCANNING CODE SYMBOL READING SYSTEM EMPLOYING PROGRAMMABLE DECODE TIME-WINDOW FILTERING | 4 |
Myra Haggerty | US | San Mateo | 2015-12-31 / 20150378519 - Device, Method, and Graphical User Interface for Displaying Additional Information in Response to a User Contact | 4 |
David Haggerty | US | Apple Valley | 2009-05-14 / 20090125826 - SYSTEMS AND METHODS PROVIDING A DECLARATIVE SCREEN MODEL FOR AUTOMATED TESTING | 1 |
Daniel L. Haggerty | US | Stuart | / - | 1 |
Timothy J. Haggerty | US | Concord | 2014-11-13 / 20140335050 - METHODS, COMPOSITIONS, AND KITS FOR THE TREATMENT OF CANCER | 2 |
Dennis Haggerty | US | Burleson | 2016-05-19 / 20160138394 - Simulating Downhole Flow Through a Perforation | 5 |
Helen Gray Haggerty | US | Manlius | 2009-10-08 / 20090252749 - Compositions and Methods for Producing a Composition | 1 |
Steven R. Haggerty | US | Simsbury | 2009-11-12 / 20090281774 - Systems and Methods Involving Surface Fitting | 1 |
Lauren Haggerty | US | Rehoboth Beach | 2013-12-26 / 20130345027 - WHEELBARROW WALKER DEVICE | 1 |
Thomas Haggerty | US | Collingswood | 2015-10-08 / 20150287290 - MULTIFUNCTION POINT OF SALE SYSTEM | 1 |
Michael D. Haggerty | US | Sterling Heights | 2014-12-04 / 20140354292 - METHOD AND APPARATUS FOR MONITORING A MULTI-PHASE ELECTRICAL SYSTEM ON A VEHICLE | 6 |
Kevin Haggerty | US | Kendall Park | 2010-06-17 / 20100153248 - Portable Service Agnostic Access | 1 |
Daniel T. Haggerty | US | Clive | 2010-12-09 / 20100307161 - FLEXURE SEAL FOR FUEL INJECTION NOZZLE | 2 |
Myra Mary Haggerty | US | San Mateo | 2016-02-04 / 20160034177 - DETECTING AND INTERPRETING REAL-WORLD AND SECURITY GESTURES ON TOUCH AND HOVER SENSITIVE DEVICES | 5 |
Joseph P. Haggerty | US | Madison | 2009-06-25 / 20090163137 - Systems and methods for communicating and rendering electronic program guide information via digital radio broadcast transmission | 1 |
Kathleen B. Haggerty | US | Staten Island | 2015-12-24 / 20150371252 - PORTFOLIO MODELING AND CAMPAIGN OPTIMIZATION | 5 |
Ammon Haggerty | US | Oakland | 2015-06-25 / 20150177914 - INFORMATION SURFACING WITH VISUAL CUES INDICATIVE OF RELEVANCE | 6 |
Jonathon M. Haggerty | US | Pewaukee | 2011-03-03 / 20110049968 - APPARATUS FOR TWIST-TO-LOCK RETENTION OF A WHEEL | 1 |
Sean Haggerty | US | North Haven | 2015-04-23 / 20150112493 - METHOD AND SYSTEM FOR MEASURING A RATE OF FLOW OF AN OUTPUT | 5 |
Edward Haggerty | US | Rehoboth Beach | 2015-02-26 / 20150058728 - AUDIO STREAM METADATA INTEGRATION AND INTERACTION | 1 |
David T. Haggerty | US | San Francisco | 2016-02-11 / 20160044495 - MANAGEMENT SYSTEMS FOR MULTIPLE ACCESS CONTROL ENTITIES | 21 |
Myra M. Haggerty | US | San Mateo | 2014-11-27 / 20140351707 - DEVICE, METHOD, AND GRAPHICAL USER INTERFACE FOR MANIPULATING WORKSPACE VIEWS | 5 |
Stacey Haggerty | US | Granite Bay | 2012-06-07 / 20120138626 - Garbage Bag Dispensing System | 1 |
David Haggerty | US | San Francisco | 2013-08-29 / 20130227646 - METHODS AND APPARATUS FOR LARGE SCALE DISTRIBUTION OF ELECTRONIC ACCESS CLIENTS | 1 |
Dennis P. Haggerty | US | Austin | 2013-09-12 / 20130232799 - CULINARY EXTRUDING AND MINCING TOOL | 1 |
Robert C. Haggerty | US | Dove Canyon | 2014-11-27 / 20140350564 - EXPANDABLE SUPPORT STRUCTURE AND OPERATIVE ELEMENT FOR DELIVERY THROUGH A WORKING CHANNEL | 6 |
Kathleen Haggerty | US | Staten Island | 2016-03-17 / 20160078460 - METHOD AND APPARATUS FOR TARGETING BEST CUSTOMERS BASED ON SPEND CAPACITY | 74 |
Jacob M. Haggerty | US | Stafford | 2012-06-28 / 20120163266 - GLOBAL PUSH-TO-COMMUNICATE APPLICATION AND SYSTEM | 1 |
Paul Haggerty | US | Concord | 2011-08-18 / 20110202601 - METHOD FOR DATA COMMUNICATION AND DEVICE AS WELL AS COMMUNICATION SYSTEM | 1 |
David T. Haggerty | US | Cupertino | 2015-12-03 / 20150350177 - MANAGEMENT OF CREDENTIALS ON AN ELECTRONIC DEVICE USING AN ONLINE RESOURCE | 5 |
Sean E. Haggerty | US | North Haven | 2012-09-06 / 20120225395 - METHOD AND SYSTEM FOR LIMITING WATER BOILER HEAT INPUT | 1 |
Dennis James Haggerty | US | Burleson | 2015-12-31 / 20150376992 - METHODS OF CONTROLLING THE DYNAMIC PRESSURE CREATED DURING DETONATION OF A SHAPED CHARGE USING A SUBSTANCE | 1 |
Michael Richard Haggerty | DE | Berlin | 2008-12-18 / 20080308726 - Method for the Operation of a Measurement System With a Scanning Probe Microscope and a Measurement System | 1 |
Terry Haggerty | US | Plymouth | 2016-03-24 / 20160082903 - AUTOMATED DRIVING SOLUTION GATEWAY | 1 |
Kristofer Haggerty | US | North Haven | 2016-04-21 / 20160107016 - System for Relocating the Elastic Force on a Trampoline | 1 |
John Haggerty | GB | Merseyside | 2009-11-19 / 20090287647 - Method and apparatus for detection of data in a data store | 1 |
Kathleen Haggerty | NY | Staten Island | 2012-11-29 / 20120303505 - CREDIT SCORE AND SCORECARD DEVELOPMENT | 2 |
Dennis J. Haggerty | US | Burleson | 2015-12-03 / 20150346119 - Determining Perforation Tunnel Impairment Productivity Using Computed Tomography | 4 |
Barry Haggett | GB | Luton | 2009-12-31 / 20090325307 - METHOD FOR MANUFACTURING A STRIP FOR USE WITH A MULTI-INPUT METER | 3 |
Thomas Haggett | US | Canterbury | 2014-08-07 / 20140217670 - CARD SHOE | 2 |
Simon James Haggett | GB | Royston | 2015-01-29 / 20150031350 - METHOD AND SYSTEM FOR REMOTE CONTROLLING AND VIEWING MOBILE PHONES | 1 |
Kelly Rae Haggett | US | Wickenburg | 2011-06-30 / 20110155159 - Colorthru comb | 1 |
Jamie Haggett | CA | Edmonton | 2011-03-03 / 20110053624 - METHOD, SYSTEM AND MEDIA FOR WIRELESS PROCESS CONTROL OF MOBILE EQUIPMENT | 1 |
Barry Gerald Denis Haggett | GB | Luton | 2009-03-19 / 20090071823 - Disposable enzymatic sensor for liquid samples | 2 |
Amos Haggiag | IL | Tel Aviv | 2015-11-19 / 20150332334 - PERSONALIZED GROUP COUPONS AND OFFERS WITH USER EXPERIENCE | 1 |
Aaron Haggin | US | Salt Lake City | 2011-03-10 / 20110056849 - CONTACT LENS CONTAINER WITH SOLUTION INJECTION SYSTEM | 1 |
John Haggin | US | Sea Ranch Lakes | 2014-01-16 / 20140014019 - Boat Shelter and Washing System and Method | 1 |
Laura Tocco Haggin | US | Sea Ranch Lakes | 2014-02-06 / 20140033426 - Basinless Sink and Method | 1 |
Aaron Haggin | US | Tayorsville | 2009-09-17 / 20090229999 - Contact lens container with circulating solution system | 1 |
John R. Haggis | US | San Jose | 2014-09-11 / 20140256395 - METHOD AND SYSTEM FOR AGGREGATING BETS FOR LOTTERIES OR GAMING WITH GRAPHICAL REPRESENTATIONS, MULTI-GAME PLAY WITH ONE BET, AND COMBINATIONS OF ONLINE AND OFFLINE PLAYERS | 6 |
John Haggis | US | San Jose | 2015-02-26 / 20150057557 - Systems and Methods for Monitoring Vital Signs Based on Sensed Changes in a Target | 8 |
John Haggis | US | San Jose | 2015-02-26 / 20150057557 - Systems and Methods for Monitoring Vital Signs Based on Sensed Changes in a Target | 8 |
Jenny Hagglof | SE | Malmo | 2015-01-29 / 20150031765 - TREATMENT OF COGNITIVE IMPAIRMENT | 1 |
Joel K. Hagglund | US | Lonsdale | 2015-07-02 / 20150183609 - TEXTURED TAPE DISPENSER | 3 |
Tore Hagglund | SE | Lund | 2009-10-01 / 20090248180 - Automatic Backlash Estimation | 1 |
Curtis Hagglund | US | Worthington | 2015-11-05 / 20150313380 - ADJUSTABLE SCANNER MOUNTING ASSEMBLY AND METHOD | 1 |
Curtis L. Hagglund | US | Westerville | 2013-09-05 / 20130232021 - SYSTEM AND METHOD FOR DIFFERENTIAL WEIGHING OF ITEMS AND REUSABLE CONTAINER FOR USE THEREWITH | 1 |
Magnus Hagglund | SE | Domsjo | 2014-10-02 / 20140295509 - Pre-Treatment of Cellulosic Material | 1 |
Bengt Hagglund | SE | Kungalv | 2015-03-12 / 20150070206 - SECTIONED PROBE FOR A RADAR LEVEL GAUGE | 1 |
Sara Hagglund | SE | Torshalla | 2010-12-09 / 20100311000 - CANDLE HOLDER | 1 |
Dale Hagglund | CA | Edmonton | 2010-08-26 / 20100218039 - METHODS AND SYSTEMS FOR IMPLEMENTING SHARED DISK ARRAY MANAGEMENT FUNCTIONS | 1 |
Henrik Hagglund | SE | Bjorna | 2009-05-28 / 20090138157 - Suspension device and method for use with a vehicle | 1 |
Caroline Hagglund | SE | Stockholm | 2015-05-07 / 20150127746 - METHODS, NODES, COMPUTER PROGRAMS AND COMUTER PROGRAM PRODUCTS FOR ACTIVATING REMOTE ACCESS | 3 |
Ross E. Hagglund | US | Hillsboro | 2010-12-16 / 20100318597 - Generating hints for more optimal processing of requests for information within an environment in which resources are abstracted using a model | 2 |
Rickard Hagglund | SE | Kvissleby | 2014-06-12 / 20140162030 - SMOOTHLY BENDABLE BOARD | 1 |
Rickard Hagglund | SE | Sundsvall | 2015-02-19 / 20150048152 - PACKAGING CONSTRUCTION AND METHOD FOR MANUFACTURING SAID PACKAGING CONSTRUCTION | 1 |
Kaj Haggman | US | San Francisco | 2011-04-28 / 20110099487 - END-TO-END SOLUTION FOR AN END-USER TO OBTAIN DESIRED INFORMATION IN USER INTERFACES | 1 |
Kai Haggman | FI | Espoo | 2009-03-05 / 20090064049 - Automated grouping of image and other user data | 2 |
Bo Henrik Haggman | SE | Lund | 2008-10-09 / 20080247980 - Hair Care Compositions Comprising a Dendritic Polymer | 1 |
Gregory W. Haggquist | US | Longmont | 2015-08-27 / 20150240415 - INCORPORATION OF ACTIVE PARTICLES INTO SUBSTRATES | 2 |
Kurt Haggstrom | US | Costa Mesa | 2015-03-05 / 20150066130 - DELIVERY OF MEDICAL DEVICES | 2 |
Johanna A. Haggstrom | US | Duncan | 2013-02-07 / 20130035262 - Integrated Computational Element Analytical Methods for Microorganisms Treated with a Pulsed Light Source | 4 |
Bo Haggstrom | SE | Stockholm | 2008-10-02 / 20080240352 - Radiation Modulator | 1 |
Johanna Haggstrom | US | Duncan | 2013-11-28 / 20130314695 - Spectral Analysis Techniques Based Upon Spectral Monitoring of a Matrix | 10 |
Tyler Haggstrom | US | Los Angeles | 2014-05-22 / 20140138402 - INSULATED FLUID DISPENSING SYSTEM | 1 |
Ake Haggstrom | SE | Lycksele | 2011-05-12 / 20110108385 - COIN-OPERATED LOCK | 3 |
Johanna Anna Haggstrom | US | Duncan | 2012-01-26 / 20120018148 - Real-time field friction reduction meter and method of use | 1 |
Kurt Haggstrom | US | Huntington Beach | 2015-04-30 / 20150119829 - WOUND DRESSING WITH VACUUM RESERVOIR | 9 |
Kurt Haggstrom | US | Huntington Beach | 2015-04-30 / 20150119829 - WOUND DRESSING WITH VACUUM RESERVOIR | 9 |
Johanna Haggstrom | US | Kingwood | 2015-12-03 / 20150346084 - Methods for Assaying Ionic Materials Using an Integrated Computational Element | 3 |
Johanna Haggstrom | US | Duncan | 2013-11-28 / 20130314695 - Spectral Analysis Techniques Based Upon Spectral Monitoring of a Matrix | 10 |
Kurt Haggstrom | US | Mission Viejo | 2015-03-19 / 20150081003 - COATED MEDICAL DEVICES AND METHODS OF MAKING AND USING SAME | 1 |
Sotoudeh Hamedi Hagh | US | Fremont | 2015-01-15 / 20150015364 - CRYPTOGRAPHIC KEY MANAGEMENT VIA A COMPUTER SERVER | 3 |
Bijan Hagh | US | Newport Beach | 2009-09-17 / 20090232718 - MULTI-STAGE CATALYTIC AIR PURIFICATION SYSTEM | 1 |
Bijan F. Hagh | US | Newport Beach | 2015-12-31 / 20150375187 - PHOTOCATALYST AIR PURIFICATION SYSTEM WITH ULTRAVIOLET LIGHT EMITTING DIODES OPERATED WITH A DUTY CYCLE | 5 |
Nader M. Hagh | US | Franklin Park | 2013-11-07 / 20130295446 - NEGATIVE ELECTRODE MATERIAL FOR LITHIUM BATTERY | 1 |
Masoud Haghanegi | US | Chicago | 2009-01-08 / 20090013274 - User Interface | 1 |
Reza Haghani | SE | Goteborg | 2011-01-06 / 20110000606 - METHOD | 1 |
Ehsan Haghani | US | Albany | 2011-10-13 / 20110252155 - Queue management unit and method for streaming video packets in a wireless network | 2 |
Noosha Haghani | US | Fulton | 2012-03-15 / 20120065813 - SYSTEM AND METHOD FOR COMMAND AND DATA HANDLING IN SPACE FLIGHT ELECTRONICS | 1 |
Ehsan Haghani | US | Kearny | 2010-08-12 / 20100202415 - DATA PACKET TRAFFIC SCHEDULING | 1 |
Ali Haghani | US | Columbia | 2010-12-30 / 20100328105 - METHOD AND APPARATUS FOR ENERGY SELF SUFFICIENT AUTOMOBILE DETECTION AND REIDENTIFICATION | 1 |
Alireza Haghayegh | US | Miami | 2009-04-09 / 20090090683 - CORNER SHELF SYSTEM | 1 |
Arash Haghayegh | US | Quincy | 2008-09-11 / 20080219025 - Bi-directional backlight assembly | 1 |
Afshin Haghdan | IR | Esfahan | 2011-03-31 / 20110073532 - Mechanized Screener | 1 |
Atieh Haghdoost | US | Blacksburg | 2015-12-24 / 20150368821 - Fabricating Porous Metallic Coatings Via Electrodeposition and Compositions Thereof | 1 |
Navid R. Haghdoost | US | Rockford | 2014-03-20 / 20140076811 - DIALYSIS DEVICE | 1 |
Navid Reza Haghdoost | US | Rockford | 2012-08-30 / 20120217203 - SELF-CONTAINED DIALYSIS SYSTEM | 1 |
Ramin Haghgooie | US | Arlington | 2016-04-21 / 20160107157 - Specimen Acceptance Devices and Attachable Disposable Assay Cartridges | 24 |
Ramin Haghgooie | US | Arlington | 2016-04-21 / 20160107157 - Specimen Acceptance Devices and Attachable Disposable Assay Cartridges | 24 |
Shahram Haghi | US | Waterford | 2014-09-18 / 20140265429 - SIDE IMPACT UPPER LEG PUSHER | 1 |
Mehrdad Haghi | US | Laguna Niguel | 2009-12-17 / 20090312823 - Heating and cooling pad, control unit therefor, system and method | 1 |
Afshin Haghighat | CA | Lle-Bizard | 2014-10-30 / 20140321391 - METHOD AND APPARATUS FOR CONTENTION-BASED UPLINK DATA TRANSMISSION | 6 |
Amir Haghighat | US | Cupertino | 2010-07-01 / 20100167766 - INTEGRATED MIXED TRANSPORT MESSAGING SYSTEM | 2 |
Sohrab Haghighat | US | Cambdirge | 2014-11-06 / 20140330424 - Method for Controlling Redundantly Actuated Machines for Cutting a Pattern of Disconnected Contours | 1 |
Ross Haghighat | US | Westford | 2009-05-21 / 20090127253 - Temperature-controlled induction heating of polymeric materials | 1 |
Sohrab Haghighat | US | Cambridge | 2015-08-27 / 20150241865 - Method and System for Computing Reference Signals for Machines with Redundant Positioning | 2 |
Afshin Haghighat | CA | Ile-Bizard | 2016-05-05 / 20160127089 - SYSTEMS AND/OR METHODS FOR MANAGING OR IMPROVING INTERFERENCE BETWEEN CELLS | 16 |
Afshin Haghighat | US | 2012-06-14 / 20120147933 - Long-Term Feedback Transmission And Rank Reporting | 1 | |
Mohammad R. Haghighat | US | San Jose | 2015-07-09 / 20150195301 - CONTEXT-AWARE PROACTIVE THREAT MANAGEMENT SYSTEM | 3 |
Afshin Haghighat | CA | Ile-Bizard | 2016-05-05 / 20160127089 - SYSTEMS AND/OR METHODS FOR MANAGING OR IMPROVING INTERFERENCE BETWEEN CELLS | 16 |
Javad Haghighat | CA | Montreal | 2014-06-05 / 20140153654 - Joint Source-Channel Decoding with Source Sequence Augmentation | 1 |
Saeid Haghighatshoar | CH | St-Sulpice Vd | 2015-04-09 / 20150098313 - METHOD FOR DETERMINING THE WALSH-HADAMARD TRANSFORM OF N SAMPLES OF A SIGNAL AND APPARATUS FOR PERFORMING THE SAME | 1 |
Daniel S. Haghighi | US | Longview | 2012-03-22 / 20120070801 - Dental implant locating device and method of use. | 1 |
Siamack Haghighi | US | San Diego | 2013-02-14 / 20130039119 - MEMORY CELL THAT INCLUDES MULTIPLE NON-VOLATILE MEMORIES | 2 |
Ali Zendedel Haghighi | US | San Jose | 2011-12-22 / 20110308240 - DEGRADATION OF BIOMASS BY METAL-CATALYZED PEROXIDE OXIDATION | 1 |
Siamack Haghighi | US | Sunnyvale | 2016-04-07 / 20160100027 - MECHANISM FOR UNIVERSAL PARALLEL INFORMATION ACCESS | 2 |
Khashayar Nodehi Fard Haghighi | US | Maple Grove | 2015-10-08 / 20150288181 - Construct to Mitigate Power System Operational Impacts of Emerging "Disruptive Technologies" | 5 |
Aria Haghighi | US | Canoga Park | 2010-12-23 / 20100325107 - SYSTEMS AND METHODS FOR MEASURING AND MANAGING DISTRIBUTED ONLINE CONVERSATIONS | 1 |
Houman Haghighi | US | San Diego | 2009-06-25 / 20090163225 - SYSTEMS AND METHODS FOR AUTOMATICALLY SEARCHING A DATABASE TO TUNE A FREQUENCY MODULATOR IN A MOBILE DEVICE | 2 |
Siamack Haghighi | US | Laguna Niguel | 2009-05-14 / 20090125912 - High performance memory and system organization for digital signal processing | 1 |
Manouchehr Haghighi | US | Santa Monica | 2009-04-23 / 20090101161 - Two part hairline parting device | 1 |
Ali Zendedel Haghighi | US | Westlake Village | 2009-02-26 / 20090053290 - TRANSDERMAL DRUG DELIVERY COMPOSITIONS AND TOPICAL COMPOSITIONS FOR APPLICATION ON THE SKIN | 1 |
Anne-Marie Haghiri-Gosnet | FR | Sceaux | 2013-04-18 / 20130092211 - ASYMMETRIC MIM TYPE ABSORBENT NANOMETRIC STRUCTURE AND METHOD FOR PRODUCING SUCH A STRUCTURE | 1 |
Marjan Haghnia | US | Carlsbad | 2009-02-19 / 20090048439 - Isolation of nucleic acids molecules using modified solid supports | 1 |
Bahman Haghshanas | US | Rochester | 2014-10-23 / 20140315678 - GEAR ASSEMBLY FOR MOTOR VEHICLE | 4 |
Hiroyasu Hagi | JP | Takasago-Shi | 2013-09-19 / 20130241582 - ARC RESISTANCE PERFORMANCE EVALUATION DEVICE, ARC RESISTANCE PERFORMANCE EVALUATION SYSTEM, AND ARC RESISTANCE PERFORMANCE EVALUATION METHOD | 2 |
Alon Hagi | IL | Kibbutz Yehiam | 2013-08-08 / 20130203674 - PROCESS FOR PRODUCTION OF BIVALIRUDIN | 9 |
Masayuki Hagi | JP | Mino-O-Shi | 2009-02-26 / 20090052952 - IMAGE FORMING APPARATUS, PROCESS CARTRIDGE AND TONER | 1 |
Masayuki Hagi | JP | Minoh-Shi | 2009-07-23 / 20090186291 - NON-MAGNETIC TONER FOR ONE-COMPONENT DEVELOPMENT AND METHOD OF PREPARING THE TONER, AND IMAGE DEVELOPER, IMAGE FORMING APPARATUS, PROCESS CARTRIDGE AND IMAGE FORMING METHOD | 3 |
Masayuki Hagi | JP | Minoo-Shi | 2010-01-14 / 20100009282 - IMAGE FORMING METHOD, IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE | 6 |
Mitsuharu Hagi | JP | Hyogo | 2009-07-02 / 20090169453 - Device and method for processing cement kiln combustion exhaust | 1 |
Yoshiaki Hagi | JP | Itami-Shi | 2013-01-10 / 20130008370 - METHOD OF PRODUCING SEMICONDUCTOR SINGLE CRYSTAL | 1 |
Tomohiko Hagi | JP | Hino-Shi | 2015-08-06 / 20150216497 - Radiographic Imaging System | 1 |
Kouji Hagi | JP | Kanagawa-Ken | 2008-10-02 / 20080243008 - Blood Pressure Measuring Apparatus and Blood Pressure Measuring Method | 1 |
Masayuki Hagi | JP | Osaka | 2013-12-26 / 20130344427 - TONER, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 5 |
Seiji Hagi | JP | Tama-Shi | 2009-11-26 / 20090291203 - SUBSTRATE TRAY AND FILM FORMING APPARATUS | 1 |
Masahiro Hagi | JP | Yokkaichi | 2015-02-26 / 20150053455 - CONDUCTIVE LINE AND SPACER FOR CONDUCTIVE LINE | 1 |
Naoki Hagi | JP | Hyogo-Ken | 2010-03-04 / 20100054924 - Brush seal and turbine using the same | 1 |
Hiroyasu Hagi | JP | Akashi-Shi | 2014-05-15 / 20140134908 - SUEDE-TONE FLAME-RETARDANT UNION CLOTH | 2 |
Yasuhiko Hagi | JP | Shizuoka | 2015-06-04 / 20150151940 - PAPER CONVEYING MECHANISM FOR PRINTING APPARATUS | 1 |
Kouji Hagi | JP | Ashigarakami-Gun | 2014-02-27 / 20140058222 - DATA TRANSFER DEVICE AND DATA TRANSFER SYSTEM | 5 |
Tomohiro Hagi | JP | Shizuoka | 2013-11-28 / 20130316603 - OUTBOARD MOTOR | 2 |
Masahiro Hagi | JP | Yokkaichi-Shi | 2012-01-05 / 20120000069 - METHOD FOR MANUFACTURING ELECTRIC WIRE WITH TERMINAL | 6 |
Satoshi Hagi | JP | Nagoya-Shi | 2012-08-23 / 20120214111 - COMBUSTION PLATE | 1 |
Naoki Hagi | JP | Hyogo | 2011-06-09 / 20110135456 - GAS TURBINE PLANT | 1 |
Hiroyasu Hagi | JP | Hyogo | 2016-05-05 / 20160123912 - Method For Evaluating Arc-Resistance Performance And Arc-Resistance Performance Evaluation Device | 2 |
Akifumi Hagi | JP | Tokushima-Shi | 2010-12-30 / 20100331423 - AQUEOUS SOLUTION OF OLANEXIDINE, METHOD OF PREPARING THE AQUEOUS SOLUTION, AND DISINFECTANT | 1 |
Alon Hagi | IL | Kibbutz Yehiam | 2013-08-08 / 20130203674 - PROCESS FOR PRODUCTION OF BIVALIRUDIN | 9 |
Mitsuharu Hagi | JP | Tatsuno-Shi | 2013-09-26 / 20130251599 - DEVICE AND METHOD FOR PROCESSING CEMENT KILN COMBUSTION EXHAUST GAS | 1 |
Frank E. Hagie | US | Sacramento | 2012-08-02 / 20120195883 - MONOCOT SEED PRODUCT COMPRISING A HUMAN BLOOD PROTEIN | 6 |
Frank E. Hagie | US | Elk Grove | 2010-01-07 / 20100003235 - ORAL FORMULATIONS FOR ENTERIC DISORDERS AND/OR REHYDRATION | 1 |
Farbod Hagigi | US | Watertown | 2015-10-08 / 20150286784 - Epoch of Care-Centric Healthcare System | 4 |
Junichirou Hagihara | JP | Tomisato-Shi | 2012-05-31 / 20120132523 - Method of Manufacturing a Sputtering Target and Sputtering Target | 1 |
Tadanori Hagihara | TW | Taipei City | 2008-12-04 / 20080301169 - ELECTRONIC APPARATUS OF PLAYING AND EDITING MULTIMEDIA DATA | 1 |
Motohumi Hagihara | JP | Kanagawa | 2013-01-10 / 20130011359 - SOLID OR POWDER HAIR STYLING COMPOSITION | 1 |
Yousuke Hagihara | JP | Osaka | 2010-03-18 / 20100067084 - OPTICAL SCANNING MIRROR, SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF | 1 |
Yosuke Hagihara | JP | Osaka | 2011-07-21 / 20110175100 - Infrared sensor | 1 |
Toru Hagihara | JP | Kawaguchi-Shi | 2009-01-08 / 20090011071 - Injection-molded gear | 1 |
Takeshi Hagihara | JP | Osaka | 2015-03-05 / 20150065039 - COMMUNICATION DEVICE AND COMMUNCATION SYSTEM | 8 |
Teruki Hagihara | JP | Nasushiobara | 2016-05-05 / 20160120512 - ULTRASONIC DIAGNOSTIC APPARATUS, INTERPOLATION PROCESSING UNIT, AND INTERPOLATION PROCESSING METHOD | 2 |
Akifumi Hagihara | JP | Wakayama | 2009-01-22 / 20090019614 - HEAT-RESISTANT FABRIC AND GARMENT AND HEAT-RESISTANT GLOVE USING THE SAME | 1 |
Shinji Hagihara | JP | Yamanashi | 2014-05-08 / 20140124720 - HOIST EQUIPPED WITH POWER-OFF TYPE ELECTROMAGNETIC BRAKE | 1 |
Yoshio Hagihara | JP | Hachioji-Shi | 2013-05-30 / 20130134296 - AD CONVERSION CIRCUIT AND IMAGING APPARATUS | 1 |
Sojiro Hagihara | JP | Yokohama | 2009-02-26 / 20090051625 - PLASMA DISPLAY APPARATUS AND METHOD OF DRIVING THE SAME | 1 |
Ryuzo Hagihara | JP | Hyogo | 2015-01-29 / 20150028677 - CONTROL DEVICE, CONVERSION DEVICE, CONTROL METHOD, AND ELECTRICITY DISTRIBUTION SYSTEM | 2 |
Yoshihiro Hagihara | JP | Iwate | 2010-02-25 / 20100048115 - METHOD FOR COLLECTING USEFUL PORTIONS OF FISH HEADS AND DEVICE FOR COLLECTING USEFUL PORTIONS OF FISH HEAD | 1 |
Katsuyuki Hagihara | JP | Matsuyama-Shi | 2015-10-15 / 20150292145 - Random Mat, Shaped Product of Fiber Reinforced Composite Material, and Carbon Fiber Mat | 8 |
Kouta Hagihara | JP | Kariya-City | 2015-06-04 / 20150153090 - COLD-STORAGE HEAT EXCHANGER | 3 |
Hiroyuki Hagihara | JP | Nagoya-Shi | 2009-03-26 / 20090082196 - HIGHLY ACTIVE PHOTOCATALYST PARTICLES, METHOD OF PRODUCTION THEREFOR, AND USE THEREOF | 2 |
Ryuzo Hagihara | JP | Osaka | 2012-10-11 / 20120256487 - Charge/Discharge System | 7 |
Toru Hagihara | JP | Saitama | 2009-03-26 / 20090078074 - Resin Double Helical Gear and Gear Train Using Same | 2 |
Koji Hagihara | JP | Tokyo | 2009-05-14 / 20090120223 - GEAR SUPPORT STRUCTURE | 1 |
Junichi Hagihara | JP | Nirasaki-Shi | 2009-06-18 / 20090153170 - INSPECTION APPARATUS | 3 |
Takeshi Hagihara | JP | Osaka-Shi, Osaka | 2015-12-10 / 20150352969 - VEHICLE-MOUNTED CHARGING DEVICE AND VEHICLE CHARGING SYSTEM | 1 |
Atsushi Hagihara | JP | Saitama | 2015-11-26 / 20150336561 - HYBRID VEHICLE DRIVING SYSTEM | 2 |
Katsuyuki Hagihara | JP | Matsuyama-Shi | 2015-10-15 / 20150292145 - Random Mat, Shaped Product of Fiber Reinforced Composite Material, and Carbon Fiber Mat | 8 |
Kazunari Hagihara | JP | Kakogawa-Shi Hyogo | 2016-02-11 / 20160043891 - Terminal Control System | 1 |
Masaki Hagihara | JP | Suita-Shi | 2010-01-21 / 20100015618 - DNA FRAGMENT USED AS ATTACHED TO 5' END OF PRIMER USED IN NUCLEIC ACID AMPLIFICATION REACTION AND USE OF DNA FRAGMENT | 1 |
Jun Hagihara | JP | Kitakyushu-Shi | 2014-06-26 / 20140176036 - MOTOR CONTROL APPARATUS AND MOTOR CONTROL METHOD | 1 |
Syunichirou Hagihara | JP | Nobeoka-Shi | 2012-04-05 / 20120080567 - BASE PLATE | 1 |
Syunichirou Hagihara | JP | Miyazaki | 2014-06-26 / 20140174561 - METHOD OF PRODUCING ULTRASONIC FLOWMETER, ULTRASONIC FLOWMETER PRODUCED BY THE METHOD AND FLUID CONTROLLER HAVING THE ULTRASONIC FLOWMETER | 1 |
Takeshi Hagihara | JP | Osaka-Shi | 2015-04-30 / 20150117556 - COMMUNICATION DEVICE AND COMMUNICATION SYSTEM | 8 |
Yosuke Hagihara | JP | Katano-Shi | 2011-07-21 / 20110175145 - Infrared Sensor | 2 |
Tsukasa Hagihara | JP | Hamamatsu-Shi | 2012-06-28 / 20120160815 - LASER LAP WELDING METHOD | 3 |
Yusuke Hagihara | JP | Tokyo | 2010-08-12 / 20100200416 - METHOD AND DEVICE FOR IMMERSION TREATMENT OF VEHICLE | 1 |
Shinichirou Hagihara | JP | Tsuchiura-Shi | 2015-03-26 / 20150084397 - TRAVELING DRIVE DEVICE FOR DUMP TRUCK | 1 |
Shunichiro Hagihara | JP | Miyazaki | 2009-07-02 / 20090166574 - Receiving Opening Of Joint For Working Fluid And Valve With The Receiving Opening | 1 |
Hiroshi Hagihara | JP | Tochigi | 2012-05-03 / 20120107917 - Novel Microorganism and Method for Producing Dodecahydro-3a,6,6,9a-Tetramethylnaphtho[2,1-b]Furan Intermediate Using the Novel Microorganism | 2 |
Junichi Hagihara | JP | Yamanashi-Ken | 2009-07-09 / 20090175705 - SUBSTRATE TRANSFER APPARATUS AND VERTICAL HEAT PROCESSING APPARATUS | 1 |
Hiroshi Hagihara | JP | Haga-Gun | 2010-09-30 / 20100248308 - Recombinant Microorganism and a Method for Producing Poly-Gamma-Glutamic Acid | 2 |
Takanori Hagihara | JP | Shizuoka-Shi | 2010-09-30 / 20100243842 - SENSOR ASSEMBLY AND FIXING METHOD THEREOF | 1 |
Junichi Hagihara | JP | Nirasaki City | 2015-04-30 / 20150115991 - MAINTENANCE CARRIAGE FOR WAFER INSPECTION APPARATUS AND MAINTENANCE METHOD FOR WAFER INSPECTION APPARATUS | 2 |
Shinichiro Hagihara | JP | Tsuchiura-Shi | 2013-03-14 / 20130065724 - TRAVEL DRIVE DEVICE FOR DUMP TRUCK | 3 |
Takahiro Hagihara | JP | Tokyo | 2013-01-24 / 20130022927 - PHOTOSENSITIVE COMPOSITION AND PHOTOSENSITIVE LITHOGRAPHIC PRINTING PLATE MATERIAL | 1 |
Daisuke Hagihara | JP | Hyogo | 2012-02-23 / 20120042801 - GAS GENERATOR | 1 |
Ryuzo Hagihara | JP | Moriguchi City | 2013-01-17 / 20130015713 - SYSTEM STABILIZATION SYSTEM, ELECTRIC POWER SUPPLY AND DEMAND ADJUSTMENT DEVICE, CONTROL DEVICE FOR ELECTRIC POWER SUPPLY AND DEMAND ADJUSTMENT DEVICE, ELECTRIC POWER SUPPLY AND DEMAND ADJUSTMENT METHOD, AND ELECTRIC POWER SUPPLY AND DEMAND ADJUSTMENT METHOD USING STORAGE BATTERYAANM Hagihara; RyuzoAACI Moriguchi CityAACO JPAAGP Hagihara; Ryuzo Moriguchi City JP | 1 |
Katsuyuki Hagihara | JP | Shizuoka | 2013-05-16 / 20130122262 - Carbon Fiber Bundle, Method for Producing The Same, and Molded Article Made Thereof | 1 |
Takeshi Hagihara | JP | Osaka | 2015-03-05 / 20150065039 - COMMUNICATION DEVICE AND COMMUNCATION SYSTEM | 8 |
Tatsuhiko Hagihara | JP | Saitama-Ken | 2011-09-29 / 20110234890 - CAMERA MODULE | 2 |
Motoyuki Hagihara | JP | Hyogo | 2011-10-20 / 20110257391 - PROCESS FOR PRODUCTION OF QUINAZOLINE DERIVATIVE | 1 |
Yousuke Hagihara | JP | Katano-Shi | 2011-02-03 / 20110024274 - MEMS SWITCH AND METHOD OF MANUFACTURING THE MEMS SWITCH | 1 |
Taizo Hagihara | JP | Hyogo | 2011-02-24 / 20110045653 - BONDING METHOD AND BONDING APPARATUS | 1 |
Daisuke Hagihara | JP | Saitama-Shi | 2013-06-27 / 20130160587 - TWIN CLUTCH TRANSMISSION | 1 |
Jun Hagihara | JP | Fukuoka | 2012-09-13 / 20120229069 - MOTOR CONTROL APPARATUS AND METHOD FOR CONTROLLING MOTOR | 1 |
Kazuki Hagihara | JP | Kanagawa | 2011-03-17 / 20110063402 - METHOD OF FORMING AND MANAGING OF TEMPLATE, TEMPLATE, AND TEMPLATE FORMING AND MANAGING DEVICE | 1 |
Kiyomi Hagihara | JP | Shiga | 2010-03-18 / 20100065318 - CIRCUIT BOARD AND SEMICONDUCTOR ELEMENT MOUNTED STRUCTURE USING THE SAME | 1 |
Yoshio Hagihara | JP | Tokyo | 2016-03-24 / 20160088244 - SWITCHING CIRCUIT, SAMPLE AND HOLD CIRCUIT, AND SOLID-STATE IMAGING DEVICE | 40 |
Ryuzo Hagihara | JP | Moriguchi-Shi | 2012-10-11 / 20120256483 - ELECTRICAL CHARGE AND DISCHARGE SYSTEM, METHOD OF MANAGING A BATTERY AND A POWER GENERATOR, AND COMPUTER-READABLE RECORDING MEDIUM | 3 |
Yoshiyuki Hagihara | JP | Nishinomiya-Shi | 2014-01-16 / 20140014461 - FRICTION MEMBER, CLUTCH PLATE, CLUTCH DEVICE AND TORQUE CONVERTER | 1 |
Masahiko Hagihara | JP | Ube-Shi | 2013-04-25 / 20130102787 - NOVEL INDAZOLE DERIVATIVE OR SALT THEREOF AND PRODUCTION INTERMEDIATE THEREOF, AND ANTIOXIDANT USING SAME, AND USE OF INDAZOLE DERIVATIVE OR SALT THEREOF | 7 |
Masahiko Hagihara | JP | Yamaguchi | 2015-10-22 / 20150299169 - ACID ADDITION SALT OF SUBSTITUTED PYRIDINE COMPOUND | 10 |
Yasuhiko Hagihara | JP | Tokyo | 2014-02-20 / 20140049104 - UNINTERRUPTIBLE POWER SUPPLY APPARATUS AND CONTROL METHOD | 2 |
Masahiko Hagihara | JP | Yamaguchi | 2015-10-22 / 20150299169 - ACID ADDITION SALT OF SUBSTITUTED PYRIDINE COMPOUND | 10 |
Kazumi Hagihara | JP | Chiba | 2010-05-13 / 20100117027 - Polymerizable liquid crystal composition | 1 |
Shogo Hagihara | JP | Hyogo | 2014-06-05 / 20140151501 - ELECTRO HYDROSTATIC ACTUATOR SYSTEM FOR RETRACTING/EXTENDING LANDING GEAR | 3 |
Kiyomi Hagihara | JP | Osaka | 2015-12-10 / 20150359119 - ELECTRONIC COMPONENT PACKAGE | 10 |
Takeshi Hagihara | JP | Osaka-Shi | 2015-04-30 / 20150117556 - COMMUNICATION DEVICE AND COMMUNICATION SYSTEM | 8 |
Shinjiro Hagihara | JP | Yokohama-Shi | 2010-05-06 / 20100111486 - DISPERSION COMPENSATING MODULE | 1 |
Yoshiyuki Hagihara | JP | Kofu-Shi | 2016-01-28 / 20160025332 - COMBUSTION BURNER, BURNER APPARATUS, AND RAW MATERIAL POWDER-HEATING METHOD | 7 |
Kazuki Hagihara | JP | Kawasaki | 2015-09-17 / 20150258226 - SUBSTRATE STORING CASE, SUBSTRATE CLEANING APPARATUS AND SUBSTRATE STORING CASE CLEANING APPARATUS | 1 |
Masahiro Hagihara | JP | Tokyo | 2016-01-07 / 20160000306 - ENDOSCOPE SYSTEM | 2 |
Masahiro Hagihara | JP | Hachioji-Shi | 2008-11-06 / 20080272869 - Medical Apparatus | 1 |
Tsukasa Hagihara | JP | Shizuoka-Ken | 2012-08-23 / 20120211474 - LASER LAP WELDING METHOD | 3 |
Kiyomi Hagihara | JP | Osaka | 2015-12-10 / 20150359119 - ELECTRONIC COMPONENT PACKAGE | 10 |
Yoshihiro Hagihara | JP | Izumi-Shi | 2013-02-07 / 20130032129 - SUPER-ABRASIVE GRAIN FIXED TYPE WIRE SAW, AND METHOD OF MANUFACTURING SUPER-ABRASIVE GRAIN FIXED TYPE WIRE SAW | 1 |
Koji Hagihara | JP | Sakai-Shi | 2009-01-08 / 20090012060 - Antitumor Agent | 1 |
Toshiharu Hagii | JP | Kawasaki | 2009-10-08 / 20090250598 - Light guide mechanism for guiding a light to an illuminance sensor | 1 |
Taiga Hagimoto | JP | Suntou-Gun | 2014-02-20 / 20140050623 - APPARATUS FOR DETECTING DETERIORATION OF NOX SELECTIVE REDUCTION CATALYST | 1 |
Go Hagimoto | JP | Tokyo | 2015-02-26 / 20150053037 - SINTERED MEMBER, PINION GEAR FOR STARTERS, AND PRODUCTION METHOD THEREFOR | 1 |
Taiga Hagimoto | US | 2015-10-01 / 20150275733 - FAILURE DETERMINATION SYSTEM OF EXHAUST GAS PURIFICATION APPARATUS | 1 | |
Taiga Hagimoto | JP | Nagaizumi-Cho | 2016-05-12 / 20160131063 - ABNORMALITY DIAGNOSIS APPARATUS OF EXHAUST GAS PURIFICATION APPARATUS | 4 |
Yoshiya Hagimoto | JP | Kumamoto | 2012-09-13 / 20120231562 - SEMICONDUCTOR MANUFACTURING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING ELECTRONIC DEVICE | 1 |
Takeshi Hagimoto | JP | Ikoma-Shi | 2011-05-19 / 20110118623 - ELECTRONIC THERMOMETER | 1 |
Taiga Hagimoto | JP | Suntoh-Gun, Shizuoka-Ken | 2015-10-29 / 20150308322 - FAILURE DIAGNOSIS APPARATUS FOR EXHAUST GAS CONTROL APPARATUS (AS AMENDED) | 1 |
Yuriko Hagimoto | JP | Sunto-Gun | 2015-04-02 / 20150093292 - ABNORMALITY JUDGING SYSTEM FOR EXHAUST GAS PURIFICATION APPARATUS OF INTERNAL COMBUSTION ENGINE | 1 |
Mai Hagimoto | JP | Tokyo | 2012-02-02 / 20120026657 - FIELD DEVICE | 1 |
Yuriko Hagimoto | JP | Shizuoka-Ken | 2015-11-05 / 20150315950 - ABNORMALITY DETECTION DEVICE FOR EXHAUST GAS PURIFICATION APPARATUS | 1 |
Taiga Hagimoto | JP | Sunto-Gun, Shizuoka-Ken | 2015-11-05 / 20150315946 - DETERIORATION DETERMINATION SYSTEM OF EXHAUST EMISSION CONTROL DEVICE | 1 |
Keisuke Hagimoto | JP | Aichi | 2011-11-17 / 20110277970 - HEAT EXCHANGER DEVICE AND HEATING ELEMENT HOLDER USING SAME | 5 |
Kazunori Hagimoto | JP | Takasaki | 2016-05-05 / 20160126099 - SILICON-BASED SUBSTRATE, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 6 |
Masashi Hagimoto | JP | Saitama | 2011-03-31 / 20110074177 - SEAT COWL STRUCTURE OF SADDLE-RIDING TYPE VEHICLE | 3 |
Taiga Hagimoto | JP | Susono-Shi | 2012-01-12 / 20120006002 - DEVICE FOR DETERMINING DETERIORATION OF CATALYST AND METHOD FOR DETERMINING DETERIORATION OF CATALYST | 6 |
Taiga Hagimoto | JP | Shizuoka-Ken | 2011-06-23 / 20110146235 - ABNORMALITY DETECTION SYSTEM AND ABNORMALITY DETECTION METHOD FOR INTERNAL COMBUSTION ENGINE | 2 |
Noritoshi Hagimoto | JP | Toyohashi-Shi | 2014-04-10 / 20140099132 - IMAGE FORMING APPARATUS | 2 |
Hiromitsu Hagimoto | JP | Kawasaki | 2009-06-04 / 20090142955 - Electronic device and printed circuit board unit | 1 |
Taiga Hagimoto | JP | Sunto-Gun | 2015-10-01 / 20150275733 - FAILURE DETERMINATION SYSTEM OF EXHAUST GAS PURIFICATION APPARATUS | 1 |
Masato Hagimoto | JP | Komoro | 2009-02-12 / 20090041076 - Opto-semiconductor devices | 1 |
Yoshiya Hagimoto | JP | Kanagawa | 2016-05-19 / 20160141267 - SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND ELECTRONIC APPARATUS | 12 |
Hitoshi Hagimoto | JP | Ageo-Shi | 2013-05-16 / 20130119092 - CHECK VALVE, MANUFACTURING METHOD THEREOF AND CONTAINER HAVING CHECK VALVE | 1 |
Masahiro Hagimoto | JP | Anjo-Shi | 2012-06-28 / 20120166023 - DOOR OPENING/CLOSING APPARATUS AND COATING FILM FORMING METHOD | 1 |
Yoshiya Hagimoto | JP | Kanagawa | 2016-05-19 / 20160141267 - SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND ELECTRONIC APPARATUS | 12 |
Yoshiya Hagimoto | JP | Tokyo | 2014-03-20 / 20140080312 - SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND STORAGE MEDIUM | 1 |
Wataru Hagimoto | JP | Kariya-Shi | 2012-12-06 / 20120304789 - VEHICLE DRIVE SYSTEM | 1 |
Masahiro Hagimoto | JP | Nagoya-Shi | 2015-12-17 / 20150363987 - OPERATION INPUT CONFIRMATION DEVICE, AND VEHICLE DOOR HANDLE DEVICE | 3 |
Masashi Hagimoto | JP | Wako-Shi | 2013-06-20 / 20130154311 - HOLLOW WELDED ASSEMBLED FRAME STRUCTURE | 1 |
Masato Hagimoto | JP | Saku | 2013-07-18 / 20130182735 - SEMICONDUCTOR LASER | 1 |
Taiga Hagimoto | JP | Susono-Shi Shizuoka-Ken | 2016-04-21 / 20160109420 - FAILURE DETERMINATION DEVICE FOR EMISSION CONTROL APPARATUS OF INTERNAL COMBUSTION ENGINE | 1 |
Masashi Hagimoto | JP | Wako | 2014-02-06 / 20140035269 - FUEL-TANK SUPPORT STRUCTURE FOR MOTORCYCLE | 2 |
Masahiro Hagimoto | JP | Aichi | 2012-03-29 / 20120074963 - CAPACITANCE DETECTION APPARATUS | 1 |
Shigekazu Hagimura | JP | Kawasaki | 2011-07-28 / 20110182298 - COMMUNICATION APPARATUS, MEDIUM AND COMMUNICATION METHOD | 1 |
Sam S. Haginas | US | Miami Beach | 2015-10-08 / 20150288927 - Interactive Two-Way Live Video Communication Platform and Systems and Methods Thereof | 1 |
Kuniyasu Haginiwa | JP | Yokohama-Shi | 2015-12-17 / 20150364298 - LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE | 2 |
Ai Haginiwa | JP | Hiratsuka-Shi | 2013-02-14 / 20130041561 - WORK VEHICLE AND WORK VEHICLE CONTROL METHOD | 1 |
Naomichi Haginiwa | JP | Osaka | 2015-06-25 / 20150175517 - (METH)ACRYLIC ACID PRODUCTION METHOD, AND, HYDROPHILIC RESIN PRODUCTION METHOD | 1 |
Takeshi Hagino | JP | Tsukuba-Shi | 2014-05-15 / 20140130363 - SPHERICAL-FORM MEASURING APPARATUS | 4 |
Tatsuhiro Hagino | JP | Utsunomiya-Shi | 2011-08-04 / 20110186704 - MUDGUARD MOUNTING STRUCTURE | 1 |
Kei Hagino | JP | Kobe-Shi | 2011-02-17 / 20110040166 - EXTRACTING KIT, EXTRACTING DEVICE, AND EXTRACTING METHOD | 3 |
Junichi Hagino | JP | Kyoto | 2014-11-20 / 20140340615 - DRIVING CIRCUIT OF LIGHT EMITTING ELEMENT, AND LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS INCLUDING THE LIGHT EMITTING ELEMENT | 4 |
Yoshiteru Hagino | JP | Susono-Shi | 2011-03-03 / 20110049814 - SEALING STRUCTURE USING A LIQUID GASKET | 1 |
Katsuya Hagino | JP | Kawasaki-Shi | 2008-10-09 / 20080246311 - VEHICLE BODY STRUCTURE | 1 |
Kiyoshi Hagino | JP | Hamamatsu-Shi | 2011-04-28 / 20110094368 - MUSICAL TONE SIGNAL GENERATING APPARATUS | 1 |
Masashi Hagino | JP | Takahama-Shi | 2014-10-02 / 20140290228 - HOLDING SEALING MATERIAL FOR EXHAUST GAS PURIFYING APPARATUS, METHOD FOR MANUFACTURING HOLDING SEALING MATERIAL, EXHAUST GAS PURIFYING APPARATUS, AND METHOD FOR MANUFACTURING EXHAUST GAS PURIFYING APPARATUS | 2 |
Noriyuki Hagino | JP | Shizuoka | 2011-09-01 / 20110211173 - Projector apparatus | 2 |
Yoshio Hagino | JP | Chiba | 2008-10-23 / 20080259175 - Imaging Device | 1 |
Hiroyuki Hagino | JP | Osaka | 2015-04-23 / 20150108518 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE | 4 |
Noriyuki Hagino | JP | Aichi | 2010-04-15 / 20100091251 - HEAT DISSIPATING DEVICE FOR ELECTRONIC APPARATUS, AND ELECTRONIC APPARATUS | 1 |
Takeshi Hagino | JP | Chiyoda-Ku | 2014-03-27 / 20140088336 - PARTICLE BEAM THERAPY SYSTEM | 1 |
Kei Hagino | JP | Amagasaki-Shi | 2010-06-24 / 20100160758 - IN VIVO COMPONENT MEASUREMENT METHOD AND IN VIVO COMPONENT MEASUREMENT APPARATUS | 1 |
Minoru Hagino | JP | Hamamatsu-Shi | 2015-02-19 / 20150050770 - PROCESS FOR PRODUCING LAYERED MEMBER AND LAYERED MEMBER | 2 |
Hideyuki Hagino | JP | Kumagaya-Shi | 2012-09-20 / 20120236211 - Display, Information Transmission Method and Information Communication System | 2 |
Kenichi Hagino | JP | Kariya-Shi | 2015-10-01 / 20150275936 - INDUSTRIAL VEHICLE | 1 |
Akihide Hagino | JP | Tokyo | 2015-12-24 / 20150370185 - METHOD FOR PRODUCING A POLYMERIZED TONER | 1 |
Hiroaki Hagino | JP | Kanagawa | 2008-12-18 / 20080309461 - Information Managing Unit and Information Managing Method | 1 |
Tomotaka Hagino | JP | Toyota-Shi | 2013-10-03 / 20130255075 - METHOD FOR PRODUCING LITHIUM SECONDARY CELL | 1 |
Koichi Hagino | JP | Kawanishi-Shi | 2011-08-04 / 20110187452 - SOUND AND MUSIC PLAYBACK DEVICE | 3 |
Hiroaki Hagino | JP | Yokohama-Shi | 2009-04-23 / 20090106137 - Power Transmitting Device, Power Receiving Device, Authentication/Billing Proxy Device, Electrical Charging System, Power Transmitting Method, Power Receiving Method and Electrical Charging Method | 1 |
Takashi Hagino | JP | Tokyo | 2008-09-04 / 20080210165 - CLUSTER TYPE SEMICONDUCTOR PROCESSING APPARATUS | 1 |
Shuji Hagino | JP | Tokyo | 2009-08-27 / 20090213042 - Matrix Addressing Method and Circuitry and Display Device Using the Same | 1 |
Yasuyuki Hagino | JP | Aichi-Ken | 2011-08-25 / 20110207538 - ROTATION SHAFT VIBRATION DAMPING MECHANISM | 1 |
Hamazou Hagino | JP | Osaka | 2010-02-11 / 20100033284 - RESONANCE TRANSFORMER AND POWER SUPPLY UNIT EMPLOYING IT | 1 |
Kei Hagino | JP | Kobe | 2013-01-03 / 20130006080 - LIVING BODY COMPONENT ANALYZING METHOD AND LIVING BODY COMPONENT ANALYZING APPARATUS | 1 |
Junichi Hagino | JP | Osaka-Shi | 2015-03-19 / 20150078686 - PACKAGING CONTAINER AND METHOD FOR MANUFACTURING THE SAME | 2 |
Takeshi Hagino | JP | Tokyo | 2015-01-29 / 20150031933 - RANGE SHIFTER AND PARTICLE RADIOTHERAPY DEVICE | 3 |
Kei Hagino | JP | Hyogo | 2013-12-26 / 20130345597 - Device for Interstitial Fluid Extraction, Production Process Thereof and Analyzing Process of Interstitial Fluid Using the Device | 2 |
Takaaki Hagino | JP | Hamamatsu-City | 2011-06-09 / 20110132178 - MUSICAL TONE SIGNAL-PROCESSING APPARATUS | 3 |
Shinichi Hagino | JP | Himeji-Shi | 2010-04-01 / 20100081972 - MASSAGE UNIT AND CHAIR-TYPE MASSAGE MACHINE HAVING THE UNIT | 1 |
Naoki Hagino | JP | Toyohashi-Shi | 2012-01-05 / 20120000311 - GEARSHIFT KNOB ATTACHING STRUCTURE FOR VEHICLE GEARSHIFT LEVER UNIT | 1 |
Kazuhiko Hagino | JP | Kawasaki | 2010-06-17 / 20100153790 - PERFORMANCE TROUBLE ISOLATION SUPPORT APPARATUS | 1 |
Masao Hagino | JP | Kanagawa-Ken | 2008-10-16 / 20080253619 - TECHNIQUE FOR AUTHENTICATING AN OBJECT ON BASIS OF FEATURES EXTRACTED FROM THE OBJECT | 1 |
Takashi Hagino | JP | Fujimi | 2016-05-05 / 20160126703 - SURFACE EMITTING LASER, ATOMIC OSCILLATOR, AND MANUFACTURING METHOD OF SURFACE EMITTING LASER | 1 |
Junichi Hagino | JP | Ukyo-Ku | 2012-01-05 / 20120001557 - PHASE SHIFT CONTROLLER | 3 |
Tomokazu Hagino | JP | Ritto-Shi | 2014-03-13 / 20140070531 - PIPE JOINT | 1 |
Yasuyuki Hagino | JP | Toyota-Shi | 2010-11-25 / 20100298093 - CONTROL APPARATUS OF CLUTCH MECHANISM | 1 |
Koichi Hagino | JP | Hyogo | 2010-01-21 / 20100013447 - SWITCHING POWER SUPPLY CIRCUIT | 1 |
Tatsuya Hagino | JP | Kanagawa | 2015-06-11 / 20150158239 - IMPRINT DEVICE AND IMPRINT METHOD | 2 |
Yoshiteru Hagino | JP | Nisshin-Shi | 2015-04-02 / 20150094188 - HYBRID VEHICLE DRIVE CONTROLLER | 2 |
Yukino Hagino | JP | Kanagawa | 2011-01-20 / 20110014793 - Post-dry etching cleaning liquid composition and process for fabricating semiconductor device | 1 |
Shuichi Hagino | JP | Aichi | 2014-01-16 / 20140014195 - WATER-INFLOW PREVENTION DEVICE OF AIRCRAFT, AIR PRESSURE REGULATING VALVE FOR AIRCRAFT, AND AIRCRAFT | 1 |
Noriyasu Haginoya | JP | Chiba | 2013-12-19 / 20130338156 - IMIDAZOPYRIDIN-2-ONE DERIVATIVES | 2 |
Yoshiaki Haginoya | JP | Kanagawa | 2014-12-11 / 20140362153 - EXPOSURE DEVICE AND IMAGE FORMING APPARATUS | 1 |
Eiichiro Haginoya | JP | Osaka | 2016-03-17 / 20160075115 - POLYOLEFIN-BASED FIBER-REINFORCED RESIN MULTILAYERED SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Masaharu Haginoya | JP | Saitama-Shi | 2015-03-26 / 20150084886 - IN-CAR OPERATION DISPLAY DEVICE | 1 |
Noriyasu Haginoya | JP | Tokyo | 2011-12-22 / 20110312990 - Diamine Derivatives | 8 |
Chiseki Haginoya | JP | Tokyo | 2009-08-20 / 20090207527 - PERPENDICULAR MAGNETIC RECORDING MEDIUM, PERPENDICULAR MAGNETIC RECORDING DEVICE USING THE SAME, AND METHOD FOR MANUFACTURING PERPENDICULAR MAGNETIC RECORDING MEDIUM | 4 |
Noriyasu Haginoya | JP | Tokyo | 2011-12-22 / 20110312990 - Diamine Derivatives | 8 |
Rodney A. Hagins | US | Pasadena | 2010-05-27 / 20100129278 - Continuous Process for Producing Titanium Tetrachloride Using On-Line Monitoring of Vanadium Oxytrichloride (VolcL3) With Anti-Fouling Management | 1 |
Mitsuaki Hagio | JP | Kitakyushu | 2009-02-26 / 20090053029 - ALIGNER | 1 |
Yoshinori Hagio | JP | Tokyo | 2015-06-04 / 20150151768 - BOGIE FRAME FOR RAILWAY VEHICLES | 1 |
Yoshinori Hagio | JP | Kuwana | 2016-02-11 / 20160043037 - MARK, SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR WAFER | 1 |
Hiroyuki Hagio | JP | Ashigarakami-Gun | 2011-09-29 / 20110236603 - CELLULOSE ACYLATE FILM, RETARDER, POLARIZING PLATE, ANDLIQUID CRYSTAL DISPLAY DEVICES | 1 |
Kento Hagio | JP | Nagoya-Shi | 2012-05-10 / 20120114517 - Thermoelectric material formed of Mg2Si-based compound and production method therefor | 1 |
Minoru Hagio | JP | Fukuoka | 2015-09-17 / 20150262006 - MONITORING SYSTEM AND MONITORING METHOD | 1 |
Hirokazu Hagio | JP | Kawasaki-Shi | 2016-03-24 / 20160082009 - PHARMACEUTICAL PREPARATION COMPRISING PHENYLALANINE DERIVATIVE | 2 |
Hirofumi Hagio | JP | Handa-City | 2014-05-29 / 20140148066 - TERMINAL ARRANGEMENT DEVICE | 2 |
Yoshinori Hagio | JP | Mie | 2014-07-10 / 20140192335 - EUV EXPOSURE APPARATUS, EUV MASK, AND METHOD OF MEASURING DISTORTION | 2 |
Mitsuaki Hagio | JP | Kitakyushu-Shi | 2009-02-26 / 20090053019 - LOAD PORT AND LOAD PORT CONTROL METHOD | 1 |
Masahiro Hagio | JP | Shiga | 2009-04-23 / 20090104762 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Kenji Hagio | JP | Kanagawa | 2009-07-02 / 20090165446 - Control Method of Exhaust Gas Purification System and Exhaust Gas Purification System | 5 |
Koichi Hagio | JP | Osaka | 2009-07-09 / 20090173770 - Lead-free solder alloy and electoronic component using this lead-free solder alloy | 1 |
Toshitsugu Hagio | JP | Fukuoka | 2009-11-19 / 20090287985 - Apparatus and method for frame transmission | 1 |
Daisuke Hagio | JP | Kanagawa | 2010-04-22 / 20100096915 - RUBBER CRAWLER TRACK | 1 |
Kazuko Hagio | JP | Ebina-Shi | 2011-01-20 / 20110013242 - IMAGE READING APPARATUS, IMAGE FORMING APPARATUS, IMAGE INFORMATION CONVERSION METHOD AND COMPUTER READABLE MEDIUM | 2 |
Yumiko Hagio | JP | Tokyo | 2011-03-31 / 20110077149 - OXYGEN ABSORBER, OXYGEN ABSORBENT RESIN COMPOSITION, AND OXYGEN ABSORBENT FILM | 1 |
Yoshinori Hagio | JP | Mie-Ken | 2014-09-25 / 20140285652 - METHOD FOR MEASURING PATTERN MISALIGNMENT | 1 |
Takashi Hagio | JP | Amagasaki-Shi | 2015-10-08 / 20150284145 - Pouch container | 1 |
Hiroyuki Hagio | JP | Kanagawa | 2016-05-05 / 20160124123 - CELLULOSE ACYLATE FILM, POLARIZING PLATE, AND LIQUID CRYSTAL DISPLAY USING THE SAME | 3 |
Cornel Hagiopol | US | Lilburn | 2016-05-19 / 20160137795 - METHODS FOR MAKING COMPOSITE PRODUCTS CONTAINING LIGNOCELLULOSE SUBSTRATES | 28 |
Cornel Hagiopol | US | Lilburn | 2016-05-19 / 20160137795 - METHODS FOR MAKING COMPOSITE PRODUCTS CONTAINING LIGNOCELLULOSE SUBSTRATES | 28 |
Hiroatsu Hagiri | JP | Tokyo | 2015-08-20 / 20150230490 - OXIDIZED AND PARTIALLY HYDROGENATED OIL OR FAT | 3 |
Kosuke Hagisawa | JP | Tokyo | 2009-04-30 / 20090110643 - Method of Producing Liposomes Containing Gas Enclosed Therein | 1 |
Takehito Hagisawa | JP | Sendai-Shi | 2012-05-03 / 20120107228 - HYDROGEN GAS GENERATING MEMBER AND HYDROGEN GAS PRODUCING METHOD THEREFOR | 1 |
Hiroshi Hagisawa | JP | Nagaokakyo-Shi | 2014-09-11 / 20140253243 - POWER AMPLIFYING MODULE | 1 |
Kosuke Hagisawa | JP | Meguro-Ku, Tokyo | 2009-08-27 / 20090214629 - GENE TRANSFER METHOD | 1 |
Shoichi Hagisawa | JP | Ishikawa | 2013-12-05 / 20130326339 - DOCUMENT CREATION SYSTEM, DOCUMENT CREATION DEVICE, AND COMPUTER READABLE MEDIUM | 5 |
Hiroshi Hagisawa | JP | Kanagawa | 2013-06-27 / 20130163647 - WIRELESS COMMUNICATION DEVICE | 1 |
Daisuke Hagishima | JP | Tokyo | 2009-07-23 / 20090186474 - Nonvolatile semiconductor storage device and manufacturing method therefor | 2 |
Daisuke Hagishima | JP | Kanagawa-Ken | 2012-09-27 / 20120243336 - NONVOLATILE PROGRAMMABLE LOGIC SWITCH | 1 |
Daisuke Hagishima | JP | Yokohama-Shi | 2016-01-28 / 20160027512 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND OPERATION METHOD OF THE SAME | 1 |
Daisuke Hagishima | JP | Kawasaki-Shi | 2013-11-21 / 20130307054 - SEMICONDUCTOR INTEGRATED CIRCUIT | 6 |
Daisuke Hagishima | JP | Kanagawa | 2010-09-09 / 20100224927 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Dieter Hagist | DE | Lahnstein | 2012-08-02 / 20120192986 - Corrugated Pipe of a Fuel Line | 11 |
Yukio Hagita | JP | Hitachinaka | 2010-01-28 / 20100019148 - INSPECTION APPARATUS FOR CIRCUIT PATTERN | 1 |
Takayuki Hagita | JP | Kiyosu-Shi | 2015-11-05 / 20150319839 - DEVICE HAVING HEAT SINK | 1 |
Mariko Hagita | JP | Tokyo | 2009-12-03 / 20090296928 - Pseudorandom number generating system, encryption system, and decryption system | 1 |
Takayuki Hagita | JP | Tokyo | 2015-10-22 / 20150303762 - ELECTRIC COMPRESSOR AND METHOD FOR ASSEMBLING SAME | 7 |
Hirokuni Hagita | JP | Osaka | 2015-09-10 / 20150255108 - RECORDING AND REPRODUCING APPARATUS | 3 |
Masahiro Hagita | JP | Tokyo | / - | 1 |
Takushi Hagita | JP | Tokorozawa-Shi | 2014-01-16 / 20140016440 - RADIO-CONTROLLED WRISTWATCH | 4 |
Norihiro Hagita | JP | Kyoto | 2016-02-18 / 20160046025 - ROBOT SERVICE COOPERATION SYSTEM, PLATFORM AND METHOD | 2 |
Yasuharu Hagita | JP | Kanagawa | 2008-11-06 / 20080276027 - INTERRUPT CONTROL APPARATUS, BUS BRIDGE, BUS SWITCH, IMAGE PROCESSING APPARATUS, AND INTERRUPT CONTROL METHOD | 1 |
Hirohisa Hagita | JP | Shimada-Shi | 2015-11-12 / 20150323074 - PRESSURE VESSEL | 1 |
Hirohisa Hagita | JP | Shimada-Shi, Shizuoka | 2016-03-17 / 20160076569 - JOINING STRUCTURE | 1 |
Takayuki Hagita | JP | Aichi | 2011-03-17 / 20110062809 - ELECTRIC COMPRESSOR FOR CAR AIR CONDITIONING | 6 |
Yasuharu Hagita | JP | Tokyo | 2009-05-28 / 20090138647 - BUS SWITCH, ELECTRONIC EQUIPMENT, AND DATA TRANSFER METHOD | 1 |
Kentaroh Hagita | JP | Miyagi | 2016-03-31 / 20160094009 - LASER DEVICE, IGNITION SYSTEM, AND INTERNAL COMBUSTION ENGINE | 5 |
Kiyomi Hagita | JP | Shizuoka | 2009-04-30 / 20090107197 - Vertically Shaking Working Device | 1 |
Costin Hagiu | US | Sammamish | 2016-04-07 / 20160099913 - Internet Protocol (IP) Address Virtualization for Terminal Server Sessions | 14 |
Costin Hagiu | US | Sammamish | 2016-04-07 / 20160099913 - Internet Protocol (IP) Address Virtualization for Terminal Server Sessions | 14 |
Tadashi Hagiuda | JP | Yokohama-Shi | 2015-01-15 / 20150020150 - MANAGEMENT APPARATUS, CONTROL METHOD THEREOF, AND STORAGE MEDIUM | 8 |
Tadashi Hagiuda | JP | Yokohama-Shi | 2015-01-15 / 20150020150 - MANAGEMENT APPARATUS, CONTROL METHOD THEREOF, AND STORAGE MEDIUM | 8 |
Mitsuo Hagiuda | JP | Saitama-Shi | 2014-10-30 / 20140320528 - VEHICLE-USE DISPLAY CONTROL APPARATUS | 1 |
Shogo Hagiwara | JP | Tokyo | 2015-07-09 / 20150193177 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM STORING PROGRAM | 2 |
Naoto Hagiwara | JP | Gunma-Gun | 2008-08-28 / 20080206848 - NUCLEIC ACID ANALYSIS DEVICE BY OPTICAL DETECTION USING DISK | 1 |
Naoto Hagiwara | JP | Takasaki-Shi | 2015-08-06 / 20150221450 - ELECTROCHEMICAL DEVICE | 10 |
Mamoru Hagiwara | JP | Annaka-Shi | 2011-12-08 / 20110301247 - COSMETIC PRODUCT CONTAINING FILM-FORMING POLYMER | 5 |
Tomoya Hagiwara | JP | Takasaki-Shi | 2012-09-13 / 20120229953 - LEAD-FREE PIEZOELECTRIC PORCELAIN COMPOSITION, PIEZOELECTRIC CERAMIC COMPONENT FORMED USING THE COMPOSITION, AND PROCESS FOR PRODUCING PIEZOELECTRIC CERAMIC COMPONENT | 1 |
Kenichiro Hagiwara | JP | Yokohama-Shi | 2012-09-27 / 20120242876 - SOLID-STATE IMAGE SENSING DEVICE, CAMERA MODULE, AND SOLID-STATE IMAGE SENSING DEVICE MANUFACTURING METHOD | 9 |
Katsumori Hagiwara | JP | Kawasaki | 2013-02-28 / 20130054254 - ENCODING METHOD, ENCODING APPARATUS, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Junichiro Hagiwara | JP | Chiyoda-Ku | 2014-12-25 / 20140376435 - RADIO COMMUNICATION SYSTEM, USER EQUIPMENT, BASE STATION, SERVER DEVICE AND COMMUNICATION CONTROL METHOD | 8 |
Yuji Hagiwara | JP | Isumi-Shi | 2011-06-23 / 20110150736 - IONIC COMPOUND, METHOD FOR PRODUCING THE SAME, AND ION-CONDUCTIVE MATERIAL COMPRISING THE SAME | 1 |
Katsunobu Hagiwara | JP | Hyogo | 2012-01-19 / 20120014206 - CLOSED KNEADING MACHINE AND KNEADING ROTOR | 3 |
Kazuyoshi Hagiwara | JP | Ebina-Shi | 2009-05-14 / 20090123196 - IMAGE FORMING APPARATUS | 2 |
Masatoshi Hagiwara | JP | Chiba | 2013-03-28 / 20130079369 - METHODS FOR CONTROLLING SR PROTEIN PHOSPHORYLATION, AND ANTIVIRAL AGENTS WHOSE ACTIVE INGREDIENTS COMPRISE AGENTS THAT CONTROL SR PROTEIN ACTIVITY | 3 |
Akeo Hagiwara | JP | Kyoto | 2014-10-09 / 20140302606 - METHOD FOR PRODUCING AURICULAR CARTILAGE TISSUE, AND AURICULAR CARTILAGE TISSUE | 1 |
Kenji Hagiwara | US | Edgewater | 2015-08-06 / 20150220953 - SYSTEMS, APPARATUSES AND METHODS FOR PERFORMING ENTERPRISE ANALYSIS AND SITE ANALYSIS OF INFORMATION TECHNOLOGY COSTS | 8 |
Naoto Hagiwara | JP | Tokyo | 2014-04-17 / 20140104755 - ELECTROCHEMICAL CAPACITOR | 10 |
Genta Hagiwara | JP | Ikeda-Shi | 2010-03-18 / 20100067942 - Housing Structure and Image Forming Apparatus | 1 |
Tetsuya Hagiwara | JP | Kanagawa | 2014-05-15 / 20140133183 - LIGHT GUIDING MEMBER, LIGHT EMITTING DEVICE, STATIC ELIMINATING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Genta Hagiwara | JP | Ikeda City | 2011-03-17 / 20110062835 - IMAGE FORMING APPARATUS INCLUDING SUPPORTING LEGS | 2 |
Hiroyuki Hagiwara | KR | Changwon-City | 2013-06-06 / 20130141798 - ZOOM LENS SYSTEM AND PHOTOGRAPHING APPARATUS | 1 |
Hirokazu Hagiwara | JP | Anjo-Shi | 2013-06-27 / 20130165026 - SANDER | 1 |
Yoshiyuki Hagiwara | JP | Siga | 2013-09-12 / 20130236098 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 2 |
Koji Hagiwara | JP | Tsukuba | 2013-11-07 / 20130296352 - PYRAZOLOQUINOLINE DERIVATIVES | 2 |
Itaru Hagiwara | JP | Hino-Shi | 2010-04-29 / 20100101075 - SUBSTRATE TRANSPORT APPARATUS AND METHOD FOR MANUFACTURING MAGNETIC RECORDING MEDIUM | 1 |
Takuzo Hagiwara | JP | Tokyo | 2014-06-19 / 20140166335 - UNDERSEA CABLE, MULTILAYER TAPE FOR WATER SHIELDING LAYER OF UNDERSEA CABLE, AND METHOD FOR IMPROVING FATIGUE CHARACTERISTICS OF UNDERSEA CABLE | 4 |
Yasufumi Hagiwara | JP | Osaka | 2011-09-08 / 20110216967 - SPECIFIED COLOR AREA DEMARCATION CIRCUIT, DETECTION CIRCUIT, AND IMAGE PROCESSING APPARATUS USING SAME | 3 |
Hidesato Hagiwara | JP | Tokyo | 2013-09-12 / 20130235311 - COLOR FILTER SUBSTRATE AND FRINGE-FIELD SWITCHING MODE LIQUID CRYSTAL DISPLAY USING SAME | 12 |
Masayuki Hagiwara | JP | Kobe-Shi | 2012-09-13 / 20120232793 - NAVIGATION SYSTEM AND ON-VEHICLE DEVICE | 2 |
Yasuaki Hagiwara | JP | Utsunomiya-Shi | 2014-11-13 / 20140333821 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING ZOOM LENS | 12 |
Mikio Hagiwara | JP | Yamato-Shi | 2010-08-26 / 20100217968 - Apparatus, System, and Method for Accurate Automated Scheduling of Computer Suspend and Resume | 4 |
Hideki Hagiwara | JP | Toyota-Shi | 2014-03-13 / 20140072848 - LITHIUM-ION SECONDARY CELL AND METHOD FOR MANUFACTURING SAME | 3 |
Yoshihito Hagiwara | JP | Tokyo | 2014-05-22 / 20140138426 - WIRE BONDING APPARATUS AND BONDING METHOD | 1 |
Hiroyuki Hagiwara | JP | Nagano-Ken | 2014-04-17 / 20140104348 - Liquid Ejecting Apparatus | 1 |
Yusuke Hagiwara | JP | Kanagawa | 2012-11-22 / 20120295314 - METHOD FOR PRODUCING MONATIN | 1 |
Takeshi Hagiwara | US | Dublin | 2012-11-29 / 20120298434 - AUTOMOBILE OVER-BULKHEAD AIR INTAKE SYSTEM | 4 |
Isao Hagiwara | JP | Yokohama-Shi | 2015-02-19 / 20150048552 - POLYMER COMPOSITION AND METHOD FOR MANUFACTURING THE SAME | 2 |
Masayuki Hagiwara | JP | Kanagawa | 2015-02-12 / 20150046717 - SEMICONDUCTOR APPARATUS | 1 |
Shinsuke Hagiwara | JP | Yokohama-Shi | 2008-09-04 / 20080211283 - Vehicle seat assembly | 1 |
Masahiro Hagiwara | JP | Yokohama-Shi | 2009-12-03 / 20090296873 - METHOD AND APPARATUS FOR CONDENSATE DEMINERALIZATION | 2 |
Kazunari Hagiwara | JP | Yokohama-Shi | 2016-04-21 / 20160109824 - DEVELOPING DEVICE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 11 |
Kenichiro Hagiwara | JP | Yokohama-Shi | 2012-09-27 / 20120242876 - SOLID-STATE IMAGE SENSING DEVICE, CAMERA MODULE, AND SOLID-STATE IMAGE SENSING DEVICE MANUFACTURING METHOD | 9 |
Hideaki Hagiwara | US | La Jolla | 2010-03-04 / 20100056473 - METHOD OF EXTRACTING FUCOIDAN | 1 |
Yasuaki Hagiwara | US | Santa Clara | 2009-01-15 / 20090019261 - High-Performance, Superscalar-Based Computer System with Out-of-Order Instruction Execution | 1 |
Masahiro Hagiwara | JP | Kasukabe-Shi | 2010-08-19 / 20100206689 - PAPER MONEY PROCESSOR | 1 |
Shigemi Hagiwara | JP | Hadano-Shi | 2014-06-05 / 20140152184 - LIGHTING CONTROL CIRCUIT, ILLUMINATING LAMP USING THE LIGHTING CONTROL CIRCUIT, AND LIGHTING DEVICE USING THE ILLUMINATING LAMP | 1 |
Norio Hagiwara | JP | Tokyo | 2011-12-15 / 20110304387 - CURRENT MIRROR CIRCUIT | 1 |
Shigeru Hagiwara | JP | Saitama-Ken | 2008-10-02 / 20080239257 - Stage Apparatus and Exposure Apparatus | 1 |
Yasumasa Hagiwara | JP | Kariya | 2008-12-18 / 20080307790 - Steam Engine | 1 |
Toshio Hagiwara | JP | Tokyo | 2014-07-03 / 20140186539 - PAINT-COATING BRUSH AND COATING PROCESS | 1 |
Yasumasa Hagiwara | JP | Kariya-City | 2011-03-31 / 20110076406 - Thermally conductive, electrically insulating material and production method thereof | 4 |
Shigeru Hagiwara | JP | Kumagaya-Shi | 2010-02-11 / 20100033694 - Exposure method, exposure apparatus and device manufacturing method | 1 |
Takamitsu Hagiwara | JP | Nerima-Ku | 2010-09-16 / 20100234083 - STORAGE MEDIUM HAVING GAME PROGRAM STORED THEREIN AND GAME APPARATUS | 1 |
Takahisa Hagiwara | JP | Tama-Shi | 2010-12-23 / 20100321810 - DISK DRIVE CONFIGURED TO CALCULATE HEAD REBOUND DISTANCE | 1 |
Yuji Hagiwara | JP | Chiba | 2013-06-06 / 20130144071 - METHOD OF PRODUCING IODIZING AGENT, AND METHOD OF PRODUCING AROMATIC IODINE COMPOUND | 3 |
Hiroyuki Hagiwara | JP | Matsumoto | 2016-02-04 / 20160031210 - LIQUID EJECTING HEAD | 8 |
Naoki Hagiwara | JP | Tsuchiura-Shi | 2012-06-28 / 20120163949 - Hydraulic Drive Device for Hydraulic Working Machine | 4 |
Hiroki Hagiwara | JP | Kanagawa | 2015-05-07 / 20150123234 - SOLID-STATE IMAGE SENSING APPARATUS AND ELECTRONIC APPARATUS | 6 |
Shogo Hagiwara | JP | Itoigawa-City | 2013-11-07 / 20130295209 - TIRE BLADDER | 1 |
Yumi Hagiwara | JP | Nara | 2011-05-19 / 20110118260 - GLUCOCORTICOID RECEPTOR AGONIST COMPRISING 2,2,4-TRIMETHYL-6-PHENYL-1,2-DIHYDROQUINOLINE DERIVATIVES HAVING SUBSTITUTED OXY GROUP | 1 |
Shinsuke Hagiwara | JP | Ibaraki-Ken | 2010-01-21 / 20100014263 - LIQUID RESIN COMPOSITION FOR ELECTRONIC PART SEALING, AND ELECTRONIC PART APPARATUS UTILIZING THE SAME | 1 |
Shuji Hagiwara | JP | Saitama-Shi | 2010-04-15 / 20100090919 - ANTENNA | 1 |
Shuya Hagiwara | JP | Tokyo | 2015-01-22 / 20150022045 - Electrical Rotating Machine | 4 |
Takahiro Hagiwara | JP | Chiba-Shi | 2012-06-14 / 20120147400 - IMAGE PROCESS SYSTEM, IMAGE PROCESS METHOD AND IMAGE PROCESS PROGRAM | 5 |
Yasuji Hagiwara | JP | Niigata-Ken | 2008-10-30 / 20080266143 - INPUT DEVICE | 1 |
Takahiro Hagiwara | JP | Chiba-Ken | 2014-09-04 / 20140247468 - PRINTING DATA DELIVERY APPARATUS, PRINTING DATA DELIVERY SYSTEM, AND PRINTING APPARATUS | 11 |
Takashi Hagiwara | JP | Tochigi | 2009-12-17 / 20090308496 - Flux for lead-free solder and soldering Method | 1 |
Masahiro Hagiwara | JP | Akita-Shi | 2014-08-28 / 20140241974 - CARBON NANOFIBERS ENCAPSULATING METAL COBALT, AND PRODUCTION METHOD THEREFOR | 3 |
Yasuji Hagiwara | JP | Fukushima-Ken | 2009-05-21 / 20090128510 - INPUT DEVICE | 2 |
Tatsuki Hagiwara | JP | Hyogo | 2010-09-23 / 20100239837 - OPTICAL FILM, PROCESS FOR PRODUCING THE OPTICAL FILM, POLARIZING PLATE, AND DISPLAY DEVICE | 1 |
Rika Hagiwara | JP | Kyoto | 2011-11-24 / 20110286911 - HYDROGEN FLUORIDE PURIFICATION METHOD | 2 |
Ryoji Hagiwara | JP | Chiba-Shi | / - | 1 |
Takashi Hagiwara | JP | Osaka-Shi | 2011-04-14 / 20110086223 - FLUID AND METHOD FOR CLEANING ANTIFOULING COATING, ANTIFOULING COATING REPAIR METHOD, AND UNDERWATER STRUCTURE | 1 |
Takeshi Hagiwara | JP | Matsumoto | 2008-11-06 / 20080273150 - Liquid crystal display device, substrate assembly for liquid crystal display device, and electronic apparatus | 1 |
Takumi Hagiwara | JP | Edina-Shi | 2009-01-15 / 20090015621 - IMAGE FORMING APPARATUS AND DEFECTIVE NOZZLE DETECTION METHOD | 1 |
Rika Hagiwara | JP | Kyoto-Shi | 2016-05-05 / 20160126595 - MOLTEN SALT BATTERY | 7 |
Shogo Hagiwara | JP | Ichihara-City | 2013-04-18 / 20130095328 - LAMINATED BODY AND VULCANIZATE THEREFOR | 1 |
Mitsutoshi Hagiwara | JP | Anjo-Shi | 2008-09-11 / 20080217132 - Viscous Fluid Coupling Device | 1 |
Soichi Hagiwara | JP | Komae | 2016-03-10 / 20160073083 - IMAGE ENCODING METHOD AND IMAGE ENCODING APPARATUS | 2 |
Shuya Hagiwara | JP | Mito | 2011-07-28 / 20110184672 - INSULATION DIAGNOSTIC UNIT AND ALGORITHM FOR ELECTRIC MACHINE, AND EQUIPMENT INCLUDING THE DIAGNOSTIC UNIT | 3 |
Kazuyuki Hagiwara | JP | Tokyo | 2015-11-26 / 20150338737 - Method and System for Design of Enhanced Edge Slope Patterns for Charged Particle Beam Lithography | 16 |
Fumihiro Hagiwara | JP | Hyogo | 2013-09-05 / 20130231572 - BLOOD SAMPLING DEVICE | 1 |
Moeko Hagiwara | JP | Ichikawa-Shi | 2013-02-28 / 20130055109 - DISPLAY DATA GENERATION APPARATUS, DISPLAY CONTROL SYSTEM AND DISPLAY CONTROL PROGRAM | 3 |
Shuya Hagiwara | JP | Mito-Shi | 2011-10-06 / 20110241697 - INSULATION DIAGNOSIS METHOD, INSULATION DIAGNOSIS SYSTEM, AND ROTATING ELECTRIC MACHINE | 1 |
Masaya Hagiwara | JP | Yokohama-Shi | 2014-03-06 / 20140062630 - PERMANENT MAGNET AND METHOD FOR MANUFACTURING THE SAME, AND MOTOR AND POWER GENERATOR USING THE SAME | 8 |
Junichiro Hagiwara | JP | Chiyoda-Ku | 2014-12-25 / 20140376435 - RADIO COMMUNICATION SYSTEM, USER EQUIPMENT, BASE STATION, SERVER DEVICE AND COMMUNICATION CONTROL METHOD | 8 |
Naoto Hagiwara | JP | Tokyo | 2014-04-17 / 20140104755 - ELECTROCHEMICAL CAPACITOR | 10 |
Masayuki Hagiwara | JP | Makinohara-Shi | 2013-01-17 / 20130015941 - FUSE HOLDER, METHOD FOR CONNECTING FUSE BY USING THE SAME, AND FUSE-CONNECTING STRUCTURE EQUIPPED WITH THE SAMEAANM Nakamura; KazuakiAACI Makinohara-shiAACO JPAAGP Nakamura; Kazuaki Makinohara-shi JPAANM Hagiwara; MasayukiAACI Makinohara-shiAACO JPAAGP Hagiwara; Masayuki Makinohara-shi JPAANM Tomita; KeiAACI Makinohara-shiAACO JPAAGP Tomita; Kei Makinohara-shi JPAANM Asano; ToshihideAACI Makinohara-shiAACO JPAAGP Asano; Toshihide Makinohara-shi JP | 1 |
Miyuki Hagiwara | JP | Miura-Gun | 2009-03-26 / 20090081346 - Method for producing soybean powder and method for producing soybean milk | 1 |
Mikio Hagiwara | JP | Machida-Shi | 2015-12-31 / 20150378846 - METHOD, COMPUTER PROGRAM, AND COMPUTER FOR RESTORING SET OF VARIABLES | 2 |
Isao Hagiwara | JP | Nagoya-Shi | 2012-08-23 / 20120211296 - OCCUPANT DETECTION CORRECTION SYSTEM | 1 |
Toru Hagiwara | JP | Sapporo-Shi | 2014-11-20 / 20140343799 - DRIVING SUPPORT DEVICE AND DRIVING SUPPORT METHOD | 2 |
Yoshiyasu Hagiwara | JP | Kanagawa | 2015-01-22 / 20150023079 - POWER SUPPLY DEVICE | 2 |
Tomoharu Hagiwara | JP | Chiba-Shi | 2012-07-26 / 20120187221 - Liquid jet head, liquid jet apparatus, and method of manufacturing the liquid jet head | 1 |
Hiroyuki Hagiwara | JP | Kanagawa | 2013-06-06 / 20130141797 - ZOOM LENS AND IMAGING APPARATUS | 1 |
Yumi Hagiwara | JP | Ikoma-Shi | 2014-02-13 / 20140045842 - METHOD FOR TREATING AN INFLAMMATORY DISEASE BY ADMINISTERING A 1,2,3,4-TETRAHYDROQUINOXALINE COMPOUND CONTAINING A PHENYL GROUP HAVING A SULFONIC ACID ESTER STRUCTURE INTRODUCED THEREIN AS A SUBSTITUENT | 2 |
Toshihiro Hagiwara | JP | Ueda-Shi | 2013-09-19 / 20130246844 - CONTROLLER AND CONTROL METHOD FOR A CONTROLLER | 3 |
Tsuyoshi Hagiwara | JP | Kawasaki-Shi | 2009-02-05 / 20090032650 - DEVICE AND METHOD FOR FIXING A REACTOR METERING PIPE | 1 |
Takuya Hagiwara | JP | Ibaraki | 2011-04-21 / 20110091819 - METHOD FOR FORMING PATTERN | 3 |
Shinsuke Hagiwara | JP | Ibaraki | 2009-11-19 / 20090286930 - Liquid Resin Composition for Electronic Components and Electronic Component Device | 2 |
Kazuyoshi Hagiwara | JP | Kanagawa | 2016-02-11 / 20160041363 - LENS DRIVER | 13 |
Kazunari Hagiwara | JP | Yokohama-Shi | 2016-04-21 / 20160109824 - DEVELOPING DEVICE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 11 |
Tsuyoshi Hagiwara | JP | Kanagawa-Ken | 2012-02-02 / 20120027158 - JET PUMP AND METHOD FOR RESTRAINING VIBRATION OF THE SAME | 3 |
Tsuyoshi Hagiwara | JP | Ome-Shi | 2013-10-10 / 20130266288 - CAMERA APPARATUS WITH MAGNIFIED PLAYBACK FEATURES | 6 |
Yasuaki Hagiwara | JP | Utsunomiya-Shi | 2014-11-13 / 20140333821 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING ZOOM LENS | 12 |
Itsurou Hagiwara | JP | Shizuoka | 2009-11-05 / 20090272601 - EXHAUST DEVICE FOR STRADDLE-TYPE VEHICLE AND STRADDLE-TYPE VEHICLE | 2 |
Hiroshi Hagiwara | JP | Suntou-Gun | 2015-04-30 / 20150117887 - COLOR IMAGE FORMING APPARATUS | 9 |
Genta Hagiwara | JP | Ibaraki | 2011-12-08 / 20110298171 - Paper feeder and image forming apparatus | 4 |
Isao Hagiwara | JP | Ibaraki | 2009-01-15 / 20090018013 - Method for producing regeneration catalyst for working solution usable for hydrogen peroxide production | 2 |
Yoshio Hagiwara | JP | Annaka-Shi | 2010-05-27 / 20100129990 - SUSCEPTOR AND METHOD FOR MANUFACTURING SILICON EPITAXIAL WAFER | 1 |
Akiko Hagiwara | JP | Ibaraki | 2012-08-23 / 20120214740 - Medicinal Compositions Containing Highly Functionalized Chimeric Protein | 2 |
Yuji Hagiwara | JP | Kawagoe-Shi | 2015-07-16 / 20150198879 - Fluorine-Containing Sulfonic Acid Salt, Fluorine-Containing Sulfonic Acid Salt Resin, Resist Composition, and Pattern Forming Method Using Same | 7 |
Yoshiyuki Hagiwara | JP | Kofu-Shi | 2009-05-21 / 20090127729 - SPHERING APPARATUS AND OPERATING METHOD THEREOF | 1 |
Hiroyuki Hagiwara | JP | Matsumoto | 2016-02-04 / 20160031210 - LIQUID EJECTING HEAD | 8 |
Teruhiko Hagiwara | US | Houston | 2015-08-27 / 20150241592 - TRANSIENT ELECTROMAGNETIC TOOL MOUNTED ON REDUCED CONDUCTIVITY TUBULAR | 5 |
Yutaka Hagiwara | JP | Hamamatsu-Shi | 2010-05-06 / 20100112368 - WOOD MEMBER FOR MUSICAL INSTRUMENT AND METHOD OF MANUFACTURING THE SAME, AS WELL AS SOUNDBOARD MANUFACTURING SYSTEM AND METHOD | 1 |
Ryou Hagiwara | JP | Tokyo | 2012-04-05 / 20120082204 - TWO-WIRE TRANSMITTER | 1 |
Emi Hagiwara | JP | Yokohama-Shi | 2013-04-25 / 20130101931 - TONER AND METHOD FOR PRODUCING THE SAME, AND TWO-COMPONENT TYPE DEVELOPER | 6 |
Shinji Hagiwara | JP | Ashigarakami-Gun | 2014-10-16 / 20140309225 - NOVEL NICOTINAMIDE DERIVATIVE OR SALT THEREOF | 2 |
Naoto Hagiwara | JP | Takasaki-Shi | 2015-08-06 / 20150221450 - ELECTROCHEMICAL DEVICE | 10 |
Yui Hagiwara | JP | Osaka-Shi | 2012-11-15 / 20120286762 - Cellular Potential Measurement Container and Production Method Therefor | 3 |
Junichiro Hagiwara | JP | Yokohama-Shi | 2009-11-12 / 20090280856 - RADIO COMMUNICATION APPARATUS AND RADIO COMMUNICATION METHOD | 11 |
Isao Hagiwara | JP | Tsukuba-Shi | 2014-02-06 / 20140037710 - METHOD FOR PRODUCING PATCH, AND PATCH | 1 |
Hiroaki Hagiwara | JP | Tokyo | 2013-09-05 / 20130229740 - INPUT PROTECTION CIRCUIT | 1 |
Hirokazu Hagiwara | JP | Tokyo | 2014-01-23 / 20140020392 - GAS TURBINE | 1 |
Masashi Hagiwara | JP | Tokyo | 2014-02-06 / 20140035205 - POWDER RAPID PROTOTYPING APPARATUS AND POWDER RAPID PROTOTYPING METHOD | 1 |
Genta Hagiwara | JP | Osaka | 2012-12-13 / 20120315061 - IMAGE FORMING APPARATUS | 10 |
Masaya Hagiwara | JP | Yokohama-Shi | 2014-03-06 / 20140062630 - PERMANENT MAGNET AND METHOD FOR MANUFACTURING THE SAME, AND MOTOR AND POWER GENERATOR USING THE SAME | 8 |
Suguru Hagiwara | JP | Tokyo | 2013-09-12 / 20130235423 - INFORMATION PROCESSING SYSTEM | 1 |
Masami Hagiwara | JP | Tokyo | 2014-04-10 / 20140099872 - VENTILATION SYSTEM, VENTILATION METHOD, VENTILATION CONTROL DEVICE, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 2 |
Hisashi Hagiwara | JP | Kanagawa | 2014-03-20 / 20140079605 - FLOW PASSAGE CONTROL MECHANISM FOR MICROCHIP | 22 |
Genta Hagiwara | JP | Ohsaka-Fu | 2011-07-28 / 20110182614 - Interlock System And Image Forming Apparatus Incorporating Interlock System | 1 |
Takumi Hagiwara | JP | Aichi | 2010-01-14 / 20100007689 - CARRIAGE AND IMAGE FORMING DEVICE INCLUDING CARRIAGE | 11 |
Mitsuo Hagiwara | JP | Kanagawa | 2011-10-20 / 20110255386 - OPTICAL DISC DEVICE | 1 |
Shinichi Hagiwara | JP | Yokohama-Shi | 2012-09-20 / 20120236196 - FOREIGN SUBSTANCE REMOVAL APPARATUS AND OPTICAL APPARATUS INCLUDING THE SAME | 1 |
Satoshi Hagiwara | JP | Yokohama-Shi | 2015-08-20 / 20150235077 - IMAGE DETERMINING DEVICE TO DETERMINE THE STATE OF A SUBJECT | 4 |
Seiichi Hagiwara | JP | Gunma | 2015-05-07 / 20150122074 - STEEERING COLUMN | 2 |
Kesami Hagiwara | JP | Tokyo | 2008-09-11 / 20080222336 - DATA PROCESSING SYSTEM | 1 |
Kiyoshi Hagiwara | JP | Tokyo | 2015-11-05 / 20150316660 - DEPOSITION SUBSTRATE AND SCINTILLATOR PANEL | 4 |
Tsuneyuki Hagiwara | JP | Tokyo | 2014-08-28 / 20140240685 - EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE | 3 |
Hiroyuki Hagiwara | JP | Matsumoto-Shi | 2016-04-28 / 20160114582 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 21 |
Takashi Hagiwara | JP | Tokyo | 2015-06-11 / 20150158128 - FLUX COMPOSITION, LIQUID FLUX, RESIN FLUX CORED SOLDER, AND SOLDER PASTE | 4 |
Katsuyuki Hagiwara | JP | Tokyo | 2015-03-12 / 20150070734 - IMAGE PROCESSING APPARATUS, METHOD THEREFOR, AND IMAGE READING APPARATUS | 6 |
Toshiyuki Hagiwara | JP | Tokyo | 2010-06-24 / 20100156757 - SCREEN SYNCHRONOUS CONTROL APPARATUS | 4 |
Takaaki Hagiwara | JP | Hitachinaka | 2015-07-30 / 20150212102 - IMMUNOLOGICAL ANALYZING APPARATUS | 2 |
Isao Hagiwara | JP | Kiryu-Shi | 2012-10-04 / 20120247270 - STARTER | 1 |
Yousuke Hagiwara | JP | Tokyo | 2010-10-14 / 20100259316 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Hiroko Hagiwara | JP | Tokyo | 2015-06-11 / 20150157264 - OPTICAL SENSOR | 6 |
Hidesato Hagiwara | JP | Tokyo | 2013-09-12 / 20130235311 - COLOR FILTER SUBSTRATE AND FRINGE-FIELD SWITCHING MODE LIQUID CRYSTAL DISPLAY USING SAME | 12 |
Natsuki Hagiwara | JP | Kanagawa | 2014-09-18 / 20140267957 - DISPLAY DEVICE EXHIBITING EXCELLENT MAINTAINABILITY | 2 |
Genta Hagiwara | JP | Tokyo | 2008-11-27 / 20080292356 - IMAGE FORMING APPARATUS AND PROCESS UNIT | 1 |
Mitsuo Hagiwara | JP | Tokyo | 2014-09-25 / 20140286148 - OPTICAL DISC DEVICE | 2 |
Junichiro Hagiwara | JP | Kanagawa | 2011-04-07 / 20110080884 - COORDINATED TRANSMISSION METHOD, COORDINATED TRANSMISSION SYSTEM, CENTRAL STATION AND RADIO BASE STATION | 1 |
Masaaki Hagiwara | JP | Kanagawa | 2011-03-24 / 20110071790 - UNMOLDABILITY DETERMINATION APPARATUS, COMPUTER READABLE MEDIUM, AND UNMOLDABILITY DETERMINATION METHOD | 3 |
Takaaki Hagiwara | JP | Tokyo | 2015-10-15 / 20150293135 - AUTOMATIC ANALYSIS DEVICE | 2 |
Shu Hagiwara | JP | Tokyo | 2009-10-22 / 20090262756 - COMMUNICATION APPARATUS | 3 |
Mikio Hagiwara | JP | Tokyo | 2016-01-28 / 20160026810 - METHOD FOR PROTECTING DATA STORED WITHIN A DISK DRIVE OF A PORTABLE COMPUTER | 4 |
Kenichiro Hagiwara | JP | Kanagawa | 2010-12-23 / 20100321544 - SEMICONDUCTOR DEVICE, CAMERA MODULE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Kazuyoshi Hagiwara | JP | Kanagawa | 2016-02-11 / 20160041363 - LENS DRIVER | 13 |
Kota Hagiwara | JP | Shimonoseki-Shi | 2009-06-18 / 20090152447 - Photo Detector and Photo Detection Apparatus Provided with Photo Detector | 1 |
Tsuneo Hagiwara | JP | Kanagawa | 2010-06-17 / 20100152314 - RESIN COMPOSITION FOR STEREOLITHOGRAPHY | 3 |
Masafumi Hagiwara | JP | Kanagawa | 2008-11-06 / 20080275315 - Pigmentary Deposition Portion Remote Diagnosis System | 2 |
Atsushi Hagiwara | JP | Kanagawa | 2009-08-27 / 20090214238 - Image forming apparatus, image forming method, and image forming program product | 1 |
Takehiro Hagiwara | JP | Tokyo | 2015-04-23 / 20150113016 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 12 |
Tsuyoshi Hagiwara | JP | Tokyo | 2011-08-04 / 20110187844 - IMAGE IRRADIATION SYSTEM AND IMAGE IRRADIATION METHOD | 3 |
Kazuhiro Hagiwara | JP | Saitama-Ken | 2011-04-28 / 20110096705 - MULTIBAND HIGH-FREQUENCY CIRCUIT, MULTIBAND HIGH-FREQUENCY CIRCUIT DEVICE AND MULTIBAND COMMUNICATIONS APPARATUS COMPRISING SAME | 6 |
Kazuhiro Hagiwara | JP | Kumagaya-Shi | 2010-06-24 / 20100157860 - HIGH-FREQUENCY CIRCUIT DEVICE, AND COMMUNICATIONS APPARATUS COMPRISING SAME | 3 |
Masato Hagiwara | JP | Shinagawa-Ku | 2015-10-29 / 20150309991 - INPUT SUPPORT DEVICE, INPUT SUPPORT METHOD, AND INPUT SUPPORT PROGRAM | 5 |
Kazuhiro Hagiwara | JP | Saitama | 2010-04-15 / 20100091752 - HIGH FREQUENCY CIRCUIT, HIGH FREQUENCY COMPONENT AND COMMUNICATION DEVICE | 1 |
Shuya Hagiwara | JP | Ibaraki | 2012-02-16 / 20120038230 - ROTATING ELECTRIC MACHINE AND PRODUCTION METHOD FOR ROTATING ELECTRIC MACHINE | 1 |
Akiko Hagiwara | JP | Tokyo | 2010-07-15 / 20100178359 - FUNGICIDE FOR AGRICULTURAL AND HORTICULTURAL USE CONTAINING INORGANIC COPPER COMPOUND AS ACTIVE INGREDIENT | 1 |
Kosuke Hagiwara | JP | Tokyo | 2010-08-05 / 20100195996 - VIBRATION-PROOF LENS UNIT AND IMAGE CAPTURING APPARATUS | 2 |
Masaru Hagiwara | JP | Tokyo | 2010-08-12 / 20100201548 - PARALLEL DATA OUTPUT CONTROL CIRCUIT AND SEMICONDUCTOR DEVICE | 2 |
Munemoto Hagiwara | JP | Chigasaki-Shi | 2013-08-08 / 20130199572 - FILM-FORMING APPARATUS, AND METHOD FOR MAINTAINING FILM-FORMING APPARATUS | 1 |
Takuya Hagiwara | JP | Tokyo | 2016-03-03 / 20160064403 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 4 |
Kazuaki Hagiwara | JP | Tokyo | 2016-03-24 / 20160088210 - PHOTOGRAPHING CONTROL APPARATUS THAT CONTROLS SYNCHRONOUS PHOTOGRAPHING BY PLURALITY OF IMAGE CAPTURE APPARATUS | 3 |
Nobuko Hagiwara | JP | Tokyo | 2010-12-02 / 20100301498 - GAS/LIQUID MIXING DEVICE | 2 |
Jun Hagiwara | JP | Tokyo | 2015-08-20 / 20150235750 - R-T-B BASED SINTERED MAGNET | 10 |
Takeshi Hagiwara | JP | Tokyo | 2011-01-27 / 20110017328 - MIXING FAUCET | 2 |
Hiroki Hagiwara | JP | Tokyo | 2015-07-30 / 20150214629 - ANTENNA | 13 |
Aritaka Hagiwara | JP | Kanagawa | 2015-01-08 / 20150009414 - IMAGE OUTPUT APPARATUS, IMAGE OUTPUT SYSTEM, AND IMAGE OUTPUT CONTROL PROGRAM | 9 |
Nobuyuki Hagiwara | JP | Kanagawa | 2009-02-19 / 20090045670 - DRIVING-WHEEL SUPPORTING HUB UNIT AND ITS MANUFACTURING METHOD | 1 |
Nobuki Hagiwara | JP | Kanagawa | 2009-01-22 / 20090021779 - Print management apparatus, print apparatus, print system, computer readable medium and computer data signal | 1 |
Kenji Hagiwara | JP | Kanagawa | 2015-06-04 / 20150156258 - DEVICE MANAGEMENT DEVICE AND RECORDING MEDIUM | 5 |
Shigeru Hagiwara | JP | Kanagawa | 2010-05-06 / 20100110218 - IMAGING DEVICE, IMAGING METHOD AND PROGRAM | 3 |
Takuro Hagiwara | JP | Kanagawa | 2015-05-07 / 20150125183 - CHARGING MEMBER, CHARGING DEVICE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 5 |
Hirohito Hagiwara | JP | Komatsu-Shi | 2012-08-09 / 20120199371 - ENGINE SPEED CONTROL DEVICE AND MOTOR GRADER INCLUDING THE SAME | 1 |
Norihisa Hagiwara | JP | Hachioji | 2008-09-11 / 20080219577 - Encoding device and image recording device | 1 |
Hideki Hagiwara | JP | Aichi | 2012-02-09 / 20120032644 - BATTERY SYSTEM, VEHICLE, AND BATTERY-MOUNTING DEVICE | 1 |
Yoshiyasu Hagiwara | JP | Yamato-Shi | 2015-10-01 / 20150279543 - COIL MOUNTING STRUCTURE | 2 |
Yusuke Hagiwara | JP | Kawasaki-Shi | 2013-01-03 / 20130005000 - MUTANT rpsA GENE AND METHOD FOR PRODUCING L-AMINO ACID | 2 |
Kazuyuki Hagiwara | JP | Tokyo | 2015-11-26 / 20150338737 - Method and System for Design of Enhanced Edge Slope Patterns for Charged Particle Beam Lithography | 16 |
Ryouichi Hagiwara | JP | Osaka | / - | 1 |
Masahiro Hagiwara | JP | Tokyo | 2011-03-03 / 20110049797 - SHEET STACKING APPARATUS | 1 |
Jouji Hagiwara | JP | Ageo-Shi | 2015-03-26 / 20150082776 - METHOD FOR IMPROVING DURABILITY OF EXHAUST PIPE, AND EXHAUST GAS PURIFICATION APPARATUS | 1 |
Yuichi Hagiwara | JP | Tokyo | 2015-09-17 / 20150261159 - IMAGE FORMING APPARATUS, CONTROL METHOD OF IMAGE FORMING APPARATUS, AND STORAGE MEDIUM | 7 |
Shinji Hagiwara | JP | Tokyo | 2011-01-20 / 20110014411 - PRESSURE-SENSITIVE ADHESIVE SHEET AND PRESSURE-SENSITIVE ADHESIVE SHEET WITH RELEASE SHEET USING THE SAME | 1 |
Takehiro Hagiwara | JP | Tokyo | 2015-04-23 / 20150113016 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 12 |
Yuko Hagiwara | JP | Kanagawa | 2015-12-17 / 20150359241 - AGENT FOR IMPARTING HYDROGENATED OIL FLAVOR | 1 |
Toshiki Hagiwara | JP | Tokyo | 2008-09-11 / 20080220333 - Lithium Ion Conductive Material Utilizing Bacterial Cellulose Organogel, Lithium Ion Battery Utilizing the Same and Bacterial Cellulose Aerogel | 1 |
Kenichi Hagiwara | JP | Tokyo | 2008-09-18 / 20080227203 - CELL CULTURE SUPPORT AND MANUFACTURE THEREOF | 1 |
Tadashi Hagiwara | JP | Tokyo | 2008-11-13 / 20080277072 - Tire Assembling System and Tire Assembling Method | 1 |
Hiroshi Hagiwara | JP | Tokyo | 2015-09-17 / 20150264062 - VIRUS INTRUSION ROUTE IDENTIFICATION DEVICE, VIRUS INTRUSION ROUTE IDENTIFICATION METHOD, AND PROGRAM | 7 |
Daisuke Hagiwara | JP | Saitama | 2015-06-11 / 20150160324 - FAULT DETECTING APPARATUS AND COMPUTER PROGRAM THEREFOR | 2 |
Manabu Hagiwara | JP | Chiyoda-Ku | 2013-01-31 / 20130026240 - TWO-DIMENSIONAL CODE, CODE GENERATION SYSTEM, PROGRAM, AND PRINTED MEDIUM | 1 |
Shogo Hagiwara | JP | Chiba | 2010-12-23 / 20100323141 - ACRYLIC RUBBER | 1 |
Hisashi Hagiwara | JP | Tokyo | 2015-10-22 / 20150298127 - FLUIDIC CHIP AND WASTE LIQUID PROCESSING METHOD FOR SAME | 4 |
Koichi Hagiwara | JP | Iruma-Gun | 2013-09-26 / 20130252241 - METHOD OF DISTINGUISHING INFLAMMATORY PATHOGEN CAUSING ACUTE RESPIRATORY INFECTION | 2 |
Yousuke Hagiwara | JP | Kawasaki-Shi | 2013-09-26 / 20130252559 - DA CONVERTER AND WIRELESS COMMUNICATION APPARATUS | 1 |
Hiroaki Hagiwara | JP | Tsukuba | 2011-05-12 / 20110112138 - MULTI-CYCLIC CINNAMIDE DERIVATIVES | 11 |
Junichi Hagiwara | JP | Tsuchiura-Shi | 2014-05-22 / 20140137428 - HEAT TREATMENT APPARATUS AND METHOD OF OBTAINING TONER | 6 |
Hisahiro Hagiwara | JP | Niigata | 2012-09-13 / 20120232310 - PALLADIUM CATALYST AND PROCESS FOR PRODUCTION OF BISARYL COMPOUND USING SAME | 1 |
Ryoichi Hagiwara | JP | Osaka-Shi | 2014-01-16 / 20140013785 - COGENERATION SYSTEM | 1 |
Kesami Hagiwara | JP | Kanagawa | 2015-02-12 / 20150046759 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 5 |
Hideki Hagiwara | JP | Tokyo | 2013-09-26 / 20130252225 - STUDY SYSTEM AND DISPLAY METHOD | 1 |
Motomitsu Hagiwara | JP | Takasaki-Shi | 2011-01-27 / 20110020581 - OPTICAL INFORMATION RECORDING MEDIUM AND MANUFACTURING METHOD THEREFOR | 1 |
Kizuki Hagiwara | JP | Tochigi | 2011-01-20 / 20110011356 - Fan Drive Controlling Device and Construction Machine | 1 |
Aritaka Hagiwara | JP | Kanagawa | 2015-01-08 / 20150009414 - IMAGE OUTPUT APPARATUS, IMAGE OUTPUT SYSTEM, AND IMAGE OUTPUT CONTROL PROGRAM | 9 |
Noboru Hagiwara | JP | Tsuchiura | 2011-12-22 / 20110311836 - ROLLED COPPER FOIL | 4 |
Masaya Hagiwara | JP | Kanagawa-Ken | 2014-05-22 / 20140139305 - PERMANENT MAGNET, AND MOTOR AND POWER GENERATOR USING THE SAME | 6 |
Hiroshi Hagiwara | JP | Kanagawa | 2015-04-09 / 20150100577 - IMAGE PROCESSING APPARATUS AND METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Kesami Hagiwara | JP | Koganei | 2013-09-05 / 20130232383 - Multi-core Microcontroller Having Comparator For Checking Processing Results | 3 |
Kenji Hagiwara | JP | Annaka-Shi | 2010-10-28 / 20100273927 - SILICONE RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR DEVICES AND AN OPTICAL SEMICONDUCTOR DEVICE | 2 |
Nobuhito Hagiwara | JP | Tokyo | 2015-04-09 / 20150099827 - UNSATURATED POLYESTER RESIN COMPOSITION, MOLDED ARTICLE THEREOF, AND LAMP REFLECTOR | 1 |
Shigeru Hagiwara | JP | Shimada-Shi | 2013-04-25 / 20130099912 - VEHICULAR DISPLAY UNIT | 1 |
Kazunari Hagiwara | JP | Numazu-Shi | 2011-02-03 / 20110026978 - DEVELOPING APPARATUS | 3 |
Masaya Hagiwara | JP | Yokohama | 2016-03-24 / 20160086702 - PERMANENT MAGNET, MOTOR, AND GENERATOR | 9 |
Nobuko Hagiwara | JP | Chuo-Ku | 2010-07-29 / 20100186680 - LIVESTOCK STERILIZING METHOD, LIVESTOCK STERILIZING APPARATUS, AND LIVESTOCK OR LIVESTOCK MEAT | 1 |
Kazunari Hagiwara | JP | Shizuoka | 2009-06-18 / 20090157339 - ELECTRODE MATERIAL FOR LITHIUM SECONDARY BATTERY, ELECTRODE STRUCTURE AND LITHIUM SECONDARY BATTERY | 1 |
Norihisa Hagiwara | JP | Hachioji-Shi | 2013-01-10 / 20130013249 - STATE DETECTING DEVICE, ELECTRONIC APPARATUS, PROGRAM AND STATE DETECTING METHOD | 1 |
Yasuhisa Hagiwara | JP | Tochigi | 2013-02-14 / 20130040075 - ADHESIVE COMPOSITION FOR POLARIZING PLATES, POLARIZING PLATE WITH ADHESIVE USING SAME, AND LIQUID CRYSTAL DISPLAY DEVICE USING SAME | 1 |
Kazuhiko Hagiwara | JP | Nagoya-City | 2009-07-16 / 20090182497 - Navigation system, in-vehicle navigation apparatus and center apparatus | 2 |
Katsuhiko Hagiwara | JP | Yamato | 2012-11-22 / 20120293886 - TAPE STORAGE DEVICE, DATA WRITING METHOD, AND PROGRAM | 2 |
Yukie Hagiwara | JP | Matsumoto | 2010-07-22 / 20100185608 - INFORMATION RETRIEVAL DEVICE, INFORMATION RETRIEVAL SYSTEM, INFORMATION RETRIEVAL PROGRAM AND INFORMATION RETRIEVAL METHOD | 1 |
Hiroshi Hagiwara | JP | Suntou-Gun | 2015-04-30 / 20150117887 - COLOR IMAGE FORMING APPARATUS | 9 |
Isao Hagiwara | JP | Chiba | 2009-07-02 / 20090169469 - Process for Hydrogen Peroxide Production Including Step for Regeneration of Working Solution | 1 |
Yasuyo Hagiwara | JP | Yokohama-Shi | 2013-01-03 / 20130004499 - ANTIBODY AGAINST SEROTYPE E LIPOPOLYSACCHARIDE OF PSEUDOMONAS AERUGINOSA | 1 |
Mayumi Hagiwara | JP | Atsugi-Shi | 2013-05-30 / 20130135716 - OPTICAL MEMBER AND MICROSCOPE | 1 |
Yuji Hagiwara | JP | Saitama | 2012-03-29 / 20120077126 - Fluorine-Containing Compound, Fluorine-Containing Polymer Compound, Resist Composition, Top Coat Composition And Pattern Formation Method | 10 |
Takahiro Hagiwara | JP | Chiba-Ken | 2014-09-04 / 20140247468 - PRINTING DATA DELIVERY APPARATUS, PRINTING DATA DELIVERY SYSTEM, AND PRINTING APPARATUS | 11 |
Takuya Hagiwara | JP | Kanagawa | 2015-07-09 / 20150194340 - METHOD OF MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 3 |
Michihiro Hagiwara | JP | Osaka | 2009-11-26 / 20090288754 - LAYERED FILM FABRICATION METHOD, LAYERED FILM DEFECT DETECTION METHOD, LAYERED FILM DEFECT DETECTION DEVICE, LAYERED FILM, AND IMAGE DISPLAY DEVICE | 1 |
Atsushi Hagiwara | JP | Gunma-Ken | 2013-01-03 / 20130000336 - COOLING BOX | 2 |
Yui Hagiwara | JP | Osaka | 2015-03-12 / 20150073356 - CONNECTION DEVICE AND BLOOD COMPONENT SEPARATION APPARATUS | 1 |
Yusuke Hagiwara | JP | Tokyo | 2011-05-26 / 20110122596 - Electromagnetic wave shielding material, and method for manufacturing same | 1 |
Hiroyuki Hagiwara | JP | Matsumoto-Shi | 2016-04-28 / 20160114582 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 21 |
Hiroyuki Hagiwara | JP | Suwa-Shi | 2010-07-29 / 20100188461 - LIQUID EJECTING HEAD UNIT AND LIQUID EJECTING APPARATUS | 4 |
Maki Hagiwara | JP | Saitama | 2011-05-26 / 20110122301 - IMAGING DEVICE AND IMAGING METHOD | 1 |
Akira Hagiwara | JP | Hino-City | 2010-12-09 / 20100310040 - METHOD OF CT PERFUSION IMAGING AND APPARATUS FOR IMPLEMENTING SAME | 1 |
Akira Hagiwara | JP | Tokyo | 2016-05-19 / 20160139548 - HEATER CONTROL UNIT AND IMAGE FORMING APPARATUS | 15 |
Hiroyuki Hagiwara | JP | Isesaki-Shi | 2009-07-02 / 20090167581 - High-Precision Multi-Band Pass Delta-Sigma Modulator | 1 |
Hirokazu Hagiwara | JP | Anjo | 2012-02-09 / 20120034856 - DUST BOX AND ELECTRIC TOOL WITH THE DUST BOX | 3 |
Akito Hagiwara | JP | Osaka | 2009-04-02 / 20090088497 - PAVING MATERIAL AND METHOD FOR CONSTRUCTION OF PAVED BODY USING THE SAME | 1 |
Tatsuya Hagiwara | JP | Miyagi | 2009-04-02 / 20090086068 - SOLID-STATE IMAGE PICK-UP DEVICE AND IMAGE PICK-UP APPARATUS | 1 |
Hirokazu Hagiwara | JP | Aichi | 2009-10-01 / 20090246018 - BEARING SUPPORT STRUCTURE AND GAS TURBINE | 1 |
Hiroaki Hagiwara | JP | Fukui | 2011-04-28 / 20110097956 - Fabric for Airbag | 5 |
Hiroaki Hagiwara | JP | Tsukuba-Shi | 2011-01-13 / 20110009619 - POLYCYCLIC COMPOUND | 1 |
Shinya Hagiwara | JP | Tokyo | 2014-09-25 / 20140288298 - METHOD FOR MANUFACTURING MONOSACCHARIDES, OLIGOSACCHARIDES, AND FURFURALS FROM BIOMASS | 1 |
Nobuyuki Hagiwara | JP | Gunma | 2014-03-20 / 20140080611 - CROSS UNIVERSAL JOINT AND MANUFACTURING METHOD THEREOF | 1 |
Soichi Hagiwara | JP | Kawasaki | 2010-04-22 / 20100097502 - IMAGE PROCESSING APPARATUS, IMAGE CAPTURING APPARATUS, AND IMAGE DISTORTION CORRECTION METHOD | 1 |
Shinichi Hagiwara | JP | Tokyo | 2014-09-25 / 20140289841 - AUTHENTICATION PROCESSING DEVICE FOR PERFORMING AUTHENTICATION PROCESSING | 1 |
Hiroki Hagiwara | JP | Tokyo | 2015-07-30 / 20150214629 - ANTENNA | 13 |
Hideki Hagiwara | JP | Hamamatsu-Shi | 2014-12-25 / 20140376748 - AUDIO SIGNAL PROCESSING SYSTEM | 6 |
Hideki Hagiwara | JP | Hamamatsu | 2011-03-31 / 20110075864 - DIGITAL MIXER | 1 |
Shizuo Hagiwara | JP | Higashimurayama-Shi | 2016-02-11 / 20160038943 - RECEPTACLE CONTAINING TEST REAGENT | 1 |
Atsushi Hagiwara | JP | Kawasaki-Shi | 2010-07-29 / 20100189452 - Apparatus and method of controlling light level of a light source, and recording medium storing program of controlling light level of a light source | 1 |
Atsushi Hagiwara | JP | Osaka | 2010-06-24 / 20100158996 - ESTER COMPOUND AND MEDICAL USE THEREOF | 2 |
Yuji Hagiwara | JP | Saitama | 2012-03-29 / 20120077126 - Fluorine-Containing Compound, Fluorine-Containing Polymer Compound, Resist Composition, Top Coat Composition And Pattern Formation Method | 10 |
Akira Hagiwara | JP | Tokyo | 2016-05-19 / 20160139548 - HEATER CONTROL UNIT AND IMAGE FORMING APPARATUS | 15 |
Shinji Hagiwara | JP | Saitama | 2010-04-15 / 20100092730 - PRESSURE-SENSITIVE ADHESIVE SHEET AND PROCESS FOR PRODUCING THE SAME | 1 |
Koichi Hagiwara | JP | Saitama | 2016-01-07 / 20160002734 - METHOD FOR EVALUATING SIDE-EFFECT ONSET RISK IN ANTICANCER DRUG TREATMENT, INCLUDING DETECTING MUC4 GENE POLYMORPHISM | 3 |
Shuji Hagiwara | JP | Saitama | 2009-05-14 / 20090121957 - ANTENNA | 1 |
Masatoshi Hagiwara | JP | Kyoto | 2015-08-13 / 20150225421 - COMPOUND AND PHARMACEUTICAL COMPOSITION FOR NEUROPSYCHOLOGICAL DISORDER OR MALIGNANT TUMOR | 4 |
Koutarou Hagiwara | JP | Oyama-Shi | 2016-02-11 / 20160040916 - CONDENSER | 1 |
Makoto Hagiwara | JP | Tokyo | 2014-04-17 / 20140103887 - POWER CONVERTER AND METHOD FOR CONTROLLING SAME | 1 |
Tsuyoshi Hagiwara | JP | Fukushima-Ken | 2012-03-29 / 20120075708 - Display Apparatus, Display Method and Vehicle | 1 |
Hiroshi Hagiwara | JP | Kawasaki-Shi | 2013-08-15 / 20130207999 - IMAGE DISPLAY DEVICE, IMAGE LIST DISPLAY METHOD | 1 |
Hiroyuki Hagiwara | JP | Yokohama | 2015-08-20 / 20150234152 - PHOTOGRAPHING LENS AND ELECTRONIC DEVICE | 6 |
Keitaro Hagiwara | JP | Chuo-Ku | 2013-01-24 / 20130023045 - INDUCED HEPATIC STEM CELL AND PROCESS FOR PRODUCTION THEREOF, AND APPLICATIONS OF THE CELL | 1 |
Shigemi Hagiwara | JP | Kanagawa | 2014-03-06 / 20140062320 - LIGHTING CONTROL CIRCUIT, LAMP, AND LIGHTING CONTROL METHOD USING THE LIGHTING CONTROL CIRCUIT | 1 |
Miyuki Hagiwara | JP | Toyohashi-Shi | / - | 1 |
Naoto Hagiwara | JP | Gunma | 2014-02-27 / 20140057158 - ELECTROCHEMICAL DEVICE | 5 |
Yutaka Hagiwara | JP | Annaka-Shi | 2012-11-01 / 20120277371 - METHOD FOR PRODUCING SILICONE RUBBER COMPOUND AND SILICONE RUBBER COMPOSITION | 2 |
Mamoru Hagiwara | JP | Gunma | 2012-05-10 / 20120116028 - METHOD FOR PRODUCING POLYCARBONATE MATERIAL HAVING EXCELLENT SOLUBILITY AND AFFINITY, AND CONTACT LENS MATERIAL COMPRISING THE SAME | 3 |
Masahiro Hagiwara | JP | Kurihara-Shi | 2012-10-11 / 20120258297 - TIN-DOPED INDIUM OXIDE FINE PARTICLE DISPERSION , METHOD FOR MANUFACTURING THE SAME, INTERLAYER FILM FOR LAMINATED GLASS WITH HEAT RAY BLOCKING PROPERTIES FORMED BY USING SAID DISPERSION, AND LAMINATED GLASS THEREWITH | 1 |
Katsumasa Hagiwara | JP | Isesaki-Shi | 2014-09-18 / 20140265749 - ELECTRONIC CONTROL DEVICE | 3 |
Wataru Hagiwara | JP | Gunma | 2016-02-11 / 20160039450 - STEERING DEVICE | 4 |
Tetsuya Hagiwara | JP | Gunma | 2013-02-28 / 20130053547 - AGONIST ANTIBODY TO HUMAN THROMBOPOIETIN RECEPTOR | 2 |
Takuro Hagiwara | JP | Ebina-Shi | 2011-03-24 / 20110069982 - CHARGING DEVICE AND IMAGE FORMING APPARATUS USING THE SAME | 1 |
Satoshi Hagiwara | JP | Fukushima | 2009-06-11 / 20090149040 - COAXIALLY CONNECTED STRUCTURE FOR OPPOSED WIRING SUBSTRATES AND DEVICE HAVING THE SAME | 2 |
Yusuke Hagiwara | JP | Fujieda-Shi | 2010-12-02 / 20100302659 - FOLDING OUTER MIRROR | 2 |
Motomitsu Hagiwara | JP | Gunma | 2009-05-07 / 20090116369 - OPTICAL INFORMATION RECORDING MEDIUM | 5 |
Mamoru Hagiwara | US | Stow | 2014-11-06 / 20140328779 - COSMETIC PRODUCT CONTAINING FILM-FORMING POLYMER | 2 |
Junichiro Hagiwara | JP | Tokyo | 2015-11-05 / 20150319795 - RADIO COMMUNICATION SYSTEM AND CONTROL METHOD | 4 |
Yasuhito Hagiwara | JP | Gunma | 2008-10-09 / 20080248254 - METHOD OF MANUFACTURING OPTICAL INFORMATION RECORDING MEDIUM AND THE OPTICAL INFORMATION RECORDING MEDIUM | 1 |
Jun Hagiwara | JP | Tokyo | 2015-08-20 / 20150235750 - R-T-B BASED SINTERED MAGNET | 10 |
Masayuki Hagiya | JP | Tokyo | 2012-09-20 / 20120236468 - ELECTRIC DOUBLE-LAYER CAPACITOR, AND CURRENT COLLECTOR FOR ELECTRIC DOUBLE-LAYER CAPACITOR | 2 |
Howard Hagiya | JP | Hitachi-Ohta | 2011-06-23 / 20110146049 - Grooved-End Rubber Expansion Joint | 2 |
Akio Hagiya | JP | Nagaokakyo-Shi | 2013-07-18 / 20130182460 - MAGNETITE-CONTAINING RESIN AND ELECTRONIC COMPONENT | 1 |
Shinobu Hagiya | JP | Fujisawa-Shi | 2015-12-03 / 20150348577 - Reducing Ion Migration In A Hard Disk Drive Microactuator Flexure Assembly | 3 |
Howard Hagiya | JP | Ibaragi | 2015-12-03 / 20150345679 - GROOVED-ENDED RESILIENT EXPANSION JOINT FOR RESILIENTLY JOINING TOGETHER A PAIR OF GROOVED-ENDED PIPES | 3 |
Toshimichi Hagiya | JP | Chiba | 2011-09-08 / 20110216215 - IMAGE PICKUP APPARATUS AND RANGE DETERMINATION SYSTEM | 3 |
Akio Hagiya | JP | Shiga-Ken | 2010-08-12 / 20100201471 - INDUCTANCE ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Masako Hagiya | JP | Kakuda-Shi | 2009-05-07 / 20090114186 - BYPASS-INTAKE-FLOW CONTROL APPARATUS | 1 |
Koji Hagiya | JP | Ibaraki-Shi | 2013-06-06 / 20130143279 - PROCESS FOR PRODUCING METHIONINE | 14 |
Kazutake Hagiya | JP | Hyogo | 2010-02-25 / 20100048881 - AZODICARBOXYLIC ACID BIS(2-ALKOXYETHYL) ESTER COMPOUND, AND PRODUCTION INTERMEDIATE THEREOF | 1 |
Koshu Hagiya | JP | Hitachi | 2011-11-17 / 20110278994 - ROTOR OF ROTATING ELECTRICAL MACHINE | 1 |
Koji Hagiya | JP | Osaka-Shi | 2014-08-21 / 20140235867 - METHOD FOR PRODUCING ALPHA-HYDROXY KETONE COMPOUND | 6 |
Shinobu Hagiya | JP | Kanagawa | 2011-04-28 / 20110096438 - HEAD-GIMBAL ASSEMBLY WITH TRACE CONFIGURED TO REDUCE STRESS ON A MICROACTUATOR AND DISK DRIVE INCLUDING THE HEAD-GIMBAL ASSEMBLY | 4 |
Hiroshi Hagiya | JP | Tsukuba-Shi | 2014-09-25 / 20140288034 - COMPOUND CAPABLE OF BINDING S1P RECEPTOR AND PHARMACEUTICAL USE THEREOF | 2 |
Michio Hagiya | JP | Hyogo | 2015-07-30 / 20150210981 - MEDIUM, FOR CULTURING CORNEAL ENDOTHELIAL CELLS, CONTAINING CONDITIONED MEDIUM FROM MESENCHYMAL STEM CELLS | 1 |
Toshimichi Hagiya | JP | Tokyo | 2012-08-23 / 20120212605 - DEFECT INSPECTION APPARATUS AND DEFECT INSPECTION METHOD | 1 |
Isao Hagiya | JP | Ibaraki | 2011-03-31 / 20110073603 - SAMPLE LOW-TEMPERATURE STORAGE CASE AND ORGANISM TRANSPORTATION SUPPORTING SYSTEM | 1 |
Koji Hagiya | JP | Ibaraki-Shi | 2013-06-06 / 20130143279 - PROCESS FOR PRODUCING METHIONINE | 14 |
Masako Hagiya | JP | Shioya-Gun | 2014-09-18 / 20140261307 - ENGINE INTAKE CONTROL APPARATUS | 1 |
Kazutake Hagiya | JP | Takasago-Shi | 2011-02-03 / 20110028701 - AZODICARBOXYLIC ACID BIS(2-ALKOXYETHYL) ESTER COMPOUND, AND PRODUCTION INTERMEDIATE THEREOF | 1 |
Taro Hagiya | JP | Nerima | 2010-09-23 / 20100241897 - VARIABLE-LENGTH DATA STORING METHOD AND VARIABLE-LENGTH DATA STORING DEVICE | 3 |
Norifumi Hagiya | JP | Yokohama-Shi | 2014-04-17 / 20140106415 - METHOD FOR PRODUCING ACRYLAMIDE AQUEOUS SOLUTION | 4 |
Koji Hagiya | JP | Osaka | 2013-03-21 / 20130072713 - METHOD FOR PRODUCING METHIONINE | 18 |
Noriyuki Hagiyama | JP | Shizuoka | 2011-06-09 / 20110135369 - FEEDING APPARATUS, ELECTRONIC APPARATUS INCLUDING FEEDING APPARATUS, AND FEEDING METHOD | 1 |
Takeshi Hagiyama | JP | Ehime-Ken | 2014-10-02 / 20140292035 - Cabin for Work Vehicles | 2 |
Kousuke Hagiyama | JP | Yokohama-Shi, Kanagawa | 2016-03-03 / 20160064715 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 4 |
Toshiyuki Hagiyama | JP | Kiyosu-Shi | 2015-01-15 / 20150017725 - PRODUCTION METHOD OF POLYURETHANE POROUS MEMBRANE TO BE USED FOR AT LEAST ONE OF APPLICATIONS OF CELL CULTURE AND CANCER CELL GROWTH INHIBITION | 1 |
Kousuke Hagiyama | JP | Yokohama-Shi | 2016-02-11 / 20160043402 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Kosuke Hagiyama | JP | Yokohama-Shi | 2016-02-25 / 20160056470 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 3 |
Kosuke Hagiyama | JP | Yokohama-Shi, Kanagawa | 2016-03-03 / 20160064737 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Toshiyuki Hagiyama | JP | Aichi-Ken | 2010-04-01 / 20100080968 - Decorative sheet and decorative molded article | 1 |
Noriyuki Hagiyama | JP | Kakegawa-Shi | 2010-10-07 / 20100252988 - AUTOMATIC DOCUMENT CONVEYANCE DEVICE AND IMAGE READING APPARATUS USING THE SAME | 1 |
Christian Hagl | DE | MÜnchen | 2016-05-12 / 20160128898 - DEVICE FOR A CONTROLLED HEART-LUNG RESUSCITATION IN THE EVENT OF A CARDIAC ARREST | 1 |
Erich Hagl | AT | Wien | 2010-06-03 / 20100135369 - INTERACTION BETWEEN AN INPUT DEVICE AND A TERMINAL DEVICE | 1 |
Peter Hagl | AT | Wien | 2015-05-07 / 20150126827 - COVERING CAP AND MEASURING DEVICE | 7 |
Paul Hagl | DE | Augsburg | 2015-10-29 / 20150308360 - Method For Determining A Cylinder Pressure-Crankshaft Position Assignment For An Internal Combustion Engine | 1 |
Reinhold Hagl | US | Simpsonville | 2013-09-26 / 20130249195 - MOLDING IN AIRBAG DOOR FEATURES IN A VEHICLE INTERIOR PANEL USING A MOVABLE MOLD MEMBER | 1 |
Bernhard Hagl | DE | Haag A.d. Amper | 2016-02-25 / 20160052760 - METHOD FOR CONTROLLING A COMBINED ROTARY/PUSH MOVEMENT | 1 |
Andreas Hagl | DE | Dachau | 2016-05-05 / 20160127116 - ELECTRONIC DEVICE, METHOD AND SYSTEM FOR HALF DUPLEX DATA TRANSMISSION | 7 |
David E. Haglan | US | Chandler | 2010-07-29 / 20100188237 - Reporting a Faulty Charging Device | 1 |
David E. Haglan | US | Tempe | 2013-03-21 / 20130073777 - Switching System which Allows Primary USB Connection in Response to USB Signaling | 2 |
David Haglan | US | Chandler | 2008-12-25 / 20080320202 - Physical Device (PHY) Support Of The USB2.0 Link Power Management Addendum Using A ULPI PHY Interface Standard | 1 |
Christoph Hagleitner | SZ | Zurich | 2012-08-09 / 20120204000 - ADDRESS TRANSLATION FOR USE IN A PATTERN MATCHING ACCELERATOR | 2 |
Helmut Hagleitner | US | Zebulon | 2016-04-21 / 20160111503 - SEMICONDUCTOR DEVICE WITH IMPROVED FIELD PLATE | 25 |
Gunther Hagleitner | US | San Jose | 2010-03-04 / 20100057569 - Advertising System for Internet Discussion Forums | 1 |
Christoph Hagleitner | CH | Wallisellen | 2015-10-15 / 20150293882 - CONJUGATE GRADIENT SOLVERS FOR LINEAR SYSTEMS | 6 |
Avery W. Hagleitner | US | San Jose | 2014-03-13 / 20140074769 - TUPLE REDUCTION FOR HIERARCHIES OF A DIMENSION | 1 |
Christoph Hagleitner | CH | Rueschlikon | 2014-04-10 / 20140097870 - NANO-ELECTRO-MECHANICAL-SWITCH ADIABATIC DYNAMIC LOGIC CIRCUITS | 8 |
Christoph Hagleitner | CH | Zug | 2009-03-05 / 20090060091 - LOW-POWER, LOW-AREA HIGH-SPEED RECEIVER ARCHITECTURE | 1 |
Christoph Hagleitner | CH | Zurich | 2013-10-03 / 20130262493 - Determination and Handling of Subexpression Overlaps in Regular Expression Decompositions | 15 |
Hans Georg Hagleitner | AT | Zell Am See | 2014-12-11 / 20140361117 - DISPENSING SYSTEM, REFILL FOR A DISPENSER, AND SUPPORT BAR FOR A DISPENSER ROLL | 27 |
Christoph Hagleitner | CH | Rueschlikon | 2014-04-10 / 20140097870 - NANO-ELECTRO-MECHANICAL-SWITCH ADIABATIC DYNAMIC LOGIC CIRCUITS | 8 |
Helmut Hagleitner | US | Zebulon | 2016-04-21 / 20160111503 - SEMICONDUCTOR DEVICE WITH IMPROVED FIELD PLATE | 25 |
Christoph Hagleitner | CH | Zurich | 2013-10-03 / 20130262493 - Determination and Handling of Subexpression Overlaps in Regular Expression Decompositions | 15 |
Christopher Hagler | US | Monroe | 2010-07-15 / 20100175201 - ADVANCED MOISTURE MANAGEMENT LAUNDRY ADDITIVE FOR PROVIDING SOFT HAND, MOISTURE TRANSPORT AND ANTISTATIC PROTECTION FOR POLYESTER, POLYESTER/SPANDEX POLYESTER/COTTON AND COTTON FABRICS | 1 |
Thomas W. Hagler | US | Grass Valley | 2008-09-11 / 20080218752 - Chemometric Analyzer with a Spatial Radiation Modulator Having a Plurality of Radiation Filters | 1 |
Thomas Hagler | DE | Muenchen | 2010-09-23 / 20100236259 - Operating Method for a Cryo-Compressed Tank | 1 |
Josef Hagler | AT | Leonding | 2013-11-28 / 20130316192 - METHOD FOR SURFACE TREATMENT OF A PROTECTIVELY COATED SUBSTRATE | 2 |
Charles Hagler | US | Spring | 2010-08-26 / 20100217443 - Self-Contained Valve Actuator For Quarter Turn Valve System | 2 |
Ty Hagler | US | Durham | 2011-10-27 / 20110262261 - Device & Method for Moving a Load From a First Height to a Second Height | 2 |
Michael Hagler | IL | Tel-Aviv | 2010-07-08 / 20100174180 - Imaging System Customization Using Data From Radiopharmaceutical-Associated Data Carrier | 1 |
John Tyler Willis Hagler | US | Durham | 2015-02-19 / 20150051520 - MOBILITY AID AND REHABILITATION DEVICE AND RELATED COMPENETS | 2 |
Christian Hagler | DE | Nabburg | 2013-11-14 / 20130299609 - Method For Improving The Dimensional Accuracy Of A Fuel Injector Component, And Fuel Injector Component | 1 |
Crescia Hagler | US | St. Paul | 2015-02-26 / 20150052681 - Toileting Sling System and Method for Incontinence Protection | 1 |
Donald J. Hagler, Jr. | US | Chula Vista | 2010-01-07 / 20100004527 - Identifying White Matter Fiber Tracts Using Magnetic Resonance Imaging (MRI) | 1 |
Lydia Hagley | GB | Northumberland | 2014-09-25 / 20140287204 - Flexible Laminate Film | 1 |
Jakob Haglmüller | AT | Vienna | 2012-11-15 / 20120289412 - COMPLEXITY REDUCTION METHOD | 1 |
Philip David Haglof | US | Sagamore Beach | 2012-03-08 / 20120055651 - Baseboard Heater Radiator Cover | 1 |
Carla M. Haglund | US | Pine Island | 2008-11-20 / 20080286875 - RYR2 MUTATIONS | 1 |
Jan Haglund | SE | Borlange | 2008-12-04 / 20080296150 - Device and a Method for Metal Plating | 1 |
Arild Haglund | NO | Grimstad | 2010-09-16 / 20100231471 - Antenna for Use Close to a Semi-Conducting Material | 1 |
Joakim Haglund | SE | Linkoping | 2009-01-01 / 20090002171 - Device and method for capacitive reading of a code | 1 |
Bjorn Haglund | SE | Uppsala | 2015-07-16 / 20150198568 - CHROMATOGRAPHY COLUMN FRAME AND METHOD OF CONDUCTING MAINTENANCE ON AND PACKING OF A CHROMATOGRAPHY COLUMN | 1 |
Sven Haglund | SE | Nybro | 2015-01-29 / 20150028547 - METHODS AND DEVICES FOR CUTTING COMPOSITE MATERIAL AND SEALING DEVICES MADE OF COMPOSITE MATERIAL | 1 |
Lennart Haglund | SE | Vargarda | 2009-01-01 / 20090001786 - Recliner Mechanism | 1 |
Leif Haglund | SE | Rimforsa | 2011-01-27 / 20110019904 - METHOD FOR DISPLAYING A VIRTUAL IMAGE | 1 |
Cathy Haglund | US | Cincinnati | 2014-09-11 / 20140251384 - Filter Cleaning System and Method | 2 |
Lisbet Haglund | CA | Montréal | 2016-03-31 / 20160091500 - CHONDROADHERIN FRAGMENTS AS INDICATORS OF INTERVERTEBRAL DISC DEGENERATION | 1 |
Leif Haglund | SE | Brokind | 2015-12-17 / 20150363972 - A METHOD AND ARRANGEMENT FOR PROVIDING A 3D MODEL | 16 |
Richard Forsberg Haglund | US | Brentwood | 2011-08-25 / 20110205542 - Optical Sensors Including Surface Modified Phase-Change Materials for Detection of Chemical, Biological and Explosive Compounds | 1 |
Jan Haglund | SE | Karlskoga | 2011-05-19 / 20110114390 - Sleeve arrangement | 3 |
Claes Ingemar Haglund | SE | Odakra | 2009-01-29 / 20090028348 - Headphone | 1 |
Jason J. Haglund | US | Middleboro | 2014-09-11 / 20140251265 - Engine Crankshaft Torque Sensor | 1 |
Leif Haglund | SE | Brokind | 2015-12-17 / 20150363972 - A METHOD AND ARRANGEMENT FOR PROVIDING A 3D MODEL | 16 |
Eric Haglund | US | Clarkston | 2009-02-12 / 20090042014 - Compressible molded component | 1 |
Dean Haglund | US | Los Angeles | 2008-10-16 / 20080253075 - Method of dissipating heat for notebook computer and device thereof | 1 |
Richard F. Haglund, Jr. | US | Brentwood | 2010-02-18 / 20100038658 - Polymer light-emitting diode and fabrication of same by resonant infrared laser vapor deposition | 2 |
Kathleen Haglund Wendelschafer | US | San Tan Valley | 2012-11-22 / 20120296342 - ELECTRIC HAND-HELD GROOMING TOOL | 1 |
Christopher L. Hagman | US | Tampa | 2015-12-31 / 20150375074 - SYSTEM AND METHOD FOR RETRACTABLE TENNIS COURT SHADE DEVICE | 1 |
Per Hagman | SE | Alingsas | 2011-01-13 / 20110010029 - Method for Simplifying Torque Distribution in Multiple Drive Systems | 6 |
Brandon L. Hagman | US | Decatur | 2014-07-31 / 20140209047 - Engine Compensation for Fan Power | 1 |
Theresa Lynn Hagman | US | Moorpark | 2011-06-02 / 20110131121 - Refinancing Program for an Underwater Loan | 1 |
Conny Hagman | SE | Malmö | 2009-12-17 / 20090311333 - FEED OR FOOD PRODUCT COMPOSITION | 1 |
Marie Hagman | US | Seattle | 2009-08-13 / 20090204701 - NODE MONITOR CLIENT CACHE SYNCHRONIZATION FOR MOBILE DEVICE MANAGEMENT | 2 |
Paul N. Hagman | US | Mount Vernon | 2015-08-06 / 20150222986 - Waterproof Speaker System | 1 |
Thomas J. Hagman | US | Seattle | 2014-10-16 / 20140305592 - APPARATUS FOR LAMINATING COMPOSITES | 8 |
Conny Hagman | SE | Malmö | 2014-05-29 / 20140147488 - FEED OR FOOD PRODUCT COMPOSITION | 2 |
Norm Hagman | CA | Winnipeg | 2012-05-03 / 20120104742 - GAME TICKET | 1 |
Thomas J. Hagman | US | Seattle | 2014-10-16 / 20140305592 - APPARATUS FOR LAMINATING COMPOSITES | 8 |
Per Hagman | DE | Alingsas | 2010-02-18 / 20100042276 - METHOD FOR MONITORING MULTI-MOTOR DRIVE | 1 |
Bjorn Hagman | SE | Surte | 2008-09-25 / 20080229791 - Lock Mechanism for a Dispenser, Roll of Material and End Plug Therefor, and Method for Inserting a Roll of Material Into Such Lock Mechanism | 1 |
Darren W. Hagman | CA | Vancouver | 2010-06-10 / 20100145817 - METHOD AND APPARATUS FOR ORDERING GOODS, SERVICES AND CONTENT OVER AN INTERNETWORK | 4 |
Paul N. Hagman | US | Mount Vermon | 2013-06-20 / 20130156243 - SPEAKER SYSTEM METHOD AND APPARATUS | 1 |
William K. Hagmann | US | Westfield | 2016-01-07 / 20160002255 - ANTIDIABETIC BICYCLIC COMPOUNDS | 10 |
Bernd Hagmann | DE | Bad Ueberkingen | 2012-03-08 / 20120058685 - PLUG-IN UNIT | 2 |
Mark J. Hagmann | US | Salt Lake City | 2015-09-03 / 20150247809 - Scanning Frequency Comb Microscopy (SFCM) For Carrier Profiling in Semiconductors | 2 |
Peter Hagmann | DE | Erlenbach Am Main | 2014-07-17 / 20140199425 - METHOD OF SEPARATING EXCESS LENS FORMING MATERIAL FROM A MOLDED OPHTHALMIC LENS, IN PARTICULAR A CONTACT LENS | 16 |
Marie-Luise Hagmann | DE | Penzberg | 2015-07-09 / 20150192587 - USE OF PROTEIN S100A12 AS A MARKER FOR COLORECTAL CANCER | 10 |
Marie_luise Hagmann | DE | Penzberg | 2009-10-22 / 20090263841 - USE OF NNMT AS A MARKER FOR LUNG CANCER | 1 |
Ute Hagmann | DE | Schwaigern | 2014-10-02 / 20140295735 - Brassiere | 1 |
Joerg Hagmann | DE | Bad Bentheim | 2016-04-28 / 20160115942 - SYSTEM AND METHOD FOR MONITORING AND CONTROLLING WIND TURBINES WITHIN A WIND FARM | 1 |
Peter Hagmann | DE | Erlenbach Am Main | 2014-07-17 / 20140199425 - METHOD OF SEPARATING EXCESS LENS FORMING MATERIAL FROM A MOLDED OPHTHALMIC LENS, IN PARTICULAR A CONTACT LENS | 16 |
Adam Hagmann | US | Pleasanton | 2015-03-05 / 20150066020 - ABLATION METHOD | 4 |
Juerg Hagmann | CH | Sax | 2016-05-19 / 20160141157 - TARGET FOR THE REACTIVE SPUTTER DEPOSITION OF ELECTRICALLY INSULATING LAYERS | 10 |
Mark Hagmann | US | West Valley | 2011-10-06 / 20110245900 - DEEP HEATING HYPERTHERMIA USING PHASED ARRAYS AND PATIENT POSITIONING | 2 |
Mark Hagmann | US | Salt Lake City | 2016-01-21 / 20160015993 - Apparatus and Method for Creating Small Focus Deep Hyperthermia In Tissue | 6 |
Bernd Hagmann | DE | Geislingen | 2009-01-08 / 20090011660 - PLUG-AND-SOCKET CONNECTOR WITH SCREW-TYPE CONNECTION | 1 |
William K. Hagmann | US | Westfield | 2016-01-07 / 20160002255 - ANTIDIABETIC BICYCLIC COMPOUNDS | 10 |
Todd Hagmann | US | Tacoma | 2016-03-24 / 20160085004 - DISAPPEARING IMAGE SCREEN PROTECTOR | 1 |
Mark J. Hagmann | US | West Valley City | 2011-03-31 / 20110074293 - SYMMETRIC FIELD EMISSION DEVICES USING DISTRIBUTED CAPACITIVE BALLASTING WITH MULTIPLE EMITTERS TO OBTAIN LARGE EMITTED CURRENTS AT HIGH FREQUENCIES | 2 |
Mark J. Hagmann | US | West Valley | 2013-08-15 / 20130212751 - GENERATION OF A FREQUENCY COMB AND APPLICATIONS THEREOF | 1 |
Marie-Luise Hagmann | DE | Penzberg | 2015-07-09 / 20150192587 - USE OF PROTEIN S100A12 AS A MARKER FOR COLORECTAL CANCER | 10 |
Adam Hagmann | US | Livermore | 2012-06-28 / 20120165813 - RADIO FREQUENCY ABLATION DEVICE FOR THE DESTRUCTION OF TISSUE MASSES | 1 |
Peter Hagmann | DE | Waldburg | 2016-04-07 / 20160097939 - SILICONE HYDROGEL LENSES WITH NANO-TEXTURED SURFACES | 3 |
Adam Hagmann | US | Brentwood | 2015-12-17 / 20150361644 - FOOT ACTUATED FLUID CONTROL VALVE | 2 |
Christian Hagmann | US | Foster City | 2011-10-06 / 20110246408 - Rules Engine for Enterprise System | 1 |
Juerg Hagmann | CH | Sax | 2016-05-19 / 20160141157 - TARGET FOR THE REACTIVE SPUTTER DEPOSITION OF ELECTRICALLY INSULATING LAYERS | 10 |
Franz-Josef Hagmanns | DE | Backnang | 2010-06-03 / 20100134333 - Apparatus for Monitoring Non-Linear Distortions of Radio Signals and a Method Therefor | 1 |
Shawn Hagmeier | US | St. Peters | 2015-02-12 / 20150046331 - MOBILE P2P - CROSS BORDER PAYMENTS | 8 |
Shawn Eric Hagmeier | US | St. Peters | 2015-03-19 / 20150081549 - METHODS AND SYSTEMS FOR SCREENING ELECTRONIC MONEY TRANSFER TRANSACTIONS | 1 |
Joachim Hagmeier | DE | Stuttgart | 2009-11-26 / 20090293064 - SYNCHRONIZING SHARED RESOURCES IN AN ORDER PROCESSING ENVIRONMENT USING A SYNCHRONIZATION COMPONENT | 1 |
Shawn Hagmeier | US | St. Peters | 2015-02-12 / 20150046331 - MOBILE P2P - CROSS BORDER PAYMENTS | 8 |
Britta Hagmeyer | DE | Tuebingen | 2015-07-23 / 20150204763 - SYSTEM FOR ANALYZING BIOLOGICAL SAMPLE MATERIAL | 3 |
Claudia Hagn | DE | Rosengarten | 2009-01-08 / 20090007392 - TEXTILE, PARTICULARLY HOUSEHOLD, HOME OR FURNISHING FABRICS, ITEM OF CLOTHING OR ACCESSORY, PIECE OF FURNITURE AND FURNISHING | 1 |
Ulrich Hagn | DE | Pahl | 2010-09-16 / 20100234996 - Manipulator, Particularly Industrial Robot, Having A Redundant Sensor Arrangement, And Method For The Control Thereof | 1 |
Ulrich Hagn | DE | Muenchen | 2016-04-21 / 20160106407 - HOLDING DEVICE FOR A SURGICAL INSTRUMENT | 2 |
Peter Hagn | DE | Finsing | 2013-07-25 / 20130190040 - Front End Module and Method of Operation in Different Circuit Environments | 3 |
Ulrich Hagn | DE | Munich | 2015-10-01 / 20150272685 - ROBOT SYSTEM | 1 |
Ulrich Hagn | DE | Paehl | 2013-05-09 / 20130116707 - ROBOT STRUCTURE | 2 |
Ulrich Hagn | DE | Munchen | 2015-06-04 / 20150150636 - MINIMALLY INVASIVE INSTRUMENT FOR ROBOTIC SURGERY | 2 |
Marcus Hagn | DE | Muenchen | 2014-05-22 / 20140141844 - MOBILE TERMINAL | 1 |
Dave G. Hagner | US | Beverly Hills | 2013-05-09 / 20130111900 - METHOD FOR DETERMINING AND COMPENSATING ENGINE BLOW-THROUGH AIR | 2 |
Thorsten Hagner | DE | Ilvesheim | 2012-02-09 / 20120032594 - CONTROL SYSTEM FOR VEHICLE HEADLIGHTS | 2 |
Todd Ralph Hagner | US | Neenah | 2012-02-23 / 20120043245 - PACKAGE OF FOLDED DISPOSABLE ABSORBENT PANTS | 2 |
Adolf Hagner | DE | Gersthofen | 2013-08-15 / 20130206033 - Alkali-Activated Aluminosilicate Binder Containing Glass Beads | 1 |
Niko Hagner | FI | Pori | 2011-07-28 / 20110180400 - METHOD OF PROVIDING ELECTRIC CURRENT TAKER FOR SUPPORT BAR, AND SUPPORT BAR | 1 |
Christoph Hagner | DE | Korntal Muenchingen | 2014-07-03 / 20140183060 - METHOD FOR DIAGNOSING AN ELECTRICAL LEAD TO AN ELECTRODE OF A SENSOR ELEMENT FOR SENSING AT LEAST ONE PROPERTY OF A MEASURED GAS IN A MEASURED GAS SPACE | 1 |
Patrick R. Hagner | US | Somerville | 2015-12-31 / 20150374707 - Methods and Compounds for the Inhibition of Cellular Proliferation | 1 |
David G. Hagner | US | Beverly Hills | 2015-12-31 / 20150377165 - ADAPTIVE CAM ANGLE ERROR ESTIMATION | 2 |
Asa Hagner-Mcwhirter | SE | Uppsala | 2014-05-22 / 20140141453 - CELL BINDING ASSAY | 5 |
Susan C. Hagness | US | Madison | 2015-09-10 / 20150250540 - MICROWAVE ABLATION ANTENNA SYSTEM | 1 |
Matthew P. Hagny | US | Bel Aire | 2015-07-16 / 20150195987 - SEED TUBE GUARD WITH INTEGRAL FLUID CHANNEL FOR AGRICULTURAL PLANTERS | 4 |
Wilson Hago | US | Camarillo | 2016-04-14 / 20160101990 - SYSTEM AND METHOD FOR PURIFYING PROCESS WATER | 8 |
Wilson Hago | US | Camarillo | 2016-04-14 / 20160101990 - SYSTEM AND METHOD FOR PURIFYING PROCESS WATER | 8 |
Moustapha Hagog | IL | Haifa | 2014-12-11 / 20140365747 - SYSTEMS, APPARATUSES, AND METHODS FOR PERFORMING A HORIZONTAL PARTIAL SUM IN RESPONSE TO A SINGLE INSTRUCTION | 1 |
Mostafa Hagog | IL | Kaukab | 2015-03-19 / 20150077422 - PARALLEL FLOOD-FILL TECHNIQUES AND ARCHITECTURE | 9 |
Mostafa Hagog | US | Folsom | 2015-01-22 / 20150026440 - APPARATUS AND METHOD FOR PERFORMING A PERMUTE OPERATION | 2 |
Mostafa Hagog | IL | Kaukab | 2015-03-19 / 20150077422 - PARALLEL FLOOD-FILL TECHNIQUES AND ARCHITECTURE | 9 |
David W. Hagood | US | Ft. Worth | 2008-11-06 / 20080272131 - Insulated Container Having a Temperature Monitoring Device | 1 |
Nesbitt Hagood | US | Gloucester | 2014-09-18 / 20140268275 - DISPLAY APPARATUS INCORPORATING AN INTERCONNECT-SUPPORTING ELEVATED APERTURE LAYER | 2 |
David Ditlow Hagood | US | Viola | 2016-05-19 / 20160142166 - Using Modulation-Transcendent RF Sampled Digital Data Over an IP Connection | 1 |
Nesbitt W. Hagood | US | Gloucester | 2013-12-26 / 20130342522 - CIRCUITS FOR CONTROLLING DISPLAY APPARATUS | 1 |
Donald Terry Hagood | US | Hickory | 2015-01-29 / 20150030823 - DIGITAL PRINTED DUCT TAPE | 1 |
Nesbitt W. Hagood | US | Andover | 2011-06-23 / 20110148948 - CIRCUITS FOR CONTROLLING DISPLAY APPARATUS | 1 |
Andrea Gail Hagood | US | Kingsport | 2011-06-09 / 20110136945 - INCORPORATION OF A RESIN DISPERSION TO IMPROVE THE MOISTURE RESISTANCE OF GYPSUM PRODUCTS | 2 |
Nesbitt W. Hagood | US | Wellesley | 2014-07-10 / 20140192061 - ELECTROMECHANICAL SYSTEMS HAVING SIDEWALL BEAMS | 10 |
Nesbitt W. Hagood | US | Wellesley | 2014-07-10 / 20140192061 - ELECTROMECHANICAL SYSTEMS HAVING SIDEWALL BEAMS | 10 |
Nesbitt W. Hagood, Iv | US | Wellesley | 2013-04-04 / 20130082607 - REFLECTIVE AND TRANSFLECTIVE OPERATION MODES FOR A DISPLAY DEVICE | 8 |
Thomas L. Hagopian | US | New Canaan | 2010-06-24 / 20100162140 - SYSTEMS AND METHODS FOR PROVIDING A GUIDE TO ON-LINE EVENTS | 1 |
Greggory Paul Hagopian | US | Brooklyn | 2016-02-11 / 20160042151 - NETWORKED NOTIFICATION FOR DISPENSABLE UNITS | 2 |
John G. Hagopian | US | Harwood | 2014-03-06 / 20140061453 - SYSTEM AND METHOD FOR NANOSTRUCTURE APODIZATION MASK FOR TRANSMITTER SIGNAL SUPPRESSION IN A DUPLEX TELESCOPE | 4 |
William Hagopian | US | Seattle | 2009-12-17 / 20090311697 - METHODS FOR SCREENING FOR GENETIC PREDISPOSITION TO TYPE I DIABETES | 1 |
Craig M. Hagopian | US | San Diego | 2014-06-19 / 20140169364 - TELEPHONY TERMINAL | 2 |
Matthew Hagopian | US | Mclean | 2014-03-27 / 20140089217 - SYSTEM AND METHOD FOR SOCIAL RECRUITING | 2 |
Hagop Hagopian | US | Glendale | 2014-01-30 / 20140031123 - SYSTEMS FOR AND METHODS OF DETECTING AND REPRODUCING MOTIONS FOR VIDEO GAMES | 2 |
Craig Michael Hagopian | US | San Diego | 2015-07-23 / 20150206187 - Methods and Apparatus for Generating a Location-Conscious Mobile Banner | 1 |
Matthew Hagopian | US | Arlington | 2009-12-31 / 20090327013 - Method and Apparatus for Facilitation Introductions in an Employment System | 2 |
Eleanor F.r. Hagopian | US | Bolton | 2010-02-25 / 20100046510 - COMMUNICATION IN A COMMERCIAL CONTEXT | 1 |
James Alroy E. Hagquist | US | St. Paul | 2015-05-28 / 20150144827 - BIODEGRADABLE SUSPENSION FORMING COMPOSITIONS | 9 |
Jari Hagqvist | FI | Kangasala | 2014-09-25 / 20140285312 - Audio Mixing Based Upon Playing Device Location | 1 |
Peter Hagqvist | SE | Stockholm | 2016-03-17 / 20160074880 - CENTRIFUGAL SEPARATOR HAVING ENERGY CONSUMPTION REDUCING DEVICES | 6 |
Jari Mathias Hagqvist | FI | Kangasala | 2014-11-27 / 20140348342 - AUDIO LENS | 1 |
Hani Hagras | GB | Colchester | 2011-10-13 / 20110251726 - METHOD OF OPTIMISING ENERGY CONSUMPTION | 2 |
Olof Hagsand | SE | Alta | 2015-11-26 / 20150341243 - SYSTEM FOR ESTABLISHING AND MAINTAINING A CLOCK REFERENCE INDICATING ONE-WAY LATENCY IN A DATA NETWORK | 7 |
Paul E. Hagseth | US | Fort Worth | 2009-12-31 / 20090320442 - DUAL MODE PROPULSION SYSTEM | 1 |
Jennifer A. Hagsfrom | US | San Diego | 2012-07-19 / 20120185684 - BOOTING A MOBILE ELECTRONIC DEVICE WITH A LOW BATTERY BASED ON A DYNAMIC BOOT THRESHOLD | 1 |
Behzad Hagshenas | US | San Diego | 2016-05-19 / 20160138421 - NONLINEAR ROLLING BEARING RADIAL SUPPORT STIFFNESS | 14 |
Behzad Hagshenas | US | San Diego | 2016-05-19 / 20160138421 - NONLINEAR ROLLING BEARING RADIAL SUPPORT STIFFNESS | 14 |
Nir Hagshury | IL | Tel Aviv | 2012-04-26 / 20120100915 - SYSTEM AND METHOD FOR AD PLACEMENT IN VIDEO GAME CONTENT | 3 |
Thomas Hagspiel | DE | Postbauer-Heng | 2015-10-15 / 20150290565 - ROTARY DISC FILTER DEVICE | 4 |
Raimund Hagspiel | DE | Hoxter | 2013-04-11 / 20130088556 - Method and Device for Producing a Board-Shaped Product Having a Surface Comprising a Decoration | 1 |
Walter Hagspiel | CH | Goldach | 2013-11-14 / 20130302104 - Device for Correcting the Position of Elements of a Machine Tool and Compensation Element Therefor | 1 |
Karl Hagspiel | DE | Immenstadt | 2015-12-03 / 20150344009 - HYDRAULIC ANTI-LOCK BRAKING SYSTEM FOR A TWO-WHEELER | 1 |
Thomas Hagspiel | DE | Erlangen | 2011-01-06 / 20110003646 - DRIVE DEVICE FOR IMMERSED OPERATION BELOW A SURFACE OF A LIQUID | 1 |
Dominik Hagspiel | AT | Hochst | 2013-11-21 / 20130305487 - FURNITURE HINGE | 1 |
Oliver Hagspiel | DE | Oberstaufen | 2011-06-09 / 20110131856 - COCKING DEVICE FOR A BOLT MECHANISM | 1 |
Norbert Hagspiel | DE | Wendlingen | 2016-05-05 / 20160124854 - INCREASED BANDWIDTH OF ORDERED STORES IN A NON-UNIFORM MEMORY SUBSYSTEM | 17 |
Norbert Hagspiel | DE | Wendlingen | 2016-05-05 / 20160124854 - INCREASED BANDWIDTH OF ORDERED STORES IN A NON-UNIFORM MEMORY SUBSYSTEM | 17 |
Per-Ola Hagstrand | SE | Stenungsund | 2014-07-10 / 20140190723 - POWER CABLE COMPRISING POLYPROPYLENE | 22 |
Gustav Hagstrom | CH | Nussbaumen | 2009-08-20 / 20090205340 - METHOD AND APPARATUS FOR ACHIEVING POWER AUGMENTATION IN GAS TURBINES USING WET COMPRESSION | 1 |
Carl Hagstrom | US | Camas | 2013-01-31 / 20130025319 - METAL AND GRAPHITE MOLD AND METHOD OF MAKING A CRUCIBLE | 1 |
James E. Hagstrom | US | Madison | 2008-09-25 / 20080234222 - Charge Reversal of Polyion Complexes | 1 |
Scott Robert Hagstrom | US | Eden Prairie | 2013-01-03 / 20130003104 - USER INTERFACE FOR A CUSTOMIZED PERSONALIZATION DOCUMENT PRINTER OF AN INSTANT ISSUANCE SYSTEM | 1 |
Jennifer A. Hagstrom | US | San Diego | 2014-07-31 / 20140210400 - BATTERY CHARGER REVERSE-BOOSTING DETECTION | 2 |
William A. Hagstrom | US | Los Altos | 2014-05-22 / 20140142861 - Biomarkers For Predicting Progressive Joint Damage | 1 |
Ulf Hagstrom | SE | Nykoping | 2015-10-15 / 20150292737 - SUPPLY DEVICE FOR A COMBUSTION CHAMBER | 2 |
Bradley Hagstrom | US | Glen Ellyn | 2011-10-27 / 20110264606 - METHOD AND SYSTEM FOR SUPPLYING PORTABLE GAS CYLINDERS | 1 |
James E. Hagstrom | US | Middleton | 2015-10-01 / 20150273081 - Reversibly Masked Polymers | 9 |
James E. Hagstrom | US | Middleton | 2015-10-01 / 20150273081 - Reversibly Masked Polymers | 9 |
Kim Hagstrom | FI | Lahti | 2008-10-02 / 20080236785 - Device For Treatment Of Indoor Air | 1 |
Daniel Hagstrom | SE | Stocksund | 2015-07-23 / 20150204540 - FUEL INJECTION SYSTEM FOR USE IN A CATALYTIC HEATER AND REACTOR FOR OPERATING CATALYTIC COMBUSTION OF LIQUID FUELS | 2 |
Johan-Petter (j-P) Hagstrom | SE | Hagersten | 2008-12-25 / 20080314822 - Apparatus for Blood Component Separation | 1 |
Erik Hagstrom | US | Woodinville | 2009-08-27 / 20090216300 - METHOD AND APPARATUS FOR LIGHT-ACTIVATED DRUG THERAPY | 1 |
Gunnar Hagstrom | SE | Uppsala | 2010-04-01 / 20100081121 - MEDIA AND METHOD FOR CELL SEPARATION | 1 |
John R. Hagstrom | US | Chicago | 2010-04-01 / 20100077906 - Method and Use of Warm-Up Instructional Video for Wind Instrument | 1 |
Anna E. Hagstrom | US | Menlo Park | 2012-02-16 / 20120038459 - METHOD AND APPARATUS FOR SENDING PRESENCE MESSAGES | 1 |
Johan-Petter Hagström | SE | Hagersten | 2011-03-31 / 20110077140 - Apparatus and Method for Separating A Composite Liquid Into At Least Two Components | 2 |
Johan-Petter Hagström | SE | Hagersten | 2011-03-31 / 20110077140 - Apparatus and Method for Separating A Composite Liquid Into At Least Two Components | 3 |
Kim Hagström | FI | Vaasa | 2016-01-07 / 20160006255 - INTELLIGENT ELECTRICAL POWER NETWORK DEVICE | 1 |
Bengt Hagström | SE | Goteborg | 2016-05-19 / 20160138200 - ALKALI RECYCLE IN CELLULOSE SPINNING PROCESS | 2 |
Bengt Hagström | SE | Goteborg | 2011-02-03 / 20110027568 - MULTI-COMPONENT FIBRES | 1 |
Magnus Fredrik Hagström | SE | Johanneshov | 2014-11-20 / 20140338652 - Vessel attachment system for a portable stove | 2 |
Måns Hagström | SE | Järfälla | 2012-05-10 / 20120115598 - SYSTEM AND METHOD FOR MIXING A SCENE WITH A VIRTUAL SCENARIO | 1 |
Kim Hagström | FI | Lahti | 2011-05-26 / 20110124279 - SUPPLY AIR UNIT | 1 |
Bengt Hagström | SE | Goteborg | 2011-02-03 / 20110027568 - MULTI-COMPONENT FIBRES | 1 |
Johan-Petter Hagström | SE | Hagersten | 2011-03-31 / 20110077140 - Apparatus and Method for Separating A Composite Liquid Into At Least Two Components | 2 |
Johan-Petter Hagström | SE | Hagersten | 2011-03-31 / 20110077140 - Apparatus and Method for Separating A Composite Liquid Into At Least Two Components | 3 |
Måns Hagström | SE | Järfälla | 2012-05-10 / 20120115598 - SYSTEM AND METHOD FOR MIXING A SCENE WITH A VIRTUAL SCENARIO | 1 |
Kim Hagström | FI | Lahti | 2011-05-26 / 20110124279 - SUPPLY AIR UNIT | 1 |
Jonathan Tyron Hagstrum | US | Menlo Park | 2014-07-03 / 20140185414 - ACTIVE NON-LETHAL AVIAN DENIAL INFRASOUND SYSTEMS AND METHODS OF AVIAN DENIAL | 1 |
Jonathan Tyron Hagstrum | US | Melo Park | 2012-05-10 / 20120113754 - ACTIVE NON-LETHAL AVIAN DENIAL INFRASOUND SYSTEMS AND METHODS OF AVIAN DENIAL | 2 |
Jonathan Hagstrum | US | Menlo Park | 2014-12-25 / 20140374539 - METHOD AND STSTEM FOR DENYING SOARING AND MIGRATORY BIRDS ACCESS TO CRITICAL AREAS OF AIRPORTS AND AIRCRAFTS | 1 |
Frank William Hague | US | Cape Coral | 2015-03-05 / 20150059233 - FISH GRIP | 1 |
Phil Hague | US | Chicago | 2016-02-04 / 20160031603 - CYLINDRICAL CONTAINER WITH SIDE-MOUNT HANG TAB AND METHODS THEREFOR | 1 |
Gary Hague | GB | Faringdon | 2012-03-15 / 20120062405 - Compensation of loop-delay quantizer in continuous-time and hybrid sigma-delta analog-to-digital modulators | 1 |
M. Evan Hague | US | Yorkville | 2013-10-31 / 20130283773 - System and Method for Identifying Impending Hydraulic Pump Failure | 1 |
Yannick Hague | FR | Mettray | 2013-09-05 / 20130228822 - VERTICAL POWER COMPONENT | 6 |
Katherine Hague | CA | Toronto | 2013-12-12 / 20130332306 - HVAC CONTROLLER WITH INSTALLER RATING FEATURE | 1 |
Barry Hague | GB | Rotherham | / - | 1 |
Brian I. Hague | US | Fredericksburg | 2015-01-29 / 20150030685 - Sugar-Free Oral Transmucosal Fentanyl Citrate Lozenge Dosage Forms | 1 |
Barry Hague | GB | South Yorkshire | 2016-01-07 / 20160003658 - ADJUSTABLE DOSING CAP | 2 |
Charles Hague | US | San Rafael | 2013-09-12 / 20130236921 - Manufacture of Active Highly Phosphorylated Human Lysosomal Sulfatase Enzymes and Uses Thereof | 3 |
John Hague | US | Indianapolis | 2008-10-23 / 20080259091 - System and Method for Enhancing the Contrast Ratio of an Image | 1 |
Clifford W. Hague | US | Sherman Oaks | 2012-07-12 / 20120179015 - TELEMETERED CHARACTERISTIC MONITOR SYSTEM AND METHOD OF USING THE SAME | 3 |
Steve Hague | US | College Station | 2008-09-11 / 20080222749 - Cotton variety FM 9063B2F | 4 |
Theresa Hague | GB | Surrey | / - | 1 |
Stephen J. Hague | US | Newark | 2012-08-02 / 20120192847 - ELECTRO-PNEUMATIC PROJECTILE LAUNCHING SYSTEM | 1 |
Gary Hague | GB | Swindon | 2016-04-28 / 20160119992 - Accurate Mains Time-Base for LED Light Driver | 1 |
Philip Edwin Hague | US | Chicago | 2014-02-13 / 20140042119 - CONTAINER HAVING A HELICAL GRIP | 3 |
Catherine Hague | US | Powder Springs | 2011-07-07 / 20110167018 - Prioritizing and Tracking Investments | 1 |
Brian I. Hague | US | Salt Lake City | 2011-08-25 / 20110206621 - ORAL TRANSMUCOSAL NICOTINE DOSAGE FORM | 2 |
Cliff Hague | US | Sherman Oaks | 2013-12-05 / 20130324941 - External Infusion Device with Remote Programming, Bolus Estimator and/or Vibration Alarm Capabilities | 2 |
Andrew B. Hague | US | Chelmsford | 2016-01-28 / 20160024051 - SALTS AND SOLID FORMS OF ISOQUINOLINONES AND COMPOSITION COMPRISING AND METHODS OF USING THE SAME | 2 |
Jonathan Robert Hague | US | Pontiac | 2015-11-26 / 20150339626 - PRODUCT INTELLIGENCE ENGINE | 1 |
John Alan Hague | US | Indianapolis | 2009-10-08 / 20090251481 - CONTRAST RATIO ENHANCEMENT SYSTEM USING LINEARIZED ILLUMINATION CONTROL | 4 |
Michael Evan Hague | US | Yorkville | 2012-12-06 / 20120310489 - Pump Overspeed Protection Method And Machine Using Same | 1 |
Gregory D. Hague | US | Scottsdale | 2014-01-30 / 20140027544 - WATER FROLIC APPARATUS | 1 |
Gary Hague | GB | Edinburgh | 2012-06-07 / 20120139768 - Device and method for the transmission and reception of high fidelity audio using a single wire | 1 |
Michael Evan Hague | US | Washington | 2014-10-02 / 20140291038 - PAYLOAD DETERMINATION SYSTEM AND METHOD | 1 |
Gary L. Hague | US | Carlsbad | 2013-01-03 / 20130006288 - METHOD AND SYSTEM FOR ACCESSING A PERICARDIAL SPACE | 2 |
Gary Hague | US | Carlsbad | 2012-11-29 / 20120302891 - CATHETER MOUNTED AUTOMATIC VESSEL OCCLUSION AND FLUID DISPERSION DEVICES | 1 |
Corbin C. Hague | US | Scottsdale | 2014-01-30 / 20140027544 - WATER FROLIC APPARATUS | 1 |
Edward Hague | US | Los Altos | 2015-04-16 / 20150106447 - Modular system and method for communicating information between different protocols on a control network | 2 |
Madelaine Ann Hague | US | St. Paul | 2013-05-09 / 20130116050 - TRANSACTION PRODUCT WITH SELECTIVELY ILLUMINATED BUTTONS | 1 |
David John Hague | DK | Copenhagen K | 2010-03-04 / 20100057600 - FINANCIAL TRADING SYSTEM | 1 |
Barry Hague | GB | Yourshire | 2010-07-22 / 20100181343 - FLUID DISPENSING APPARATUS AND METHOD | 1 |
Barry Hague | GB | S. Yourshire | 2016-04-28 / 20160114344 - FLUID DISPENSING APPARATUS AND METHOD | 4 |
Randy Haguen | US | Lakewood | 2009-12-24 / 20090314605 - Motorcycle racing clutch lever release mechanism | 1 |
Maurice Haguenauer | FR | Viroflay | 2010-03-18 / 20100065747 - Device for Characterizing a Particle Beam | 1 |
Toyoki Hagura | JP | Tokyo | 2009-12-24 / 20090317346 - DEODORANT AGENT | 1 |
Tore Hagward | SE | Trollhattan | 2015-06-25 / 20150174665 - HOLDER FOR A CUTTING TOOL, A CUTTING TOOL AND A CUTTING INSERT | 2 |
Michael Shawn Hagwood | US | Clayton | 2013-02-07 / 20130036013 - METHODS AND APPARATUS FOR DYNAMICALLY PROVIDING ADVERTISEMENTS | 1 |
Richard L. Hagy | US | Montara | 2016-05-05 / 20160125194 - DYNAMIC SERVICE DISCOVERY | 5 |
D. Keith Hagy | US | Hixson | 2015-06-25 / 20150174402 - PORTABLE TENS APPARATUS AND METHOD OF USE THEREOF | 1 |
D. Keith Hagy | US | Chattanooga | 2014-07-10 / 20140194946 - Portable TENS Apparatus And Method Of Use Thereof | 1 |
M. Dexter Hagy | US | Greenville | 2015-09-03 / 20150245872 - NEEDLE GUIDANCE SYSTEMS FOR USE WITH ULTRASOUND DEVICES | 12 |
M. Dexter Hagy | US | Greenville | 2015-09-03 / 20150245872 - NEEDLE GUIDANCE SYSTEMS FOR USE WITH ULTRASOUND DEVICES | 12 |
William Hagy | US | Raonor | 2009-03-26 / 20090083328 - Systems and methods for profiled and focused searching of litigation information | 1 |
Henry Edwin Hagy | US | Painted Post | 2012-07-05 / 20120172191 - CRYSTALLIZATION-FREE GLASS FRIT COMPOSITIONS AND FRITS MADE THEREFROM FOR MICROREACTOR DEVICES | 2 |
Lazlo Hagymasi | DE | Gerlingen | 2013-02-14 / 20130037173 - METHOD FOR CARBONITRIDING AT LEAST ONE COMPONENT IN A TREATMENT CHAMBER | 1 |
Laszlo Hagymasi | DE | Gerlingen | 2015-06-25 / 20150176114 - Method for Producing at least One Component and Open-Loop and/or Closed-Loop Control Device | 3 |
Marcel Hagymasi | DE | Vienenburg | 2016-03-03 / 20160064150 - METHOD FOR PRODUCING LOW-OXYGEN VALVE-METAL SINTERED BODIES HAVING A LARGE SURFACE AREA | 1 |
Marcel Hagymasi | DE | Goslar | 2015-10-15 / 20150292081 - HIGH PURITY REFRACTORY METAL POWDERS AND THEIR USE IN SPUTTERING TARGETS WHICH MAY HAVE RANDOM TEXTURE | 2 |
Jung Hwan Hah | JP | Osaka | 2011-07-07 / 20110165521 - PROCESS FOR PRODUCING PHOTORESIST PATTERN | 2 |
Byung Hahn Hah | US | Houston | 2013-11-07 / 20130291827 - INTERNAL COMBUSTION CYCLONE ENGINE | 2 |
Jung Mi Hah | KR | Seoul | 2013-01-10 / 20130012703 - 2,4,7-SUBSTITUTED THIENO[3,2-D]PYRIMIDINE COMPOUNDS AS PROTEIN KINASE INHIBITORS | 6 |
Beom Seok Hah | KR | Icheon-Si | 2012-09-20 / 20120236618 - SEMICONDUCTOR MEMORY DEVICE AND OPERATING METHOD THEREOF | 1 |
Sang-Rok Hah | KR | Seoul | 2010-11-04 / 20100279442 - SEMICONDUCTOR PROCESS EVALUATION METHODS INCLUDING VARIABLE ION IMPLANTING CONDITIONS | 1 |
Dooyoung Hah | US | Baton Rouge | 2015-11-26 / 20150335249 - CONTACT-TYPE ENDOSCOPE SERS PROBE, AND RELATED METHODS | 2 |
Sang Soo Hah | US | Dublin | 2008-11-20 / 20080286774 - Real-time individualized therapy evaluation | 1 |
Sang Soo Hah | KR | Gyeonggi-Do | 2015-01-15 / 20150017662 - METHOD FOR DETECTING AND QUANTIFYING A TARGET PROTEIN OR A TARGET CELL USING AN APTAMER CHIP | 1 |
Beom Seok Hah | KR | Icheon-Si Gyeonggi-Do | 2014-02-06 / 20140036604 - NONVOLATILE MEMORY DEVICE AND OPERATING METHOD THEREOF | 1 |
Yong Sik Hah | KR | Seoul | 2015-05-21 / 20150139781 - REACTION TURBINE | 2 |
Beom-Seok Hah | KR | Gyeonggi-Do | 2012-10-25 / 20120269020 - NON-VOLATILE MEMORY DEVICE AND METHOD FOR OPERATING THE SAME | 2 |
Hoe Jin Hah | KR | Daejeon | 2016-05-19 / 20160141596 - METHOD OF PRE-LITHIATING NEGATIVE ELECTRODE | 9 |
Hoe Jin Hah | KR | Daejeon | 2016-05-19 / 20160141596 - METHOD OF PRE-LITHIATING NEGATIVE ELECTRODE | 9 |
Beom Seok Hah | KR | Seoul | 2013-04-11 / 20130088930 - NONVOLATILE MEMORY DEVICE AND METHOD OF OPERATING THE SAME | 2 |
Jung-Hwan Hah | KR | Hwaseong-Si | 2012-08-16 / 20120208723 - OLIGOMER PROBE ARRAY WITH IMPROVED SIGNAL-TO-NOISE RATIO AND DETECTION SENSITIVITY AND METHOD OF MANUFACTURING THE SAME | 7 |
Jung-Hwan Hah | KR | Gyeonggi-Do | 2009-10-22 / 20090263732 - MASK PATTERNS INCLUDING GEL LAYERS FOR SEMICONDUCTOR DEVICE FABRICATION | 5 |
Hoejin Hah | KR | Daejeon | 2016-04-07 / 20160099470 - ELECTRODE HAVING ENHANCED ADHESION FOR LITHIUM SECONDARY BATTERIES | 1 |
Shuji Hahakura | JP | Osaka | 2013-04-18 / 20130092618 - SEPARATION MEMBRANE, WATER TREATMENT UNIT AND WATER TREATMENT APPARATUS | 10 |
Seiji Hahakura | JP | Azumino | 2016-03-31 / 20160089779 - ROBOT | 5 |
Seiji Hahakura | JP | Suwa | 2013-10-03 / 20130260606 - ROBOT SYSTEM AND ROBOT | 3 |
Moti Shor Haham | IL | Kiryat Ono | 2015-09-17 / 20150257665 - MULTIPLE LED SENSORS ON A FIBEROPTIC CABLE USED AS A CATHETER | 1 |
Uri Haham | IL | Pardes Hana-Karkur | 2012-11-22 / 20120297389 - SYSTEMS AND METHODS ASSOCIATED WITH A PARALLEL SCRIPT EXECUTER | 1 |
Uri Haham | IL | Harhur | 2010-12-30 / 20100332510 - SYSTEM AND METHOD FOR SEMANTIC EXPOSURE OF DATA STORED IN A DYNAMIC SCHEMA | 1 |
Uri Haham | IL | Karkur | 2013-06-27 / 20130166552 - SYSTEMS AND METHODS FOR MERGING SOURCE RECORDS IN ACCORDANCE WITH SURVIVORSHIP RULES | 5 |
Tal Haham | IL | Misgav | 2009-11-05 / 20090276192 - Method and Computer Program Product for Visualizing Feature Model Information | 2 |
Uri Haham | IL | Karkhr | 2009-07-02 / 20090171991 - METHOD FOR VERIFICATION OF DATA AND METADATA IN A DATA REPOSITORY | 1 |
Ehud Hahamy | IL | Modiln | 2015-11-05 / 20150316523 - SYSTEMS AND METHODS FOR IDENTIFYING EXPLOSIVES | 1 |
Abdelhakim Hahati | BE | Brussels | 2014-05-15 / 20140131111 - TWO-CENTRE ROTARY BORING BIT AND METHOD FOR DEEPENING AN EXISTING WELL | 1 |
Abdelhakim Hahati | BE | Bruxelles | 2012-12-20 / 20120318584 - ROTARY DRILL AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Abdelhakim Hahati | BE | Evere-Bruxelles | 2015-06-11 / 20150159439 - BIT FOR DRILLING WELLS AND ASSOCIATED DRILLING METHOD | 2 |
Abdelhakim Hahati | BE | Molenbeek St. Jean | 2008-10-16 / 20080251292 - Device for Monitoring a Drilling or Coring Operation and Installation Comprising Such a Device | 1 |
Karl H. Hahgholt | NO | Oslo | 2011-06-23 / 20110149408 - COMPACT POLYMER LENS | 1 |
Hideki Hahiro | JP | Shiojiri | 2014-05-01 / 20140118446 - LIQUID EJECTING HEAD, LIQUID EJECTING APPARATUS, AND PIEZOELECTRIC ELEMENT | 1 |
Hideki Hahiro | JP | Yamagata | 2016-03-24 / 20160082735 - PIEZOELECTRIC DEVICE, LIQUID EJECTING HEAD, LIQUID EJECTING APPARATUS AND MANUFACTURING METHOD OF PIEZOELECTRIC DEVICE | 1 |
Hideki Hahiro | JP | Shiojiri-Shi | 2012-03-15 / 20120062074 - PIEZOELECTRIC ELEMENT, PIEZOELECTRIC ACTUATOR, LIQUID EJECTING HEAD, AND LIQUID EJECTING APPARATUS | 4 |
Hideki Hahiro | JP | Yamagata-Mura | 2014-09-25 / 20140284302 - METHOD FOR MANUFACTURING LIQUID EJECTING HEAD, METHOD FOR MANUFACTURING PIEZOELECTRIC ELEMENT, METHOD FOR PATTERNING PIEZOELECTRIC FILM, AND METHOD FOR MANUFACTURING ULTRASONIC TRANSDUCER | 1 |
Michael Hahl | CH | Bern | 2011-12-22 / 20110309108 - Detergent Dispensing Device | 7 |
Robert W. Hahl | US | Falls Church | 2008-10-09 / 20080249914 - Fixed-Reference Money System Based on Electrical Capacity | 1 |
Manfred Hahl | DE | Muhlheim | 2009-05-14 / 20090122139 - Display System for a Motor Vehicle | 1 |
Jason Hahl | US | Cheektowaga | 2011-05-26 / 20110122543 - Valve Metal Anode Pellets For Capacitors Formed Using Forced Convection Of Liquid Electrolyte During Anodization | 2 |
Markus Hahl | DE | Korntal-Muenchingen | 2016-02-11 / 20160041290 - Object Locater and Method for Locating a Metallic and/or Magnetizable Object | 4 |
Markus Hahl | DE | Kortal-Muenchingen | 2014-01-30 / 20140028301 - Measuring Apparatus, Particularly Measuring Apparatus for Sensing Metal Articles | 1 |
Edwin C. Hahlbeck | US | Pewaukee | 2013-10-03 / 20130259621 - HOIST DRIVE FOR MINING MACHINE | 4 |
David Hahm | US | Mountain View | 2011-09-22 / 20110228793 - CUSTOMIZED CLASSIFICATION OF HOST BOUND TRAFFIC | 1 |
Sukgyu Hahm | KR | Gyeongju-Si | 2016-04-21 / 20160113136 - CASE IN WHICH ELECTRICAL PARTS ARE ACCOMMODATED AND ELECTRONIC DEVICE HAVING THE SAME | 10 |
Jurgen Hahm | DE | Cologne | 2010-02-04 / 20100025520 - WINDING SUPPORT AND USE OF A WINDING CARRIER | 1 |
Tae-Ho Hahm | KR | Pyungtaek-Si | 2012-06-21 / 20120152171 - GAS INJECTION APPARATUS AND SUBSTRATE PROCESSING APPARATUS USING SAME | 1 |
Timothy Paul Hahm | US | Hilton | 2010-02-04 / 20100026822 - Multiplexing Imaging System for Area Coverage and Point Targets | 1 |
Kyungmin Hahm | US | Auburndale | 2013-08-22 / 20130216496 - METHODS FOR TREATING TWEAK-RELATED CONDITIONS | 3 |
Kyungmin Hahm | US | Lexington | 2015-10-15 / 20150291688 - Methods For Treating Tweak-Related Conditions | 5 |
Kyoo-Ryon Hahm | KR | Seongnam-Si | 2008-08-28 / 20080205266 - DATA BURST ASSEMBLY APPARATUS AND DATA BURST PROCESSING METHOD THEREOF | 1 |
Jeong Hyun Hahm | KR | Gumi-Si | 2008-10-23 / 20080259002 - PLASMA DISPLAY APPARATUS | 1 |
Jiho Hahm | US | Sunnyvale | 2009-04-16 / 20090100347 - Enhanced calendaring functionality in a collaboration suite | 1 |
Cheul-Hee Hahm | KR | Suwon-Si | 2013-07-04 / 20130169874 - DISPLAY APPARATUS, CONNECTABLE EXTERNAL PERIPHERAL DEVICE AND METHOD OF DISPLAYING AN IMAGE | 1 |
Jung Yoon Hahm | KR | Yongin | 2013-07-18 / 20130180555 - NOZZLE ASSEMBLY AND DISHWASHER HAVING THE SAME | 1 |
Mark David Hahm | US | Hartland | 2011-06-23 / 20110149866 - WIRELESS TERMINAL BASEBAND PROCESSOR HIGH SPEED TURBO DECODING MODULE | 11 |
Seongil Hahm | KR | Yongin-Si | 2015-12-24 / 20150373548 - METHOD AND SYSTEM FOR ESTABLISHING WIRELESS LOCAL AREA NETWORK LINK BETWEEN PORTABLE TERMINALS | 5 |
Seong-Il Hahm | KR | Gyeonggi-Do | 2013-08-01 / 20130198283 - APPARATUS AND METHOD OF CONTROL POINT FOR DEVICE DISCOVERY | 1 |
Jung Yoon Hahm | KR | Yongin-Si | 2015-12-03 / 20150342441 - DISHWASHER | 6 |
Jung Yoon Hahm | KR | Yingin-Si | 2008-11-27 / 20080289371 - Water softener and washing machine having the same | 1 |
Jeonghyun Hahm | KR | Gumi-City | 2009-01-08 / 20090009433 - PLASMA DISPLAY PANEL | 2 |
Hun Joo Hahm | KR | Sungnam | 2014-05-08 / 20140127848 - NITRIDE SEMICONDUCTOR LIGHT-EMITTTING DEVICE AND PROCESS FOR PRODUCING THE SAME | 14 |
Wan-Gyu Hahm | KR | Gyeonggi-Do | 2015-11-19 / 20150329996 - SECURITY YARN CONTAINING MULTI-COMPONENT SPINNING FIBER AND SECURITY PRODUCT USING THE SAME | 1 |
Seonghoon Hahm | KR | Seoul | 2012-12-13 / 20120314043 - MANAGING MULTIMEDIA CONTENTS USING GENERAL OBJECTS | 1 |
Hyung Chul Hahm | KR | Gangwon-Do | 2009-01-22 / 20090023018 - Multi-Layered Electrode for Fuel Cell and Method for Producing the Same | 2 |
Jin-Ho Hahm | KR | Daejon | 2009-05-07 / 20090116504 - PACKET PROCESSING APPARATUS FOR REALIZING WIRE-SPEED, AND METHOD THEREOF | 1 |
Ki-Baik Hahm | KR | Gyeonggi-Do | 2015-05-28 / 20150147411 - COMPOSITION FOR PREVENTING OR TREATING COLITIS COMPRISING S-ALLYL-L-CYSTEINE AS ACTIVE INGREDIENT, AND MEDICAL PREPARATION COMPRISING SAME | 3 |
Young-Kwon Hahm | KR | Daejon | 2010-04-08 / 20100085267 - MONOPOLE ANTENNA | 1 |
Inn Hahm | KR | Suwon-Si | 2010-05-20 / 20100123906 - FACSIMILE MACHINE WITH MULTIPLE LINES AND IMAGE FORMING APPARATUS COMPRISING THE SAME | 1 |
Kyung-Soo Hahm | KR | Seoul | 2011-03-03 / 20110053834 - NOVEL ANTIBIOTIC PEPTIDE DERIVED FROM RIBOSOMAL PROTEIN L1 OF HELICOBACTER PYLORI AND USE THEREOF | 1 |
Jin-Ho Hahm | KR | Daejeon-Si | 2012-06-28 / 20120165010 - APPARATUS AND METHOD FOR AIRBORNE SELF-POWERED WIRELESS COMMUNICATION | 1 |
Kyoung Woon Hahm | KR | Seoul | 2014-10-02 / 20140292717 - FLEXIBLE DISPLAY DEVICE AND DATA DISPLAYING METHOD THEREOF | 6 |
Jeonghyun Hahm | KR | Gyoungbuk-Do | 2010-09-16 / 20100231128 - PLASMA DISPLAY PANEL | 1 |
Kyung Hee Hahm | KR | Seoul | 2010-03-25 / 20100071874 - Food heat-exchange device and refrigerator having the same | 2 |
Sang Jin Hahm | KR | Seoul | 2014-07-24 / 20140204962 - TRANSMITTING DEVICE, RECEIVING DEVICE, AND TRANSCEIVING METHOD THEREOF | 5 |
Hyung Chul Hahm | KR | Seoul | 2011-09-08 / 20110217614 - DIRECT FORMIC ACID FUEL CELL PERFORMING REAL TIME MEASUREMENT AND CONTROL OF CONCENTRATION OF FORMIC ACID AND OPERATION METHOD THEREOF | 3 |
Cheul-Hee Hahm | KR | Seongnam-Si | 2015-04-09 / 20150100322 - REMOTE CONTROL APPARATUS FOR INPUTTING USER VOICE AND METHOD THEREOF | 10 |
Mark Hahm | US | Hartland | 2013-12-05 / 20130322585 - METHOD AND SYSTEM FOR DIVERSITY PROCESSING UTILIZING A PROGRAMMABLE INTERFACE SUPPRESSION MODULE | 20 |
Suk-Gyu Hahm | KR | Gyeongju-Si | 2015-04-23 / 20150108688 - RESIN DISPENSER FOR NANO-IMPRINT | 1 |
Jin Ho Hahm | KR | Daejeon | 2014-04-24 / 20140115126 - SYSTEM FOR CONTROLLING AND VERIFYING OPEN PROGRAMMABLE NETWORK AND METHOD THEREOF | 4 |
Eun-Kyoung Hahm | KR | Daejeon | 2013-03-07 / 20130061338 - SCREENING OF DRUG FOR ATTENTION DEFICIT HYPERACTIVE DISORDER BY USING GIT1 KNOCK-OUT MICE AS A NOVEL ADHD MOUSE MODEL | 1 |
Hun Joo Hahm | KR | Seongnam | 2015-07-16 / 20150198762 - LED PACKAGE AND A BACKLIGHT UNIT COMPRISING SAID LED PACKAGE | 11 |
Charles Wilbur Hahm | US | Encinitas | 2013-01-31 / 20130031130 - SYSTEM AND METHOD FOR INTERACTIVE QUERYING AND ANALYSIS OF DATA | 1 |
Sukgyu Hahm | KR | Gyeongsangbuk-Do | 2015-11-12 / 20150321415 - PATTERNING METHOD USING IMPRINT MOLD, PATTERN STRUCTURE FABRICATED BY THE METHOD, AND IMPRINTING SYSTEM | 1 |
Yun Hye Hahm | KR | Daejeon | 2016-02-04 / 20160035998 - METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE(As Amended) | 10 |
Yo Han Hahm | KR | Seoul | 2012-05-03 / 20120103714 - BATTERY PACK HOUSING ASSEMBLY FOR ELECTRIC VEHICLE USING PLASTIC COMPOSITE MATERIAL | 1 |
Bumsuk Hahm | US | Columbia | 2015-05-07 / 20150126564 - MODULATION OF SPHINGOSINE 1-PHOSPHATE METABOLIZING ENZYMES FOR THE TREATMENT OF NEGATIVE-STRAND RNA VIRUS INFECTIONS | 1 |
Yun Hye Hahm | KR | Seoul | 2015-06-11 / 20150162558 - STACKED ORGANIC LIGHT EMITTING DEVICE HAVING HIGH EFFICIENCY AND HIGH BRIGHTNESS | 1 |
Wan-Gyu Hahm | KR | Yongin-Si | 2015-08-27 / 20150240385 - METHOD AND APPARATUS FOR FABRICATING CONJUGATE FIBER, AND CONJUGATE FIBER FABRICATED THEREBY | 2 |
Young Kwon Hahm | KR | Daejeon-Si | 2014-01-02 / 20140007168 - METHOD AND APPARATUS FOR EXTENDING RECEIVING RANGE OF BROADCAST PROGRAM | 5 |
Seong-Il Hahm | KR | Yongin-Si | 2016-03-03 / 20160065666 - METHOD AND ELECTRONIC DEVICE FOR PROVIDING DATA | 16 |
Gerhard Hahm | DE | Erlangen | 2013-04-25 / 20130099127 - Method and Device for Detecting X-Ray Quanta | 4 |
Manfred Hahm | DE | Aachen | 2010-02-04 / 20100025520 - WINDING SUPPORT AND USE OF A WINDING CARRIER | 1 |
Yun Hye Hahm | KR | Daejeon | 2016-02-04 / 20160035998 - METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE(As Amended) | 10 |
Yohan Hahm | KR | Seoul | 2012-12-06 / 20120306222 - PLASTIC COMPOSITE BUMPER BEAM FOR VEHICLE | 1 |
Sukgyu Hahm | KR | Gyeongju-Si | 2016-04-21 / 20160113136 - CASE IN WHICH ELECTRICAL PARTS ARE ACCOMMODATED AND ELECTRONIC DEVICE HAVING THE SAME | 10 |
Young Gyun Hahm | KR | Daejeon | 2014-07-03 / 20140188539 - SNS BASED AUTO SCHEDULE MANAGEMENT SERVICE SYSTEM | 1 |
Seong-Il Hahm | KR | Yongin-Si | 2016-03-03 / 20160065666 - METHOD AND ELECTRONIC DEVICE FOR PROVIDING DATA | 16 |
Sun-Mi Hahm | KR | Hwaseong-Si | 2015-08-27 / 20150241774 - PHOTORESIST COMPOSITION AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE USING THE SAME | 1 |
Cheul-Hee Hahm | KR | Seongnam-Si | 2015-04-09 / 20150100322 - REMOTE CONTROL APPARATUS FOR INPUTTING USER VOICE AND METHOD THEREOF | 10 |
Hyoung Chang Hahm | KR | Seoul | 2016-04-07 / 20160097451 - METHOD FOR CONTROLLING SLIP OF A CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Jong Cheon Hahm | KR | Daejeon | 2010-11-04 / 20100278914 - FRACTION OF MELISSA LEAF EXTRACT HAVING ANGIOGENESIS AND MMP INHIBITORY ACTIVITIES, AND COMPOSITION COMPRISING THE SAME | 1 |
Hee-Hyeok Hahm | KR | Seoul | 2009-05-21 / 20090129359 - Hand Over Method From Asynchronous Mobile Communication Network to Synchronous Mobile Communication Network | 3 |
Jeonghyun Hahm | KR | Gumi | 2010-11-25 / 20100295757 - PLASMA DISPLAY PANEL | 1 |
Yun-Hye Hahm | KR | Daejeon Metropolitan City | 2011-02-24 / 20110043102 - ORGANIC LUMINESCENT DEVICE AND A PRODUCTION METHOD FOR THE SAME | 4 |
Ki-Baik Hahm | KR | Suwon-Si | 2012-08-16 / 20120209025 - Pharmaceutical Composition for Treating or Preventing Degenerative and Inflammatory Diseases | 1 |
Seong-Il Hahm | KR | Siheung-Si | 2012-08-02 / 20120198098 - APPARATUS AND METHOD FOR BIDIRECTIONAL PAIRING BETWEEN DEVICES | 3 |
Seong Ii Hahm | KR | Siheung-Si | 2011-01-20 / 20110013608 - ACCESS POINT FOR PROVIDING WLAN VIRTUALIZATION, WLAN VIRTUALIZATION SYSTEM AND METHOD OF PROVIDING ACCESS TO WIRELESS COMMUNICATION NETWORK | 1 |
Hun Joo Hahm | KR | Seongnam-Si | 2016-04-21 / 20160109096 - LIGHT EMITTING DEVICE PACKAGE AND LIGHTING DEVICE HAVING THE SAME | 4 |
Hun Joo Hahm | KR | Seongnam | 2015-07-16 / 20150198762 - LED PACKAGE AND A BACKLIGHT UNIT COMPRISING SAID LED PACKAGE | 11 |
Hun Joo Hahm | KR | Gyeonggi-Do | 2010-01-07 / 20100001306 - LIGHT EMITTING DIODE PACKAGE | 4 |
Sung Ho Hahm | KR | Cheongju-Si | 2016-04-28 / 20160115443 - VP16-CREB FUSION GENE | 1 |
Hun Joo Hahm | KR | Gyunggi-Do | 2009-08-06 / 20090197360 - LIGHT EMITTING DIODE PACKAGE AND FABRICATION METHOD THEREOF | 1 |
Jong-Min Hahm | KR | Suwon-Si | 2013-12-05 / 20130321870 - PRINT CONTROL TERMINAL, IMAGE FORMING APPARATUS, PRINT CONTROL METHOD, AND IMAGE FORMING METHOD | 3 |
Young Kwon Hahm | KR | Daejeon | 2014-10-16 / 20140307830 - APPARATUS AND METHOD FOR OFFSET COMPENSATION IN HIGH-ORDER MODULATED ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) TRANSMISSION | 6 |
Suk Gyu Hahm | KR | Gyeongsangbuk-Do | 2015-03-05 / 20150062500 - WIRE GRID POLARIZER AND LIQUID CRYSTAL DISPLAY PANEL AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE SAME | 1 |
Arno Hahma | DE | Henfenfeld | 2015-02-19 / 20150047760 - ACTIVE COMPOSITION FOR A DECOY WHICH RADIATES SPECTRALLY ON COMBUSTION OF THE ACTIVE COMPOSITION, CONTAINING AN ADDITIVE | 4 |
Arno Hahma | FI | Aura | 2011-02-10 / 20110033805 - LOW-ENERGY FLAME THROWER ARRANGEMENT AND A RELATED METHOD | 1 |
Uwe Hahmann | DE | Bad Nauheim | 2012-06-21 / 20120156097 - Medical Fluid Cassettes and Related Systems | 1 |
Uwe Hahmann | DE | St. Wendel | 2009-02-26 / 20090050556 - FILTER DEVICE | 1 |
Wolfgang Hahmann | DE | Kempen | 2012-05-24 / 20120124825 - Lashing Platform | 3 |
Uwe Hahmann | DE | Durmersheim | 2013-05-16 / 20130118970 - Dialysis Fluid Cassettes and Related Systems and Methods | 2 |
Uwe Hahmann | DE | Bad Nanheim | 2010-06-03 / 20100133153 - Medical Fluid Cassettes and Related Systems | 1 |
Bjoern Thomas Hahn | DE | Duesseldorf | 2015-04-30 / 20150113864 - USE OF A COMPLEX ESTER TO REDUCE FUEL CONSUMPTION | 1 |
Julia Johanna Hahn | DE | Duesseldorf | 2016-05-12 / 20160130240 - ARYL SULFIDE DERIVATIVES AND ARYL SULFOXIDE DERIVATIVES AS ACARICIDES AND INSECTICIDES | 7 |
Ricardo Hahn | US | Ojai | 2013-02-14 / 20130041229 - MINIMALLY OBSTRUCTIVE RETRACTOR | 3 |
Wookghee Hahn | KR | Hwaseong-Si | 2015-03-19 / 20150078093 - NONVOLATILE MEMORY DEVICE AND PROGRAM METHOD | 1 |
Gerhard Hahn | DE | Hann. Munden | 2011-08-18 / 20110198540 - COMPOSITIONS OF VAPOUR PHASE CORROSION INHIBITORS, METHOD FOR THE PRODUCTION THEREOF AND USE THEREOF FOR TEMPORARY PROTECTION AGAINST CORROSION | 2 |
Genebeck Hahn | KR | Seoul | 2016-05-19 / 20160142954 - METHOD AND APPARATUS FOR PERFORMING HANDOVER IN WIRELESS COMMUNICATION SYSTEM | 39 |
Jin Woo Hahn | KR | Suwon-Si | 2015-07-30 / 20150213960 - COMPOSITE ELECTRONIC COMPONENT AND BOARD WITH THE SAME MOUNTED THEREON | 5 |
Wolfgang Hahn | DE | Kassel | 2011-03-17 / 20110061561 - MAGNETIC LEVITATION VEHICLE WITH A PLURALITY OF DRIVING-AND BRAKING MAGNETS | 10 |
Jeff Hahn | US | San Jose | 2016-04-07 / 20160097590 - SYSTEMS AND METHODS FOR DRYING HIGH ASPECT RATIO STRUCTURES WITHOUT COLLAPSE USING SACRIFICIAL BRACING MATERIAL THAT IS REMOVED USING HYDROGEN-RICH PLASMA | 1 |
Matthias Hahn | DE | Frankfurt | 2015-03-19 / 20150075038 - Manual Pump for a Household Appliance Such as an Iron | 8 |
Matthias Hahn | DE | Frankfurt | 2015-03-19 / 20150075038 - Manual Pump for a Household Appliance Such as an Iron | 8 |
Matthias Hahn | DE | Saarburg | 2010-08-26 / 20100212490 - CONTROL APPARATUS AND CONTROL METHOD FOR A PISTON/CYLINDER ARRANGEMENT | 2 |
Matthias Hahn | DE | Wilhelmshorst | 2008-09-25 / 20080234429 - Method For The Production Of Pulverulent High-Molecular Water-Soluble Polymers For Application In Solid/Liquid Separation Processes | 1 |
Klaus Hahn | DE | Oberreichenbach | 2014-08-28 / 20140243139 - ASSEMBLY HAVING A BELT-PULLEY DRIVE | 2 |
Judah Gamliel Hahn | IL | Ofra | 2016-02-25 / 20160054934 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR AUTOMATICALLY DERIVING HINTS FROM ACCESSES TO A STORAGE DEVICE AND FROM FILE SYSTEM METADATA AND FOR OPTIMIZING UTILIZATION OF THE STORAGE DEVICE BASED ON THE HINTS | 53 |
Scott D. Hahn | US | Hillsboro | 2014-05-08 / 20140129808 - MIGRATING TASKS BETWEEN ASYMMETRIC COMPUTING ELEMENTS OF A MULTI-CORE PROCESSOR | 1 |
Hanna Hahn | DE | Duisburg-Baerl | 2016-03-10 / 20160068459 - IMMOBILIZED CATALYTICALLY ACTIVE COMPOSITION FOR HYDROFORMYLATION OF OLEFIN-CONTAINING MIXTURES | 2 |
Norbert Hahn | US | Franklin | 2015-07-30 / 20150210487 - VEHICLE RESTRAINTS WITH UNDERSIDE CATCHES | 14 |
Klaus-Uwe Hahn | DE | Wendeburg | 2013-11-28 / 20130314282 - POSITIONING SYSTEM | 5 |
Gerhard Hahn | DE | Allenfeld | 2016-05-12 / 20160130171 - TRANSPARENT LITHIUM GLASS-CERAMIC MATERIAL, PRODUCTION AND USE THEREOF | 6 |
Mark Hahn | US | Oak Harbor | 2015-10-29 / 20150308588 - Biocompatible Tubing for Liquid Chromatography Systems | 5 |
Henry David Hahn | US | Redmond | 2015-08-13 / 20150227598 - LOG DATA SERVICE IN A VIRTUAL ENVIRONMENT | 1 |
Christopher M. Hahn | US | Bellevue | 2012-11-15 / 20120290962 - Aggregation of Computing Device Settings | 1 |
Christopher Hahn | US | Seattle | 2015-10-08 / 20150286609 - SYSTEM AND METHOD FOR LINGUIST-BASED HUMAN/MACHINE INTERFACE COMPONENTS | 2 |
Berthold Hahn | DE | Kassel | 2012-04-19 / 20120091730 - Energy Supply System and Operating Method | 1 |
Jung Seok Hahn | KR | Seongnam-Si | 2014-02-20 / 20140048318 - COMPOSITION, ANTI-OXIDE FILM INCLUDING THE SAME, ELECTRONIC COMPONENT INCLUDING THE ANTI-OXIDE FILM, AND METHODS FOR FORMING THE ANTI-OXIDE FILM AND ELECTRONIC COMPONENT | 10 |
Jacqueline Hahn | US | Jacksonville | 2012-07-26 / 20120187034 - On-site concrete truck washout filter and treatment apparatus | 1 |
Andreas Hahn | DE | Mainz-Hechtsheim | 2015-10-15 / 20150290410 - Pressure equalization balloon and method for the production thereof | 2 |
Sam Hahn | US | Saratoga | 2015-03-05 / 20150067181 - METHOD AND SYSTEM FOR MULTI-DOMAIN CO-BROWSING IN A C2C CO-BROWSE ARCHITECTURE THROUGH CO-BROWSING FUNCTIONALITY PROVIDED IN A BROWSER EXTENSION ON A HOST | 5 |
Martin Hahn | DE | Leibertingen-Altheim | 2015-03-05 / 20150066018 - Medical Instrument And Electrosurgical System | 1 |
Martin Hahn | DE | Altheim | 2013-03-28 / 20130079821 - Device For Stretching Skin | 4 |
Martin Hahn | DE | Marburg | 2010-12-30 / 20100331673 - SYSTEM AND METHOD FOR REDUCING PATIENT RISK OF ALLERGIC REACTION TO CONTRAST AGENTS OR MEDICAL MATERIAL | 1 |
Martin Hahn | DE | Boll | 2010-11-25 / 20100298633 - Manipulator With Guiding Insert | 1 |
Gabriele Hahn | DE | Munchen | 2010-10-21 / 20100267121 - RECOMBINANT VECTOR CONTAINING INFECTIOUS HUMAN CYTOMEGALOVIRUS GENOME WITH PRESERVED WILD-TYPE CHARACTERISTICS OF CLINICAL ISOLATES | 1 |
Martin Hahn | DE | Herrieden | 2015-10-15 / 20150293558 - Body Produced by an In-Mold Process and Process for the Production Thereof | 3 |
Ursel Hahn | DE | Lichtenwald | 2013-06-20 / 20130159677 - INSTRUCTION GENERATION | 2 |
Klaus Hahn | DE | Kirchheim | 2016-03-03 / 20160060417 - METHOD FOR PRODUCING EXPANDABLE GRANULATES CONTAINING POLYLACTIC ACID | 85 |
Andreas Hahn | DE | Ostfildern | 2015-02-26 / 20150053514 - COMPACT CONTROL DEVICE FOR FAILSAFELY CONTROLLING AN ELECTRICAL ACTUATOR | 1 |
Jacob Hahn | US | Pearland | 2014-05-22 / 20140138099 - GAS LIFT BARRIER VALVE | 2 |
Inseob Hahn | US | La Crescenta | 2013-10-17 / 20130271142 - LOW FIELD SQUID MRI DEVICES, COMPONENTS AND METHODS | 6 |
Soonkap Hahn | US | Irvine | 2011-03-24 / 20110070320 - BIODEGRADABLE THERMORESPONSIVE 3-ARM POLYETHYLENE GLYCOL POLY(LACTIDE-CO-GLYCOLIDE) COPOLYMER FOR GINSENG ADMINISTRATION | 1 |
Andrew M. Hahn | US | Anaheim | 2011-02-24 / 20110043760 - Fixed optical system having an adjustable target | 1 |
David Hahn | US | Palo Alto | 2013-08-29 / 20130226709 - METHODS AND SYSTEMS TO PRESENT NETWORK NOTIFICATIONS IN CONJUNCTION WITH DISPLAY ADVERTISEMENTS | 2 |
Bevra H. Hahn | US | Encino | 2015-08-27 / 20150239957 - Ig-pCONSENSUS GENE VACCINATION PROTECTS FROM ANTIBODY-DEPENDENT IMMUNE PATHOLOGY IN AUTOIMMUNE DISEASE | 4 |
John Hahn | US | San Francisco | 2015-01-22 / 20150025798 - Probabilistic Reverse Geocoding | 4 |
Brenton C. Hahn | US | North Hollywood | 2009-10-22 / 20090262238 - Generation And Delivery of Stepped-Frame Content Via MPEG Transport Streams | 2 |
Robert E. Hahn | US | Santa Rosa | 2009-10-08 / 20090250341 - ANODE FOR SPUTTER COATING | 1 |
Tom Hahn | US | Piedmont | 2009-09-03 / 20090219292 - SYSTEMS AND METHODS FOR SPECIFYING ARBITRARY ANIMATION CONTROLS FOR MODEL OBJECTS | 2 |
Markus Hahn | US | Santa Cruz | 2013-03-14 / 20130067101 - SESSION INITIATION AND MAINTENANCE WHILE ROAMING | 2 |
Tae W. Hahn | US | Northridge | 2010-11-18 / 20100292759 - Magnetic field sensor for magnetically-coupled medical implant devices | 1 |
John Hahn | US | Los Altos | 2014-06-12 / 20140159477 - Power Delivery Over Digital Interaction Interface for Video and Audio (DiiVA) | 5 |
Genebeck Hahn | KR | Seoul | 2016-05-19 / 20160142954 - METHOD AND APPARATUS FOR PERFORMING HANDOVER IN WIRELESS COMMUNICATION SYSTEM | 39 |
Wilhelm Steffen Hahn | US | Los Altos | 2014-07-24 / 20140206300 - METHODS AND SYSTEMS FOR NOISE AND INTERFERENCE CANCELLATION | 15 |
Gary S. Hahn | US | Cardiff By The Sea | 2013-11-07 / 20130295201 - Methods for Inhibiting Sensory Nerves by Topically Administering Strontium-Containing Compositions to Keratinized Skin | 3 |
Jerome A. Hahn | US | Santa Barbara | 2010-07-01 / 20100169111 - Portable Health & Safety Monitoring Device | 1 |
H. Thomas Hahn | US | Malibu | 2009-12-24 / 20090318641 - METHOD OF FABRICATION OF NANOPARTICULATE COMPOSITES USING MONOMER STABILIZATION | 1 |
John R. Hahn | US | San Francisco | 2009-10-22 / 20090265340 - Proximity search for point-of-interest names combining inexact string match with an expanding radius search | 1 |
Hyeok Hahn | US | Santa Clara | 2013-05-02 / 20130105042 - Liquid Dispensing For High-Throughput Experimentation | 2 |
Wilhelm Steffan Hahn | US | Los Altos | 2009-01-15 / 20090016545 - PRE-CONFIGURATION AND CONTROL OF RADIO FREQUENCY NOISE CANCELLATION | 1 |
Frederick M. Hahn | US | San Rafael | 2008-10-30 / 20080268467 - Methods and compositions for modulating telomerase reverse transcriptase (TERT) expression | 2 |
Jerad J. Hahn | US | Orono | 2013-10-10 / 20130268294 - SYSTEM AND METHOD FOR MANAGING MEDICAL IMAGING PROFESSIONAL CERTIFICATIONS | 2 |
Paul Hahn | US | Durham | 2012-07-19 / 20120184846 - IMAGING AND VISUALIZATION SYSTEMS, INSTRUMENTS, AND METHODS USING OPTICAL COHERENCE TOMOGRAPHY | 1 |
Kent S. Hahn | US | Evansville | 2008-08-21 / 20080197210 - Self-propelled walking spray boom | 1 |
Michael Anthony Hahn | US | Elkridge | 2008-08-28 / 20080204350 - MODULAR ACTIVE PHASED ARRAY | 1 |
Daniel V. Hahn | US | Ellicott City | 2008-09-25 / 20080232679 - Apparatus and Method for 3-Dimensional Scanning of an Object | 1 |
Frederick M. Hahn | US | Paia | 2014-04-17 / 20140106416 - MANIPULATION OF GENES OF THE MEVALONATE AND ISOPRENOID PATHWAYS TO CREATE NOVEL TRAITS IN TRANSGENIC ORGANISMS | 4 |
Loren L. Hahn | US | Poughquag | 2008-10-30 / 20080270081 - NON-DESTRUCTIVE, BELOW-SURFACE DEFECT RENDERING USING IMAGE INTENSITY ANALYSIS | 1 |
Theresa Hahn | US | Winchester | 2008-11-27 / 20080290159 - System and Method for Recording Attendance in Restricted Areas, Recording Personnel Involved in an Emergency Medical Situation, and Displaying Victim's Critical Medical Information | 1 |
Jesse Hahn | US | Cedar Rapids | 2015-10-22 / 20150296989 - FLEX LUMBAR SUPPORT | 7 |
Brian L. Hahn | US | Higley | 2009-01-29 / 20090030849 - System to generate report analyzing business entity offering stock for sale | 1 |
William C. Hahn | US | Newton | 2015-03-05 / 20150065518 - METHODS OF TREATING CANCER HAVING AN ACTIVE WNT/BETA-CATENIN PATHWAY | 5 |
Steven C. Hahn | US | Shelby Township | 2013-03-21 / 20130072312 - High Angle Plunge Joint | 4 |
Daniel Hahn | US | Cumberland | 2009-03-26 / 20090079082 - BONDING PAD STRUCTURE ALLOWING WIRE BONDING OVER AN ACTIVE AREA IN A SEMICONDUCTOR DIE AND METHOD OF MANUFACTURING SAME | 1 |
Andrew S. Hahn | US | Yorktown | 2009-05-21 / 20090127405 - Aircraft Wing For Over-the-Wing Mounting Of Engine Nacelle | 1 |
Jury Hahn | US | New York | 2009-06-18 / 20090156179 - System And Method For Managing Interaction Between A User And An Interactive System | 1 |
Scott Hahn | US | Beaverton | 2012-08-02 / 20120198030 - Storing Data Using A Direct Data Path Architecture To Reduce Energy Consumption And Improve Performance | 4 |
John M. Hahn | US | Roscoe | / - | 1 |
Adam J. Hahn | US | Pittsburgh | 2014-07-10 / 20140190014 - Razor and Razor Treatment System | 3 |
Elliott F. Hahn | US | North Miami Beach | 2009-12-03 / 20090297600 - NOVEL PHARMACEUTICAL FORMULATION CONTAINING A BIGUANIDE AND AN ANGIOTENSIN ANTAGONIST | 1 |
Brian L. Hahn | US | Gilbert | 2009-12-31 / 20090321901 - THERMALLY BALANCED HEAT SINKS | 1 |
Steve S. Hahn | US | Wellesley | 2011-05-26 / 20110120973 - RAZOR BLADES AND RAZORS | 3 |
Melva Hahn | US | Aurora | 2010-01-28 / 20100021611 - Processing of grains and the like | 1 |
William E. Hahn | US | Aurora | 2010-01-28 / 20100021611 - Processing of grains and the like | 1 |
John J. Hahn | US | Germantown | 2010-03-11 / 20100062100 - Nozzle Shutoff for an Injection Molding Machine | 1 |
Oliver Hahn | US | Parkville | 2013-10-24 / 20130280998 - COMBINATION AUTOMATED & HAND DEBONING APPARATUS & METHOD WITH SINGLE UNIT PROCESSING | 7 |
Christian Wolfram Hahn | US | Prospect | 2011-08-25 / 20110203066 - Toothpaste measuring toothbrush | 3 |
Mark Hahn | US | Issaquah | 2010-04-29 / 20100106571 - SMART, SEARCH-ENABLED WEB ERROR PAGES | 1 |
Beatrice H. Hahn | US | Birmingham | 2016-03-10 / 20160067329 - POLYVALENT VACCINE | 14 |
John T. Hahn | US | Merrill | 2016-05-05 / 20160120710 - ABSORBENT ARTICLE WITH WIPE GRIPPER HANDLE | 3 |
Henry N. Hahn | US | Valparaiso | 2010-06-03 / 20100136362 - METHOD AND APPARATUS FOR POLISHING AN ALUMINUM-ZINC ALLOY HOT-DIP COATING AND THE PRODUCT THEREFROM | 1 |
John Timothy Hahn | US | Merrill | 2014-10-02 / 20140296816 - Absorbent Article | 8 |
Joseph J. Hahn | US | Pittsburgh | 2015-09-24 / 20150270021 - Upper Internals Arrangement for a Pressurized Water Reactor | 2 |
Jae-Hong Hahn | US | Beaverton | 2010-07-01 / 20100164442 - DYNAMIC ADJUSTMENT OF POWER CONVERTER CONTROL | 2 |
Christopher J. Hahn | US | Longmont | 2013-12-05 / 20130325380 - AC Active Load | 2 |
John J. Hahn | US | Hartfort | 2010-08-05 / 20100198155 - CASSETTE FOR DIFFERENTIAL PRESSURE BASED MEDICATION DELIVERY FLOW SENSOR ASSEMBLY FOR MEDICATION DELIVERY MONITORING AND METHOD OF MAKING THE SAME | 1 |
Beatrice Hahn | US | Birmingham | 2010-08-05 / 20100196419 - ENHANCEMENT OF GLYCOPROTEIN INCORPORATION INTO VIRUS-LIKE PARTICLES | 1 |
Nicholas R. Hahn | US | West Olive | 2010-08-19 / 20100209862 - Burner nozzle assembly for use in association with animal carcass dehairing equipment | 1 |
Douglas C. Hahn | US | La Valle | 2013-10-17 / 20130270031 - SUSPENSION CONFIGURATION FOR A SEAT | 2 |
Byronn Hahn | US | Henderson | 2011-01-06 / 20110000103 - Boot Insert | 1 |
Benjamin C. Hahn | US | Middletown | 2013-08-29 / 20130220661 - ELECTRICAL DEVICE POWERED THROUGH NEUTRAL OR GROUND | 4 |
Douglas Alan Hahn | US | Chicago | 2011-02-10 / 20110035658 - WEB-BASED NETWORK MONITORING TOOL | 1 |
Megan Hahn | US | Nazareth | 2011-02-10 / 20110031452 - Nanoparticles Having Continuous Photoluminescence | 1 |
Benjamin P. Hahn | US | Bethesda | 2014-10-09 / 20140301940 - CATION DEFICIENT MATERIALS FOR ELECTRICAL ENERGY STORAGE | 3 |
Rich Hahn | US | Ortonville | 2015-10-22 / 20150300537 - METHOD OF FORMING A HOSE ASSEMBLY | 4 |
Robert L. Hahn | US | Brownsburg | 2011-07-14 / 20110171073 - SYSTEM AND METHOD FOR TREATING INFECTIOUS WASTE MATTER | 5 |
Philip Hahn | US | Berwick | 2012-11-08 / 20120282868 - Pyramidal Antenna Apparatus | 2 |
Sage Hahn | US | Chicago | 2011-04-07 / 20110079864 - Low Profile Human Interface Device | 1 |
Mark P. Hahn | US | Issaquah | 2011-04-21 / 20110093622 - HIGH-SPEED SECURE CONTENT TRANSFER TO SD CARD FROM KIOSK | 1 |
Gregory W. Hahn | US | Arkadelphia | 2012-10-11 / 20120258003 - SCROLL COMPRESSOR WITH SPRING TO ASSIST IN HOLDING SCROLL WRAPS IN CONTACT | 12 |
Guenter Hahn | US | Barrington | 2014-10-23 / 20140316258 - MULTIPLE SECTION PET WITH ADJUSTABLE AUXILIARY SECTION | 5 |
Rich Hahn | US | Sterling Heights | 2008-12-04 / 20080298788 - Heated hose apparatus and method | 2 |
William C. Hahn | US | 2009-02-19 / 20090047675 - Compositions and methods for indentifying transforming and tumor suppressor genes | 1 | |
Wilhelm Steffen Hahn | US | Briarcliff Manor | 2009-10-29 / 20090267701 - QUADRATURE MODULATOR AND CALIBRATION METHOD | 1 |
Helen M. Hahn | US | Catonsville | 2011-04-07 / 20110078847 - PROTECTIVE EYEWEAR | 1 |
John Christopher Hahn | US | Rochester | 2011-08-25 / 20110205397 - PORTABLE IMAGING DEVICE HAVING DISPLAY WITH IMPROVED VISIBILITY UNDER ADVERSE CONDITIONS | 3 |
Richard A. Hahn | US | Mt. Pleasant | 2011-04-21 / 20110088291 - DIG UNDER APPARATUS AND PROCESS | 1 |
Mark J. Hahn | US | Stow | 2015-01-29 / 20150032795 - ONE TOUCH MACHINE TO MACHINE DEVICE CONNECTION | 16 |
Chang S. Hahn | US | Princeton | 2011-05-12 / 20110112101 - TREATMENT FOR OCULAR-RELATED DISORDERS | 1 |
Christopher Hahn | US | Bellevue | 2012-09-13 / 20120232957 - DYNAMIC MARKETING SYSTEM AND METHOD | 5 |
Sang-Taek Hahn | KR | Seoul | 2014-05-01 / 20140123191 - METHOD FOR DISPLAYING ELECTRONIC PROGRAM GUIDE OPTIMIZED FOR USER CONVENIENCE | 1 |
Jong Hoon Hahn | KR | Seoul | 2013-12-26 / 20130341189 - Microchip for analyzing nucleic acid and method of nucleic acid analysis using the same | 1 |
Fabian Hahn | CH | Zurich | 2014-07-17 / 20140198107 - FAST RIG-BASED PHYSICS SIMULATION | 2 |
Minsoo Hahn | KR | Daejeon | 2011-07-07 / 20110166867 - MULTI-OBJECT AUDIO ENCODING AND DECODING APPARATUS SUPPORTING POST DOWN-MIX SIGNAL | 2 |
Do-Hee Hahn | KR | Daejeon | 2010-06-03 / 20100135452 - LIQUID-METAL-COOLED FAST REACTOR CORE COMPRISING NUCLEAR FUEL ASSEMBLY WITH NUCLEAR FUEL RODS WITH VARYING FUEL CLADDING THICKNESS IN EACH OF THE REACTOR CORE REGIONS | 2 |
Jongsok Hahn | KR | Daejeon | 2013-09-12 / 20130237674 - ADVANCED TRANSITION METAL CATALYTIC SYSTEMS IN TERMS OF COMONOMER INCORPORATIONS AND METHODS FOR PREPARING ETHYLENE HOMOPOLYMERS OR COPOLYMERS OF ETHYLENE AND ALPHA-OLEFINS USING THE SAME | 9 |
Jong-Sok Hahn | KR | Daejeon | 2015-10-22 / 20150299353 - Cyclopenta[b]Fluorenyl Transition Metal Compound, Catalyst Composition Containing the Same, and Method of Preparing Ethylene Homopolymer or Copolymer of Ethylene and alpha-Olefin Using the Same | 13 |
Sun Hwa Hahn | KR | Daejeon | 2011-10-06 / 20110246407 - SYSTEM AND METHOD FOR HYBRID RETE REASONING BASED ON IN-MEMORY AND DBMS | 6 |
Dohee Hahn | KR | Daejeon | 2012-05-03 / 20120106693 - HIGH Cr FERRITIC/MARTENSITIC STEELS HAVING AN IMPROVED CREEP RESISTANCE FOR IN-CORE COMPONENT MATERIALS IN NUCLEAR REACTOR, AND PREPARATION METHOD THEREOF | 3 |
Young-Sik Hahn | JP | Daejeon | 2010-09-09 / 20100227221 - Preparation Method of Lithium-Metal Composite Oxides | 1 |
Young-Sik Hahn | KR | Daejeon | 2010-09-09 / 20100227222 - Lithium-Metal Composite Oxides and Electrochemical Device Using the Same | 2 |
Gary S. Hahn | US | San Diego | 2015-02-19 / 20150050361 - TOPICALLY ADMINISTERED STRONTIUM-CONTAINING COMPLEXES FOR TREATING PAIN, PRURITIS AND INFLAMMATION | 1 |
Klaus Hahn | DE | Furth-Burgfarrnbach | 2015-10-22 / 20150300505 - SEAL ARRANGEMENT FOR A CONTROL VALVE | 2 |
Rocco Hahn | DE | Weissenburg I. Bayern | 2013-06-27 / 20130160964 - PROCESS FOR PRODUCING CYLINDRICAL COMPONENTS | 1 |
Christoph Hahn | DE | Hamburg | 2013-07-18 / 20130184779 - VOLTAGE LIMITED NEUROSTIMULATION | 1 |
Jin Woo Hahn | KR | Suwon | 2015-04-16 / 20150102888 - MULTILAYER ELECTRONIC COMPONENT | 1 |
Sohra Hahn | KR | Yongin-City | 2014-03-20 / 20140075690 - SUBSTRATE CLEANING APPARATUS | 1 |
Chang-Gyu Hahn | US | Bryn Mawr | 2011-08-18 / 20110200618 - ERBB4 INHIBITORS AND USES THEREOF IN TREATMENT OF NEUROPSYCHIATRIC DISORDERS | 1 |
Dennis James Hahn | US | Wichita | 2016-04-28 / 20160117227 - DATA RECOVERY TECHNIQUE FOR RECOVERING DATA FROM AN OBJECT STORAGE SERVICE | 2 |
Wolfgang Hahn | DE | Bergfelde | 2015-12-10 / 20150358248 - CONTROLLING OF VIRTUALIZED NETWORK FUNCTIONS FOR USAGE IN COMMUNICATION NETWORK | 10 |
Jung Seok Hahn | KR | Gyeonggi-Do | 2013-10-03 / 20130256643 - METHODS FOR FORMING BANKS AND ORGANIC THIN FILM TRANSISTORS COMPRISING SUCH BANKS | 1 |
Jin Woo Hahn | KR | Gyunggi-Do | 2014-03-06 / 20140062643 - MULTI-LAYERED CHIP ELECTRONIC COMPONENT | 3 |
Sei Kwang Hahn | KR | Pohang | 2015-10-22 / 20150297749 - LOW-DENSITY LIPOPROTEIN ANALOGUE NANOPARTICLES, AND COMPOSITION COMPRISING SAME FOR TARGETED DIAGNOSIS AND TREATMENT OF LIVER | 4 |
Gene Beck Hahn | KR | Anyang-Si | 2014-05-01 / 20140120924 - METHOD AND APPARATUS FOR SELECTING CARRIER IN COMMUNICATION SYSTEM | 16 |
Michael Hahn | DE | Zeven | 2015-04-16 / 20150104546 - WATER-SOLUBLE COFFEE PREPARATIONS | 1 |
Jong-Sok Hahn | KR | Daejeon | 2015-10-22 / 20150299353 - Cyclopenta[b]Fluorenyl Transition Metal Compound, Catalyst Composition Containing the Same, and Method of Preparing Ethylene Homopolymer or Copolymer of Ethylene and alpha-Olefin Using the Same | 13 |
Bruce Raymond Hahn | US | Hudson | 2016-03-03 / 20160060438 - TIRE WITH SILICA-RICH RUBBER TREAD | 20 |
Kristina Hahn | DE | Springe-Volksen | 2015-02-12 / 20150043204 - Profile Arrangement for Room Lighting | 1 |
Andrew Mason Hahn | US | Anaheim | 2011-06-16 / 20110144745 - OPHTHALMIC ENDOILLUMINATION SYSTEM | 1 |
Jung Seok Hahn | KR | Yongin-Si | 2011-08-25 / 20110204350 - Composition and organic insulating film prepared using the same | 1 |
Gary Lee Hahn | US | Twin Falls | 2012-10-25 / 20120269939 - Cereal Binder Compositions and Methods for Making Cereal Bars and Cereal Clusters | 1 |
Lawrence Hahn | US | Greenville | 2015-04-23 / 20150107323 - ROLL FORMED CONDUIT FITTING | 1 |
Sibylle Hahn | DE | Rheinmuenster | 2011-06-16 / 20110139571 - ACTUATOR FOR A DUAL CLUTCH | 2 |
Klas Hahn | DE | Mannheim | 2011-08-25 / 20110204712 - Electric Connection System | 1 |
Norbert Hahn | DE | Hunstetten-Limbach | 2012-07-12 / 20120177455 - DUST EXTRACTOR | 13 |
Fabian Hahn | CH | Sprietenbach | 2016-03-31 / 20160093084 - SUBSPACE CLOTHING SIMULATION USING ADAPTIVE BASES | 1 |
Norbert Hahn | DE | Rommerskirchen | 2015-11-26 / 20150336306 - METHOD FOR PRODUCING FLEXIBLE MOULDED PU FOAMS | 2 |
Wilhelm Steffen Hahn | US | Los Altos | 2014-07-24 / 20140206300 - METHODS AND SYSTEMS FOR NOISE AND INTERFERENCE CANCELLATION | 15 |
Elke Hahn | DE | Dresden | 2012-10-25 / 20120266431 - METHOD FOR CONTROLLING A BATTERY AND DEVICE FOR IMPLEMENTING THE METHOD | 1 |
Jinwoo Hahn | KR | Gyeonggi-Do | 2012-07-19 / 20120183773 - GLASS POWDER AND METHOD OF MANUFACTURING THE SAME | 1 |
Timothy A. Hahn | US | Woodstock | 2012-03-22 / 20120067456 - FUEL SPILL TRAY | 1 |
Tanja Hahn | DE | Duderstadt | 2011-06-09 / 20110137212 - ORTHOPEDIC TECHNICAL DEVICE | 1 |
David Worthington Hahn | US | Gainesville | 2016-05-05 / 20160122670 - SOLAR THERMOCHEMICAL REACTOR AND METHODS OF MANUFACTURE AND USE THEREOF | 3 |
Gisela Hahn | DE | Alveslohe | 2012-09-13 / 20120232168 - LIQUID CONCENTRATE FOR PRESERVING COSMETICS | 3 |
Timothy Adam Hahn | US | Woodstock | 2010-12-09 / 20100307856 - INTEGRATED PARKING BRAKE AND CLUTCH CONTROL SYSTEM | 2 |
Bruce Raymond Hahn | US | Hudson | 2016-03-03 / 20160060438 - TIRE WITH SILICA-RICH RUBBER TREAD | 20 |
Gemebeck Hahn | KR | Seoul | 2016-04-07 / 20160100374 - METHOD FOR TRANSMITTING INFORMATION FOR SYNCHRONIZATION OF USER EQUIPMENT BY BASE STATION IN WIRELESS COMMUNICATION SYSTEM AND APPARATUS FOR SAME | 1 |
Matthias Hahn | DE | Iserlohn | 2016-04-14 / 20160100914 - Dental repositioning device | 1 |
Marcel Hahn | CH | Magden | 2010-11-18 / 20100290103 - ENCAPSULATED DISPERSIONS COMPRISING ELECTROPHORETICALLY MOBILE ORGANIC COLORANTS | 3 |
Gregory W. Hahn | US | Arkadelphia | 2012-10-11 / 20120258003 - SCROLL COMPRESSOR WITH SPRING TO ASSIST IN HOLDING SCROLL WRAPS IN CONTACT | 12 |
Mercel Hahn | CH | Magden | 2010-10-21 / 20100265564 - Encapsulated dispersions comprising electrophoretically mobile organic colorants | 1 |
Roland Hahn | CH | Olten | 2009-08-06 / 20090194597 - Method for producing a card-type data carrier, and data carrier produced according to this method | 1 |
Sinuhe Hahn | CH | Liestal | 2016-03-03 / 20160061824 - METHODS FOR DETECTING INFLAMMATORY DISORDERS | 6 |
Stephanie Hahn | CH | Pully | 2012-10-25 / 20120269931 - Cooking of Salt Free or Reduced Salt Breakfast Cereals | 1 |
Gregory C. Hahn | US | Orem | 2016-02-25 / 20160051393 - KINESIOLOGY TAPE | 1 |
Thomas O. Hahn | US | Columbus | 2012-03-29 / 20120073545 - VARIABLE FLOW FUEL TRANSFER PUMP SYSTEM AND METHOD | 4 |
Christopher Hahn | US | Huntington Beach | 2011-09-08 / 20110214700 - APPARATUS FOR EJECTING FLUID ONTO A SUBSTRATE AND SYSTEM AND METHOD OF INCORPORATING THE SAME | 1 |
Diane Hahn | DE | Seeheim-Jugenheim | 2010-10-07 / 20100254977 - ENGINEERED ANTI-ALPHA V-INTEGRIN HYBRID ANTIBODIES | 1 |
Thomas Hahn | DE | Nonnweiler-Kastel | 2011-12-29 / 20110320031 - MACHINING DEVICE FOR MACHINING A WORKPIECE | 2 |
Thomas Hahn | DE | Bundenbach | 2008-10-02 / 20080236182 - Refrigerated Shelf Cabinet | 1 |
Thomas Hahn | DE | Kirchheimbolanden | 2011-12-08 / 20110296836 - INTERNAL COMBUSTION ENGINE | 1 |
Thomas Hahn | DE | Frankfurt Am Main | 2010-05-27 / 20100127603 - DEVICE FOR DISPLAYING OBJECTS | 2 |
Thomas Hahn | DE | Kunzelsau | 2015-04-30 / 20150118697 - DEVICE AND METHOD FOR THE EXAMINATION OF A SAMPLE FLUID | 2 |
Rüdiger Hahn | DE | Burscheid | 2013-05-09 / 20130115436 - INJECTION-MOLDED MULTI-COMPONENT COMPOSITE SYSTEMS HAVING IMPROVED FIRE BEHAVIOUR | 1 |
Michael Hahn | US | San Diego | 2014-07-10 / 20140193342 - ENZYME DIRECTED ASSEMBLY OF PARTICLE THERANOSTICS | 1 |
Sei Kwang Hahn | KR | Pohang-Si Gyeongsangbuk-Do | 2013-09-26 / 20130253170 - HYALURONIC ACID-PROTEIN CONJUGATE AND METHOD FOR PREPARING SAME | 1 |
Ray Hahn | US | Anaheim | 2015-04-30 / 20150120387 - Systems and Methods for Demand-Based Marketplaces | 1 |
Jin-Oh Hahn | US | College Park | 2014-03-06 / 20140066793 - METHODS AND APPARATUS FOR DETERMINING CUFF BLOOD PRESSURE | 1 |
Casey B. Hahn | US | Houston | 2016-02-18 / 20160047183 - VARIABLE GUIDE AND PROTECTION BUSHING FOR WELL CONVEYANCE | 1 |
Todd T. Hahn | US | Sugar Land | 2015-01-22 / 20150026444 - Compiler-control Method for Load Speculation In a Statically Scheduled Microprocessor | 2 |
Seungyong Hahn | US | Chestnut Hill | 2016-03-24 / 20160086707 - Partial Insulation Superconducting Magnet | 2 |
Michael Hahn | DE | Heerlingen | 2015-02-19 / 20150050387 - STARTER CULTURES FOR THE PRODUCTION OF FERMENTED MILK PRODUCTS | 4 |
Dennis Hahn | US | Whitehouse | 2012-05-03 / 20120104662 - BRACKET FOR A QUARTZ LAMP OF A BLOW MOLDING MACHINE | 1 |
Brian Alan Hahn | US | Fairland | 2014-08-28 / 20140238309 - CAGING FOR SMALL ANIMALS | 1 |
Joseph D. Hahn | US | Kansas City | 2012-05-03 / 20120107868 - PROCESSES FOR MAKING PROTEIN HYDROLYSATES FROM ANIMAL PEPTONE AND FOR PRESERVING MUCOSA | 1 |
Michael Hahn | DE | Berlin | 2010-12-30 / 20100330834 - ELECTRICAL CONTACT DEVICE | 1 |
Geenbeck Hahn | KR | Seoul | 2015-05-07 / 20150126235 - METHOD AND APPARATUS FOR TRANSMITTING PAGING MESSAGE IN WIRELESS COMMUNICATION SYSTEM | 1 |
Peter Hahn | DE | Berlin | 2011-05-12 / 20110109165 - APPARATUS AND METHOD FOR MANAGING A POWER SOURCE | 1 |
Robert Hahn | DE | Berlin | 2014-02-06 / 20140034485 - HYDROGEN EVOLUTION CELL HAVING A CATHODE POCKET | 6 |
Uwe H.o. Hahn | DE | Berlin | 2013-05-23 / 20130132349 - TENANT SEPARATION WITHIN A DATABASE INSTANCE | 4 |
Klaus Hahn | US | Braselton | 2012-08-02 / 20120193988 - HYBRID INVERTER GENERATOR | 1 |
Steven Hahn | US | Avon | 2011-09-22 / 20110229275 - Erosion prevention log | 1 |
Ingolf Hahn | DE | Bonn | 2012-05-24 / 20120129700 - LINEAR MACHINE HAVING A PRIMARY PART AND A SECONDARY PART | 5 |
Henrik Hahn | DE | Dresden | 2013-04-25 / 20130101878 - BATTERY COMPRISING CUBOID CELLS WHICH CONTAIN A BIPOLAR ELECTRODE | 1 |
Brian Hahn | US | San Francisco | 2013-09-05 / 20130231969 - ADAPTIVE WORKFLOW DEFINITION OF CROWD SOURCED TASKS AND QUALITY CONTROL MECHANISMS FOR MULTIPLE BUSINESS APPLICATIONS | 1 |
Alexander Hahn | DE | Eigeltingen-Heudorf | 2014-01-16 / 20140017094 - METERING SYSTEM | 2 |
Douglas J. Hahn | US | Los Altos | 2013-10-10 / 20130268715 - DYNAMIC BANK MODE ADDRESSING FOR MEMORY ACCESS | 5 |
Dustin Hahn | US | Carlsbad | 2013-05-16 / 20130123711 - CONTACT TRIGGER RELEASE NEEDLE GUARD | 1 |
Norbert Hahn | US | Franklin | 2015-07-30 / 20150210487 - VEHICLE RESTRAINTS WITH UNDERSIDE CATCHES | 14 |
Norbert Hahn | DE | Hunstetten-Limbach | 2012-07-12 / 20120177455 - DUST EXTRACTOR | 13 |
Norbert Hahn | DE | Huenstetten-Limbach | 2009-02-26 / 20090049651 - Vibration Dampening Mechanism For Power Tool | 1 |
Jiwon Hahn | US | Fullerton | 2014-05-01 / 20140120902 - HYBRID COMMUNICATION SYSTEM FOR SMARTPHONE/TABLET NETWORK | 1 |
Ricardo G. Hahn | US | Ojai | 2015-12-17 / 20150359550 - SURGICAL FORCEPS | 3 |
Ron Hahn | US | Yorba Linda | 2014-01-02 / 20140001279 - Auto stop fluid valve | 1 |
Stephen F. Hahn | US | San Mateo | 2013-12-19 / 20130338316 - FUNCTIONALIZED OLEFIN POLYMERS, COMPOSITIONS AND ARTICLES PREPARED THEREFROM, AND METHODS FOR MAKING THE SAME | 1 |
Douglas Edward Hahn | US | Virginia Beach | 2011-09-29 / 20110232600 - BARREL-TYPE INTERNAL COMBUSTION ENGINE AND/OR PISTON ACTUATED COMPRESSOR WITH OPTIMAL PISTON MOTION FOR INCREASED EFFICIENCY | 1 |
June Irene Hahn | US | Loveland | 2011-05-19 / 20110119201 - Virtual test market system and method | 1 |
Jin Woo Hahn | KR | Yongin | 2012-07-05 / 20120169444 - LAMINATED INDUCTOR AND METHOD OF MANUFACTURING THE SAME | 5 |
Klaus Hahn | DE | Fuerth-Burgfarrnbach | 2012-01-05 / 20120004060 - SPROCKET CHAIN | 4 |
Mi Young Hahn | KR | Seoul | 2015-05-14 / 20150133503 - PHARMACEUTICAL COMPOSITION FOR PREVENTING OR TREATING TUBERCULOSIS | 1 |
Ja-Kyung Hahn | KR | Seoul | 2015-05-14 / 20150134138 - ELECTRIC POWER MANAGEMENT | 1 |
Theodore W. Hahn | US | Kansas City | 2013-04-04 / 20130084770 - ACTION FIGURE | 1 |
Rüdiger Hahn | DE | Burscheid | 2013-05-09 / 20130115436 - INJECTION-MOLDED MULTI-COMPONENT COMPOSITE SYSTEMS HAVING IMPROVED FIRE BEHAVIOUR | 1 |
Sangwook Hahn | KR | Seoul | 2015-12-17 / 20150365119 - MOBILE TERMINAL | 1 |
Berthold Hahn | DE | Hemau | 2016-01-28 / 20160027980 - Optoelectronic Semiconductor Chip and Optoelectronic Module | 37 |
Berthold Hahn | DE | Hernau | 2008-08-28 / 20080203410 - Methods for the Production of Luminescent Diode Chips and Luminescent Diode Chip | 1 |
Franziska Hahn | DE | Stuttgart | 2015-09-17 / 20150258205 - Hydrogel Prodrugs | 1 |
Thilo Hahn | DE | Kiechheimbolanden | 2010-05-13 / 20100121109 - METHOD FOR PRODUCING ETHYLENEAMINES FROM UNTREATED AAN | 1 |
Thilo Hahn | DE | Freimersheim | 2008-09-04 / 20080214871 - Method For Producing A Xylylene Diamine | 1 |
Alfred Hahn | DE | Ludwigshafen | 2011-01-13 / 20110009380 - QUINOLINE COMPOUNDS SUITABLE FOR TREATING DISORDERS THAT RESPOND TO MODULATION OF THE SEROTONIN 5-HT6 RECEPTOR | 1 |
Karlheinz Ulrich Hahn | DE | Ludwigshafen | 2011-07-28 / 20110183883 - COMPOSITION | 2 |
Karlheinz Ulrich Gerhard Hahn | DE | Ludwigshafen | 2015-11-26 / 20150337242 - COMPOSITION | 8 |
Timothy J. Hahn | US | Cary | 2016-03-31 / 20160092178 - METHOD AND SYSTEM FOR MODEL DRIVEN DEVELOPMENT | 12 |
Klaus Karl Hahn | US | Braselton | 2011-09-22 / 20110226877 - ADJUSTABLE NOZZLE FOR PRESSURE WASHER | 7 |
Klaus K. Hahn | US | Braselton | 2010-07-29 / 20100187334 - DETERGENT INJECTOR FOR PRESSURE WASHER | 4 |
Kris Edward Hahn | US | Big Canoe | 2013-12-19 / 20130333821 - NON-VINYL RESILIENT FLOORING PRODUCT AND METHODS OF MAKING SAME | 4 |
William Hahn | US | Boston | 2011-10-06 / 20110243910 - MAMMALIAN RNA DEPENDENT RNA POLYMERASE | 1 |
Gene Beck Hahn | KR | Gyeongki-Do | 2013-01-03 / 20130003648 - Method and Apparatus for Performing Handover Procedure in Wireless Communication System | 2 |
Stephen Charles Hahn | US | Redwood City | 2011-10-06 / 20110246615 - DYNAMIC INTELLIGENT MIRROR HOST SELECTION | 1 |
Michael Hahn | DE | Krailing | 2015-10-01 / 20150272880 - SYSTEMS FOR TREATING PULMONARY INFECTIONS | 2 |
Beatrice H. Hahn | US | Birmingham | 2016-03-10 / 20160067329 - POLYVALENT VACCINE | 14 |
Wolfgang Hahn | DE | Jena | 2012-11-15 / 20120287501 - OPTICAL PLUMMET FOR USE IN GEODESY | 1 |
John S. Hahn | US | Los Altos | 2012-02-23 / 20120047216 - Method and Apparatus for Telephonically Accessing and Navigating the Internet | 1 |
Thilo Hahn | DE | Kirchheimbolanden | 2012-10-25 / 20120271090 - ISOMERIZATION OF LINEAR ALPHA-OLEFINS | 19 |
Steven Jay Hahn | US | Lake Wylie | 2009-02-19 / 20090044400 - CABLE MARKER PLACEMENT TOOL AND METHOD | 1 |
Randolph S. Hahn | US | Simpsonville | 2015-05-21 / 20150135496 - Materials and Method for Improving Corner and Edge Coverage of Solid Electrolytic Capacitors | 17 |
Randy S. Hahn | US | Simpsonville | 2010-09-30 / 20100246096 - USE OF CONJUGATED OLIGOMER AS ADDITIVE FOR FORMING CONDUCTIVE POLYMERS | 6 |
Steven J. Hahn | US | Lake Wylie | 2012-07-26 / 20120188756 - PORTABLE LED TUBE LIGHT | 2 |
Randy Hahn | US | Simpsonville | 2011-04-21 / 20110090621 - SOLID ELECTROLYTIC CAPACITORS WITH IMPROVED RELIABILITY | 1 |
Bradley Hahn | US | Sierra Vista | 2011-10-13 / 20110250603 - Methods for Sequencing Individual Nucleic Acids Under Tension | 1 |
Kersten Hahn | DE | Crailsheim | 2011-10-13 / 20110251009 - SUPERIMPOSED TRANSMISSION HAVING COUPLING SHAFTS | 1 |
Andreas Hahn | DE | Hochstetten-Dhaun | 2015-12-10 / 20150355382 - HARD ANTI-REFLECTIVE COATINGS AND MANUFACTURING AND USE THEREOF | 5 |
Andreas Hahn | DE | Berg | 2014-02-13 / 20140043271 - DISPLAY AND CONTROL DEVICE FOR MEDICAL EQUIPMENT | 4 |
Birger Hahn | DE | Kircheimbolanden | 2010-09-23 / 20100237218 - VEHICLE SEAT, ESPECIALLY MOTOR VEHICLE SEAT | 1 |
Andreas Hahn | DE | Neuenstein | 2009-02-05 / 20090031914 - Backward Motion Stop | 1 |
Jin-Oh Hahn | CA | Vancouver | 2010-01-21 / 20100016736 - Estimating Aortic Blood Pressure from Non-Invasive Extremity Blood Pressure | 1 |
Clive Hahn | GB | Oxford | 2013-08-01 / 20130197327 - ANALYTE SENSOR | 1 |
Michael Hahn | DE | Longenfeld | 2015-06-04 / 20150152050 - N-[3-(2-CARBOXYETHYL)PHENYL]PIPERIDIN-1-YLACETAMIDE DERIVATIVES AND USE THEREOF AS ACTIVATORS OF SOLUBLE GUANYLATE CYCLASE | 1 |
Alois Hahn | AT | Vienna | 2008-12-11 / 20080307206 - METHOD AND APPARATUS TO EFFICIENTLY EVALUATE MONOTONICITY | 1 |
Benjamin Phillip Hahn | US | Stevenson Ranch | 2015-12-17 / 20150360037 - LEADS, SYSTEMS, AND METHODS USING EXTERNAL PRIMARY AND INTERNAL SECONDARY POWER SOURCES | 1 |
Michael Hahn | DE | Ramberg | 2014-01-02 / 20140001750 - CONNECTING ARRANGEMENT FOR CONNECTING A FLUID LINE TO AN ASSEMBLY TO BE SUPPLIED WITH FLUID | 1 |
Alexander Hahn | DE | Braunschweig | 2015-12-17 / 20150363083 - User Interface and Method for Adapting Semantic Scaling of a Tile | 5 |
Jochem Hahn | DE | Rottenburg A. N. | 2013-08-08 / 20130199440 - MONOCRYSTALLINE SEMICONDUCTOR MATERIALS | 1 |
Adam Hahn | US | Pittsburgh | 2012-05-17 / 20120123418 - STABILIZERS FOR SURGICAL TOOLS | 4 |
Adam Hahn | US | Pittsburg | 2011-10-27 / 20110263971 - Reconfigurable Navigated Surgical Tool Tracker | 1 |
Wolfgang Hahn | DE | Neutraubling | 2015-10-01 / 20150273754 - FACILITY AND METHOD FOR PRODUCING CONTAINERS | 21 |
Wolfgang Hahn | DE | Donaustauf | 2014-10-23 / 20140316559 - APPARATUS FOR THE HANDLING OF CONTAINERS WITH A MOBILE DISPLAY | 7 |
Wolfgang Hahn | DE | Bergfelde | 2015-12-10 / 20150358248 - CONTROLLING OF VIRTUALIZED NETWORK FUNCTIONS FOR USAGE IN COMMUNICATION NETWORK | 10 |
Wolfgang Hahn | US | Washington | 2009-12-17 / 20090313149 - BUDGETARY LEDGER | 1 |
Klaus-Jürgen Hahn | DE | Villingen-Schwenningen | 2013-11-07 / 20130293149 - Intelligent lighting device with multiple luminaires, in particular free-standing luminaires or desk-mounted luminaires and a method for operating a lighting device of this type | 1 |
Geen Beck Hahn | KR | Anyang-Si | 2010-07-15 / 20100177900 - METHOD FOR PROVIDING CONFIDENTIALITY PROTECTION OF CONTROL SIGNALING USING CERTIFICATE | 1 |
Wolfgang Hahn | DE | Schwarzenbek | 2009-09-24 / 20090235710 - ADJUSTABLE KNURLING TOOL | 1 |
Gene Beck Hahn | KR | Anyang-Si | 2014-05-01 / 20140120924 - METHOD AND APPARATUS FOR SELECTING CARRIER IN COMMUNICATION SYSTEM | 16 |
Jochem Hahn | DE | Rottenburg | 2013-08-15 / 20130206056 - METHODS OF PRODUCING CRYSTALLINE SEMICONDUCTOR MATERIALS | 7 |
Wolfgang Hahn | DE | Petershausen | 2015-11-12 / 20150321631 - Energy Absorption Structure for a Motor Vehicle | 2 |
Christoph Hahn | DE | Attendorn | 2016-05-05 / 20160122889 - PRODUCING A PRODUCT FROM A FLEXIBLE ROLLED STRIP MATERIAL | 3 |
Klaus Hahn | DE | Furth | 2008-12-04 / 20080300079 - Silent Chain | 1 |
Klaus Hahn | DE | Manheim | 2009-02-05 / 20090032273 - IMPLEMENT/VEHICLE STEERING CONTROL SYSTEM AND METHOD | 1 |
Kevin Hahn | US | Vancouver | 2011-11-03 / 20110265399 - Universal block with recessed knock-out panels | 1 |
Klaus Hahn | DE | Mannheim | 2014-02-20 / 20140047810 - Self-Propelled Agricultural Harvesting Machine Having A Crop Attachment Which Is Pivotable About A Vertical Axis | 9 |
Klaus Hahn | US | Chapel Hill | 2012-06-28 / 20120165204 - Genetically Encoded Photomanipulation of Protein and Peptide Activity | 2 |
Roger C. Hahn | US | Fairfax | 2015-05-14 / 20150134262 - SYSTEMS AND METHODS FOR STORING, PROCESSING AND UTILIZING PROPRIETARY GENETIC INFORMATION | 3 |
Jang-Hee Hahn | KR | Chuncheon-Si | 2016-01-07 / 20160002308 - MICROPHTHALMIA-ASSOCIATED TRANSCRIPTION FACTOR-DERIVED PEPTIDE AND COMPOSITION CONTAINING SAME | 2 |
George E. Hahn | US | Cardiff By The Sea | 2016-05-05 / 20160120187 - Cartridge for foliar applications | 1 |
Jisook Hahn | KR | Seoul | 2016-01-28 / 20160024539 - YEAST HAVING IMPROVED PRODUCT PRODUCTIVITY AND METHOD OF PRODUCING PRODUCT | 2 |
Woo Ghee Hahn | KR | Jeollanam-Do | 2014-11-27 / 20140347935 - METHOD OF PROVIDING AN OPERATING VOLTAGE IN A MEMORY DEVICE AND A MEMORY CONTROLLER FOR THE MEMORY DEVICE | 1 |
Horst Hahn | DE | Seeheim-Jugenheim | 2016-01-28 / 20160027890 - ELECTROCHEMICALLY-GATED FIELD-EFFECT TRANSISTOR AND METHOD FOR ITS MANUFACTURE | 9 |
Young Ki Hahn | KR | Seoul | 2015-04-02 / 20150090674 - BLOOD FILTER APPARATUS FOR SEPARATING PLASMA OR SERUM FROM BLOOD AND USE OF THE BLOOD FILTER APPARATUS | 5 |
Horst Hahn | DE | Bremen | 2014-02-27 / 20140056502 - IMAGE PROCESSING DEVICE FOR FINDING CORRESPONDING REGIONS IN TWO IMAGE DATA SETS OF AN OBJECT | 3 |
Klaus Hahn | DE | Mannheim | 2014-02-20 / 20140047810 - Self-Propelled Agricultural Harvesting Machine Having A Crop Attachment Which Is Pivotable About A Vertical Axis | 9 |
Tae Ryong Hahn | KR | Yongin-Si | 2015-07-09 / 20150191532 - Antibody For Epitope Tagging, Hybridoma Cell Line and Uses Thereof | 2 |
Brian M. Hahn | US | Mount Prospect | 2012-07-12 / 20120176124 - Method and Apparatus for a Half-Bridge Variable Differential Transformer Position Sensing System | 1 |
Wolfgang Hahn | DE | Bürgel | 2015-09-10 / 20150253533 - OPTICAL GUIDE SYSTEM FOR GUIDING AT LEAST ONE OPTICAL LENS | 2 |
Björn Thomas Hahn | DE | Mannheim | 2013-05-30 / 20130137737 - HIGHLY CRYSTALLINE VALSARTAN | 1 |
Björn Thomas Hahn | DE | Mannheim | 2013-05-30 / 20130137737 - HIGHLY CRYSTALLINE VALSARTAN | 1 |
Alfred Hahn | DE | Mannheim | 2016-02-04 / 20160032000 - BLOOD-BRAIN-BARRIER DUAL VARIABLE DOMAIN IMMUNOGLOBULINS AND USES THEREOF | 7 |
Randolph R. Hahn | US | Simpsonville | 2014-11-20 / 20140340819 - Solid Electrolytic Capacitor and Improved Method for Manufacturing a Solid Electrolytic Capacitor | 1 |
Victor C. Hahn | US | Roanoke | 2015-07-02 / 20150187211 - Method to reduce automobile traffic in a city or in a large city | 1 |
Jens Hahn | DE | Dresden | 2016-05-12 / 20160133560 - Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof | 6 |
Harald Hahn | DE | Dresden | 2015-04-16 / 20150101661 - SOLAR CELL CONTACT STRUCTURE | 12 |
Hun-Joo Hahn | KR | Seongnam-Si | 2011-01-06 / 20110001693 - BACKLIGHT UNIT FOR LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Sei Kwang Hahn | KR | Pohang-Si | 2015-06-04 / 20150150994 - LIVER TARGETED DRUG DELIVERY SYSTEMS USING METAL NANOPARTICLES AND PREPARING METHOD THEREOF | 6 |
Jang-Hee Hahn | KR | Gangwon-Do | 2015-09-10 / 20150252073 - PEPTIDES HAVING NF-KB INHIBITORY ACTIVITY, OR COMPOSITION COMPRISING SAME | 2 |
Tae Ryoung Hahn | KR | Gyeonggi-Do | 2010-11-11 / 20100287664 - Genes for enhancing resistance to magnaporthe oryzae and uses thereof | 1 |
Woong Hahn | KR | Goyang | 2010-04-29 / 20100105878 - Hybrid Hepatocyte Growth Factor Gene Having High Expression Efficiency of Two Heterotypes of Hepatocyte Growth Factor | 1 |
Stephen F. Hahn | US | Midland | 2011-06-09 / 20110133245 - MELT-PROCESSABLE, INJECTION-MOLDABLE THERMOPLASTIC POLYMER COMPOSITION AND SEMI-CONDUCTIVE DEVICES FABRICATED THEREWITH | 9 |
Sei-Kwang Hahn | KR | Kyungsangbukdo | 2011-05-12 / 20110111477 - LONG ACTING FORMULATION OF BIOPHARMACEUTICAL | 2 |
Ho Chahn Hahn | KR | Suwon-Si | 2010-09-30 / 20100247868 - POLYURETHANE POROUS PRODUCT AND MANUFACTURING METHOD THEREOF AND POLISHING PAD HAVING POLYURETHANE POROUS PRODUCT | 1 |
Sei-Kwang Hahn | KR | Pohang-City | 2010-08-19 / 20100210509 - LONG ACTING HYALURONIC ACID - PEPTIDE CONJUGATE | 2 |
Dong Hoon Hahn | KR | Gyeonggi-Do | 2010-08-12 / 20100203934 - METHOD OF PROVIDING GAME AND SYSTEM THEREOF | 1 |
Wook-Ghee Hahn | KR | Hwaseong-Si | 2013-09-26 / 20130250691 - METHOD OF PROVIDING AN OPERATING VOLTAGE IN A MEMORY DEVICE AND A MEMORY CONTROLLER FOR THE MEMORY DEVICE | 4 |
Woong Hahn | KR | Seongnam-City | 2009-12-17 / 20090312216 - Photoresist Stripper Composition for Semiconductor Manufacturing | 1 |
Chang Hie Hahn | KR | Gyeongsangnam-Do | 2009-10-22 / 20090261265 - APPARATUS AND METHOD FOR ARRAY GEM DIGITAL IMAGING RADIATION DETECTOR | 2 |
Woong Hahn | KR | Goyang-Si | 2011-07-07 / 20110166211 - LYOPHILIZED DNA FORMULATIONS FOR ENHANCED EXPRESSION OF PLASMID DNA | 2 |
Sun Hwa Hahn | KR | Daejeon-Si | 2009-10-08 / 20090254644 - System of Managing Connection to Web Services and Method Thereof | 2 |
Sei Kwang Hahn | KR | Gyeongsangbuk-Do | 2011-09-29 / 20110237542 - COMPOSITION FOR PREVENTING ADHESION | 2 |
Woong Hahn | KR | Kyeonggi-Do | 2009-08-13 / 20090202606 - Treatment and Prevention of Cardiac Conditions Using Two or More Isoforms of Hepatocyte Growth Factor | 1 |
Jung Seok Hahn | KR | Seongnam-Si | 2014-02-20 / 20140048318 - COMPOSITION, ANTI-OXIDE FILM INCLUDING THE SAME, ELECTRONIC COMPONENT INCLUDING THE ANTI-OXIDE FILM, AND METHODS FOR FORMING THE ANTI-OXIDE FILM AND ELECTRONIC COMPONENT | 10 |
Yoon-Bong Hahn | KR | Jeonju-Si | 2009-07-16 / 20090178925 - Chemical Sensor Based on Zinc Oxide Nanostructures for Detection of Hydrazine | 1 |
Kyeong Ho Hahn | KR | Gyeonggi-Do | 2009-06-04 / 20090141379 - MULTIVIEW AND MULTIANGLE IMAGE RECONSTRUCTION DEVICE | 2 |
Jong-Hoon Hahn | KR | Pohang | 2011-07-21 / 20110177563 - HIGH THROUGHPUT DEVICE FOR PERFORMING CONTINUOUS-FLOW REACTIONS | 2 |
Norman Jacob Hahn | US | Evergreen | 2015-10-08 / 20150285568 - RADIATOR SYSTEMS | 2 |
Joe-Oong Hahn | KR | Suwon-Si | 2009-04-09 / 20090091260 - Protective layer, method of manufacturing the same, and plasma display panel including the same | 2 |
Sung Hong Hahn | KR | Ulsan-City | 2008-10-30 / 20080266641 - GPS Anti-Dazzling System and Method for Vehicles | 1 |
Jung Seok Hahn | KR | Seongam-Si | 2008-10-02 / 20080241549 - Method for forming UV-patternable conductive polymer film and conductive polymer film formed by the same | 1 |
Jae-Won Hahn | KR | Seoul | 2015-07-30 / 20150211924 - DEVICE FOR PREVENTING INTENSITY REDUCTION OF OPTICAL SIGNAL, OPTICAL EMISSION SPECTROMETER, OPTICAL INSTRUMENT, AND MASS SPECTROMETER INCLUDING THE SAME | 9 |
Byung-Dong Hahn | KR | Gyeongsangnam-Do | 2011-11-17 / 20110281127 - PREPARATION METHOD OF HYDROXYAPATITE COATING LAYER USING AEROSOL DEPOSITION AND HYDROTHERMAL TREATMENT, AND NANOSTRUCTURED HYDROXYAPATITE COATING LAYER PREPARED BY THE METHOD | 1 |
Wook Ghee Hahn | KR | Jeollanam-Do | 2015-11-12 / 20150325304 - METHOD OF PROVIDING AN OPERATING VOLTAGE IN A MEMORY DEVICE AND A MEMORY CONTROLLER FOR THE MEMORY DEVICE | 1 |
Genebeck Hahn | KR | Anyang-Si, Gyeonggi-Do | 2015-11-12 / 20150327048 - METHOD AND USER EQUIPMENT FOR PERFORMING D2D SERVICE IN WIRELESS COMMUNICATION SYSTEM | 1 |
Hans-Ulrich Hahn | DE | Neustadt | 2013-08-01 / 20130193007 - PACKAGING AND METHODS OF PACKAGING PARTICULATE SOLIDS | 1 |
Susan E. Hahn | CA | Toronto | 2012-08-09 / 20120201751 - Cytotoxicity Mediation of Cells Evidencing Surface Expression of CD44 | 43 |
Michael Anthony Hahn | AU | Frenchs Forest | 2013-11-07 / 20130295584 - HISTONE PROTEIN UBIQUITINATION AS A CANCER BIOMARKER | 1 |
Byung-Dong Hahn | KR | Changwon-Si | 2013-11-07 / 20130295272 - Granules of a Brittle Material for Room Temperature Granule Spray in Vacuum, and Method for Forming a Coating Film Using Same | 1 |
Genebeck Hahn | KR | Anyang | 2012-05-31 / 20120134318 - METHOD AND APPARATUS OF PAGING AN IDLE MODE STATION IN MULTI-HOP RELAY COMMUNICATION SYSTEM | 1 |
Christoph Hahn | DE | Wuppertal | 2009-11-05 / 20090275253 - Staple fiber yarn, textile fabric comprising the staple fiber yarn and articles comprising the textile fabric | 1 |
Christoph Hahn | AT | Linz | 2012-11-01 / 20120277429 - Melamine Based Mannich-Compounds and a Process for Obtaining the Same | 3 |
Wilhelm Steffen Hahn | US | Sunnyvale | 2015-11-19 / 20150333847 - SYSTEMS AND METHODS FOR FREQUENCY-ISOLATED SELF-INTERFERENCE CANCELLATION | 1 |
Michael Hahn | CH | Au | 2015-12-10 / 20150353121 - DEVICE FOR GUIDING A BODY AND STEERING COLUMN FOR A MOTOR VEHICLE | 3 |
Mariah S. Hahn | US | College Station | 2014-06-12 / 20140163205 - DESIGNER COLLAGENS AND USE THEREOF | 2 |
Horst Hahn | DE | Seeheim-Jugenheim | 2016-01-28 / 20160027890 - ELECTROCHEMICALLY-GATED FIELD-EFFECT TRANSISTOR AND METHOD FOR ITS MANUFACTURE | 9 |
Thorsten Hahn | DE | Gau-Odernheim | 2012-07-26 / 20120186377 - TRANSMISSION SHIFT DEVICE | 11 |
Michael Hahn | DE | Langenfeld | 2015-06-25 / 20150174113 - SUBSTITUTED AMINOINDANE- AND AMINOTETRALINECARBOXYLIC ACIDS AND THE USE THEREOF | 19 |
Christoph Hahn | DE | Sprendlingen | 2012-04-19 / 20120090153 - METHOD AND TOOL FOR THE CYLINDRICAL DEFORMATION OF AN AL SLEEVE TO THE CORE DIMENSION OF THE INTERNAL PLASTIC CLOSURE, AS PREPARATION FOR A DIFFUSION-PROOF PRESS CONNECTION WITHIN THE TWO COMPONENTS | 2 |
Christoph Hahn | AU | Linz | 2010-11-18 / 20100292409 - Triazine Derivatives and a Method for Their Production | 1 |
Peter Hahn | DE | Hilden | 2016-02-25 / 20160053255 - METHOD FOR TRANSFECTING A EUKARYOTIC CELL | 2 |
Christoph Hahn | DE | Neustadt | 2016-01-28 / 20160023434 - PROCESS FOR THE PRODUCTION OF A THERMOPLASTIC FOAM SHEET VIA SYMMETRICAL BONDING OF THE INPUT SHEETS | 2 |
Peter Hahn | DE | Bergisch Gladbach | 2015-08-06 / 20150218552 - RNAI BASED SELECTION SYSTEM | 4 |
Peter Hahn | DE | Mannheim | 2010-03-04 / 20100058206 - User Interface Configuration Tool | 1 |
Terry G. Hahn | US | Los Altos | 2016-02-11 / 20160042194 - SYSTEM AND METHOD FOR SECURE MULTI-TENANCY IN OPERATING SYSTEM OF A STORAGE SYSTEM | 1 |
Peter Hahn | DE | Kurten | 2010-04-22 / 20100099191 - RNA INTERFERENCE TAGS | 1 |
Peter Hahn | DE | Obrigheim | 2013-06-20 / 20130157863 - MICROCAPSULE DISPERSION COMPRISING MICROCAPSULES WITH A HYDROPHILIC CAPSULE CORE | 2 |
Joonku Hahn | KR | Daegu | 2013-08-15 / 20130208328 - HOLOGRAPHIC DISPLAY APPARATUS CAPABLE OF STEERING VIEW WINDOW | 1 |
Yehuda Hahn | IL | Ofra | 2011-12-01 / 20110296061 - Apparatus, Methods, And Computer-Code For Handling An Impending Decoupling Between A Peripheral Device And A Host Device | 1 |
Jacque Hahn | US | St. Johns | 2013-11-07 / 20130292317 - Stormwater Catch Basin Filter for Capturing Heavy Metal Contaminants | 1 |
Jinwoo Hahn | KR | Suwon-Si | 2014-01-16 / 20140018228 - GLASS POWDER AND METHOD OF MANUFACTURING THE SAME | 2 |
Kwang Soo Hahn | KR | Seoul | 2015-01-22 / 20150022161 - ELECTRIC VEHICLE AND METHOD OF OPERATING SAME | 5 |
Karlheinz Ulrich Gerhard Hahn | DE | Ludwigshafen | 2015-11-26 / 20150337242 - COMPOSITION | 8 |
Steven E. Hahn | US | Burien | 2013-08-15 / 20130209901 - FUEL CELL COGENERATION SYSTEM | 1 |
Michael Hahn | US | Chicago | 2012-12-20 / 20120318028 - BIPOD LOCK | 1 |
Ruediger Hahn | DE | Burscheid | 2012-12-06 / 20120308829 - FLAME-PROTECTED ARTICLE HAVING A HIGH LEVEL OF TRANSMISSION | 1 |
Klaus Hahn | DE | Furth-Burgfarmbach | 2013-01-03 / 20130000579 - INTERNAL COMBUSTION ENGINE HAVING TWO LUBRICATING CHAMBERS SEPARATED FROM ONE ANOTHER IN A FLUID-TIGHT MANNER | 1 |
Eric Hahn | US | Washington | 2014-10-23 / 20140312156 - BASE AND SPOOL FOR MANAGING HOSE | 1 |
Dustan Hahn | US | Williamsburg | 2015-12-31 / 20150373904 - ROW UNIT WITH TRACKS | 10 |
Louis T. Hahn | US | Waxahachie | 2010-05-20 / 20100124606 - Method of Manufacturing a Multiple Layer Directionally Oriented Nonwoven Fiber Material | 5 |
Loren L. Hahn | US | Cedar Creek | 2009-12-10 / 20090302431 - METHOD OF ACCESSING SEMICONDUCTOR CIRCUITS FROM THE BACKSIDE USING ION-BEAM AND GAS-ETCH | 1 |
Paul S. Hahn | US | Prosper | 2015-01-22 / 20150022624 - Supporting Enhanced Media Communications Using a Packet-Based Communication Link | 2 |
Chang Su Hahn | KR | Chungcheongnam-Do | 2012-01-26 / 20120020829 - HEAT-RESISTANT ALUMINUM ALLOY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Carl Hahn | US | Sugar Land | 2013-01-24 / 20130020248 - Toroidal Filter Vessel System | 2 |
Kevin Hahn | US | Missouri City | 2009-07-02 / 20090170748 - Plasmid mediated supplementation for treating chronically ill subjects | 1 |
Mark J. Hahn | US | Stow | 2015-01-29 / 20150032795 - ONE TOUCH MACHINE TO MACHINE DEVICE CONNECTION | 16 |
Lou Hahn | US | Waxahachie | 2011-12-01 / 20110289879 - Roofing Material Fasteners, Applicators And Method Of Installation | 2 |
Jongsok Hahn | KR | Daejeon | 2013-09-12 / 20130237674 - ADVANCED TRANSITION METAL CATALYTIC SYSTEMS IN TERMS OF COMONOMER INCORPORATIONS AND METHODS FOR PREPARING ETHYLENE HOMOPOLYMERS OR COPOLYMERS OF ETHYLENE AND ALPHA-OLEFINS USING THE SAME | 9 |
Paul S. Hahn | US | Plano | 2012-05-17 / 20120120797 - Method and System for Managing Time-Sensitive Packetized Data Streams at a Receiver | 2 |
Stephen F. Hahn | US | Lake Jackson | 2013-04-04 / 20130085234 - FUNCTIONALIZED ETHYLENE/ALPHA-OLEFIN INTERPOLYMER COMPOSITIONS | 5 |
Hong Thomas Hahn | KR | Seoul | 2013-01-31 / 20130025671 - METHOD FOR MANUFACTURING LIGHT-ABSORPTION LAYER FOR SOLAR CELL, METHOD FOR MANUFACTURING THIN FILM SOLAR CELL USING THE SAME, AND THIN FILM SOLAR CELL USING THE SAME | 1 |
Stephen J. Hahn | US | Shoreview | 2013-12-26 / 20130345591 - METHOD FOR DETECTING VAGUS CAPTURE | 8 |
Chang Hahn | US | Bridgewater | 2011-12-08 / 20110301125 - Method for Treating Macular Degeneration | 1 |
Mikyoung Hahn | KR | Seoul | 2016-02-04 / 20160030357 - COMPOSITION FOR MANUFACTURING ORALLY DISINTEGRATING DOSAGE FORM TO PROTECT COATING LAYER OF ACTIVE SUBSTANCE | 3 |
Do-Hee Hahn | KR | Seoul | 2010-05-06 / 20100108207 - MANUFACTURING METHOD OF HIGH STRENGTH FERRITIC/MARTENSITIC STEELS | 1 |
Jae-Won Hahn | KR | Seoul | 2015-07-30 / 20150211924 - DEVICE FOR PREVENTING INTENSITY REDUCTION OF OPTICAL SIGNAL, OPTICAL EMISSION SPECTROMETER, OPTICAL INSTRUMENT, AND MASS SPECTROMETER INCLUDING THE SAME | 9 |
Jang-Hee Hahn | KR | Seoul | 2010-08-05 / 20100197576 - Polypeptides or fusion proteins thereof inhibiting transmigration of leucocytes or growth and/or metastasis of cancer cells | 1 |
Gregory William Hahn | US | Mt. Washington | 2014-03-13 / 20140072462 - LINEAR COMPRESSOR | 2 |
David Hahn | KR | Seoul | 2010-07-22 / 20100182264 - Mobile Device Equipped With Touch Screen | 1 |
Hoh-Gyu Hahn | KR | Seoul | 2014-06-19 / 20140171402 - AZETIDINE DERIVATIVE AND ANTIDEPRESSANT COMPOSITION INCLUDING THE SAME | 7 |
Seung-Yong Hahn | US | Chestnut Hill | 2015-07-30 / 20150213930 - No-Insulation Multi-Width Winding for High Temperature Superconducting Magnets | 1 |
Gyung-Hee Hahn | KR | Seoul | 2009-07-09 / 20090173758 - HANGER FOR GARMENT STEAMER | 1 |
Woong Hahn | KR | Seoul | 2009-05-21 / 20090131350 - Hybrid Hepatocyte Growth Factor Gene Having High Expression Efficiency of Two Heterotypes of Hepatocyte Growth Factor | 1 |
Hi Hyeong Hahn | KR | Seoul | 2009-01-15 / 20090017915 - METHOD FOR CHARACTER SERVICE FOR DUPLEX COMMUNICATION | 1 |
Pai Hun Hahn | KR | Seoul | 2008-10-30 / 20080264732 - Elevator System and Method of Monitoring Elevators by Using a Virtual Elevator Group | 1 |
Joosun Hahn | KR | Seoul | 2010-05-06 / 20100115190 - SYSTEM AND METHOD FOR PROCESSING READ REQUEST | 1 |
Mona Hahn | DE | Luneburg | 2015-11-26 / 20150335757 - COLOURED DISINFECTANT PREPARATION BASED ON BISPYRIDINIUMALKANE | 1 |
Patrick Hahn | US | San Francisco | 2014-05-22 / 20140143687 - Computer Peripheral Display and Communication Device Providing an Adjunct 3d User Interface | 1 |
Benjamin Philip Hahn | US | Stevenson Ranch | 2015-08-27 / 20150238770 - MULTI-LAYER COVERING FOR CONTROL MODULES OF ELECTRICAL IMPLANTABLE MEDICAL DEVICES AND METHODS OF MAKING AND USING | 1 |
Choon Soo Hahn | KR | Jeollabuk-Do | 2016-05-19 / 20160138212 - Heat Insulation Composition For Improving Heat Insulation And Soundproofing Functions, Containing Aerogel, And Method For Manufacturing Heat Insulation Fabric By Using Same | 1 |
Klaus Hahn | DE | Obereichenbach | 2014-10-09 / 20140299439 - ACTUATOR DEVICE FOR ACTUATING A COUPLING MECHANISM | 5 |
Wolfram Hahn | DE | Friedrichshafen | 2011-12-15 / 20110303729 - DRIVING DEVICE | 1 |
Theodore Alexander Hahn | US | Clifton Park | 2014-07-24 / 20140202551 - MOBILE FLUID DISPENSING APPARATUS, SYSTEM, AND METHOD OF USE THEREOF. | 1 |
Jin Woo Hahn | KR | Gyeonggi-Do | 2013-06-27 / 20130162382 - CHIP INDUCTOR AND METHOD FOR MANUFACTURING THE SAME | 5 |
Ulrich Hahn | DE | Neustadt | 2009-09-24 / 20090240347 - OPERATING METHOD FOR A CONTROL DEVICE OF A SAFETY-ORIENTED AUTOMATION DEVICE FOR CHECKING THE RELIABILITY OF AN AUTOMATION SYSTEM | 1 |
Ulrich Hahn | DE | Neustadt/a. | 2016-01-07 / 20160004647 - METHOD AND CIRCUIT ARRANGEMENT FOR ACCESSING SLAVE UNITS IN A SYSTEM ON CHIP IN A CONTROLLED MANNER | 4 |
Ulrich Hahn | DE | Neustadt /a | 2011-06-30 / 20110161906 - SIGNAL CONVERSION FACILITY AND METHOD FOR CREATING PROGRAMMING FOR A SIGNAL CONVERSION FACILITY | 1 |
Ulrich Hahn | DE | Lebach | 2011-02-24 / 20110043189 - Method for the Secure Acquisition of Multiple Analog Input Signals, Analog Input Circuit, and Measuring Sensor and Measuring Transducer Having an Analog Input Circuit of This Type | 3 |
Christopher Hahn | US | Apex | 2009-03-19 / 20090075600 - IMPLEMENTING HARDWARE/SOFTWARE RESET USING PC CARD W_DISABLE LINE | 1 |
Timothy James Hahn | US | Cary | 2009-01-29 / 20090028339 - Auto-Configuration of a Drive List for Encryption | 1 |
Timothy J. Hahn | US | Cary | 2016-03-31 / 20160092178 - METHOD AND SYSTEM FOR MODEL DRIVEN DEVELOPMENT | 12 |
Joseph Hahn | US | Angier | 2010-04-08 / 20100083609 - Split Columns with Locating Features | 1 |
John Hahn | US | Apple Valley | 2009-07-23 / 20090187035 - Process for production of fatty acid alkyl esters | 1 |
Patricia W. Hahn | US | Plymouth | 2010-08-26 / 20100215805 - BARRIER-COATED INGREDIENT FOR READY-TO-BAKE COOKIE DOUGH | 2 |
John J. Hahn | US | Plymouth | 2011-01-13 / 20110010154 - SYSTEM AND METHOD FOR OPTIMIZING ANIMAL PRODUCTION | 1 |
John Joseph Hahn | US | Maple Grove | 2012-11-15 / 20120289687 - METHODS TO TREAT MIXTURES OF GLYCOSIDES TO OBTAIN ONE OR MORE OF THESE GLYCOSIDES IN MORE PURE FORM | 3 |
John J. Hahn | US | Maple Grove | 2010-04-22 / 20100099857 - METHOD OF PRODUCING PURIFIED REBAUDIOSIDE A COMPOSITIONS USING SOLVENT/ANTISOLVENT CRYSTALLIZATION | 1 |
Stephen J. Hahn | US | Shoreview | 2013-12-26 / 20130345591 - METHOD FOR DETECTING VAGUS CAPTURE | 8 |
Elliot Hahn | US | Miami | 2012-08-09 / 20120201883 - ANTIVIRAL COMPOSITONS | 1 |
Norbert Hahn | DE | Frechen | 2013-11-07 / 20130296450 - METHOD FOR PRODUCING POLYETHER CARBONATE POLYOLS HAVING PRIMARY HYDROXYL END GROUPS AND POLYURETHANE POLYMERS PRODUCED THEREFROM | 4 |
Seung Jin Hahn | KR | Suwon-Si | 2016-02-04 / 20160036254 - CHARGING CONTROL METHOD AND ELECTRONIC DEVICE FOR SUPPORTING THE SAME | 1 |
Dongwoon Hahn | US | San Jose | 2016-02-04 / 20160033617 - System and Methods for Location Determination in MIMO Wireless Networks | 2 |
Ferdinand Hahn | DE | Diessen | 2008-11-13 / 20080277974 - Locking Device for a Vehicle Part | 1 |
Randolph S. Hahn | US | Simpsonville | 2015-05-21 / 20150135496 - Materials and Method for Improving Corner and Edge Coverage of Solid Electrolytic Capacitors | 17 |
Dustan Hahn | US | Williamsburg | 2015-12-31 / 20150373904 - ROW UNIT WITH TRACKS | 10 |
John J. Hahn | US | Apple Valley | 2016-03-03 / 20160060204 - RECOVERY OF 3-HYDROXYPROPIONIC ACID | 2 |
Kevin A. Hahn | US | Topeka | 2014-10-23 / 20140314819 - COMPOSITIONS AND METHODS FOR TREATING DENTAL CONDITIONS | 1 |
Min-Soo Hahn | KR | Daejeon | 2013-12-05 / 20130324859 - METHOD FOR PROVIDING INFORMATION FOR DIAGNOSING ARTERIAL STIFFNESS | 2 |
Amy Annette Hahn | US | Morrisville | 2014-09-18 / 20140282106 - SYSTEMS AND METHODS FOR GESTURE-BASED SHARING OF DATA BETWEEN SEPARATE ELECTRONIC DEVICES | 1 |
Siegfried Hahn | DE | Illingen | 2010-11-18 / 20100293311 - DEVICE AND METHOD FOR MANIPULATING COMMUNICATION MESSAGES | 2 |
Woong Hahn | KR | Gyeonggi-Do | 2012-01-12 / 20120010273 - Hybrid Hepatocyte Growth Factor Gene Having High Expression Efficiency of Two Heterotypes of Hepatocyte Growth Factor | 1 |
Scott D. Hahn | US | Beaverton | 2014-07-03 / 20140189704 - HETERGENEOUS PROCESSOR APPARATUS AND METHOD | 7 |
Gene Beck Hahn | KR | Gyeonggi-Do | 2014-06-05 / 20140155062 - Method and Apparatus for Selecting Carrier in Wireless Communication System | 15 |
Scott D. Hahn | US | Portland | 2015-04-02 / 20150095614 - APPARATUS AND METHOD FOR EFFICIENT MIGRATION OF ARCHITECTURAL STATE BETWEEN PROCESSOR CORES | 4 |
Harald Hahn | DE | Dresden | 2015-04-16 / 20150101661 - SOLAR CELL CONTACT STRUCTURE | 12 |
Thomas Hahn | DE | Schöneck | 2015-12-03 / 20150345806 - HOT AND COLD TEMPERATURE SUPPLY DEVICE | 1 |
Gregory William Hahn | US | Mount Washington | 2016-04-28 / 20160115948 - LINEAR COMPRESSOR AND A SPRING ASSEMBLY | 9 |
Shira Hahn | US | Glenview | 2016-01-28 / 20160029133 - HEARING AID WITH DETACHABLE BATTERY COMPARTMENT | 1 |
Jooyoung Hahn | SG | Singapore | 2012-09-06 / 20120224781 - METHODS AND SYSTEMS FOR GENERATING ENHANCED IMAGES USING EULER'S ELASTICA MODEL | 1 |
Wolfgang Hahn | DE | Neutraubling | 2015-10-01 / 20150273754 - FACILITY AND METHOD FOR PRODUCING CONTAINERS | 21 |
David Eugene Hahn | US | Elberfeld | 2015-03-19 / 20150075016 - AUTOMATIC PIN ADJUSTMENT INDICATOR FOR ARCHERY SIGHTS | 5 |
Michael Hahn | DE | Essen | 2009-01-22 / 20090023019 - BIDIRECTIONAL WATER SEPARATOR | 1 |
Alexander Hahn | DE | Roettenbach | 2015-09-17 / 20150259809 - BIPOLAR PLATE FOR AN ELECTROLYZER, ELECTROLYZER AND METHOD FOR PRODUCING A BIPOLAR PLATE | 5 |
Alexander Hahn | DE | Biebesheim | 2016-04-21 / 20160108317 - DEVICE FOR CONTROLLING THE PASSAGE OF ENERGY, CONTAINING A DICHROIC DYE COMPOUND | 5 |
Alexander Hahn | DE | Rottenbach | 2014-12-11 / 20140365150 - METHOD AND DEVICE FOR DETERMINING A CHARGE STATE OF AN ELECTRIC ENERGY STORE | 5 |
Alexander Hahn | DE | Gross-Gerau | 2010-04-29 / 20100102274 - Chroman Compounds | 1 |
Alexander Hahn | DE | Elgeltigen-Heudorf | 2009-08-13 / 20090200879 - ELECTRONICALLY COMMUTATED MOTOR | 1 |
Paul V. Hahn | US | Durham | 2015-12-03 / 20150342460 - IMAGING AND VISUALIZATION SYSTEMS, INSTRUMENTS, AND METHODS USING OPTICAL COHERENCE TOMOGRAPHY | 1 |
Matthias Hahn | DE | Tübingen | 2015-12-03 / 20150343024 - USE OF ACTIVE SUBSTANCE COMBINATIONS FOR INDUCING TUMOUR SENESCENCE | 1 |
Michael Hahn | DE | Wallenhorst | 2010-09-02 / 20100221061 - JOINT ARRANGEMENT FOR A VEHICLE | 1 |
John Timothy Hahn | US | Merrill | 2014-10-02 / 20140296816 - Absorbent Article | 8 |
Chang Hahn | US | Chestnut Hill | 2015-12-03 / 20150346192 - Intracellular Phenotypic Screening | 1 |
Michael Hahn | DE | Hamburg | 2008-09-25 / 20080230350 - Device for Delivering Packets Comprising a Pouring Spout | 1 |
Michael Hahn | DE | Krailling | 2014-11-20 / 20140343005 - AQUEOUS COMPOSITIONS COMPRISING ARBEKACIN | 3 |
Bettina Hahn | DE | Edingen | 2012-01-05 / 20120003680 - ASSEMBLY OF ABSOLUTELY QUANTIFIED PEPTIDE AND PHOSPHOPEPTIDE SOLUTIONS VIA ELEMENT MASS SPECTROMETRY | 1 |
Gene Beck Hahn | KR | Seoul | 2014-07-17 / 20140199982 - METHOD AND APPARATUS FOR TRANSMITTING INDICATION OF CELL STATE IN WIRELESS COMMUNICATION SYSTEM | 2 |
Dean W. Hahn-Carlson | US | St. Paul | 2010-03-04 / 20100057595 - AUTOMATED TRANSACTION PROCESSING SYSTEM AND APPROACH | 3 |
Dean W. Hahn-Carlson | US | Lilydale | 2012-06-21 / 20120158558 - AUTOMATED TRANSACTION ACCOUNTING PROCESSING ENGINE AND APPROACH | 15 |
Michael Hahne | FR | Montpellier | 2011-10-20 / 20110256090 - Method for Identifying Genes Involved in Trail-Induced Apoptosis and Therapeutic Applications Thereof | 1 |
Uwe Hahne | DE | Buettelborn | 2015-01-22 / 20150022378 - COMPUTER PROGRAM PRODUCT AND DRIVER ASSISTANCE SYSTEM FOR A VEHICLE | 15 |
Bernd Hahne | DE | Braunschweig | 2014-05-15 / 20140130495 - METHOD FOR OPERATING AN INTERNAL COMBUSTION ENGINE HAVING HIGH PRESSURE AND LOW PRESSURE EXHAUST GAS RECIRCULATION | 1 |
Uwe Hahne | IT | Buettelborn | 2015-06-04 / 20150151790 - DRIVER ASSISTANCE DEVICE | 1 |
Lukas Hahne | CH | Allschwil | 2010-11-11 / 20100285228 - IMPRESSION ROLLER AND USE OF THE SAME | 1 |
Kalub Hahne | US | West Lafayette | 2015-12-17 / 20150359957 - AXIALLY SPLIT FOAM PERITONEAL DIALYSIS CATHETER DESIGN WITH FUNCTIONAL COATINGS | 1 |
Jonas Hahne | HK | Kowloon | 2013-03-07 / 20130060519 - ENCODER TO DETERMINE THE POSITION OF A PISTON IN A HYDRAULIC OR A PNEUMATIC CYLINDER | 1 |
Uwe Hahne | DE | Buettelborn | 2015-01-22 / 20150022378 - COMPUTER PROGRAM PRODUCT AND DRIVER ASSISTANCE SYSTEM FOR A VEHICLE | 15 |
Oliver Hahne | DE | Lenting | 2015-12-31 / 20150375733 - METHOD FOR OPERATING A MOTOR VEHICLE HAVING A HYBRID DRIVE | 1 |
Manfred Hahne | DE | Hirschberg | 2016-05-19 / 20160140576 - DETERMINING PUBLISHING DATE FOR MARKET REPORTING | 1 |
Uwe Hahne | DE | Mauchenheim | 2015-02-19 / 20150051780 - DRIVER ASSISTANCE SYSTEM AND METHOD FOR OPERATING A DRIVER ASSISTANCE SYSTEM | 1 |
Bruce Mathieu Hahne | US | Sunnyvale | 2010-06-24 / 20100157997 - Method and System for Optimizing Routing of Data Packets | 1 |
Helmut Hahnel | DE | Duisburg | 2014-06-26 / 20140179894 - PROCESS FOR PREPARING BRANCHED POLYETHERCARBONATES AND USE THEREOF | 1 |
Thomas Hahnel | DE | Berlin | 2015-07-16 / 20150200065 - Short-Circuit Shutdown Switch | 1 |
Craig Arthur Hahnel | AU | South Yarra | 2009-03-19 / 20090071043 - Mounting System for Excavation Buckets and Implements | 1 |
Johannes Hahnel | DE | Zwickau | 2016-03-03 / 20160057934 - HARVESTING MACHINE HEADER CONNECTION | 1 |
Hans Boris Hahnen | DE | Kamp-Lintfort | 2011-03-03 / 20110049926 - ROLLER CARRIAGE FOR A SLIDING BOW ROOF FOR A TRUCK OR TRUCK TRAILER | 2 |
Kevin F. Hahnen | US | Center Ossipee | 2015-12-24 / 20150366658 - MASK CONFIGURED TO MAINTAIN NUTRIENT TRANSPORT WITHOUT PRODUCING VISIBLE DIFFRACTION PATTERNS | 1 |
Hans Bods Hahnen | DE | Kamp Lintfort | 2010-02-04 / 20100026036 - SLIDING-BOW TARPAULIN SYSTEM | 1 |
Kevin F. Hahnen | US | Duluth | 2012-04-12 / 20120089215 - METHODS AND APPARATUS FOR LEAD PLACEMENT ON A SURFACE OF THE HEART | 2 |
Bernhard Hahner | DE | Petersberg-Bockels | 2015-04-23 / 20150110577 - CENTRING DEVICE | 1 |
Thomas Hahner | DE | Dusseldorf | 2009-12-10 / 20090301097 - Method and Device for Regulating the Operating Line of a Gas Turbine Combustion Chamber | 1 |
Stefanie Hahner | DE | Wurzburg | 2015-11-19 / 20150328341 - RADIOPHARMACEUTICAL PRODUCTS FOR DIAGNOSIS AND THERAPY OF ADRENAL CARCINOMA | 2 |
Christoph Hahner | DE | Solingen | 2013-10-24 / 20130277159 - FRICTION LINING CARRIER PLATE | 1 |
Martin Hahner | DE | Sindelfingen | 2013-01-17 / 20130016219 - CAMERA ARRANGEMENT FOR A VEHICLEAANM Hahner; MartinAACI SindelfingenAACO DEAAGP Hahner; Martin Sindelfingen DEAANM Moczygemba; JuergenAACI ReutlingenAACO DEAAGP Moczygemba; Juergen Reutlingen DEAANM Scheurenbrand; RolandAACI GaeufeldenAACO DEAAGP Scheurenbrand; Roland Gaeufelden DEAANM Weikert; GuentherAACI AidlingenAACO DEAAGP Weikert; Guenther Aidlingen DE | 1 |
Christoph Hahner | DE | Bad Homberg | 2015-11-26 / 20150336844 - MODIFIED CEMENT TILE ADHESIVE AND GROUT | 1 |
Nick Hahner | US | San Francisco | 2010-08-19 / 20100211327 - Methods and Systems for Viral Diagnosis | 1 |
Peter Hahner | NL | Alkmaar | 2014-09-04 / 20140245833 - APPARATUS AND METHOD FOR CARRYING OUT IN A CONTROLLED ATMOSPHERE MATERIAL FATIGUE TESTS IN A HIGH CYCLE REGIME WITH A CONTROLLED STRAIN RATIO | 1 |
Jerry L. Hahnfeld | US | Midland | 2008-09-18 / 20080227882 - Multifunctional Monomers Containing Bound Poragens and Polyarylene Compositions Therefrom | 1 |
Barbel Hahn-Hagerdal | SE | Lund | 2013-08-01 / 20130196399 - SACCHAROMYCES STRAIN WITH ABILITY TO GROW ON PENTOSE SUGARS UNDER ANAEROBIC CULTIVATION CONDITIONS | 5 |
Bärbel Hahnhägerdal | SE | Lund | 2010-10-21 / 20100267111 - POLYPEPTIDE HAVING HADH DEPENDENT HMF REDUCTASE ACTIVITY | 1 |
Bärbel Hahnhägerdal | SE | Lund | 2010-10-21 / 20100267111 - POLYPEPTIDE HAVING HADH DEPENDENT HMF REDUCTASE ACTIVITY | 1 |
Bärbel Hahnhägerdal | SE | Lund | 2010-10-21 / 20100267111 - POLYPEPTIDE HAVING HADH DEPENDENT HMF REDUCTASE ACTIVITY | 1 |
Bärbel Hahn-Hägerdal | SE | Lund | 2011-12-01 / 20110294180 - SACCHAROMYCES STRAIN WITH ABILITY TO GROW ON PENTOSE SUGARS UNDER ANAEROBIC CULTIVATION CONDITIONS | 1 |
Thomas G. Hahn, Iii | US | Williamstown | 2013-06-13 / 20130147604 - METHOD AND SYSTEM FOR ENABLING SMART BUILDING EVACUATION | 1 |
Wolfgang Hahnl | DE | Grimma | 2012-11-29 / 20120297755 - MODULE, ASSEMBLY WITH MODULE, THERMOELECTRIC GENERATOR UNIT AND EXHAUST GAS CONDUIT DEVICE WITH GENERATOR UNIT | 7 |
Mirko Hahnl | DE | Berlingerode | 2015-07-30 / 20150216026 - Electrode Arrangement for a Barrier Plasma | 1 |
Ulrich Reinhard Hahnle | US | 2008-09-18 / 20080228274 - Intervertebral Prosthesis | 1 | |
Ulrich R. Hahnle | ZA | Johannesburg | 2009-08-20 / 20090210060 - Intervertebral Prosthetic Disc With Metallic Core | 4 |
Ulrich R. Hahnle | ZA | Saxonwold | 2012-03-08 / 20120059478 - INTERVERTEBRAL PROSTHETIC DISC WITH METALLIC CORE | 1 |
Friedrich Hahnle | DE | Bretten | 2015-12-24 / 20150366573 - INSTRUMENT, IN PARTICULAR MEDICAL-ENDOSCOPIC INSTRUMENT OR TECHNOSCOPE | 2 |
Christopher Hahnle | US | Sanger | 2016-05-12 / 20160128474 - SLIDABLE PANTRY PULLOUT APPARATUS AND METHOD OF USE | 1 |
Ryan M. Hahnlen | US | Dublin | 2015-10-01 / 20150275122 - NOVEL COMPOSITIONS AND INTEGRATED PROCESSES FOR ADVANCED WARM-FORMING OF LIGHT METAL ALLOYS | 1 |
Brian D. Hahnlen | US | Plympton | 2010-12-02 / 20100301772 - Simplfied lighting control system | 1 |
Kerstin Hahn-Schmidt | NL | Diemen | 2009-02-19 / 20090047391 - Method Of Preparing Dough Products | 1 |
Annett Hahn-Windgassen | US | Sunnyvale | 2014-12-11 / 20140360878 - AFFINITY METHODS AND COMPOSITIONS EMPLOYING ELECTRONIC CONTROL OF PH | 5 |
Meike Hahr | DE | Hamburg | 2016-02-25 / 20160051438 - STIMULATION DEVICE | 5 |
Jacob Hahr | US | Trabuco Canyon | 2011-04-07 / 20110082389 - APPARATUS AND METHOD FOR ASSESSING CONDITION OF ARTICULAR CARTILAGE | 1 |
Brandon Hahr | US | Irvine | 2015-12-31 / 20150378721 - METHODS FOR MANAGING APPLICATIONS USING SEMANTIC MODELING AND TAGGING AND DEVICES THEREOF | 5 |
Charles Hahs | PL | Wroclaw | 2013-11-07 / 20130292239 - CAPACITIVE PROXIMITY AND/OR CONTACT SWITCH | 1 |
John M. Hahs | US | Celina | 2011-01-20 / 20110016156 - NETWORK MANAGEMENT SYSTEM | 1 |
Mark Hahs | US | Bakersfield | 2014-05-01 / 20140122122 - METHOD OF MANAGING A CHRONIC DISEASE | 1 |
David Allen Hahs | US | Seattle | 2014-08-21 / 20140231022 - Elastomeric Bladder System | 1 |
Sami K. Hahto | US | Nashua | 2016-03-24 / 20160086759 - Plasma Generator With at Least One Non-Metallic Component | 14 |
Sonja Hahtola | FI | Espoo | 2013-01-31 / 20130029337 - METHODS AND USES INVOLVING GENETIC ABNORMALITIES AT CHROMOSOME 12 | 3 |
Mohammad Shafiqul Hai | CA | Montreal | 2016-04-14 / 20160103382 - METHODS AND DEVICES FOR PHOTONIC M-ARY PULSE AMPLITUDE MODULATION | 1 |
Bing Hai | US | Los Altos | 2008-09-04 / 20080213247 - Mbms as Modifiers of Branching Morphogenesis and Methods of Use | 1 |
Md. Shafiqul Hai | CA | Montreal | 2013-12-12 / 20130330076 - Methods and Devices for Space-Time Multi-Plane Optical Networks | 1 |
Chen Hai | CN | Shenzhen | 2014-06-19 / 20140169662 - Image Retargeting Quality Assessment | 1 |
Nahum Hai | IL | Zikhron-Yaakov | 2013-03-07 / 20130060103 - METHOD AND SYSTEM FOR USING DISTRIBUTED ELECTROMAGNETIC (EM) TISSUE(S) MONITORING | 1 |
Eitan Hai | IL | Ramat Gan | 2015-08-06 / 20150222457 - SYSTEM AND METHOD FOR TREE-SEARCH ENHANCEMENT BY METRIC PREDICTION BASED ON INCOMPLETE PATHS IN SOFT OUTPUT MIMO DECODER | 2 |
Bo Hai | CN | Shenzhen City | 2015-10-08 / 20150286099 - Compensation Architecture of Liquid Crystal Panel and Liquid Crystal Display Device | 10 |
Du Hai | CN | Quan Zhou | 2011-06-09 / 20110131696 - Knee Pad Constructions | 2 |
Bo Hai | CN | Shenzhen | 2016-03-03 / 20160062167 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY | 13 |
Nissim Hai | IL | Netanya | 2014-10-30 / 20140324034 - SYSTEMS AND METHODS FOR FACILITATING ROBOTIC SURGICAL LASER PROCEDURES | 1 |
Bo Hai | CN | Shenzhen, Guangdong | 2016-05-05 / 20160124264 - COMPENSATION STRUCTURE FOR LIQUID CRYSTAL PANELS AND THE LIQUID CRYSTAL DISPLAYS | 1 |
Wei Hai | US | San Jose | 2015-03-05 / 20150065248 - Audio Controller for Gaming and Telephony | 1 |
Shujie Hai | CN | Wuhan | 2009-02-26 / 20090050021 - Process for producing kaolin product for paper coating | 1 |
Ton Hai | US | Round Lake | 2013-08-15 / 20130209444 - NON-ANTICOAGULANT SULFATED OR SULFONATED POLYSACCHARIDES | 2 |
Rong Hai | US | New York | 2015-05-14 / 20150132330 - INFLUENZA VIRUS VACCINES AND USES THEREOF | 1 |
Chaohe Hai | CN | Beijing | 2014-08-28 / 20140239385 - FIELD EFFECT TRANSISTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Ton That Hai | US | Round Lake | 2016-04-21 / 20160106713 - NITRIC OXIDE SCAVENGERS | 10 |
Daniel T. Hai | US | Brooklyn | 2013-08-01 / 20130195421 - RENDERING OF VIDEO BASED ON OVERLAYING OF BITMAPPED IMAGES | 1 |
Ofer Hai | IL | Mitspe Adi | 2014-12-04 / 20140353403 - Adhesive Spray System for Hindering Intruders | 1 |
Anh Hoang Hai | VN | Hai Duong | 2009-11-19 / 20090287624 - SPATIO-TEMPORAL PATTERN RECOGNITION USING A SPIKING NEURAL NETWORK AND PROCESSING THEREOF ON A PORTABLE AND/OR DISTRIBUTED COMPUTER | 1 |
Pham Nam Hai | JP | Tokyo | 2012-04-19 / 20120092003 - MAGNETIC-ELECTRIC ENERGY CONVERSION DEVICE, POWER SUPPLY DEVICE, AND MAGNETIC SENSOR | 1 |
Nieyuan Hai | US | Ann Arbor | 2014-08-28 / 20140239753 - MOTOR HOUSING ASSEMBLY AND METHOD | 3 |
Steven Hai | CA | Etobicoke | 2015-12-31 / 20150375169 - MEMBRANE-BASED EXHAUST GAS SCRUBBING METHOD AND SYSTEM | 1 |
Na Hai | TW | Sinjhuang City | 2011-06-16 / 20110140553 - FAN STATOR COVER STRUCTURE | 1 |
Justin Hai | US | Del Mar | 2015-12-31 / 20150377947 - Current Monitor for Indicating Condition of Attached Electrical Apparatus | 1 |
Vo Cong Hai | VN | Ho Chi Minh City | 2015-02-26 / 20150055905 - Sure stop lazy susan bearing and detent machanism | 1 |
Bo Hai | CN | Guangdong | 2015-12-31 / 20150378199 - LIQUID CRYSTAL DISPLAY AND OPTICAL COMPENSATION METHOD APPLIED IN LIQUID CRYSTAL DISPLAY | 1 |
Noman Hai | PK | Karachi | 2010-02-25 / 20100049465 - TESTABLE ELECTRONIC DEVICE FOR WIRELESS COMMUNICATION | 1 |
Ding Hai | CN | Shanghai | 2010-03-11 / 20100059858 - Integrated capacitors in package-level structures, processes of making same, and systems containing same | 1 |
Zeng Qing Hai | US | Houston | 2013-08-08 / 20130198949 - SHOWER ROD WITH SPRING ADJUSTMENT | 1 |
Wang Hai | CN | Nanshan | 2010-05-06 / 20100110827 - STIRRING SYSTEM AND OPERATING METHOD THEREOF | 1 |
Bo Hai | CN | Shenzhen | 2016-03-03 / 20160062167 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY | 13 |
Du Hai | CN | Fujian Province | 2010-09-30 / 20100243114 - CUT-PROOF ANTI-THEFT BAG CONSTRUCTION | 2 |
Jinxia Hai | CN | Guangzhou | 2011-08-25 / 20110208494 - METHOD AND SYSTEM FOR SIMULATING A HANDLE'S MOTION | 1 |
Ton T. Hai | US | Round Lake | 2010-02-25 / 20100048483 - POLYMERIC BENZYL CARBONATE-DERIVATIVES | 1 |
Bo Hai | CN | Shenzhen City | 2015-10-08 / 20150286099 - Compensation Architecture of Liquid Crystal Panel and Liquid Crystal Display Device | 10 |
Du Hai | US | Uncasville | 2016-01-07 / 20160000199 - Cut-Proof Anti-Theft Bag Construction | 8 |
Justin Hai | US | San Diego | 2013-07-04 / 20130173192 - CURRENT MONITOR FOR INDICATING CONDITION OF ATTACHED ELECTRICAL APPARATUS | 3 |
Tao Hai | CN | Pudong | 2014-06-19 / 20140172741 - METHOD AND SYSTEM FOR SECURITY INFORMATION INTERACTION BASED ON INTERNET | 2 |
Yan Hai | US | Chapel Hill | 2012-08-09 / 20120202883 - EFFECTS OF IDH1 AND IDH2 MUTATIONS ON THE CELLULAR METABOLOME | 1 |
Du Hai | US | Uncasville | 2016-01-07 / 20160000199 - Cut-Proof Anti-Theft Bag Construction | 8 |
Hoang Tri Hai | JP | Sendai-Shi | 2015-05-21 / 20150136219 - CONDUCTIVE PASTE, METHOD FOR FORMING WIRING, ELECTRONIC COMPONENT, AND SILICON SOLAR CELL | 1 |
Ton That Hai | US | Mundelein | 2012-02-16 / 20120037558 - METHOD AND COMPOSITION FOR REMOVING UREMIC TOXINS IN DIALYSIS PROCESSES | 4 |
Ton That Hai | US | Round Lake | 2016-04-21 / 20160106713 - NITRIC OXIDE SCAVENGERS | 10 |
Wang Hai | CN | Ningbo | 2016-01-21 / 20160021456 - SINGLE KNOB CONTROLLER | 1 |
Jinxia Hai | CN | Guangdong | 2012-01-05 / 20120002044 - Method and System for Implementing a Three-Dimension Positioning | 1 |
Richard Thomas Haibach | US | Verona | 2016-01-28 / 20160022944 - SUBNASAL SEALING CUSHION AND PATIENT INTERFACE DEVICE EMPLOYING SAME | 13 |
Richard Thomas Haibach | US | Murrysville | 2013-06-06 / 20130139820 - MANUALLY ACTUATED TALK VALVE FOR A RESPIRATORY DEVICE | 1 |
Richard Thomas Haibach | US | Verona | 2016-01-28 / 20160022944 - SUBNASAL SEALING CUSHION AND PATIENT INTERFACE DEVICE EMPLOYING SAME | 13 |
Richard Thomas Haibach | NL | Eindhoven | 2013-09-26 / 20130247915 - PATIENT INTERFACE DEVICE WITH MULTI-AXIS ELBOW CONDUIT | 1 |
Richard Thomas Haibach | US | Pittsburgh | 2014-10-30 / 20140318546 - RESPIRATORY MASK WITH CONDENSED LIQUID OUTLET | 3 |
Frederick G. Haibach | US | Wilbraham | 2015-05-21 / 20150136961 - Methods and Devices for Analyzing Gases in Well-Related Fluids Using Fourier Transform Infrared (FTIR) Spectroscopy | 1 |
Hiroshi Haibara | JP | Yokohama | 2012-08-30 / 20120217910 - MOTOR CONTROL DEVICE, CONTROL PROGRAM THEREFOR, AND METHOD FOR THE CONTROL | 1 |
Teruo Haibara | JP | Hikari Yamaguchi | 2009-06-11 / 20090145457 - Method For The Wet-Chemical Treatment Of A Semiconductor Wafer | 1 |
Teruo Haibara | JP | Hikari | 2016-02-25 / 20160052025 - ULTRASONIC CLEANING METHOD | 12 |
Takuzo Haibara | JP | Shizuoka | 2011-09-01 / 20110210168 - SELF-CHECKOUT TERMINAL AND CONTROL METHOD THEREFORE | 2 |
Teruaki Haibara | JP | Ashigarakami-Gun | 2011-10-27 / 20110264356 - ABNORMAL COMBUSTION DETECTING DEVICE FOR INTERNAL COMBUSTION ENGINE AND CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Teruo Haibara | JP | Hikari | 2016-02-25 / 20160052025 - ULTRASONIC CLEANING METHOD | 12 |
Teruo Haibara | JP | Saitama | 2016-04-21 / 20160111389 - BONDING WIRE FOR SEMICONDUCTOR DEVICE USE AND METHOD OF PRODUCTION OF SAME | 2 |
Astrid Haibel | DE | Berlin | 2014-04-24 / 20140112452 - Double-multilayer Monochromator | 1 |
Joshua A. Haibel | US | Louisville | 2010-08-19 / 20100206878 - Closure Having a Segmented Locking Ring | 1 |
Udo Haiber | DE | Ulm | 2010-12-02 / 20100305947 - Speech Recognition Method for Selecting a Combination of List Elements via a Speech Input | 2 |
Stephan Haiber | NL | Almere | 2015-02-12 / 20150044347 - N-Acylated 1 - Aminocycloalkyl Carboxylic Acids as Food Flavouring Compounds | 1 |
Stephan Haiber | NL | Naarden | 2014-05-08 / 20140127144 - Flavour Modifying Compounds | 3 |
Leah R. Haibi | US | Canton | 2009-03-05 / 20090061016 - Seawater Based Dietary Supplement Product for Energy and Electrolyte Replacement | 1 |
Leah R. Haibi | US | Meriden | 2009-03-05 / 20090061050 - Seawater based dietary supplement product for energy and electrolyte replacement | 1 |
Leah Haibi | US | Meriden | 2008-12-18 / 20080311252 - Chocolate based dietary supplement product or sweet beverage product | 1 |
Ren Haibing | CN | Beijing | 2011-08-11 / 20110194762 - METHOD FOR DETECTING HAIR REGION | 1 |
Jürgen Haible | DE | Nurnberg | 2011-02-03 / 20110026180 - INTEGRATOR AND CIRCUIT-BREAKER HAVING AN INTEGRATOR | 1 |
Jürgen Haible | DE | Numberg | 2011-01-20 / 20110012762 - METHOD AND DEVICE FOR DIGITIZING AN ANALOG ELECTRICAL SIGNAL AND METHOD FOR DIGITIZING | 1 |
Bruno Haible | DE | Frankfurt Am Main | 2014-12-11 / 20140366154 - Adaptive Communication Anonymization | 2 |
Bernard Haible | DE | Backnang | 2013-12-26 / 20130346817 - Method for controlling a state machine | 1 |
Pascal Haible | DE | Trostberg | 2015-04-30 / 20150115940 - Position Measuring Device | 2 |
Jürgen Haible | DE | Numberg | 2011-01-20 / 20110012762 - METHOD AND DEVICE FOR DIGITIZING AN ANALOG ELECTRICAL SIGNAL AND METHOD FOR DIGITIZING | 1 |
Jürgen Haible | DE | Nurnberg | 2011-02-03 / 20110026180 - INTEGRATOR AND CIRCUIT-BREAKER HAVING AN INTEGRATOR | 1 |
Christoph Haible | DE | Tamm | 2015-10-08 / 20150285199 - FUEL INJECTOR AND FUEL-INJECTION SYSTEM HAVING A FUEL INJECTOR | 1 |
Neta Haiby | IL | Hertzelia | 2013-12-12 / 20130332427 - COMPARING AND SELECTING DATA CLEANSING SERVICE PROVIDERS | 3 |
Hossam Haick | IL | Haifa | 2015-10-22 / 20150301021 - Sensor Technology for Diagnosing Tuberculosis | 21 |
René Haid | CH | Oberuzwil | 2013-07-25 / 20130186515 - Device and Method for Metering a Bulk Material | 1 |
René Haid | CH | Oberuzwil | 2015-02-05 / 20150033677 - Apparatus and Method for Packing Bulk Material into a Container | 2 |
James Michael Haid | US | Boulder | 2014-11-20 / 20140342713 - AUTOMATED CONFERENCING SYSTEM AND METHOD | 3 |
Regis W. Haid | US | Atlanta | 2010-10-21 / 20100268278 - TENSION BAND | 1 |
Steven Haid | US | Bolton | 2015-07-23 / 20150205688 - Method for Migrating Memory and Checkpoints in a Fault Tolerant System | 3 |
Markus Haid | DE | Stuttgart | 2011-06-09 / 20110135169 - Method and Device for Detecting a Hand-Written Signature or Mark and for Recognising the Authenticity of Said Signature or Mark | 2 |
Michael Haid | AT | Graz | 2012-10-04 / 20120251370 - INTERNAL GEAR PUMP | 1 |
James Haid | US | Boulder | 2016-02-04 / 20160036992 - LEAST COST DIALING | 2 |
Sibylle Haid | DE | Hannover | 2015-02-26 / 20150056166 - FLAVONE DERIVATIVES AND THEIR USE | 1 |
Christopher Michael Haid | US | Bolton | 2015-03-26 / 20150086668 - Extruder Feed System | 3 |
Josef Haid | AT | Graz | 2015-10-15 / 20150296598 - Contactless Device Configuration | 13 |
Ulrich Haid | DE | Munchen | 2015-10-08 / 20150285080 - Unknown | 1 |
Annette Haid | DE | Isny | 2012-04-19 / 20120091923 - Organic-Light-Emitting Diode and Luminaire | 1 |
Nobuyuki Haida | JP | Osaka | 2013-12-12 / 20130329289 - Polarizing film including modified PVA, and optical laminate with the polarizing film | 2 |
Nobuyuki Haida | JP | Ibaraki-Shi | 2015-08-13 / 20150226894 - METHOD OF MANUFACTURING POLARIZING PLATE | 5 |
Shuhei Haida | JP | Koto-Ku | 2013-11-07 / 20130291297 - TOILET FLUSHING DEVICE AND FLUSH TOILET | 1 |
Gouki Haida | JP | Kyoto-Shi | 2013-05-16 / 20130120217 - MICROWAVE RESONATOR CONFIGURED BY COMPOSITE RIGHT/LEFT-HANDED META-MATERIAL AND ANTENNA APPARATUS PROVIDED WITH THE MICROWAVE RESONATOR | 1 |
Kazuo Haida | JP | Kanagawa | 2012-03-22 / 20120069093 - LIQUID EJECTION HEAD AND IMAGE FORMING APPARATUS INCLUDING THE LIQUID EJECTION HEAD | 2 |
Kazuo Haida | JP | Kanagawa-Ken | 2009-04-09 / 20090091779 - PRINT MODULE, INFORMATION PROCESSING DEVICE, PRINT SYSTEM, PRINT UNIT, INK SUPPLY UNIT, PRINT METHOD, AND PROGRAM | 2 |
Kazuo Haida | JP | Yokohama-Shi | 2010-08-26 / 20100214378 - IMAGE FORMING APPARATUS HAVING RECORDING HEAD | 1 |
Stefan Haida | DE | Remscheid | 2015-04-02 / 20150092278 - DISPLAY DEVICE | 5 |
Kazuo Haida | JP | Ibaraki | 2008-11-06 / 20080273064 - INK-FEEDING DEVICE AND PRESSURE-GENERATING METHOD | 1 |
Kazuo Haida | JP | Yokohm-Ahi | 2012-09-27 / 20120242733 - PRINT MODULE, INFORMATION PROCESSING DEVICE, PRINT SYSTEM, PRINT UNIT, INK SUPPLY UNIT, PRINT METHOD, AND PROGRAM | 1 |
Stefan Haida | DE | Remscheld | 2011-07-14 / 20110169313 - ADJUSTING DEVICE, PARTICULARLY FOR A VEHICLE SEAT | 1 |
Meelis Haidak | EE | Koo Vald | 2015-04-09 / 20150096335 - System and method for bike locking | 1 |
Jawad Haidar | AU | Carlton | 2009-07-02 / 20090165597 - Apparatus and Methods for the Production of Metal Compounds | 1 |
Jamal Haidar | IN | Noida | 2015-06-25 / 20150178774 - METHOD AND SYSTEM FOR TARGETING ADVERTISEMENTS ON DISPLAY DEVICES BASED ON USER'S NFC BASED TRANSACTION AND WEB BROWSING ACTIVITIES | 1 |
Fadi Haidar | FR | Noisy Ie Grand | 2009-12-10 / 20090305311 - C4D/C4B STANDARD FOR QUANTITATIVE FLOW CYTOMETRY OF HUMORAL TRANSPLANT REJECTION | 1 |
Raf Haidar | GB | Coventry | 2013-07-25 / 20130190990 - SAFETY INTEGRATED SEATING SYSTEMS | 3 |
Edward Haidar | US | Somerset | 2015-11-12 / 20150327343 - PLANCKIAN AND NON-PLANCKIAN DIMMING OF SOLID STATE LIGHT SOURCES | 1 |
Raed Haidar | US | Washington | 2014-03-06 / 20140061073 - HOOKAH TOBACCO CONTAINER | 1 |
Riad Haidar | FR | Paris | 2015-10-22 / 20150301333 - DEVICE FOR CONTROLLING THE PHASE OF AN OPTICAL WAVEFRONT | 5 |
Omar Haidar | US | Louisville | 2012-04-19 / 20120090347 - AIRFLOW DIVERTER FOR A COOLING COMPARTMENT | 7 |
Omar Haidar | US | Prospect | 2012-06-07 / 20120139402 - MULTI-PIECE BASKET ASSEMBLY FOR A CONSUMER APPLIANCE | 1 |
Mahmoud Haidar | US | Dallas | 2016-03-10 / 20160071333 - Vehicle Information System | 1 |
Afif Haidar | US | Brooklyn | 2014-08-07 / 20140223579 - Software and Method for Securing Information Online | 1 |
Brian Haidar | US | Livonia | 2011-01-20 / 20110012413 - RECLINING SEAT ASSEMBLY | 1 |
Shadi Haidar | US | Brooklyn | 2008-10-23 / 20080259805 - METHOD AND APPARATUS FOR MANAGING NETWORKS ACROSS MULTIPLE DOMAINS | 1 |
Mahmoud Nabih Youssef Haidar | FR | Paris | 2009-08-13 / 20090204546 - Electronic payment and associated systems | 1 |
Jawad Haidar | AU | Lindfield | 2015-10-01 / 20150275332 - PRODUCTION OF ALUMINIUM-SCANDIUM ALLOYS | 4 |
Raf Haidar | GB | Styvechale | / - | 1 |
Jaafer Haidar | CA | London | 2014-09-18 / 20140282851 - CONTENT AND SERVICE AGGREGATION, MANAGEMENT AND PRESENTATION SYSTEM | 1 |
Omar Haidar | US | Louiseville | 2010-06-17 / 20100147005 - METHOD AND APPARATUS FOR COOLANT CONTROL WITHIN REFRIGERATORS | 1 |
Neville Haidar | GB | Crawley West Sussex | 2011-12-08 / 20110300460 - FUEL CELL STACK FLOW HOOD AIR FLOW USING AN AIR DISTRIBUTION DEVICE | 1 |
Hashmat Haidari | US | Fremont | 2012-06-07 / 20120141864 - ELECTROCHEMICAL CELLS WITH TABS | 2 |
Hashmatullah Haidari | US | Fremont | 2013-09-12 / 20130236757 - CELL ASSEMBLIES WITH NEUTRAL CASES | 1 |
Mehdi S. Haidari | US | San Jose | 2015-08-27 / 20150243319 - Hard Disk Drive Disk Separator Plate Construction | 1 |
Nadeem Haidary | US | Chicago | 2013-08-08 / 20130198989 - MAKE-UP REMOVAL WIPE | 1 |
Nadeem Haidary | US | New Fairfield | 2010-11-25 / 20100294590 - Method, Apparatus, and Arrangement for a Lifeline System | 1 |
Nadeem Haidary | US | San Francisco | 2016-05-05 / 20160120374 - PERSONAL CARE CLEANING ARTICLE | 2 |
Tamas Haidegger | HU | Budapest | 2013-08-22 / 20130215245 - METHOD AND APPARATUS FOR HAND DISINFECTION QUALITY CONTROL | 1 |
Tom P. Haidel | US | Neosho | 2012-11-08 / 20120282853 - MAKE-UP AIR SYSTEM AND METHOD | 1 |
Werner Haiden | AT | Ludesch | 2012-01-26 / 20120019056 - Chain Drive Assembly and Work Machine | 1 |
Klaus Haiden | AT | Neunkirchen | 2015-06-11 / 20150159326 - FELT WITH CELLULOSIC FIBERS FOR FORMING FIBER CEMENT ARTICLES | 3 |
Karl Haiden | US | Atlanta | 2015-11-05 / 20150317456 - MEDICATION STORAGE AND DISPENSING APPARATUS HAVING LINEAR DRAWER ASSEMBLY INCLUDING DISCRETE STORAGE MODULES | 2 |
Abbas Haider | US | Fairfax | 2016-01-07 / 20160000191 - Pull and Release Latch | 2 |
Clifton R. Haider | US | Rochester | 2015-09-03 / 20150247910 - System and Method for Random Cartesian Acquisition with Projection Reconstruction-Like Sampling | 7 |
Amer Haider | US | Saratoga | 2015-08-27 / 20150242655 - Apparatus and Method for Software Enabled Access to Protected Hardware Resources | 5 |
Syed Haider | US | Kendall Park | 2015-01-15 / 20150016471 - CONFIGURABLE QUAD BYTE FRAMER | 1 |
Markus Haider | AT | Wien | 2015-10-22 / 20150298774 - FLOATING PLATFORM | 3 |
Syed Ali Haider | CA | Orleans | 2016-04-28 / 20160117308 - RESPONSIVE DATA EXPLORATION ON SMALL SCREEN DEVICES | 1 |
Norbert Haider | AT | Wien | 2011-10-27 / 20110263567 - COMPOUNDS FOR INHIBITING SEMICARBAZIDE-SENSITIVE AMINE OXIDASE (SSAO) / VASCULAR ADHESION PROTEIN-1 (VAP-1) AND USES THEREOF FOR TREATMENT AND PREVENTION OF DISEASES | 1 |
Guenter Haider | DE | Vilsbiburg | 2009-08-27 / 20090215604 - Helical conveyor centrifuge | 1 |
Jason Haider | US | San Diego | 2015-06-11 / 20150157469 - LOCK AND RELEASE IMPLANT DELIVERY SYSTEM | 1 |
Hussain Haider | IN | Noida | 2009-12-17 / 20090312551 - Process for producing biphosphonic acids and forms thereof | 1 |
Masoom Haider | CA | Richmond Hill | 2009-12-31 / 20090326364 - MRI compatible robot with calibration phantom and phantom | 1 |
Syed Ali Haider | PK | Rawalpindi | 2015-11-19 / 20150331752 - METHOD OF DATA STORAGE ON CLOUD DATA CENTER FOR REDUCING PROCESSING AND STORAGE REQUIREMENTS BY ENGAGING USER EQUIPMENT | 1 |
Mirko Haider | DE | Maxdorf | 2015-09-03 / 20150247601 - METHOD AND SYSTEM FOR HEATING NATURAL GAS | 4 |
Dominik Haider | DE | Dingolfing | 2013-09-12 / 20130232933 - Interior Air Filter, Filter Holder and Filter Arrangement | 1 |
Muhammad Hasnain Haider | PK | Cardiff | 2014-10-30 / 20140323774 - METHOD OF MAKING ALCOHOLS | 1 |
Maximilian Haider | DE | Gaiberg | 2016-04-21 / 20160111251 - PARTICLE-OPTICAL SYSTEMS AND ARRANGEMENTS AND PARTICLE-OPTICAL COMPONENTS FOR SUCH SYSTEMS AND ARRANGEMENTS | 4 |
Bruno Hans Haider | US | Ballstom Lake | 2012-05-24 / 20120130246 - METHOD AND SYSTEM FOR CORRECTING ULTRASOUND DATA | 1 |
Hussain Haider | IN | Ahmedabad | 2010-07-29 / 20100190997 - Process for the Preparation of Letrozole | 1 |
Thomas Haider | US | Riverside | 2012-05-24 / 20120130295 - Universally adjustable cervical collar | 1 |
Guenter Haider | AT | Linz | 2013-03-21 / 20130070868 - Low-Loss, Broad Band, LC I/Q Phase Shifter | 5 |
Stefan Haider | AT | Prinzerdorf | 2014-05-01 / 20140121351 - NUCLEOPHILIC CATALYSTS FOR OXIME LINKAGE | 2 |
Bruno Hans Haider | US | Ballston Lake | 2015-03-26 / 20150087988 - ULTRASOUND TRANSDUCER ARRAYS | 8 |
Viqar Haider | CA | Markham | 2015-10-08 / 20150283750 - METHOD AND APPARATUS FOR EXTRUSION OF THERMOPLASTIC HANDRAIL | 6 |
Raed Haider | US | Washington | 2014-01-30 / 20140026903 - HANDS FREE HOOKAH MOUTH TIP | 1 |
Stefan Haider | AT | Prinzersdorf | 2016-05-05 / 20160120994 - BLOOD COAGULATION PROTEIN CONJUGATES | 7 |
Bruno Haider | US | Ballston Lake | 2015-02-26 / 20150053011 - ULTRASONIC TRANSDUCER DRIVING CIRCUIT AND ULTRASONIC IMAGE DISPLAY APPARATUS | 7 |
Joachim Haider | DE | Koln | 2009-06-25 / 20090163631 - POLYMER VULCANIZATE AND PROCESS FOR THE PRODUCTION THEREOF | 1 |
Bruno Hans Haider | US | Niskayuna | 2014-11-13 / 20140334266 - Ultrasound Probe with Dynamic Focus and Associated Systems and Methods | 4 |
Sajjad Haider | SA | Riyadh | 2014-10-16 / 20140309410 - AMINE GRAFTED CHITOSAN NANOFIBER, METHOD FOR PREPARATION THEREOF AND ITS USE IN HEAVY METAL ADSORPTION | 1 |
Thomas T. Haider | US | Riverside | 2011-02-03 / 20110029087 - INTERVERTEBRAL PROSTHESES WITH COMPLIANT FILLER MATERIAL FOR SUPPORTING ADJACENT VERTEBRAL BODIES AND METHOD | 1 |
Franz-Simon Haider | US | Farmington Hills | 2012-12-27 / 20120326958 - DISPLAY AND USER INTERFACE | 1 |
Thomas T. Haider | US | Rancho Santa Fe | 2016-04-07 / 20160095995 - BITE BLOCK | 3 |
Gerhard Haider | SE | Stockholm | 2014-10-16 / 20140305474 - FILTER | 4 |
Clifton Haider | US | Rochester | 2014-01-09 / 20140012143 - ACTIVITY MONITOR | 1 |
Asad Mahmood Haider | US | Plano | 2015-07-30 / 20150214069 - PIEZOELECTRIC THIN FILM PROCESS | 6 |
Ali Haider | DE | Bremen | 2015-12-31 / 20150373987 - HETEROPOLYOXOMETALATES | 1 |
Shabbir Haider | IN | Hyderabad | 2015-05-28 / 20150149661 - SHARING SINGLE ROOT IO VIRTUALIZATION PERIPHERAL COMPONENT INTERCONNECT EXPRESS DEVICES | 4 |
Karl W. Haider | US | Wexford | 2014-09-18 / 20140272375 - ELECTRICALLY CONDUCTIVE AND DISSIPATIVE POLYURETHANE FOAMS | 4 |
Asad M. Haider | US | Plano | 2013-11-14 / 20130302965 - METHOD FOR FORMING INTEGRATED CIRCUIT STRUCTURE WITH CAPACITOR AND RESISTOR AND METHOD FOR FORMING | 4 |
Bruno Hans Haider | US | Ballston Lake | 2015-03-26 / 20150087988 - ULTRASOUND TRANSDUCER ARRAYS | 8 |
Charles John Haider | US | St. Paul | 2015-12-10 / 20150352789 - THREE-DIMENSIONAL PRINTER WITH INTEGRATED COLORING SYSTEM | 2 |
Bruno Hans Haider | US | Ballston | 2010-06-17 / 20100152587 - SYSTEMS AND METHODS FOR OPERATING A TWO-DIMENSIONAL TRANSDUCER ARRAY | 1 |
Gregg L. Haider | US | Dassel | / - | 1 |
Muhammad Haider | US | American Canyon | 2014-03-06 / 20140067465 - Systems and Methods for Assignment of Sales Leads | 2 |
Muhammad Rizwan Haider | US | Houston | 2009-12-03 / 20090298030 - Use of Ultrasound Imaging equipment in classroom as a teaching aid | 1 |
Nazar S. Haider | US | Fremont | 2015-04-16 / 20150102791 - VOLTAGE REGULATOR CALIBRATION | 1 |
Karl W. Haider | US | Hurricane | 2013-01-24 / 20130023599 - POLYURETHANE FOAMS MADE WITH ALKOXYLATED VEGETABLE OIL HYDROXYLATE | 2 |
Karl W. Haider | US | Wesford | 2009-01-29 / 20090030161 - Allophanate modified diphenylmethane diisocyanates, prepolymers thereof, and their use in the preparation of polyureas and polyurethanes | 1 |
Yasser Haider | US | Seattle | 2015-11-12 / 20150320383 - Methods and Systems for Estimating a Size of an Object in a Subject with Ultrasound | 1 |
Andreas Haider | DE | Pfreimd | 2014-12-25 / 20140374384 - ELECTRICAL SWITCH | 1 |
Hussain Haider | IN | Gujarat | 2009-09-03 / 20090221837 - Process for the Preparation of Pure Anastrozole | 1 |
Shahid Abbas Haider | CA | Waterloo | 2016-03-03 / 20160065938 - IMAGING SYSTEM AND METHOD FOR CONCURRENT MULTIVIEW MULTISPECTRAL POLARIMETRIC LIGHT-FIELD HIGH DYNAMIC RANGE IMAGING | 1 |
Asifa Haider | US | Succasunna | 2014-04-03 / 20140094461 - BIOMARKERS FOR HEDGEHOG INHIBITOR THERAPY | 1 |
Waseem Haider | US | Edinburg | 2015-11-05 / 20150315681 - NOVEL NITINOL ALLOYS AND USES THEREOF IN SURGICAL IMPLANTS | 1 |
Hani Haider | US | Carter Lake | 2016-01-28 / 20160022374 - ON-BOARD TOOL TRACKING SYSTEM AND METHODS OF COMPUTER ASSISTED SURGERY | 4 |
Syed Haider | CA | Toronto | 2015-10-15 / 20150294062 - Method for Identifying a Target Molecular Profile Associated with a Target Cell Population | 1 |
Gerhard Haider | DE | Numberg | 2011-09-29 / 20110233158 - DISHWASHER BASKET WITH A CUP SUPPORT | 1 |
Mansoor Haider | US | Apex | 2010-06-03 / 20100138163 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR MONITORED APPLICATION OF MECHANICAL FORCE TO SAMPLES USING ACOUSTIC ENERGY AND MECHANICAL PARAMETER VALUE EXTRACTION USING MECHANICAL RESPONSE MODELS | 1 |
M. Lehag Haider | US | Cary | 2011-03-24 / 20110071494 - Intradermal Delivery Device | 1 |
M. Ishaq Haider | US | Morrisville | 2012-07-19 / 20120184914 - DISPOSABLE INJECTION DEVICE | 5 |
M. Ishaq Haider | US | Cary | 2014-01-16 / 20140018734 - Intradermal Delivery Device With Crenellated Skin Engaging Surface Geometry | 7 |
Thomas T. Haider | US | Sante Fe Springs | 2016-01-07 / 20160000597 - UNIVERSALLY ADJUSTABLE CERVICAL COLLAR | 1 |
Philipp Haider | AT | Michaelnbach | 2016-03-17 / 20160077519 - Welding Control System | 1 |
Ejaz Haider | CA | Markham | 2013-09-26 / 20130254238 - TECHNIQUES FOR PROCESSING RELATIONAL DATA WITH A USER-DEFINED FUNCTION (UDF) | 2 |
Waseem Haider | US | Mcallen | 2015-10-01 / 20150272812 - MULTI-MODE HANDS-FREE CRUTCH | 1 |
Andreas Haider | AT | Linz | 2009-07-09 / 20090174109 - Composite Material Containing Wood and Melamine Resin | 1 |
Mark Francis Haider | US | St. Paul | 2013-10-17 / 20130272843 - INTEGRATED RECIPROCATING PRIMER DRIVE ARRANGEMENT | 1 |
Wayne Haider | US | Dearborn | 2011-12-08 / 20110300733 - METHOD AND SYSTEM FOR PREVENTING DISENGAGEMENT BETWEEN AN ELECTRICAL PLUG AND A CHARGE PORT ON AN ELECTRIC VEHICLE | 1 |
Syed M. Haider | US | Lilburn | 2013-10-03 / 20130260734 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR DETECTING AND MANAGING CHANGES ASSOCIATED WITH MOBILE WALLETS | 1 |
Sultan Haider | DE | Erlangen | 2016-03-24 / 20160082596 - MOBILE MEDICAL APPARATUS | 39 |
Nazar Haider | US | Fremont | 2015-06-25 / 20150177289 - POWER DETECTOR CIRCUIT | 1 |
Gregory A. Haider | US | St. Paul | 2012-08-02 / 20120197332 - DISABLING AN IMPLANTED MEDICAL DEVICE WITH ANOTHER MEDICAL DEVICE | 1 |
Syed Waseem Haider | US | Somers | 2016-05-19 / 20160135713 - NON-INVASIVE ESTIMATION OF INTRA-PLEURAL PRESSURE AND/OR COMPUTATION OF WORK OF BREATHING BASED ON A NON-INVASIVE ESTIMATION OF INTRA-PLEURAL PRESSURE | 2 |
Syed E. Haider | US | Mableton | 2015-05-21 / 20150142826 - Systems and Methods for Management and Improvement of Romantically Linked Relationships | 1 |
Neena B. Haider | US | Brookline | 2015-10-08 / 20150284798 - Compositions and Methods for the Diagnosis of Retinal Neovascularization | 1 |
Thomas Haider | US | Rancho Santa Fe | 2014-07-17 / 20140200446 - Method and apparatus for the infusion of a catheter into an artery/vein and for suspected tissue removal | 1 |
Abbas Haider Ali | US | Rockville | 2011-03-03 / 20110055390 - NETWORK CAPACITY PLANNING | 1 |
Frank M. Haidinyak | US | San Jose | 2009-02-26 / 20090049917 - Method for Cavitation Threshold Characterization and Control | 1 |
Andrew M. Haidle | US | Cambridge | 2015-12-10 / 20150353535 - THIAZOLE-SUBSTITUTED AMINOPYRIDINES AS SPLEEN TYROSINE KINASE INHIBITORS | 14 |
Andrew Haidle | US | Cambridge | 2011-07-07 / 20110166129 - INHIBITORS OF JANUS KINASES | 3 |
David Russel Haidle | US | Elmhurst | 2009-12-03 / 20090299805 - Server-based systems and methods for processing fuel orders | 1 |
David Russell Haidle | US | Elmhurst | 2009-03-12 / 20090070175 - Mobile-Based Systems And Methods For Processing Fuel Orders | 1 |
Diane Haidle | US | Carefree | 2009-12-17 / 20090308947 - METHODS AND APPARATUS FOR A LOW-COST VAPOR-DISPERSING DEVICE | 1 |
Andrew M. Haidle | US | Boston | 2015-10-22 / 20150299125 - PRODRUG BIPYRIDYLAMINOPYRIDINES AS SYK INHIBITORS | 2 |
Andrew M. Haidle | US | Cambridge | 2015-12-10 / 20150353535 - THIAZOLE-SUBSTITUTED AMINOPYRIDINES AS SPLEEN TYROSINE KINASE INHIBITORS | 14 |
Oskar Haidn | DE | Niedernhall | 2011-01-06 / 20110000981 - Injection head, mixing space and power unit | 2 |
Norman Haidous | US | Wayne | 2009-04-16 / 20090096234 - MOLDED ASSEMBLY HAVING A REDUCED TENDENCY TO SQUEAK AND A METHOD OF MANUFACTURING THE ASSEMBLY | 1 |
Ovidiu Haiduc | US | Woodridge | 2015-09-03 / 20150248729 - INTERACTIVE ALGORITHM FOR DETERMINING NEGOTIATED HEALTHCARE PRICE RATES | 1 |
George J. Haidukewych | US | Tampa | 2010-09-30 / 20100249781 - INTRAMEDULLARY NAIL WITH LOCKING KEY | 5 |
George J. Haidukewych | US | Orlando | 2015-03-26 / 20150088267 - BONE AUGMENT INTERLOCKING WITH BONE PLATE | 12 |
George J. Haidukewych | US | Orlando | 2015-03-26 / 20150088267 - BONE AUGMENT INTERLOCKING WITH BONE PLATE | 12 |
Tatsiana Haidzinskaya | US | Newark | 2016-03-31 / 20160090688 - PARTIALLY FLUORINATED URETHANE BASED COATINGS | 8 |
Tatsiana Haidzinskaya | US | Newark | 2016-03-31 / 20160090688 - PARTIALLY FLUORINATED URETHANE BASED COATINGS | 8 |
Qian Haifeng | US | White Plains | 2009-02-26 / 20090055780 - Simultaneous Power and Timing Optimization in Integrated Circuits by Performing Discrete Actions on Circuit Components | 1 |
Wang Haifeng | CN | Beijing | 2010-03-04 / 20100057432 - METHOD AND APPARATUS FOR IMPROVING WORD ALIGNMENT QUALITY IN A MULTILINGUAL CORPUS | 5 |
Andrew Malcolm Haig | US | Birmingham | 2013-07-11 / 20130177451 - WASHER SYSTEM AND PUMP FOR SAME | 3 |
Thomas T. Haig | US | Novi | 2008-09-18 / 20080227897 - COATING RESISTANT TO BIO-DIESEL FUELS | 1 |
Robert Haig | US | Austin | 2015-12-10 / 20150357028 - Systems and Methods Involving Multi-Bank, Dual-Pipe Memory Circuitry | 5 |
Andrew M Haig | US | Birmingham | 2015-12-17 / 20150360651 - INTEGRATION OF A RADAR SENSOR IN A VEHICLE | 1 |
Fiona Middlemiss Haig | US | 2011-12-08 / 20110301419 - PRESSURE FEEDBACK ACCESS PORTS FOR MINIMALLY INVASIVE SURGERY | 1 | |
Robert B. Haig | US | Austin | 2009-09-24 / 20090237109 - Efficient method for implementing programmable impedance output drivers and programmable input on die termination on a bi-directional data bus | 1 |
Paul D. Haig | US | Milwaukee | 2010-04-01 / 20100079139 - CIRCUIT AND APPARATUS FOR DECOUPLING RF SURFACE COILS | 1 |
Fiona Middlemiss Haig | US | Boston | 2014-08-21 / 20140235952 - EXPANDABLE THORACIC ACCESS PORT | 4 |
Fiona Haig | GB | Leeds | 2010-11-25 / 20100298943 - ORTHOPAEDIC JOINT PROSTHESIS IMPLANT KIT | 1 |
Fiona M. Haig | GB | Cambridge | 2010-07-01 / 20100168522 - SURGICAL ACCESS ASSEMBLY | 1 |
Christiaan Haig | GB | Richmond | 2015-12-10 / 20150352045 - SKIN ENHANCING BEVERAGE COMPOSITION | 1 |
Alexander George Haig | GB | Cambridge | 2010-08-05 / 20100192693 - ACOUSTIC TRANSDUCER ASSEMBLY | 1 |
Paul Haig | CA | Carrying Place | 2014-07-17 / 20140200884 - TELECOMMUNICATIONS METHODS AND SYSTEMS PROVIDING USER SPECIFIC AUDIO OPTIMIZATION | 2 |
Keith R. Haig | US | Afton | 2015-01-15 / 20150019385 - E-COMMERCE PURCHASE PORTAL | 1 |
Fiona Middlemiss Haig | GB | Histon | 2011-08-18 / 20110201892 - EXPANDABLE THORACIC ACCESS PORT | 1 |
George M. Haig | US | Gurnee | 2013-11-28 / 20130317056 - NEURONAL NICOTINIC AGONISTS AND METHODS OF CORRELATING COMT SNPS | 3 |
William Haig | US | Dunn Loring | 2015-06-04 / 20150154298 - METHOD AND SYSTEM FOR ARCHIVING AND SEARCHING WEBSITE COLLECTIONS | 1 |
Fiona Middlemiss Haig | GB | Cambridge | 2011-12-29 / 20110319719 - THORACIC ACCESS PORT | 2 |
Peter Haig | US | Dunn Loring | 2015-06-04 / 20150154298 - METHOD AND SYSTEM FOR ARCHIVING AND SEARCHING WEBSITE COLLECTIONS | 1 |
Sven Haiges | US | Sunnyvale | 2012-01-26 / 20120023457 - PRESENTATION OF ADVERTISEMENTS BASED ON USER INTERACTIVITY WITH A WEB PAGE | 1 |
Sven Haiges | DE | Muenchen | 2016-03-10 / 20160071155 - SMART RETAIL SPACE | 1 |
John Haiges | US | Jamison | 2009-05-28 / 20090135309 - METHOD AND APPARATUS FOR SPEEDING UP ATSC CHANNEL SEARCHING | 1 |
Ralf Haiges | US | Los Angeles | 2014-11-27 / 20140350266 - High-Performing Stable Green Replacements for Ammonium Perchlorate | 1 |
Ken Haigh | US | North Charleston | 2014-05-22 / 20140143168 - Method and Apparatus for Work File and Profile Pool | 1 |
Matthew Haigh | US | Seattle | 2012-02-23 / 20120047468 - Translating User Motion Into Multiple Object Responses | 1 |
David Mitchell Haigh | GB | Cambridgeshire | 2008-09-25 / 20080234451 - Olefin Metathesis Polymerisation | 1 |
John R. Haigh | US | Elon | 2008-11-20 / 20080286513 - KNIT FABRICS AND SOCKS MADE THEREFROM INCORPORATING HIGH TENSILE NYLON STAPLE | 1 |
David C. Haigh | GB | Havant | 2013-09-26 / 20130248140 - METHOD AND APPARATUS FOR CONTROLLING THE TEMPERATURE OF COMPONENTS | 3 |
Geoffrey T. Haigh | GB | Reading | 2009-05-07 / 20090116466 - MOBILE COMMUNICATIONS | 1 |
Jonathan Haigh | US | Pittsburgh | 2015-09-24 / 20150270181 - OPPORTUNISTIC PLACEMENT OF IC TEST STRUCUTRES AND/OR E-BEAM TARGET PADS IN AREAS OTHERWISE USED FOR FILLER CELLS, TAP CELLS, DECAP CELLS, SCRIBE LINES, AND/OR DUMMY FILL, AS WELL AS PRODUCT IC CHIPS CONTAINING SAME | 1 |
Mike Haigh | GB | London | 2009-08-27 / 20090215533 - METHODS FOR CAPTURING DEPTH DATA OF A SCENE AND APPLYING COMPUTER ACTIONS | 1 |
David Haigh | GB | Hertfordshire | / - | 1 |
Richard David Haigh | GB | Leicester | 2010-04-22 / 20100099132 - BACTERIAL GROWTH INDUCER | 1 |
John Haigh | US | Menomonee Falls | 2013-06-20 / 20130153334 - TOOL CARRIER | 1 |
Wallace B. Haigh | US | Madison | 2016-03-10 / 20160069904 - Methods for Early Diagnosis of Kidney Disease | 2 |
Nigel Peter Haigh | AU | Wallan | 2012-10-18 / 20120263977 - METHOD FOR PRODUCING HYBRID NEGATIVE PLATE FOR LEAD-ACID STORAGE BATTERY AND LEAD-ACID STORAGE BATTERY | 3 |
J. Thomas Haigh | US | Golden Valley | 2015-07-09 / 20150193257 - VIRTUAL MACHINE SERVICES | 3 |
Jonathan Haigh | GB | Sadberge | 2013-01-31 / 20130030154 - MIXED MODE LIGANDS | 1 |
John Jerome Haigh | US | Menomonee Falls | 2015-03-19 / 20150075295 - GUIDE WIRE TENSION LOSS SENSOR | 2 |
Thomas Jasper Haigh | US | Claverack | 2014-07-24 / 20140203336 - ADHESION LAYER AND MULTIPHASE ULTRA-LOW k DIELECTRIC MATERIAL | 1 |
Scott Haigh | US | Canton | 2010-09-16 / 20100235622 - TRANSFER DEVICE FOR SENSITIVE MATERIAL SUCH AS A CRYPTOGRAPHIC KEY | 1 |
Richard David Haigh | GB | Leicestershire | 2009-04-02 / 20090087517 - BACTERIAL GROWTH ENHANCER | 1 |
Casey Haigh | US | Germantown | 2015-09-17 / 20150257787 - SUBCUTANEOUS SENSOR IMPLANT INSERTION TOOL WITH BIORESORBABLE TIP | 2 |
John Andrew Haigh | GB | Worcestershire | 2010-06-24 / 20100157298 - POLARIMETRIC IMAGING APPARATUS | 1 |
Jonathan Michael Haigh | GB | Cambridge Cambridgeshire | 2010-08-12 / 20100203650 - BIOMOLECULE BINDING LIGANDS | 1 |
Heather M. Haigh | US | Burke | 2013-02-21 / 20130045905 - SOOT CONTROL FOR DIESEL ENGINE LUBRICANTS | 4 |
Peter Nigel Haigh | AU | Wallen | 2014-05-08 / 20140127565 - ELECTRODE AND ELECTRICAL STORAGE DEVICE FOR LEAD-ACID SYSTEM | 1 |
Peter Haigh | GB | Cambridge | 2010-09-09 / 20100225766 - Image Alignment and Trend Analysis Features for an Infrared Imaging System | 2 |
David M. Haigh | GB | Oxfordshire | 2012-01-26 / 20120022225 - Olefin Metathesis Polymerisation | 1 |
Geoffrey Haigh | US | Boxford | 2013-01-03 / 20130001054 - Micro-Machined Relay | 5 |
Christopher E. Haigh | US | Chicago | 2013-07-18 / 20130180067 - PAINTBRUSH WITH DETACHABLE HEAD | 5 |
Peter Haigh | GB | Halton, Lancaster | 2016-04-28 / 20160115665 - ACCESS SUPPORT FOR OFFSHORE INSTALLATIONS | 1 |
Heather M. Haigh | US | Philadelphia | 2008-08-28 / 20080207475 - High viscosity novel base stock lubricant viscosity blends | 1 |
John Jerome Haigh | US | Fircrest | 2012-07-12 / 20120175186 - Tower Climbing Assist Device | 5 |
Karen Z. Haigh | US | Greenfield | 2010-10-07 / 20100254309 - SYSTEM, DEVICE, AND METHOD FOR UNIFYING DIFFERENTLY-ROUTED NETWORKS USING VIRTUAL TOPOLOGY REPRESENTATIONS | 1 |
David M. Haigh | CA | Windsor | 2008-08-21 / 20080201430 - SYSTEM AND METHOD OF WIRELESS INSTANT MESSAGING | 1 |
David M. Haigh | CA | Waterloo | 2012-02-02 / 20120030298 - SYSTEM AND METHOD OF WIRELESS INSTANT MESSAGING | 2 |
Scott D. Haigh | US | Canton | 2008-11-06 / 20080272587 - ID Document Structure with Pattern Coating Providing Variable Security Features | 1 |
John Haigh | FR | Roquefort Les Pins | 2011-04-21 / 20110092118 - Curable Aqueous Composition | 1 |
Nicholas Haigh | US | Lawrenceville | 2013-07-04 / 20130173327 - PROJECT MANAGEMENT SYSTEM AND METHOD | 1 |
Geoffrey T. Haigh | US | Boxford | 2013-06-20 / 20130154071 - Isolation Barrier Device and Methods of Use | 2 |
Ronald E. Haigh | US | Highlands Ranch | 2015-01-22 / 20150023346 - VIRTUALIZED APPLICATION ACCELERATION INFRASTRUCTURE | 3 |
Peter Nigel Haigh | AU | Victoria | 2012-04-19 / 20120094174 - PROCESS FOR PRODUCING NEGATIVE PLATE FOR LEAD STORAGE BATTERY, AND LEAD STORAGE BATTERY | 1 |
Melanie Haigh-Hutchinson | US | Austin | 2009-07-16 / 20090181736 - System and method for lock on target tracking with free targeting capability | 1 |
Matthew Eric Haigh, Jr. | US | Seattle | 2011-12-15 / 20110304774 - CONTEXTUAL TAGGING OF RECORDED DATA | 1 |
Thomas J. Haigh, Jr. | US | Claverack | 2014-09-25 / 20140284815 - INTERLEVEL DIELECTRIC STACK FOR INTERCONNECT STRUCTURES | 9 |
Thomas J. Haigh, Jr. | US | Albany | 2012-07-12 / 20120175023 - SELF-ALIGNED COMPOSITE M-MOx/DIELECTRIC CAP FOR Cu INTERCONNECT STRUCTURES | 3 |
Thomas J. Haigh, Jr. | US | Claverack | 2014-09-25 / 20140284815 - INTERLEVEL DIELECTRIC STACK FOR INTERCONNECT STRUCTURES | 9 |
Peter S. Haight | US | Berkeley | 2011-04-07 / 20110080840 - SYSTEM AND METHOD FOR DETERMINING NETWORK CONDITIONS | 1 |
Michael Haight | US | Portland | 2014-05-22 / 20140141901 - Swing Training Device | 1 |
Michael Haight | US | Sacramento | 2015-08-20 / 20150230804 - FORCE SENSING DISTAL FEMORAL ALIGNMENT SYSTEM AND METHOD OF USE | 4 |
Stephen D. Haight | US | Oro Valley | 2014-04-10 / 20140096510 - SLOTTED MULTI-NOZZLE GRID WITH INTEGRATED COOLING CHANNELS | 2 |
Ronald W. Haight | US | Pflugerville | 2015-03-12 / 20150074765 - REGISTRATION AND CONFIGURATION OF POINT-OF-SERVICE DEVICES | 1 |
Kyle Gordon Haight | US | San Jose | 2010-05-13 / 20100121944 - DHCP PROXY FOR STATIC HOST | 1 |
Anthony R. Haight | US | Wadsworth | 2015-12-17 / 20150360215 - PHOSPHINE LIGANDS FOR CATALYTIC REACTIONS | 12 |
Richard Alan Haight | US | Mahopac | 2015-09-24 / 20150265351 - Laser Surgical Apparatus and Methods of its Use Minimizing Damage During the Ablation of Tissue Using a Focused Ultrashort Pulsed Laser Beam Wherein the Slope of Fluence Breakdown is a Function of the Pulse Width | 4 |
Stephen William Haight | US | Charlotte | 2016-02-11 / 20160040634 - Vehicle Air Intake Screen Cleaning Device | 1 |
Levoy Haight | US | West Jordan | 2013-05-02 / 20130107216 - Systems and Methods for Theater Seat Movement | 2 |
Anthony Ralph Haight | US | Wadsworth | 2009-12-24 / 20090318689 - Processes To Make Apoptosis Promoters | 1 |
Kevin B. Haight | US | Boise | 2010-05-13 / 20100117333 - ADJUSTABLE HITCH FOR TOWING | 1 |
Richard Haight | CA | Courtice | 2008-11-27 / 20080291118 - Segmented Antenna Reflector | 3 |
Anthony Haight | US | Wadsworth | 2015-04-02 / 20150094475 - Preparation of Pyridonecarboxylic Acid Antibacterials | 4 |
David Haight | US | Oakland | 2009-02-12 / 20090038443 - Tool for removing shingles from a skip sheet roof | 2 |
Kevin P. Haight | US | Twin Falls | 2010-11-25 / 20100294822 - BELT ATTACHMENT DEVICE | 1 |
Richard A. Haight | US | Mahopac | 2016-05-19 / 20160141434 - Hybrid Vapor Phase-Solution Phase Growth Techniques for Improved CZT(S,Se) Photovoltaic Device Performance | 10 |
Richard Haight | CA | Mississauga | 2015-12-17 / 20150362131 - FLEXIBLE DISCHARGE PIPE FOR A PUMP SYSTEM | 2 |
Douglas W. Haight | US | Camarillo | 2014-12-04 / 20140357955 - ENDOSCOPIC SHEATH ASSEMBLY | 5 |
Mark Haight | CA | Cambridge | 2014-10-09 / 20140299054 - METHODS AND DEVICES FOR COATING STENTS | 2 |
Anthony R. Haight | US | Wadsworth | 2015-12-17 / 20150360215 - PHOSPHINE LIGANDS FOR CATALYTIC REACTIONS | 12 |
Michael Haight | US | Hudson | 2013-05-23 / 20130128718 - Fast Designated Router Transitions in Broadcast Networks for Link State Protocols | 1 |
Carlton Michael Haight | US | Dallas | 2014-09-18 / 20140281484 - System and Method to Securely Transfer Data | 3 |
Virginia Haight | US | Chicago | 2013-05-02 / 20130105336 - RING COVER | 1 |
Richard A. Haight | US | Mahopac | 2016-05-19 / 20160141434 - Hybrid Vapor Phase-Solution Phase Growth Techniques for Improved CZT(S,Se) Photovoltaic Device Performance | 10 |
Anthony R. Haight | US | Wasdsworth | 2013-08-29 / 20130224149 - PROCESS FOR PREPARING ANTIVIRAL COMPOUNDS | 3 |
Richard Haight | CA | Whitby | 2011-09-01 / 20110209339 - METHOD FOR ASSEMBLY OF A SEGMENTED REFLECTOR ANTENNA | 1 |
Kevin B. Haight | US | Royal Oak | 2009-10-08 / 20090250890 - Dolly Assembly | 1 |
Andrea Haight | US | Lafayette | 2015-07-09 / 20150192251 - HIGH PRESSURE CARBON COMPOSITE PRESSURE VESSEL | 1 |
Stephen D. Haight | US | Tucson | 2010-12-16 / 20100313544 - PROPULSION SYSTEM WITH CANTED MULTINOZZLE GRID | 2 |
Michael Haight | US | Bellevue | 2013-03-14 / 20130063452 - CAPTURING SCREEN DISPLAYS IN VIDEO MEMORY AND DETECTING RENDER ARTIFACTS | 1 |
Richard A. Haight | US | Yorktown Heights | 2013-05-23 / 20130128413 - HIGH ENERGY DENSITY STORAGE MATERIAL DEVICE USING NANOCHANNEL STRUCTURE | 5 |
Wolfgang Haigis | DE | Wurzburg | 2008-09-25 / 20080231809 - Method for Measuring Intraocular Lens | 1 |
Marcia C. Haigis | US | Winchester | 2014-07-03 / 20140186325 - Methods of Cancer Treatment and Prevention Through the Modulation of SIRT4 Activity | 2 |
Marcia Haigis | US | Winchester | 2011-11-24 / 20110287026 - SIRT4 AND USES THEREOF | 1 |
Marcia C. Haigis | US | Stoneham | 2013-04-18 / 20130096179 - SIRT4 ACTIVITIES | 2 |
Robert Haigis | US | San Diego | 2010-06-03 / 20100133118 - ELECTROCHEMICAL METHODS OF DETECTING NUCLEIC ACID HYBRIDIZATION | 1 |
Harald Haigis | DE | Elzach | 2015-02-05 / 20150034802 - ENDOSCOPE | 1 |
Robert B. Haigler | US | Newark | 2008-12-18 / 20080313301 - NETWORK-BASED STORAGE SYSTEM CAPABLE OF ALLOCATING STORAGE PARTITIONS TO HOSTS | 2 |
Nancy L. Haigwood | US | Seattle | 2008-10-30 / 20080267993 - AIDS vaccines | 1 |
Liu Haihong | CN | Shanghai | 2009-03-12 / 20090064467 - CLIP RETAINER | 1 |
Huang Haihua | CN | Suzhou | 2016-03-10 / 20160070792 - Automatic Explanation of Presented Abbreviations | 1 |
Bert Jan Haijema | NL | Groningen | 2014-09-18 / 20140271696 - Heat-Stable Respiratory Syncytial Virus F Protein Oligomers and Their Use in Immunological Compositions | 2 |
Shoichi Haijima | JP | Kawasaki | 2012-03-29 / 20120076470 - CONTENT PROCESSING METHOD AND RECORDING APPARATUS | 5 |
Toshio Haijima | JP | Tokyo | 2009-08-20 / 20090207039 - CEC CONTROL APPARATUS | 1 |
Hiroaki Haijima | JP | Odawara-Shi | 2014-09-25 / 20140287290 - STRUCTURE FOR MOUNTING ELECTRIC STORAGE APPARATUS | 1 |
Akimitsu Haijima | JP | Shizuoka | 2010-09-30 / 20100242764 - FOUNTAIN SOLUTION COMPOSITION FOR LITHOGRAPHIC PRINTING AND HEAT-SET OFFSET ROTARY PRINTING PROCESS | 2 |
Hiroaki Haijima | JP | Toyota-Shi | 2015-12-17 / 20150362073 - HOLE PLUG | 1 |
Akitmitsu Haijima | JP | Haibara-Gun | / - | 1 |
Akimitsu Haijima | JP | Kanagawa | 2015-03-19 / 20150077465 - MAINTENANCE LIQUID FOR INKJET RECORDING, INK SET FOR INKJET RECORDING, IMAGE FORMING METHOD, AND MAINTENANCE METHOD | 5 |
Yasuhito Haijima | JP | Itabashi-Ku | 2009-07-02 / 20090171610 - Surveying instrument and surveying compensation method | 1 |
Akimitsu Haijima | JP | Haibara-Gun | 2009-03-26 / 20090081592 - FOUNTAIN SOLUTION COMPOSITION FOR LITHOGRAPHIC PRINTING AND HEAT-SET OFFSET ROTARY PRINTING PROCESS | 2 |
Eyal Haik | IL | Beer Sheva | 2008-08-28 / 20080206721 - Method and Device for a Game and for Drawing with Magnets | 1 |
Yousef Haik | US | Greensboro | 2013-07-11 / 20130177610 - NANO-METALLIC ALLOY DELIVERY SYSTEM FOR TREATMENT OF INFECTED CELLS AND LEGIONS | 10 |
Oren Haik | IL | Ber Sheeva | 2014-05-15 / 20140132694 - SECURITY IMAGE PRINTING | 1 |
Fadi Haik | IL | Shfaram | 2015-08-20 / 20150235043 - DATA PROXY SERVICE | 1 |
Yousef Haik | AE | Al Ain | 2014-10-30 / 20140319503 - SEMICONDUCTING POLYMER | 9 |
Yousef Haik | US | Tallahassee | 2010-04-22 / 20100099941 - METHOD OF HYPERTHEMIA TREATMENT | 3 |
Oren Haik | IL | Nes Ziona | 2015-02-05 / 20150036946 - METRICS TO IDENTIFY IMAGE SMOOTHNESS | 4 |
Yousef Haik | US | Greensboro | 2013-07-11 / 20130177610 - NANO-METALLIC ALLOY DELIVERY SYSTEM FOR TREATMENT OF INFECTED CELLS AND LEGIONS | 10 |
Gregory Haik | FR | Paris | 2013-08-22 / 20130219016 - GENERIC ENTITY FOR HIGH-SPEED COMMUNICATION BETWEEN CCM COMPONENTS | 1 |
Fadi Haik | IL | Shafaram | 2014-01-23 / 20140024354 - PREDICTION FOR POWER CONSERVATION IN A MOBILE DEVICE | 6 |
Oren Haik | IL | Beer-Sheva | 2013-05-30 / 20130135689 - AUTOMATIC DETECTION OF CORNERS OF A SCANNED DOCUMENT | 3 |
Yousef Haik | AE | Al Ain | 2014-10-30 / 20140319503 - SEMICONDUCTING POLYMER | 9 |
Heimo Haikala | FI | Espoo | 2011-12-08 / 20110301166 - METHOD FOR THE PREVENTION OF THROMBOEMBOLIC DISORDERS | 1 |
Jukka Haikara | FI | Oulu | 2011-03-03 / 20110055020 - System and Method for Providing Advertising Content Using a Group Training System | 1 |
Anssi Haikarainen | FI | Järvenpää | 2016-05-12 / 20160130254 - NOVEL CYP17 INHIBITORS/ANTIANDROGENS | 1 |
Anssi Haikarainen | FI | Tuusula | 2014-10-30 / 20140322535 - PREPARATION OF PRECIPITATED ZN PP CATALYSTS WITH INTERNAL PORE STRUCTURE USING NANOPARTICLES | 11 |
Anssi Haikarainen | FI | Tuusula | 2014-10-30 / 20140322535 - PREPARATION OF PRECIPITATED ZN PP CATALYSTS WITH INTERNAL PORE STRUCTURE USING NANOPARTICLES | 11 |
Natacha Haik-Beraud | FR | Nogent-Sur-Marne | 2010-03-04 / 20100055021 - METHOD AND SYSTEM FOR PRODUCING CARBON MONOXIDE BY CRYOGENIC DISTILLATION | 1 |
Natacha Haik-Beraud | FR | Champigny-Sur-Marne | 2011-06-16 / 20110138853 - Process For Generating And Separating A Hydrogen-Carbon Monoxide Mixture By Cryogenic Distillation | 6 |
Natacha Haik-Beraud | FR | Champigny-Sur-Mame | 2010-04-22 / 20100098491 - Repressurization Of A VSA Treating A Gas Mixture Comprising A Fuel | 1 |
Guan Haike | JP | Kanagawa | 2009-07-23 / 20090185054 - SYSTEM AND METHOD FOR DISPLAYING AN IMAGE INDICATING A POSITIONAL RELATION BETWEEN PARTIALLY OVERLAPPING IMAGES | 1 |
Youssef Haikel | FR | Strasbourg | 2012-09-06 / 20120225397 - DEVICE FOR PLACING A DENTAL SPLINT | 1 |
John S. Haikin | US | Fremont | 2012-09-06 / 20120224077 - SYSTEMS AND METHODS FOR IMAGE CAPTURING BASED ON USER INTEREST | 9 |
John S. Haikin | US | Fremont | 2012-09-06 / 20120224077 - SYSTEMS AND METHODS FOR IMAGE CAPTURING BASED ON USER INTEREST | 9 |
John Haikin | US | Fremont | 2013-04-18 / 20130093919 - ADAPTIVE COLOR IMAGING | 5 |
George M. Haik Jr. | US | New Orleans | 2008-09-11 / 20080221497 - Use of Arginine and Like Substances and methylglyoxal and Like Substances in Dialysis Machines | 1 |
David Haikney | GB | Manchester | 2015-02-12 / 20150047038 - TECHNIQUES FOR VALIDATING DISTRIBUTED DENIAL OF SERVICE ATTACKS BASED ON SOCIAL MEDIA CONTENT | 11 |
Merja Haikola | FI | Raisio | 2015-07-23 / 20150202265 - METHOD FOR PREPARING A BONE PROTEIN PREPARATION AND A BONE PROTEIN PREPARATION | 1 |
Markku Haikola | FI | Nummela | 2012-02-02 / 20120029844 - DETERMINING ELASTIC MODULUS FOR CONTINUOUS MATERIAL WEB | 1 |
Ville Haikola | FI | Oulu | 2008-09-04 / 20080212608 - Method, apparatus and computer program to signal additional modulation scheme without additional signalling overhead | 1 |
Mikko Haikonen | FI | Espoo | 2015-12-17 / 20150365134 - METHOD AND APPARATUS FOR EXPANDING FIELD OF NEAR FIELD COMMUNICATION | 3 |
Kalle Haikonen | SE | Uppsala | 2013-03-21 / 20130067904 - Wave Power Unit, A Use of A Such and A Method of Producing Electric Energy | 1 |
Aki Haikonen | FI | Hyvinkaa | 2014-10-23 / 20140311832 - PLATFORM ASSEMBLY AND A METHOD FOR MANUFACTURING AN ELEVATOR CAR AND AN ELEVATOR CAR | 2 |
Mikko Sakari Haikonen | FI | Espoo | 2013-09-19 / 20130243189 - METHOD AND APPARATUS FOR PROVIDING INFORMATION AUTHENTICATION FROM EXTERNAL SENSORS TO SECURE ENVIRONMENTS | 5 |
Pentti O. A. Haikonen | FI | Helsinki | 2009-01-08 / 20090010466 - Hearing Agent and a Related Method | 1 |
Andrew Nathan Hail | US | Riverside | 2010-09-09 / 20100223827 - DETACHABLE TAG FOR LABELING ELECTRONICS SYSTEMS | 1 |
Matthew J. Hail | US | Springboro | 2013-12-12 / 20130332216 - TRACKING SYSTEM AND ASSOCIATED METHODS FOR MOBILE CARE NETWORK | 5 |
David Hail | US | Tulsa | 2016-03-31 / 20160090132 - Tactical mobile surveillance system | 1 |
David Andrew Haila | US | Clive | 2014-09-11 / 20140258848 - Systems and Methods for Navigating to Errors in an XBRL Document Using Metadata | 2 |
Olli Haila | FI | Piikkio | 2015-07-02 / 20150189445 - Wide Dynamic Range Microphone | 4 |
Bettina Hailand | DE | Herrsching A Ammersee | 2013-11-14 / 20130303655 - DENTAL COMPOSITION, METHOD OF PRODUCING AND USE THEREOF | 4 |
William Alston Haile | US | Kingsport | 2012-04-19 / 20120091613 - WATER-DISPERSIBLE AND MULTICOMPONENT FIBERS FROM SULFOPOLYESTERS | 10 |
Danny Lee Haile | US | La Mirada | 2014-05-22 / 20140140941 - COMPOSITIONS FOR REMOVABLE GEL APPLICATIONS FOR NAILS AND METHODS OF THEIR USE | 8 |
Danny Lee Haile | US | La Mirada | 2014-05-22 / 20140140941 - COMPOSITIONS FOR REMOVABLE GEL APPLICATIONS FOR NAILS AND METHODS OF THEIR USE | 8 |
Pamela A. Haile | US | Collegeville | 2016-03-03 / 20160060249 - QUINOLYL AMINES AS KINASE INHIBITORS | 8 |
Tadele Haile | US | Cypress | 2012-09-27 / 20120245521 - Reinforced Balloon Catheter | 1 |
Sossina M. Haile | US | Altadena | 2014-09-18 / 20140272667 - BA-SR-CO-FE-O BASED PEROVSKITE MIXED CONDUCTING MATERIALS AS CATHODE MATERIALS FOR INTERMEDIATE TEMPERATURE SOLID OXIDE FUEL CELLS BOTH IN DUAL CHAMBER AND SINGLE CHAMBER CONFIGURATION | 6 |
Pamela A. Haile | US | Collegeville | 2016-03-03 / 20160060249 - QUINOLYL AMINES AS KINASE INHIBITORS | 8 |
Pamela A. Haile | US | King Of Prussia | 2016-03-03 / 20160060222 - AMINO-QUINOLINES AS KINASE INHIBITORS | 6 |
Adam Haile | US | Lake Wylie | 2011-06-09 / 20110138310 - REMOTE DEVICE MANAGEMENT INTERFACE | 1 |
J. Mitchell Haile | US | Somerville | 2016-02-11 / 20160042047 - MERGING DATA VOLUMES AND DERIVATIVE VERSIONS OF THE DATA VOLUMES | 31 |
Anthony Haile | US | New York | 2015-10-29 / 20150310484 - System and Method for Tracking User Engagement with Online Advertisements | 1 |
Danny Lee Haile | US | La Habra | 2014-06-19 / 20140166901 - DEVICES AND METHODS FOR CURING NAIL GELS | 1 |
Yohannes Haile | US | Canton | 2015-05-28 / 20150145363 - MAGNETIC WHEEL BEARING | 3 |
Satoe Haile | US | San Francisco | 2014-10-02 / 20140297655 - Content Presentation Based on Social Recommendations | 1 |
Colin N. Haile | US | Blieberville | 2014-09-04 / 20140249183 - Treatment for Substance Use Disorders and Stress Disorders | 1 |
Daniel Haile | US | West Grove | 2016-05-12 / 20160130472 - A Cross-Linkable Coating Composition and Method of Producing the Same | 2 |
William Alston Haile | US | Kingsport | 2012-04-19 / 20120091613 - WATER-DISPERSIBLE AND MULTICOMPONENT FIBERS FROM SULFOPOLYESTERS | 10 |
Tesfalidet Haile | CH | Vaud | 2013-05-16 / 20130122148 - PUREE COMPOSITIONS HAVING SPECIFIC CARBOHYDRATE RATIOS AND METHODS FOR USING SAME | 4 |
David M. Haile | US | Bland | 2009-01-01 / 20090000013 - SHOULDER STRAP FASTENER | 1 |
Tesfa Haile | CH | Prilly | 2011-01-13 / 20110008487 - GELLED FOOD PRODUCT WITH HIGH CARBOHYDRATE INTAKE EFFICIENCY | 1 |
Andrew Haile | AU | Perth | 2012-09-13 / 20120230776 - Slope of an Open Cut Mine | 1 |
Tesfalidet Haile | CH | Boussens | 2014-04-03 / 20140093609 - NUTRITIONAL COMPOSITIONS FOR ENHANCING PERFORMANCE AND METHODS FOR MAKING AND USING SAME | 1 |
Pamela A. Haile | US | Collegevile | 2015-12-17 / 20150361069 - QUINAZOLINES AS KINASE INHIBITORS | 1 |
David Wayne Haile | US | Sheridan | 2014-09-18 / 20140260194 - SYSTEMS AND METHODS FOR CONTROLLING A COMBUSTION ENGINE | 1 |
Satoe Ishii Haile | US | San Francisco | 2014-03-20 / 20140081805 - SOFTWARE PRODUCT REVIEW SYSTEM AND METHOD | 1 |
J. Mitchell Haile | US | Somerville | 2016-02-11 / 20160042047 - MERGING DATA VOLUMES AND DERIVATIVE VERSIONS OF THE DATA VOLUMES | 31 |
Catherine A. Haile | US | Bland | 2009-01-01 / 20090000013 - SHOULDER STRAP FASTENER | 1 |
Sossina M. Haile | US | Pasadena | 2015-05-07 / 20150125383 - CATALYSTS FOR THERMOCHEMICAL FUEL PRODUCTION AND METHOD OF PRODUCING FUEL USING THERMOCHEMICAL FUEL PRODUCTION | 5 |
Fikru Haile | US | Carmel | 2015-05-14 / 20150134152 - INTEGRATED REMOTE AERIAL SENSING SYSTEM | 2 |
Ebenezer Hailemariam | CA | Toronto | 2012-11-22 / 20120296610 - OCCUPANT CENTRIC CAPTURE AND VISUALIZATION OF BUILDING PERFORMANCE DATA | 2 |
Benjamin T. Hailer | US | Rome | 2010-07-29 / 20100190026 - Strain-Detecting Composite Materials | 1 |
Helen Hailes | GB | London | 2009-09-03 / 20090221702 - COMPOUNDS | 1 |
Anthony Hailes | GB | Hertfordshire | 2010-06-10 / 20100140291 - DROPLET SPRAY GENERATION DEVICE | 1 |
Anthony Hailes | GB | Herts | 2011-05-05 / 20110102537 - Thermal printing with laser activation | 1 |
Donald Craig Hailes | AU | Victoria | 2010-07-01 / 20100163190 - ROMAN BLIND BATTEN GUIDE | 1 |
Jarett Hailes | CA | Edmonton | 2016-05-19 / 20160142754 - METHOD AND APPARATUS TO PERFORM REAL-TIME AUDIENCE ESTIMATION AND COMMERCIAL SELECTION SUITABLE FOR TARGETED ADVERTISING | 4 |
Donald C Hailes | AU | Victoria | 2011-08-25 / 20110203080 - BATTEN ASSEMBLY FOR ROMAN BLIND | 1 |
Helen Claire Hailes | GB | London | 2010-07-22 / 20100184831 - Materials and Complexes for the Delivery of Biologically-Active Materials to Cells | 2 |
Michael B. Hailey | US | Campbell | 2013-07-04 / 20130173746 - INTERFACING PORTABLE MEDIA DEVICES AND SPORTS EQUIPMENT | 3 |
Judith Anne Hailey | US | East Windsor | 2011-01-20 / 20110014117 - ANTI-IGF1R | 1 |
Mark Andrew Hailey | GB | Ware, Hertfordshire | 2015-11-19 / 20150328044 - Guide Device For A Liquid Dispenser | 1 |
Michael B. Hailey | US | Forest Grove | 2012-11-15 / 20120290109 - Methods and Systems for Encouraging Athletic Activity | 1 |
Judith Hailey | US | Edison | 2011-03-10 / 20110060130 - NEUTRALIZING HUMAN ANTI-IGFR ANTIBODY | 1 |
Mark Andrew Hailey | GB | Hertfordshire | 2009-12-24 / 20090314291 - MEDICAMENT DISPENSER | 2 |
Mark Andrew Hailey | GB | Ware | 2014-11-06 / 20140326239 - Manifold for Use in Medicament Dispenser | 2 |
James Edwin Hailey | US | Indianapolis | 2015-11-19 / 20150334421 - ROBUST DIGITAL CHANNELS | 7 |
Michael Hailey | US | Campbell | 2012-02-02 / 20120028761 - INTERFACING PORTABLE MEDIA DEVICES AND SPORTS EQUIPMENT | 3 |
Paul F. Hailey | US | Bedford | 2013-02-28 / 20130049308 - Gasket Design For Molding Large Gaskets In A Deformed Shape | 2 |
James Edwin Hailey | US | 2015-11-19 / 20150334421 - ROBUST DIGITAL CHANNELS | 1 | |
Jeffrey C. Hailey | US | Austin | 2012-03-22 / 20120068730 - System and Method for Evaluating the Electromagnetic Compatibility of Integrated Circuits in an In-Situ Environment | 5 |
Travis Thomas Hailey | US | Sugar Land | 2014-01-02 / 20140000869 - ISOLATION ASSEMBLY FOR INFLOW CONTROL DEVICE | 1 |
Phillip Hailey | US | Oakland | 2016-03-10 / 20160072162 - PROCESS FOR RECYCLING ELECTRODE MATERIALS FROM LITHIUM-ION BATTERIES | 5 |
Kim L. Hailey | US | Los Gatos | 2014-02-20 / 20140049193 - Accelerator Having Acceleration Channels Formed Between Covalently Bonded Chips | 1 |
Kerri Crain Hailey | US | Cincinnati | 2014-03-20 / 20140076918 - METHODS OF PROVIDING PACKAGES OF WET WIPES WITH IMPROVED WETNESS GRADIENTS AND ASSOCIATED PRODUCT | 1 |
Charles D. Hailey | US | Oklahoma City | 2008-10-30 / 20080264689 - ANTI-SURGE/REVERSE THRUSTER | 1 |
Michael Benjamin Hailey | US | Forest Grove | 2013-09-19 / 20130245966 - USER EXPERIENCE | 3 |
Connor Hailey | US | New York | 2015-07-02 / 20150189158 - SYSTEMS, METHODS, AND MEDIA FOR PRESENTING A FIELD OF VIEW FROM A CAMERA OF A DEVICE ON A DISPLAY OF THE DEVICE IN THE BACKGROUND OF NON-CAMERA FUNCTIONALITY | 1 |
Travis T. Hailey, Jr. | US | Sugar Land | 2008-11-20 / 20080283238 - Apparatus for autonomously controlling the inflow of production fluids from a subterranean well | 1 |
Sun Hailin | GB | Droitwich | 2015-09-10 / 20150252466 - HIGH SURFACE AREAS (HSA) COATINGS AND METHODS FOR FORMING THE SAME | 1 |
Haris Haillovic | US | Erie | 2016-01-28 / 20160025172 - FABRIC-REINFORCED BEARINGS AND METHODS | 1 |
Xu Hailong | CN | Shanghai | 2011-01-27 / 20110017492 - SEPARATOR STRUCTURE FOR CAT 6 CABLE | 1 |
Joshua Hailpern | US | Mountain View | 2014-10-09 / 20140304264 - MOBILE WEB-BASED PLATFORM FOR PROVIDING A CONTEXTUAL ALIGNMENT VIEW OF A CORPUS OF DOCUMENTS | 2 |
Brent T. Hailpern | US | Hawthorne | 2011-03-03 / 20110055286 - METHOD OF PROVIDING ELEMENT DOSSIERS THAT INCLUDE ELEMENTS FROM NONADJACENT LIFECYCLE PHASES | 1 |
Brent Hailpern | US | Katonah | 2009-03-19 / 20090077243 - CONVERSATION RECOVERY METHOD | 1 |
Brent Tzion Hailpern | US | Katonah | 2008-12-04 / 20080301295 - SYSTEM AND METHOD FOR USAGE-BASED MISINFORMATION DETECTION AND RESPONSE | 1 |
Joshua Hailpern | US | Sunnyvale | 2016-03-17 / 20160078002 - REPRESENTING NUMERICAL DATA IN A MOBILE DEVICE | 1 |
Joshua M. Hailpern | US | Katonah | 2008-10-09 / 20080246693 - SYSTEM AND METHOD OF ENHANCED VIRTUAL REALITY | 1 |
Saiful Hailri | MY | Kuala Terengganu | 2013-01-03 / 20130001114 - UNIVERSAL DISK SHIPPER | 1 |
Alan Robert Hails | US | Osprey | 2011-04-28 / 20110094146 - BYCATCH-FRIENDLY FISHING BUOY | 1 |
Alan R. Hails | US | Osprey | 2012-05-03 / 20120105830 - Automated In Situ Contaminant Detection System | 1 |
Bruce L. Hailston | US | Midland | 2012-12-20 / 20120319063 - CART WITH MOVABLE PLATFORM | 4 |
Richard K. Hailstone | US | North Chili | 2015-03-05 / 20150059237 - CERIUM-CONTAINING NANOPARTICLES | 3 |
Richard Kenneth Hailstone | US | North Chili | 2013-12-19 / 20130337998 - IRON OXIDE NANOPARTICLE DISPERSIONS AND FUEL ADDITIVES FOR SOOT COMBUSTION | 3 |
Richard K. Hailstone | US | North Chill | 2013-05-02 / 20130109600 - STRUCTURED CATALYTIC NANOPARTICLES AND METHOD OF PREPARATION | 2 |
Abebe Hailu | US | San Jose | 2015-11-19 / 20150332721 - Stack Including a Magnetic Zero Layer | 11 |
Abebe Hailu | US | San Jose | 2015-11-19 / 20150332721 - Stack Including a Magnetic Zero Layer | 11 |
Eskinder Hailu | US | Austin | 2009-06-25 / 20090160492 - Glitchless Clock Multiplexer Optimized for Synchronous and ASynchronous Clocks | 6 |
Eskinder Hailu | US | Sunnyvale | 2011-05-26 / 20110126162 - Design Structure for a Duty Cycle Correction Circuit | 19 |
Alefesh Hailu | US | Cincinnati | 2013-02-14 / 20130037749 - Solvent Compositions | 2 |
Patrick Haim | BE | Pietrebais | 2014-02-20 / 20140052759 - DETERMINING THE VALUE OF AN ASSOCIATION BETWEEN ONTOLOGIES | 1 |
Geoff Haim | US | Nazareth | 2015-02-26 / 20150052800 - PARASITE ELIMINATION SYSTEM AND METHOD | 1 |
Omri Haim | IL | Tel-Aviv | 2015-12-17 / 20150365457 - SERVICE DISCOVERY ACROSS DIFFERENT NETWORKS | 2 |
Victoria P. Haim | US | Glendale | 2008-08-28 / 20080204360 - SYSTEM AND METHOD FOR OPTIMIZING HEAD-UP DISPLAY UNIFORMITY | 1 |
Elias S. Haim | US | Glendale | 2016-03-31 / 20160091716 - APPARATUS AND METHOD FOR SUPRESSING DOUBLE IMAGES ON A COMBINER HEAD-UP DISPLAY | 7 |
Victoria Haim | US | Glendale | 2011-04-28 / 20110096098 - LOW LUMINANCE READABILITY IMPROVEMENT SYSTEM AND METHOD FOR LIQUID CRYSTAL DISPLAYS | 1 |
Steven Haim | US | Glendale | 2013-04-04 / 20130083259 - OPTICAL ENHANCEMENT FOR DISPLAY TOUCHSCREEN SYSTEMS | 1 |
Eli Haim | IL | Omer | 2013-12-19 / 20130333188 - METHOD FOR MANUFACTURING CONSTRUCTIVE ELEMENTS AND CONSTRUCTIVE ELEMENTS MADE THEREBY | 2 |
Sue Haim | US | Milwaukee | 2008-10-16 / 20080250678 - Kits, Methods, and Accessories for Decoratively Reconfiguring and Protecting a Retractable Device | 1 |
Liora Haim | IL | Yavne | 2010-04-08 / 20100086917 - Isolated polynucleotides, nucleic acid constructs, methods and kits for localization of rna and/or polypeptides within living cells | 1 |
Kevin Haim | US | Canton | 2015-02-26 / 20150052800 - PARASITE ELIMINATION SYSTEM AND METHOD | 1 |
Albert Moses Haim | US | Potomac | 2011-03-31 / 20110073045 - PET TOY INCLUDING TACTILE STIMULUS FOR EXCITEMENT AND EXERCISE OF A PET | 1 |
John W. Haim | US | Baldwin | 2016-04-07 / 20160097835 - REFERENCE SIGNALS FOR POSITIONING MEASUREMENTS | 39 |
Rachel Haim | IL | Rishon Leziyon | 2013-02-14 / 20130041958 - SYSTEM AND METHOD FOR PROJECT MANAGEMENT SYSTEM OPERATION USING ELECTRONIC MESSAGING | 1 |
Maya Haim | IL | Haifa | 2015-12-03 / 20150347017 - COMMAND TRAPPING IN AN INPUT/OUTPUT VIRTUALIZATION (IOV) HOST CONTROLLER (HC) (IOV-HC) OF A FLASH-MEMORY-BASED STORAGE DEVICE | 2 |
Mohamed Y. Hai-Maharsi | US | Houston | 2011-10-20 / 20110255318 - INSULATOR INTEGRATED POWER SUPPLY | 1 |
Alfred J. Haimbach, Jr. | US | Elkhart | 2014-09-18 / 20140265941 - Solar Powered Energy Module | 1 |
Hans G. Haimberger | US | Milton-Freewater | 2010-09-23 / 20100236994 - Sorting apparatus and mehtod utilizing a mechanical diverter | 1 |
Josef Haimer | DE | Igenhausen | 2015-02-12 / 20150042050 - SHRINK-FIT CHUCK WITH TOOL COOLING | 3 |
Andreas Haimer | DE | Igenhausen | 2015-12-17 / 20150360295 - TOOL HOLDER FOR A TOOL WITH A TOOL SHAFT PROVIDED WITH AN OUTER THREAD | 1 |
Franz Haimer | DE | 86568 Igenhausen | 2015-02-12 / 20150041456 - INDUCTION COIL UNIT | 1 |
Franz Haimer | DE | Hollenbach | 2016-04-21 / 20160113069 - Induction Coil Unit | 5 |
Joseph Haimer | DE | Igenhausen | 2011-10-13 / 20110248455 - SHRINK FIT CHUCK HAVING EXTENSION GROOVES | 1 |
Franz Haimer | DE | Igenhausen | 2016-04-21 / 20160107284 - TOOL HOLDER | 35 |
Franz Haimer | DE | Hollenbach-Igenhausen | 2015-11-26 / 20150336179 - PRE-STRESSING DAMPING SYSTEM | 5 |
Franz-Josef Haimer | DE | Igenhausen | 2015-03-19 / 20150078841 - MILLING CUTTER WITH A CORD OF A SPECIAL TOOTH PROFILE | 1 |
Franz Haimer | US | 2010-05-13 / 20100117311 - CLAMPING DEVICE AND COLLET CHUCK, BASE AND CHUCK KEY THEREFOR | 1 | |
Franz Haimer | DE | Hollenbach/igenhausen | 2012-07-26 / 20120186286 - MIST COOLING | 5 |
Maya Haim (erez) | IL | Haifa | 2015-01-29 / 20150033234 - PROVIDING QUEUE BARRIERS WHEN UNSUPPORTED BY AN I/O PROTOCOL OR TARGET DEVICE | 2 |
Alfred Haimerl | DE | Sinzing | 2015-11-26 / 20150339565 - SMART CARD MODULE, SMART CARD, AND METHOD FOR PRODUCING A SMART CARD MODULE | 13 |
Agnes Haimerl | DE | Hohenthann | 2012-08-30 / 20120219683 - TOXIN ADSORBENT | 2 |
Martin Haimerl | DE | Gilching | 2014-04-24 / 20140114179 - METHOD, SYSTEM AND DEVICE FOR POSITIONING AN IMPLANT | 12 |
Alfred Haimerl | DE | Sinzing | 2015-11-26 / 20150339565 - SMART CARD MODULE, SMART CARD, AND METHOD FOR PRODUCING A SMART CARD MODULE | 13 |
Martin Haimerl | DE | Gilching | 2014-04-24 / 20140114179 - METHOD, SYSTEM AND DEVICE FOR POSITIONING AN IMPLANT | 12 |
Alexander Haimerl | DE | Stockdorf | 2016-04-14 / 20160101673 - Top Of A Convertible Vehicle Having A Closing System | 1 |
Rudolph Haimerl | DE | Lengerich | 2014-01-30 / 20140029871 - FLEXIBLE PACKAGE AND METHOD OF MAKING SAME | 1 |
Stephen Haimerl | DE | Seubersdorf | 2014-05-15 / 20140133344 - CONCEPT FOR DETERMINING AN ORIENTATION OF A MOBILE DEVICE | 1 |
Alexander Haimerl | DE | Bogen | 2015-11-05 / 20150314674 - MODULAR ROOF ASSEMBLIES FOR VEHICLES | 6 |
Franz Haimerl | DE | Starnberg | 2013-03-14 / 20130061405 - Waterproof Footwear and Method for Its Production | 3 |
Rudolf Haimerl | DE | Konzell | 2014-07-24 / 20140205216 - Packaging Container | 4 |
Stephan Haimerl | DE | Seubersdorf | 2016-03-10 / 20160069982 - APPARATUS AND METHOD FOR CALIBRATING A RADIO POSITIONING BASED POSITION FINDING DEVICE | 7 |
David Haimes | US | Belmont | 2016-03-17 / 20160078557 - SETUP OF A COMPUTER SYSTEM THAT EXECUTES AN ACCOUNTING PROGRAM | 9 |
Vesa Haimi | FI | Vantaa | 2010-04-01 / 20100080140 - MANAGING MOBILE TELECOMMUNICATIONS PACKET DATA SERVICE TRAFFIC IN REAL-TIME | 1 |
Shlomo Haimi | IL | Or Akiva | 2012-06-07 / 20120137631 - NON-CARBONATED DRINK BOTTLE PRESSURIZATION CAP | 4 |
Raziel Haimi-Cohen | US | Springfield | 2015-12-24 / 20150370931 - REDUCING THE DATA RATE OF COMPRESSIVE MEASUREMENT BY USING LINEAR PREDICTION | 15 |
Niina Haiminen | US | White Plains | 2012-12-27 / 20120330563 - Assembly Error Detection | 2 |
Niina S. Haiminen | US | White Plains | 2014-06-19 / 20140172320 - STABLE GENES IN COMPARATIVE TRANSCRIPTOMICS | 8 |
Simon Haimoff | IL | Jerusalem | 2014-12-04 / 20140352555 - GARLIC PEELER | 1 |
Efraim Haimoff | IL | Mevazeret Zion | 2012-09-13 / 20120228253 - INJECTION-MOLDING PROCESS AND ARTICLE MANUFACTURED THEREBY | 2 |
Efraim Haimoff | IL | Mevasereth Zion | 2014-02-20 / 20140050906 - INJECTION MOLDED PANEL, A MOLD AND A METHOD FOR ITS MANUFACTURE | 5 |
Efraim Haimoff | IL | Mevaseret Zion | 2015-02-12 / 20150044429 - SUPPORT PANEL | 4 |
Damon Haimoff | US | Brooklyn | 2012-09-20 / 20120236160 - Remote studio management and control | 1 |
Efraim Haimoff | IL | Mevasseret Zion | 2008-08-28 / 20080201873 - Vehicle ramp | 1 |
Takashi Haimoto | JP | Kanagawa | 2012-08-23 / 20120211719 - NONVOLATILE VARIABLE RESISTIVE DEVICE | 1 |
Takashi Haimoto | JP | Mie-Ken | 2014-05-22 / 20140138598 - NONVOLATILE MEMORY DEVICE | 1 |
Takashi Haimoto | JP | Tokyo | 2014-03-06 / 20140061570 - MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Gilad Haimov | IL | Tel Aviv | 2011-10-06 / 20110246066 - Method and System for Managing Media Items | 1 |
Dudu Haimovich | IL | Ramat Yishai | 2015-07-09 / 20150190610 - CABLE ARRANGER | 1 |
Alexander M. Haimovich | US | North Brunswick | 2009-01-01 / 20090005028 - SUM RATE OF BROADCAST CHANNELS WITH OUTDATED 1-BIT FEEDBACK | 1 |
Roee Haimovich | IL | Nesher | 2015-07-09 / 20150190610 - CABLE ARRANGER | 1 |
Beatrice Haimovich | US | North Brunswick | 2014-04-24 / 20140113306 - DIAGNOSTIC AND SCREENING METHODS FOR INFLAMMATION | 1 |
Dana Haimovich | IL | Kiryat-Haim | 2011-12-01 / 20110295226 - DELIVERY DEVICE FOR DELIVERING BIOACTIVE AGENTS TO INTERNAL TISSUE IN A BODY | 1 |
Dudu Haimovich | IL | Ramat Ishai | 2013-01-31 / 20130030453 - ADHESIVE PATCH VASCULAR APPLICATOR | 1 |
Ofer Haimovich | IL | Tel-Aviv | 2011-11-03 / 20110270891 - PAPERLESS PURCHASE DATA AGGREGATION SYSTEM | 1 |
Alexander Mircea Haimovich | US | North Brunswick | 2011-02-03 / 20110026451 - Assigning Source Nodes to a Select Group in a Wireless Communication Network | 1 |
Jules Haimovitz | US | Beverly Hills | 2012-11-15 / 20120290494 - SYSTEM AND METHOD FOR SCREENING AND SELECTING PERFORMERS | 1 |
Ronit Haimov-Kochman | IL | Mevasseret Zion | 2008-09-25 / 20080233583 - BIOMARKERS FOR PREECLAMPSIA | 1 |
Shai Haimson | IL | Qiryat-Gat | 2014-10-09 / 20140299992 - FILLING CAVITIES IN SEMICONDUCTOR STRUCTURES HAVING ADHESION PROMOTING LAYER IN THE CAVITIES | 2 |
Shai Haimson | IL | Moshav Beer Tuvia | 2012-10-11 / 20120256317 - Barrier Layer for Integrated Circuit Contacts | 1 |
Scott Hain | US | Orchard Park | 2011-08-04 / 20110187034 - Cushion, Bedding and Seating Surface Assemblies | 2 |
Thomas Hain | GB | Cambridge | 2014-08-28 / 20140244252 - METHOD FOR PREPARING A TRANSCRIPT OF A CONVERSION | 1 |
Robert Hain | CY | Limassol | 2015-08-20 / 20150231510 - SYSTEM AND METHOD FOR PROVIDING ENHANCED WALKTHROUGHS | 3 |
Christopher Lee Hain | US | Sunnyvale | 2016-04-07 / 20160100325 - WIRELESS NETWORK MONITORING DEVICE | 1 |
Joseph M. Hain | US | Redwood City | 2016-03-10 / 20160071108 - ENHANCED AUTOMATED ANTI-FRAUD AND ANTI-MONEY-LAUNDERING PAYMENT SYSTEM | 1 |
Robert F. Hain | US | Redmond | 2015-09-10 / 20150254081 - SYNCHRONIZING DEVICE ASSOCIATION DATA AMONG COMPUTING DEVICES | 2 |
Ruediger Hain | DE | Frankfurt | 2015-11-05 / 20150313237 - USE OF ALS INHIBITOR HERBICIDES FOR CONTROL OF UNWANTED VEGETATION IN ALS INHIBITOR HERBICIDE TOLERANT BETA VULGARIS PLANTS | 16 |
Steffen Hain | DE | Karlstadt | 2015-06-25 / 20150176654 - ROLLING-ELEMENT BEARING INCLUDING SEAL UNIT | 5 |
Marcus Hain | DE | Dillenburg | 2012-02-09 / 20120031964 - Switchgear cabinet or rack | 1 |
Rüdiger Hain | DE | Frankfurt | 2015-10-15 / 20150289464 - Method to Develop Herbicide-Resistant Sugar Beet Plants | 2 |
Moshe Hain | IL | Jerusalem | 2015-11-19 / 20150327757 - A PRE-SHAPED RIGID PORT | 2 |
Jochen Hain | DE | Wissenbach | 2015-06-25 / 20150174711 - METHOD AND DEVICE FOR SUPPLY OF CONNECTING ELEMENTS TO A PROCESSING APPARATUS | 2 |
Rüdiger Hain | DE | Frankfurt Am Main | 2014-03-06 / 20140066643 - ALS INHIBITOR HERBICIDE TOLERANT B NAPUS MUTANTS | 1 |
Toby D. Hain | US | Windsor | 2015-07-09 / 20150191646 - FUNCTIONALIZED SILICATE NANOPARTICLE COMPOSITION, REMOVING AND EXFOLIATING ASPHALTENES WITH SAME | 2 |
Jörg Hain | DE | Gifhorn | 2012-09-06 / 20120222809 - PROCESS FOR PRODUCING FIBER-REINFORCED FLAT SEMIFINISHED PRODUCTS WITH A POLYAMIDE MATRIX | 1 |
Jochen Hain | DE | Giessen | 2014-03-20 / 20140079494 - METHOD OF USING A STUD MOUNTING MACHINE | 3 |
Regina Rosales Hain | US | Winchester | 2009-05-21 / 20090129316 - Systems and methods for adaptive routing in mobile ad-hoc networks and disruption tolerant networks | 1 |
Robert Hain | US | Redmond | 2010-11-11 / 20100287288 - Mechanism to Verify Physical Proximity | 1 |
Larry A. Hain | US | Chalfont | 2010-01-28 / 20100021154 - TRANSPORT SYSTEM FOR MONITORING INDUSTRIAL PROCESSES | 2 |
Larry Hain | US | Chalfont | 2012-02-23 / 20120045675 - INTERCHANGEABLE BATTERY PACK APPARATUS AND METHOD | 2 |
Anthony Lee Hain | US | Woodinville | 2011-12-29 / 20110317711 - EXTENSIONS TO IPV6 NEIGHBOR DISCOVERY PROTOCOL FOR AUTOMATED PREFIX DELEGATION | 2 |
Ronald Hain | DE | Oberasbach | 2016-01-21 / 20160021509 - Method for providing a service | 3 |
Ruediger Hain | DE | Frankfurt | 2015-11-05 / 20150313237 - USE OF ALS INHIBITOR HERBICIDES FOR CONTROL OF UNWANTED VEGETATION IN ALS INHIBITOR HERBICIDE TOLERANT BETA VULGARIS PLANTS | 16 |
Markus Hain | DE | Dillenburg | 2013-02-21 / 20130046398 - CONTROL CABINET MONITORING DEVICE | 5 |
Ulrike Hain | DE | Muenchen | 2009-10-22 / 20090263239 - Ring structure with a metal design having a run-in lining | 1 |
Stefan Hain | DE | Effeltrich | 2009-12-31 / 20090320602 - DEVICE AND METHOD FOR SYNCHRONIZATION OF ULTRASONIC TRANSDUCERS IN A MOVEMENT SYSTEM | 6 |
Tobias Hain | DE | Augsburg | 2010-01-21 / 20100011883 - ROLLER COMPRISING A FORCE SENSOR | 2 |
Harald Hain | DE | Kahl | 2014-09-04 / 20140245796 - PROCESS FOR PRODUCING A CYLINDRICAL COMPONENT MADE OF GLASS BY ELONGATION | 3 |
Jochen Hain | DE | Eschenburg-Wissenbach | 2013-03-21 / 20130072047 - Fastening Arrangement | 5 |
Jens Hain | DE | Kleinsendelback | 2009-02-26 / 20090052707 - Hearing-aid system having magnetic-field sensors | 2 |
Klemens Hain | DE | Wiedenzhausen | 2011-05-05 / 20110103956 - SHROUD FOR ROTATING BLADES OF A TURBO MACHINE, AND TURBO MACHINE | 1 |
Jens Hain | DE | Kleinsendelbach | 2012-10-04 / 20120250916 - HEARING AID DEVICE WITH A DIRECTIONAL MICROPHONE SYSTEM AND METHOD FOR OPERATING A HEARING AID DEVICE HAVING A DIRECTIONAL MICROPHONE SYSTEM | 7 |
Mathias Hain | DE | Reutlingen | 2010-07-08 / 20100171605 - DEVICE AND METHOD FOR DETERMINING VEHICLE DATA | 2 |
Rainer Hain | DE | Steinheim | 2010-09-09 / 20100224613 - Ceramic glow plug | 2 |
Jochen Hain | DE | Eschenburg | 2013-05-02 / 20130105449 - METHOD OF LOADING A STUD WELDING HEAD | 2 |
Rainer Hain | DE | Steinheim An Der Murr | 2011-09-08 / 20110215080 - Glow plug and method for producing the same | 1 |
Roland Hain | DE | Muenchen | 2014-06-05 / 20140154003 - Securing of a Blow-Mould Component | 1 |
Jessica Hain | DE | Zangberg | 2013-04-25 / 20130102702 - Process for Continuous Emulsion Polymerization | 1 |
Miroslav Hain | JP | Ibaraki | 2014-01-02 / 20140002825 - OPTICAL CHARACTERISTIC MEASURING APPARATUS | 1 |
Darren E. Hain | US | Spring Hill | 2012-11-15 / 20120290200 - MARINE VESSEL NAVIGATION DEVICE, SYSTEM AND METHOD | 1 |
Karl Michael Hainbucher | AT | Schorfling | 2012-12-20 / 20120318169 - FUNCTIONALIZED MOLDED CELLULOSE BODY AND METHOD FOR PRODUCING THE SAME | 1 |
Corinna Haindl | DE | Mannheim | 2008-09-04 / 20080214725 - Humidity-Regulating Composite Materials | 1 |
Hans Haindl | DE | Wennigsen | 2016-04-21 / 20160106966 - PORT FOR A CATHETER | 10 |
Hans Haindl | US | 2015-04-23 / 20150112281 - SAFETY CANNULA FOR EXTRACORPOEREAL BLOOD TREATMENT | 1 | |
Hans Haindl | DE | Wennigsen | 2016-04-21 / 20160106966 - PORT FOR A CATHETER | 10 |
Rudolf Haindl | AT | Salzburg | 2011-05-19 / 20110117264 - PROCESS FOR THE PRODUCTION OF A FOODSTUFF CONTAINING A FAT CARRIER, A STABILIZER AND A SOLVENT FOR SAID STABILIZER | 1 |
Klaus Haindl | AT | Vienna | 2008-09-18 / 20080226098 - DETECTION AND SUPPRESSION OF WIND NOISE IN MICROPHONE SIGNALS | 1 |
Curtis Hainds | US | Colorado Springs | 2008-10-02 / 20080238461 - Multi-type test interface system and method | 1 |
Richard Haine | US | Paramus | 2010-12-09 / 20100308701 - Memorabilia display case | 1 |
Charles L. Haine | US | Memphis | 2014-04-24 / 20140113889 - Treatment for dry eye | 1 |
Dominic P. Haine | US | San Francisco | 2014-11-20 / 20140340644 - DISPLAY ACCESSIBILITY FOR COLOR VISION IMPAIRMENT | 1 |
Valerie Haine | BE | Namur | 2009-05-07 / 20090117155 - TREATMENT OF HIV-1 BY MODULATION OF VPR ACTIVATION OF THE M-CSF PROMOTER | 1 |
Dominic Philip Haine | US | San Francisco | 2014-04-10 / 20140100923 - NATURAL LANGUAGE METRIC CONDITION ALERTS ORCHESTRATION | 4 |
Dominic Philip Haine | US | So. San Francisco | 2016-05-12 / 20160132466 - Organizational Chart Exporting with Layout Preview and Customization | 4 |
Charles Haine | US | Claremont | 2010-01-21 / 20100016264 - TREATMENT FOR DRY EYE USING TESTOSTERONE AND PROGESTAGEN | 1 |
Todd T. Hainer | US | Neenah | 2011-07-28 / 20110180450 - PRODUCTS AND METHOD FOR PACKAGING MULTIPLE ROWS OF PRODUCTS | 1 |
Henry A. Hainer | US | Ray | 2011-08-25 / 20110207579 - GEAR ENGAGEMENT CONTROL SYSTEM AND METHOD | 1 |
Jack J. Haines | US | Mckeesport | 2011-01-27 / 20110017794 - BELTS AND METHODS OF USE THEREOF | 1 |
Thomas Haines | US | Westfield | 2011-03-03 / 20110055112 - STRUCTURED FUTURES PRODUCTS | 1 |
Jeffrey Christopher Haines | GB | Liverpool | 2012-09-13 / 20120228379 - SYSTEM INTEGRATION | 1 |
Lance P. Haines | US | Wilmington | 2011-10-20 / 20110254372 - Alternative-Source Energy Management | 4 |
Roderick Andrew Haines | GB | St Ives, Cambridgeshire | 2016-02-04 / 20160030235 - SLUSH GENERATION | 1 |
Trenton W. Haines | US | Novi | 2015-11-19 / 20150331829 - SERVICE AND SYSTEM SUPPORTING COHERENT DATA ACCESS ON MULTICORE CONTROLLER | 7 |
Howard Haines | US | Auburn | 2013-10-10 / 20130267784 - DIGITAL OTOSCOPE | 1 |
Russell Haines | NZ | Rotorua | 2014-11-06 / 20140330438 - Intelligent hvac register | 1 |
Kimberly M. Haines | US | Deerfield | 2013-10-31 / 20130284187 - CRANIAL SURGICAL DRAPE | 7 |
Louise Elizabeth Anna Haines | GB | London | 2015-07-02 / 20150187018 - PATIENT DATA TRIGGERED POOLING-SYSTEM FOR RISK SHARING OF CRONIC CRITICAL ILLNESS RISKS OF COHORTS OF ELDERLY PERSONS AND CORRESPONDING METHOD THEREOF | 1 |
Ron Haines | US | Willoughby | 2016-02-18 / 20160047055 - ANODE ASSEMBLY, SYSTEM INCLUDING THE ASSEMBLY, AND METHOD OF USING SAME | 2 |
Jacob L. Haines | US | Colby | 2012-03-29 / 20120073239 - Flexible-Based Roof Vent for Metal Roofing | 1 |
Matthew D. Haines | US | Fort Collins | 2011-12-08 / 20110302280 - Performing Administrative Tasks Associated with a Network-Attached Storage System at a Client | 4 |
Robyn Aylor Haines | US | Franklin | 2014-09-18 / 20140275240 - Suppression and prevention of tumors and treatment of viruses | 1 |
Joshua Haines | US | West Chester | 2016-02-04 / 20160030080 - Medical Devices Having a Releasable Tubular Member and Methods of Using the Same | 4 |
James S. Haines | US | Lancaster | 2015-07-16 / 20150198232 - Rear End Gear Box Cover for Racing Cars | 1 |
Matthew D. Haines | US | Ft. Collins | 2010-11-25 / 20100299539 - ENCRYPTION BASED STORAGE LOCK | 1 |
Nicole R. Haines | US | Charlotte | 2014-07-10 / 20140191862 - SECURITY APPARATUS AND SYSTEM | 1 |
Jonathan Haines | US | Boulder | 2012-02-09 / 20120036312 - Wear Leveling Technique for Storage Devices | 2 |
Robyn Aylor Haines | US | Columbus | 2011-05-05 / 20110105856 - Diagnostic testing | 2 |
Joshua Paul Haines | US | Marcellus | 2014-09-11 / 20140254050 - PROTECTIVE DEVICE FOR AN ELECTRICAL SUPPLY FACILITY | 1 |
Bradford Haines | US | Spanish Fork | 2015-03-05 / 20150060710 - FLUID FLOW CONTROL DEVICES AND SYSTEMS, AND METHODS OF FLOWING FLUIDS THERETHROUGH | 8 |
Jonathan W. Haines | US | Boulder | 2014-12-25 / 20140379973 - GARBAGE COLLECTION MANAGEMENT IN MEMORIES | 13 |
Joshua Haines | US | Marcellus | 2014-05-22 / 20140140064 - Night Light | 2 |
Russell John Haines | GB | Bristol | 2012-11-29 / 20120302267 - APPARATUS AND METHOD FOR USE IN A FEMTO CELL | 2 |
Carter S. Haines | US | Murphy | 2015-08-06 / 20150219078 - COILED AND NON-COILED TWISTED POLYMER FIBER TORSIONAL AND TENSILE ACTUATORS | 2 |
Joshua P. Haines | US | Marcellus | 2014-09-18 / 20140268436 - Protective Device With Non-Volatile Memory Miswire Circuit | 5 |
Jonathan L. Haines | US | Brentwood | 2011-05-19 / 20110117557 - Methods and Compositions For Diagnosis of Age-Related Macular Degeneration | 1 |
Normangraham Haines | AU | Queensland | 2011-06-30 / 20110154697 - A WEAR PART | 1 |
David D. Haines | US | Bloomfield | 2016-04-07 / 20160095892 - Sour Cherry Topical Biotherapeutic Formulations, Method of Manufacture and Method of Treatment of Human Osteoarthritis Symptoms | 2 |
Jonathan Haines | US | Effort | 2016-02-18 / 20160045590 - ALKYLATED INFLUENZA VACCINES | 1 |
Steven Haines | US | Santa Fe | 2009-05-28 / 20090133440 - METHOD OF REDUCING THE OCCURANCE OF CRYSTALLINE SILICA IN FOAMED GLASS BY THE INTRODUCTION OF CHEMICAL ADDITIVES | 1 |
Paul Mark Haines | US | Labanon | 2008-09-11 / 20080218566 - Metallized print head container and method | 1 |
Tom Haines | US | Evansville | 2013-12-19 / 20130337392 - CARBON BAKING OXYGEN PREHEAT AND HEAT RECOVERY FIRING SYSTEM | 3 |
Robert Haines | US | Kinnelon | 2012-12-27 / 20120330079 - PROCESS FOR THE PRODUCTION OF OLEFINS | 2 |
Derek Walker Haines | US | Newark | 2014-01-23 / 20140026002 - METHODS FOR HIERARCHICALLY IDENTIFYING ROOT CAUSE ERRORS | 1 |
Robert E. Haines | US | Boise | 2008-09-04 / 20080215454 - Imaging device configuration methods and imaging device management methods | 1 |
Bradley J. Haines | US | Sun Prairie | 2014-05-01 / 20140116562 - REFRIGERANT HOSE | 1 |
Julian Haines | GB | Cambridge | 2009-07-23 / 20090184940 - POSITION SENSOR | 1 |
Chris Haines | GB | London | 2015-12-31 / 20150382210 - MOBILE PHONE NETWORK MANAGEMENT SYSTEMS | 4 |
Christopher P. Haines | US | Westford | 2014-02-27 / 20140057160 - ALKALINE CELL HAVING INCREASED INTERFACIAL AREA | 1 |
Walton P. Haines | US | Corralitos | 2010-03-18 / 20100066529 - Highly intelligent vehicle with brake light repeater | 1 |
Jonathan W. Haines | US | Boulder | 2014-12-25 / 20140379973 - GARBAGE COLLECTION MANAGEMENT IN MEMORIES | 13 |
Anthony Dean Haines | US | Hampstead | 2009-12-31 / 20090321104 - CONCEALED AND FLUSH DUAL PHONE AND POWER OUTLET | 1 |
John E. Haines | US | Tampa | 2015-09-03 / 20150248687 - SYSTEMS AND METHODS TO ENHANCE THE EFFECTIVENESS OF INTERNET ADVERTISING | 1 |
Ken Haines | US | Gladwin | 2011-09-08 / 20110217407 - MATERIAL SHEET GUIDING SYSTEM FOR A THERMOFORMING MACHINE | 1 |
Thomas Haines | AU | Western Australia | 2011-11-10 / 20110276500 - Processing a request for an IP right | 1 |
Michael D. Haines | US | Crown Point | 2015-10-22 / 20150298578 - RIGID T-RAIL CONDUCTOR SYSTEM | 1 |
Michael S. Haines | US | Lenexa | 2009-02-12 / 20090042497 - DEVICE AND METHOD FOR CLAMPING AND CUTTING | 1 |
Donald Mark Haines | US | Melbourne | 2013-10-24 / 20130278357 - MAXIMALLY FLAT FREQUENCY CODED (MFFC) PASSIVE WIRELESS SAW RFID TAGS AND SENSORS | 3 |
Alex Haines | US | San Rafael | 2015-10-22 / 20150303865 - Imitation Solar Module For Use In A Staggered Or Irregularly Shaped Solar Array | 1 |
Jay A. Haines | US | Panama City | 2016-03-03 / 20160060464 - SURFACE COATINGS AND METHODS | 6 |
Michael Haines | US | Wadsworth | 2013-11-14 / 20130298657 - SYSTEM FOR CHARACTERIZING TIRE UNIFORMITY MACHINES AND METHODS OF USING THE CHARACTERIZATIONS | 1 |
Joshua P. Haines | US | Auburn | 2014-05-22 / 20140139047 - Electronic Switching Device and System | 1 |
Kimberly Haines | US | Deerfield | 2012-11-29 / 20120298116 - Surgical Drape Configured for Peripherally Inserted Central Catheter Procedures | 2 |
Paul Mark Haines | US | Lebanon | 2015-01-08 / 20150009267 - LIQUID SUPPLY | 4 |
Bruce J. Haines | US | South Pasadena | 2014-02-13 / 20140043188 - GLOBAL POSITIONING SYSTEM RADIOMETRIC EVALUATION | 1 |
Paul Haines | GB | Bristol | 2014-06-19 / 20140166423 - Torque Limiting Tolerance Ring | 1 |
Thomas Haines | AU | West Leederville | 2014-06-26 / 20140176557 - COMPUTER SYSTEM TO VISUALIZE DATA FROM AN IP RIGHTS DATABASE | 1 |
David O. Haines | US | Arlington Heights | 2013-03-28 / 20130080191 - Method for Implementing a Controlled Medical Vocabulary | 4 |
Daniel W. Haines | US | Garden Grove | 2015-05-07 / 20150126815 - SUTURING DEVICES AND METHODS FOR SUTURING AN ANATOMIC STRUCTURE | 1 |
Shane Haines | US | Hollywood | 2015-05-21 / 20150141515 - COMPOSITIONS AND METHODS FOR DELIVERY OF NSAID AND ANESTHETIC | 1 |
Thomas Haines | AU | Wembley | 2015-07-30 / 20150213569 - Server and Process for Producing an IP Application Exchange Data Set | 2 |
Thomas Haines | AU | Perth | 2014-11-20 / 20140344273 - System and method for categorizing time expenditure of a computing device user | 1 |
Michael Haines | US | Hillsboro | 2009-06-11 / 20090150585 - Connecting an integrated antenna in a flat panel display to a desktop computer | 1 |
Michael Haines | US | Paola | 2012-01-12 / 20120008753 - SUPPORTING DOMAIN VARIATION WITHIN A CLOUD PROVIDED MULTITENANT UNIFIED COMMUNICATIONS ENVIRONMENT | 1 |
John Haines | US | Fremont | 2012-06-14 / 20120151044 - DISTRIBUTED CACHING FOR RESOURCE AND MOBILE NETWORK TRAFFIC MANAGEMENT | 1 |
Robert Haines | US | Naperville | 2008-09-04 / 20080210902 - CRYSTALLINE NANOSTRUCTURED PARTICLES | 1 |
Rod Haines | GB | Cambridge | 2014-07-24 / 20140203498 - ITEM LOCATION | 1 |
Samuel H. Haines | US | Tulsa | 2016-04-21 / 20160108715 - REMOTE VISUAL AND AUDITORY MONITORING SYSTEM | 3 |
David Haines | CA | Markham | / - | 1 |
Daniel Haines | US | Lake Ariel | 2011-03-17 / 20110062047 - Method Of Preparing Macromolecule Deterrent Surface On a Pharmaceutical Packages | 3 |
Robert Haines | US | Phoenix | 2012-07-26 / 20120186657 - PIEZOELECTRIC PROPORTIONAL CONTROL VALVE | 1 |
Timothy G. Haines | US | Seattle | 2016-03-31 / 20160089168 - METHOD AND APPARATUS FOR TOTAL KNEE ARTHROPLASTY | 9 |
Michael David Haines | US | Stroud | 2016-04-21 / 20160108715 - REMOTE VISUAL AND AUDITORY MONITORING SYSTEM | 2 |
Gretchen Aleasha Haines | US | Houston | 2015-08-27 / 20150240578 - MODULAR MUD LIFT PUMP ASSEMBLY | 1 |
Christopher Haines | US | Menlo Park | 2009-07-02 / 20090170101 - IDENTIFICATION OF THYMICALLY DERIVED CD4 T CELLS BY PROTEIN TYROSINE KINASE 7 EXPRESSION | 1 |
Julian Haines | IE | Dublin | 2010-06-17 / 20100148806 - METHODS AND SYSTEMS FOR DETECTING A CAPACITANCE USING SIGMA-DELTA MEASUREMENT TECHNIQUES | 3 |
Brent Haines | US | Cupertino | 2009-08-13 / 20090204964 - Distributed trusted virtualization platform | 1 |
Timothy G. Haines | US | Seattle | 2016-03-31 / 20160089168 - METHOD AND APPARATUS FOR TOTAL KNEE ARTHROPLASTY | 9 |
Timothy G. Haines | US | Lacrosse | 2009-03-26 / 20090082773 - METHOD AND APPARATUS FOR WIREPLASTY BONE RESECTION | 1 |
Robert B. Haines | US | Phoenix | 2012-12-27 / 20120325080 - Gas boosters | 3 |
Dale Stewart Haines | US | Wynnewood | 2009-01-15 / 20090017538 - Mammalian MDM2 Binding Proteins and Uses Thereof | 1 |
Daniel E. Haines | US | Lake Ariel | 2008-09-04 / 20080213909 - PHARMACEUTICAL PACKAGING ASSAY | 1 |
Jon Christopher Haines | US | North Richland Hills | 2010-03-11 / 20100059314 - Inspection Platform | 1 |
Roderick Andrew Haines | GB | Cambridgeshire | 2013-03-14 / 20130064951 - APPARATUS AND METHOD FOR MANUFACTURING PRODUCTS | 2 |
Timothy G. Haines | US | Columbia City | 2010-04-22 / 20100100192 - FEMORAL PROSTHETIC IMPLANT | 1 |
Darin Haines | US | Washougal | 2010-10-07 / 20100253699 - Methods and Systems for Improved Processing of Digital Image Data | 1 |
Laura Haines | US | Durham | 2014-05-01 / 20140119026 - REFLECTIVE NANOFIBER LIGHTING DEVICES | 1 |
David Haines | US | Arlington Heights | 2015-03-26 / 20150088548 - System and Method for Determining a Sufficiency of Data Entry in an Electronic Health Record | 4 |
Ralph Haines | US | Atherton | 2011-02-10 / 20110032029 - CONFIGURABLE EMBEDDED PROCESSOR | 1 |
Carter Sebastian Haines | US | Murphy | 2016-04-21 / 20160111707 - FABRICATION OF BISCROLLED FIBER USING CARBON NANOTUBE SHEET | 3 |
Don Haines | CA | Kelowna | 2010-03-25 / 20100071276 - ARTICULATING POST FOR A TOPLESS AND BOTTOMLESS GLASS POST SYSTEM | 1 |
Bradford Haines | US | Spanish Fork | 2015-03-05 / 20150060710 - FLUID FLOW CONTROL DEVICES AND SYSTEMS, AND METHODS OF FLOWING FLUIDS THERETHROUGH | 8 |
Christopher A. Haines | US | Dana Point | 2011-06-09 / 20110133438 - Utility Vehicle Module | 1 |
Lance Palmer Haines | US | Wilmington | 2011-03-03 / 20110049980 - SYSTEM AND METHOD FOR ALLOCATING POWER TO LOADS | 2 |
Russell Haines | CN | Beijing | 2012-08-02 / 20120194356 - SYSTEM THAT WARNS IN ADVANCE OF OCCUPANTS EXITING OR ENTERING A PARKED VEHICLE | 1 |
Andrew Marr Haines | US | Oceanside | 2015-05-14 / 20150130616 - System of detecting incarceration of an individual and notifying interested parties, and related methods | 1 |
Kim Haines | US | Deerfield | 2014-01-23 / 20140021087 - Custom Procedure Kit | 1 |
Roderick Haines | GB | St. Ives ,cambridgeshire | 2010-03-18 / 20100068246 - Process for Providing a Quantity of a Particulate Material, Product and Apparatus | 1 |
Adam Bruce Haines | CA | Toronto | 2016-03-03 / 20160062973 - COLLECTING AND AUDITING STRUCTURED DATA LAYERED ON UNSTRUCTURED OBJECTS | 1 |
Daniel Scott Haines | US | Orange | 2016-02-18 / 20160048226 - PATIENT-ACTUATED CONTROL DEVICE FOR CONTROLLING AN AUDIO-VISUAL DISPLAY AND ANCILLARY FUNCTIONS IN A HOSPITAL ROOM | 1 |
Stephen Roy Haines | NZ | Mosgiel | 2009-09-24 / 20090238892 - DEER ANTLER EXTRACT FOR PROMOTING ANGIOGENESIS | 1 |
Jonathan L. Haines | US | Nashville | 2010-07-29 / 20100190264 - Genetic Variants Increase the Risk of Age-Related Macular Degeneration | 2 |
Roderick Andrew Haines | GB | Cambridge | 2013-08-22 / 20130216674 - APPARATUS AND METHOD FOR MANUFACTURING PRODUCTS | 1 |
Daniel Edward Haines | US | Lake Ariel | 2011-07-21 / 20110177955 - MULTIPLEXED PROTEIN ADSORPTION ASSAY | 2 |
Steven C. Haines | US | Santa Fe | 2015-11-26 / 20150336836 - VERY LOW CRYSTALLINE SILICA FOAMED GLASS AND METHODS OF USING THE SAME | 1 |
Jonathan Williams Haines | US | Boulder | 2014-11-06 / 20140331071 - SYSTEMS, METHODS AND DEVICES FOR CONTROL OF THE OPERATION OF DATA STORAGE DEVICES USING SOLID-STATE MEMORY | 19 |
Thomas Haines | AU | North Perth | 2014-09-18 / 20140279585 - Server and Process for Producing an IP Application Exchange Data Set | 1 |
Thomas Haines | AU | East Perth | 2016-02-25 / 20160055603 - Processing a request for an IP right | 2 |
Paul Haines | GB | Patchway | 2015-01-29 / 20150028644 - BEARING FOR USE IN SLIDING HEAD RESTRAINT | 1 |
Megan Haines | US | Denver | 2014-06-12 / 20140164117 - Apparatus and Method for Branded Messaging | 2 |
Caroline Haines | GB | London | 2014-08-07 / 20140222559 - METHOD AND SYSTEM OF CUSTOMER LEVEL TRANSACTION ANALYTICS ACROSS MERCHANT SITES | 1 |
Paul A. Haines | US | Bloomfield Hills | 2012-11-08 / 20120282429 - Processes For Forming Plastic, Apparatuses For Forming Plastic, And Articles Made Therefrom | 1 |
William Haines | US | San Jose | 2015-01-29 / 20150029612 - Dual Pass Perpendicular Magnetic Recording | 1 |
Gregory Grant Haines | US | San Diego | 2015-11-05 / 20150317437 - THERAPY MANAGEMENT SYSTEM | 2 |
Michael Stephen Haines | US | Lenexa | 2013-06-20 / 20130157553 - WATERLESS SKINNER WITH PRESSURE ROLLER AND COMB SCRAPER | 1 |
Brian Haines | US | Boston | 2014-11-27 / 20140349968 - Compositions and Methods for Treating Cancer | 2 |
Paul Mark Haines | US | Corvallis | 2013-05-02 / 20130104760 - WEB PRESS AND A METHOD OF DUPLEX PRINTING | 6 |
Lilly Haines-Gadd | GB | Oxford | 2012-04-19 / 20120095438 - MEDICATION DELIVERY DEVICE WITH FOLDABLE FINGER PAD | 1 |
Donald William Haines, Jr. | US | Woodway | 2015-03-19 / 20150078859 - LOCK BOLT COLLAR WITH HIGH STANDOFF INTERNAL BEAD | 1 |
James Hainfeld | US | Shoreham | 2008-11-27 / 20080292052 - Methods for Assisting Recovery of Damaged Brain and Spinal Cord and Treating Various Diseases Using Arrays of X-Ray Microplanar Beams | 1 |
James F. Hainfeld | US | Shoreman | 2009-07-23 / 20090186060 - METHODS OF ENHANCING RADIATION EFFECTS WITH METAL NANOPARTICLES | 1 |
James F. Hainfeld | US | Shoreham | 2012-09-27 / 20120244075 - 5 NM Nickel-NTA-Gold Nanoparticles | 6 |
Gordon John Haining | GB | Yorkshire | 2010-11-18 / 20100292520 - PROCESS FOR PRODUCING ALKENES OXYGENATES BY USING SUPPORTED HETEROPOLYACID CATALYSTS | 1 |
Gordon John Haining | GB | East Riding Of Yorkshire | 2010-10-21 / 20100267985 - CARBONYLATION PROCESS FOR THE PRODUCTION OF ACETIC ACID AND/OR METHYL ACETATE | 1 |
Gordon John Haining | GB | East Yorkshire | 2016-02-25 / 20160052857 - CARBONYLATION PROCESS | 1 |
John Henry Hain, Jr. | US | Woodstock | 2012-03-22 / 20120071679 - PROCESS OF MAKING ALUMINOXANE AND CATALYSTS CONTAINING THUS PREPARED ALUMINOXANE | 1 |
Rebecca M. Hainley | US | Portland | 2012-12-20 / 20120321359 - METHOD AND APPARATUS TO IMPROVE BELT ROLL FUSING STRIPPING LATITUDE BY STRIP SHOE POSITION ADJUSTMENT | 2 |
Chris J. Hainley | US | Portland | 2015-12-24 / 20150368884 - WEAR ASSEMBLY | 2 |
Nobuo Haino | JP | Saitama | 2009-03-05 / 20090060459 - Recording Apparatus and Recording Method for Dual-Layer Recording Disk | 1 |
Nobuo Haino | JP | Tokorozawa-Shi | 2010-08-19 / 20100208576 - RECORDING DEVICE AND RECORDING METHOD FOR DUAL LAYER RECORDING DISC | 1 |
Makoto Haino | JP | Shizuoka | 2013-05-23 / 20130131180 - N-HYDROXYFORMAMIDE DERIVATIVE AND MEDICAMENT CONTAINING SAME | 1 |
Masami Haino | JP | Tokyo | 2009-01-29 / 20090027508 - IMAGE PROCESSING SYSTEM, IMAGING DEVICE, AND OUTPUT DEVICE | 1 |
Masami Haino | JP | Minamiawaji-Shi | 2012-02-23 / 20120045667 - BATTERY PACK HAVING WATERPROOF STRUCTURE | 2 |
Mariana Hainrichson | IL | Kiryat-Yam | 2015-12-10 / 20150352136 - NOVEL AMINOGLYCOSIDES AND USES THEREOF IN THE TREATMENT OF GENETIC DISORDERS | 1 |
Mariana Hainrichson | IL | Kiryat-Haim | 2014-12-04 / 20140357591 - CONJUGATED ANTIMICROBIAL AGENTS | 3 |
John V. Hains | US | Simpsonville | 2010-12-09 / 20100307158 - SYSTEMS RELATING TO TURBINE ENGINE CONTROL AND OPERATION | 1 |
David Hains | US | Memphis | 2015-03-12 / 20150072922 - RNASE 7 ANTIMICROBIAL PEPTIDES | 1 |
David Hains | US | Columbus | 2016-03-10 / 20160069905 - Compositions and Methods for Detection of Defensins in a Patient Sample | 3 |
John Victor Hains | US | Simpsonville | 2014-08-28 / 20140238643 - SYSTEM AND METHOD FOR CLEANING HEAT EXCHANGERS | 11 |
Burdette (ted) Harmon Hains | CA | Toronto | 2010-04-01 / 20100082367 - SYSTEM AND METHOD FOR PROVIDING A HEALTH MANAGEMENT PROGRAM | 1 |
Alexander W. Hains | US | Evanston | 2009-03-05 / 20090056810 - TFB:TPDSi2 INTERFACIAL LAYER USABLE IN ORGANIC PHOTOVOLTAIC CELLS | 2 |
Norman L. Hains | US | Arlington | 2015-09-10 / 20150251953 - LIMESTONE MASONRY VENEER, RESURFACING AND AESTHETIC OVERLAY COMPOSITIONS, METHODS OF MAKING AND METHODS OF USE | 1 |
Alexander W. Hains | US | Lakewood | 2010-10-07 / 20100252113 - ORGANIC PHOTOVOLTAIC DEVICE WITH INTERFACIAL LAYER AND METHOD OF FABRICATING SAME | 1 |
Maurice Gaston Hains | US | Brockton | 2014-04-24 / 20140112910 - MODIFIED GLYCOPROTEINS | 1 |
Avis Brennan Hains | US | New Haven | 2008-12-25 / 20080318992 - METHOD OF USING A PKC INHIBITOR TO REVERSE PREFRONTAL CORTICAL DECLINES | 1 |
John Victor Hains | US | Simpsonville | 2014-08-28 / 20140238643 - SYSTEM AND METHOD FOR CLEANING HEAT EXCHANGERS | 11 |
Vincent James Hainsby | GB | St. Neots | 2013-03-07 / 20130056978 - FLUID COUPLING | 1 |
Lilly Hains-Gadd | GB | Oxfordshire | 2012-05-31 / 20120136318 - Drug Delivery Device with Retractable Needle | 1 |
David William Hainsworth | AU | Westlake Queensland | 2012-05-24 / 20120128371 - COMMUNICATION SYSTEM AND METHOD | 1 |
John Hainsworth | US | Canton | 2009-12-10 / 20090301159 - METHOD OF FORMING, INSERTING AND PERMANENTLY BONDING RIBS IN BOILER TUBES | 1 |
Eugenie Hainsworth | US | Somerville | 2012-09-13 / 20120228490 - APPARATUS AND METHOD FOR ION MOBILITY SPECTROMETRY AND SAMPLE INTRODUCTION | 1 |
John Kenneth Hainsworth | GB | W. Yorkshire | 2011-07-21 / 20110174306 - Dry Powder Inhalers with Multi-Facet Surface Deagglomeration Chambers and Related Devices and Methods | 1 |
Paul V. Hainsworth | US | Foxboro | 2015-11-12 / 20150326634 - ESTABLISHING A SECURE WIRELESS NETWORK WITH MINIMUM HUMAN INTERVENTION | 5 |
David William Hainsworth | AU | Queensland | 2009-08-27 / 20090212216 - Mining methods and apparatus | 1 |
Barton J. Hainsworth | US | Oxford | 2015-01-22 / 20150021436 - WING MOUNT ADJUSTMENT | 3 |
Jerzy Haintze | PL | Warszawa | 2013-09-05 / 20130228101 - MANNER OF OBTAINMENT OF BINDING AGENT FOR MASS FOR PRODUCTION OF SHAPED CONSTRUCTION ELEMENTS AND BINDING AGENT FOR MASS FOR PRODUCTION OF SHAPED CONSTRUCTION ELEMENTS | 3 |
Joachim Hainz | DE | Aalen | 2009-01-15 / 20090015812 - ILLUMINATION SYSTEM PARTICULARLY FOR MICROLITHOGRAPHY | 1 |
Simon Hainz | AT | Klagenfurt | 2016-01-07 / 20160004585 - APPARATUS AND A METHOD FOR PROVIDING AN ERROR SIGNAL FOR A CONTROL UNIT | 4 |
Rudiger Hainz | DE | Binzen | 2011-02-03 / 20110023754 - ISOMETRIC ISOINDOLINE YELLOW PIGMENT | 2 |
Simon Hainz | AT | Villach | 2015-08-13 / 20150229469 - SENSOR SUBASSEMBLY AND METHOD FOR SENDING A DATA SIGNAL | 7 |
Joachim Hainz | DE | Jena | 2008-09-18 / 20080225387 - COLLECTOR FOR ILLUMINATION SYSTEMS WITH A WAVELENGTH LESS THAN OR EQUAL TO 193 nm | 1 |
Sean A. Hainze | US | Huntsville | 2011-11-03 / 20110265637 - Missile Secure-Release Mechanism Having Wheel Lock Detent | 1 |
Stefan Hainzl | DE | Berlin | 2013-12-12 / 20130332779 - METHOD FOR AUTOMATICALLY MONITORING AT LEAST ONE COMPONENT OF A PHYSICAL SYSTEM | 1 |
Richard Andreas Axel Hainzl | SE | Sollentuna | 2010-04-22 / 20100099394 - Method of unlocking a mobile electronic device | 2 |
Richard Hainzl | SE | Sollentuna | 2014-10-02 / 20140293226 - EYE-TRACKER ILLUMINATION | 3 |
Josef Hainzl | AT | Andorf | 2009-04-30 / 20090107369 - Sowing device | 1 |
Richard Hainzl | SE | Stockholm | 2015-03-05 / 20150062323 - PORTABLE EYE TRACKING DEVICE | 4 |
Lan Haioing | CN | Shanghai | 2008-09-18 / 20080225816 - Interface, Apparatus, and Method for Communication Between a Radio Equipment Control Node and a Remote Equipment Node in a Radio Base Station | 1 |
Hu Haipeng | CN | Hangzhou | 2014-02-13 / 20140046215 - Assay Device for Testing an Analyte in Samples | 1 |
Liu Haipeng | US | Malden | 2012-04-12 / 20120087949 - OLIGONUCLEOTIDE MICELLES | 1 |
Holger Haiplik | GB | Swindon | 2013-11-28 / 20130314062 - DC-DC CONVERTERS OPERABLE IN A DISCONTINUOUS SWITCHING MODE | 9 |
Holger Haiplik | GB | Swindon | 2013-11-28 / 20130314062 - DC-DC CONVERTERS OPERABLE IN A DISCONTINUOUS SWITCHING MODE | 9 |
Holger Haiplik | AT | Graz | 2008-10-16 / 20080252262 - Device And Method For Charging And Controlling The Charge Of A Battery | 1 |
Ilpo Haipus | FI | Nummela | 2016-02-04 / 20160031676 - ARRANGEMENT FOR SERVING PASSENGER-SPECIFIC DESTINATION CALLS IN AN ELEVATOR SYSTEM | 4 |
Lan Haiqing | CN | Shanghai | 2011-02-10 / 20110032910 - Interface, apparatus, and method for communication between a radio eqipment control node one or more remote radio equipment nodes | 1 |
John Hunter Hair | US | Cary | 2014-11-20 / 20140339877 - Collapsible Arm Support | 1 |
Kenneth A. Hair | US | Fort Collins | 2016-04-07 / 20160097476 - AUTOMATICALLY LOCKING SHOWER ARM JOINT | 10 |
Charles A. Hair | US | Eagle | 2011-11-17 / 20110281666 - METHOD AND APPARATUS FOR GOLF CLUB PUTTER | 1 |
Allen Hair | US | Los Angeles | 2013-10-03 / 20130263265 - SYSTEMS AND METHODS FOR USING PROPERTY TABLES TO PERFORM NON-ITERATIVE MALWARE SCANS | 1 |
Kanneth A. Hair | US | Fort Collins | 2012-11-01 / 20120277677 - Powered Irrigator for Sinus Cavity Rinse with Detachable Reservoir | 1 |
Arthur R. Hair | US | Upper St. Clair | 2014-04-24 / 20140112639 - Method and System for Manipulation of Audio or Video Signals | 5 |
Gregory A. Hair | US | Atlanta | 2009-10-01 / 20090247733 - Novel Bone Mineralization Proteins, DNA, Vectors, Expression Systems | 1 |
Clarence Hair | US | Greensville | 2011-09-15 / 20110221086 - TIRE UNIFORMITY CORRECTION | 1 |
Don Hair | US | Moreno Valley | 2014-05-22 / 20140142635 - VARIABLE ANGLE SPINAL SCREW ASSEMBLY | 3 |
Jonathon Eldon Hair | US | West Bountiful | 2016-01-21 / 20160019933 - APPARATUS AND METHODS FOR RECORDING AUDIO AND VIDEO | 1 |
Martin Hair | US | Hesperia | 2013-03-14 / 20130061794 - Small Craft Locking Device | 1 |
Dennis Hair | US | Oklahoma City | 2012-05-10 / 20120111756 - ENEMA FORMULATIONS | 2 |
Arthur R. Hair | US | Westlake Village | 2014-10-09 / 20140304501 - Method and System for Establishing a Trusted and Decentralized Peer-to-Peer Network | 1 |
Stephanie Hair | US | Los Angeles | 2012-12-27 / 20120324625 - REUSABLE STRAPLESS LACE MASQUERADE | 1 |
Kenneth A. Hair | US | Fort Collins | 2016-04-07 / 20160097476 - AUTOMATICALLY LOCKING SHOWER ARM JOINT | 10 |
Michael L. Hair | US | Tulsa | 2015-05-14 / 20150129196 - Switch between Redundant Control Systems for a Subsurface Safety Valve | 4 |
Dirk Hair | US | Edmonton | 2015-10-22 / 20150299458 - ELASTOMERIC COMPOSITION HAVING OIL RESISTANCE | 1 |
Armond Hairapetian | US | Newport Coast | 2015-12-03 / 20150349769 - Current-controlled CMOS logic family | 6 |
Mohd Hair-Bejo | MY | Ehsan | 2009-12-31 / 20090325149 - DETECTION AND DISTINGUISHING INFECTIONS BURSAL DISEASE VIRUS (IBDV) STRAINS BY MOLECULAR BIOLOGY METHOD | 1 |
Marvin Jonathan Haire | US | Houston | 2014-12-04 / 20140356738 - AMMONIA BASED SYSTEM TO PREPARE AND UTILIZE HYDROGEN TO PRODUCE ELECTRICITY | 1 |
Charles Haire | US | Lancaster | 2014-10-09 / 20140302374 - BIAXIALLY ORIENTED MICROPOROUS MEMBRANE | 2 |
Lesley F. Haire | GB | London | 2012-11-22 / 20120295802 - METHODS AND COMPOSITIONS FOR CANCER TREATMENT RELATING TO BRCA1 BRCT DOMAIN RECOGNITION OF PHOSPHORYLATED BACH1 | 2 |
Michael J. Haire | US | Petaluma | 2011-11-24 / 20110284033 - CLEANING OF NATURAL GAS ASH DEPOSITS FROM COMBUSTION CHAMBERS | 5 |
Christopher Vance Haire | US | Emeryville | 2016-03-10 / 20160067611 - ADJUSTING OBJECT ADAPTIVE MODIFICATION OR GAME LEVEL DIFFICULTY AND PHYSICAL GESTURES THROUGH LEVEL DEFINITION FILES | 2 |
Michael John Haire | US | Foster City | 2010-08-12 / 20100205567 - ADAPTIVE UI REGIONS FOR ENTERPRISE APPLICATIONS | 1 |
Charles E. Haire | US | Lancaster | 2011-09-15 / 20110223486 - BIAXIALLY ORIENTED POROUS MEMBRANES, COMPOSITES, AND METHODS OF MANUFACTURE AND USE | 1 |
Robert A. Haire | US | Waterford | 2011-04-21 / 20110089052 - COIN HOLDER WITH EDGE VIEW OPTICS | 1 |
David Robert Haire | US | Connelly Springs | 2013-11-07 / 20130291789 - APPARATUS AND METHOD FOR BATTERING AND BREADING FOOD ITEMS | 1 |
Steve Haire | US | Kent | 2013-11-28 / 20130315670 - Culvert Gate Assembly | 1 |
Glen Haire | US | Owensboro | 2016-04-07 / 20160095758 - Incontinence System | 1 |
Lesley Findlay Haire | GB | London | 2009-10-22 / 20090265114 - Influenza virus neuraminidase crystal structure and their use thereof | 1 |
James Michael Haire | US | Eagan | 2013-07-04 / 20130173463 - ELECTRONIC PAYMENT AND AUTHENTICATION SYSTEM WITH DEBIT AND IDENTIFICATION DATA VERIFICATION AND ELECTRONIC CHECK CAPABILITIES | 3 |
Andrew Ralph Haire | US | Thomasville | 2012-08-30 / 20120217768 - Method and Apparatus for Improving the Damage-Resistance of Container Body Top Rails | 3 |
Susan Haire | US | Rhinebeck | 2016-03-31 / 20160088827 - INSECT AND TICK BARRIER AND METHOD THEREOF | 1 |
Marvin J. Haire | US | Oak Ridge | 2009-04-23 / 20090104111 - Carbonate Thermochemical Cycle for the Production of Hydrogen | 1 |
Phillip Hairfield | US | San Diego | 2014-10-23 / 20140314637 - INTEGRATED PIPETTE TIP DEVICES | 2 |
Phillip Chad Hairfield | US | La Mesa | 2016-01-07 / 20160001292 - PIPETTE TIP RACK | 1 |
Phillip Chad Hairfield | US | San Diego | 2015-09-24 / 20150266017 - PIPETTE TIPS | 4 |
Rodney L. Hairfield | US | Mt Pleasant | 2016-03-24 / 20160082587 - Tree Decorating Assistant | 1 |
Mark Hairgrove | US | San Jose | 2015-07-23 / 20150206277 - UNIFIED MEMORY SYSTEMS AND METHODS | 19 |
Alan E. Hairsine | US | Gaylord | 2010-11-18 / 20100291271 - METHODS AND DEVICES FOR AUTOMATICALLY MAKING LARGE QUANTITIES OF PRE-COOKED EGGS HAVING A NATURAL APPEARANCE | 1 |
Berron Hairston | US | Greenville | 2014-01-30 / 20140026690 - PTO WITH INTEGRATED RETARDER | 2 |
Mark Hairston | US | Imperial | 2016-02-04 / 20160033073 - CURED IN PLACE LINER SYSTEM AND INSTALLATION METHODS | 3 |
Jason Hairston | US | Dixon | 2016-04-21 / 20160106199 - Adjustable Shoulder Strap Attachment Assemblies | 5 |
Berron Hairston | DE | Friedrichshafen | 2009-12-03 / 20090298647 - HYDRAULIC CIRCUIT ARRANGEMENT FOR OPERATING A HYDRODYNAMIC TORQUE CONVERTER | 1 |
William D. Hairston | US | 2015-10-22 / 20150297141 - METHOD FOR IMPROVING THE SIGNAL TO NOISE RATIO OF A WAVE FORM | 1 | |
Peter P. Hairston | US | North Oaks | 2014-12-04 / 20140354976 - APPARATUS AND SYSTEM FOR CHARACTERIZING AN AEROSOL PARTICLE FLOW | 1 |
Ronald James Hairston | US | Cypress | 2008-09-04 / 20080215259 - Flow Meter Differential Pressure Monitoring | 1 |
William G. Hairston | US | Wake Forest | 2016-02-04 / 20160029628 - COMPOUNDS, COMPOSITIONS, AND METHODS FOR REPELLING AN INSECT FROM AN AREA,ARTICLE, AND/OR STRUCTURE | 4 |
Stefan Haisch | DE | Ottobrunn | 2011-08-25 / 20110208373 - SYSTEM FOR CONTROL OF UNMANNED AERIAL VEHICLES | 2 |
Andreas Haisch | DE | Berlin | 2010-01-28 / 20100022932 - METHOD FOR ACCELERATED WOUND HEALING USING NOVEL FIBROUS WEBBINGS | 1 |
Matthias Haisch | DE | Landsberg | 2013-03-28 / 20130079982 - CONTROL DEVICE AND METHOD FOR CONTROLLING A HEATING DEVICE FOR A MOTOR VEHICLE | 1 |
Michael Haisch | DE | Aalen | 2012-10-04 / 20120249771 - MICROSCOPY SYSTEM, MICROSCOPY METHOD AND METHOD OF TREATING AN ANEURYSM | 7 |
Hansjoerg Haisch | DE | Altdorf | 2011-04-28 / 20110096328 - Multi-Signal Determination of Polarization Dependent Characteristic | 2 |
Daniel S. Haischt | DE | Herrenberg/kayh | 2014-01-16 / 20140019941 - DATA SELECTION | 1 |
Zhong Hai Shan | SG | Singapore | 2011-03-03 / 20110051940 - DECODING DEVICE, CODING AND DECODING DEVICE, AND DECODING METHOD | 2 |
Xu Haisheng | CN | Beijing | 2014-10-16 / 20140310645 - MULTI-MODE USER INTERFACE | 3 |
Motoki Haishi | JP | Osaka | 2014-05-08 / 20140124247 - TRANSPARENT CONDUCTIVE FILM | 3 |
Tomoyuki Haishi | JP | Tsukuba-Shi | 2015-11-05 / 20150316627 - IMAGING UNIT | 2 |
Teruki Haishi | JP | Kanagawa | 2010-07-01 / 20100168491 - CATALYST AND METHOD OF PRODUCING OLEFINS USING THE CATALYST | 1 |
Atsuo Haishi | JP | Tokyo | 2011-06-23 / 20110148335 - CONTROL APPARATUS FOR PERMANENT MAGNET SYNCHRONOUS MOTOR | 1 |
Motoki Haishi | JP | Ibaraki-Shi | 2015-03-26 / 20150086789 - TRANSPARENT CONDUCTIVE FILM | 6 |
Motoki Haishi | JP | Onomichi-Shi | 2014-12-04 / 20140353140 - METHOD FOR MANUFACTURING TRANSPARENT ELECTROCONDUCTIVE FILM | 1 |
Tomoyuki Haishi | JP | Ibaraki | 2010-08-12 / 20100201357 - METHOD OF LOCALLY MEASURING MOBILITY OF PROTIC SOLVENT IN SAMPLE, INSTRUMENT OF LOCALLY MEASURING MOBILITY OF PROTIC SOLVENT IN SAMPLE, MEASURING INSTRUMENT LOCALLY MEASURING BEHAVIOR OF PROTIC SOLVENT IN SAMPLE BASED ON MAGNETIC | 2 |
Akimitsu Haishima | JP | Kanagawa | 2012-12-20 / 20120320124 - INK COMPOSITION, INK SET, AND IMAGE FORMING METHOD | 1 |
Michael Haisler | US | Waterford | 2014-08-21 / 20140236432 - SYSTEMS AND METHODS FOR MONITORING A FLUID SYSTEM OF A MINING MACHINE | 1 |
Mark A. Haisler | US | Dallas | 2010-04-15 / 20100094593 - APPARATUS TO PREDICT FAN WEAR-OUT AND IMPENDING FAILURE AND METHOD OF MANUFACTURING THE SAME | 1 |
Mark Alan Haisler | US | Allen | 2014-09-18 / 20140268608 - COMPONENT HOLDING STRUCTURES, SYSTEM, AND METHOD | 1 |
Dustin W. Haisler | US | Elgin | 2014-08-07 / 20140222524 - Challenge Ranking Based on User Reputation in Social Network and ECommerce Ratings | 1 |
Charles K. Haisley | US | Boulder | 2013-06-20 / 20130158372 - MEDICAL SENSOR FOR USE WITH HEADBAND | 1 |
Chuck Haisley | US | Boulder | 2013-06-20 / 20130158412 - TISSUE INTERFACE SYSTEMS FOR OPTICAL MEASUREMENT OF PHYSIOLOGICAL BLOOD PARAMETERS | 1 |
Charles Haisley | US | Boulder | 2016-03-03 / 20160058350 - SYSTEM AND METHOD FOR CONTROLLING ONE OR BOTH OF SENSOR FUNCTIONALITY AND DATA ACCESS BASED ON BIOMETRICS DATA | 16 |
Sara M. Haislip | US | Portland | 2010-03-18 / 20100067948 - System And Method For Measuring Media Thickness With A Transfer Subsystem In A Printer | 2 |
Nicoline Haisma | NL | Veldhoven | 2010-01-21 / 20100016683 - SMART INSTALL | 1 |
Arjen Haisma | NL | Amsterdam | 2015-05-28 / 20150144661 - FOAM DISPENSING ASSEMBLY | 2 |
Nicoline Haisma | NL | Eindhoven | 2013-12-19 / 20130338428 - SYSTEM FOR INDUCING A SUBJECT TO FALL TO SLEEP | 2 |
Hidde Jacob Haisma | NL | Hoevelaken | / - | 1 |
Jan Haisma | NL | Valkenswaard | 2009-08-27 / 20090214986 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Elke Haiss | DE | Murg | 2009-02-26 / 20090054292 - Encapsulated phthalocyanine granulates | 1 |
Christine Marie Haissig | US | Chanhassen | 2016-05-19 / 20160140854 - METHODS AND APPARATUS FOR DETERMINING AND USING A LANDING SURFACE FRICTION CONDITION | 6 |
Christine M. Haissig | US | Chanhassen | 2011-03-17 / 20110066360 - VEHICLE POSITION KEEPING SYSTEM | 2 |
Tobias Haist | DE | Stuttgart | 2010-08-12 / 20100201990 - INTERFEROMETER FOR OPTICALLY MEASURING AN OBJECT | 1 |
Paul D. Haist | CA | Toronto | 2015-11-12 / 20150323997 - APPARATUS AND METHOD FOR PERFORMING A VARIABLE DATA CAPTURE PROCESS | 2 |
Paul Dwight Haist | CA | Mississauga | 2013-05-16 / 20130120398 - INPUT DEVICE AND METHOD FOR AN ELECTRONIC APPARATUS | 1 |
Sukhendu Bikash Hait | US | Hattiesburg | 2011-08-18 / 20110201827 - PROCESS FOR CONTINUOUS PRODUCTION OF OXIDIZED OLEFIN POLYHEDRAL OLIGOMERIC SILSESQUIOXANE CAGES | 1 |
Samik Kumar Hait | IN | Faridabad | 2015-05-14 / 20150129460 - THERMAL CRACKING ADDITIVE COMPOSITIONS FOR REDUCTION OF COKE YIELD IN DELAYED COKING PROCESS | 1 |
Howard Hait | US | Wilmington | 2011-05-26 / 20110124611 - METHODS OF HORMONAL TREATMENT UTILIZING CONTRACEPTIVE REGIMENS WITH CONTINUOUS ESTROGEN ADMINISTRATION | 3 |
Sukhendu B. Hait | US | Hattiesburg | 2008-09-11 / 20080221262 - Fluorinated POSS as alloying agents in nonfluorinated polymers | 1 |
Thomas P. Hait | US | Laurel | 2011-03-10 / 20110057752 - HIGH FIELD SUPERCONDUCTING MAGNETS | 2 |
Robert Y. Haitani | US | Menlo Park | 2015-01-22 / 20150024716 - INTEGRATED HANDHELD COMPUTING AND TELEPHONY DEVICE | 10 |
Robert Haitani | US | Seattle | 2015-07-09 / 20150195241 - SYSTEM, METHOD AND TECHNIQUE FOR ENABLING USERS TO INTERACT WITH ADDRESS FILEDS OF MESSAGING APPLICATIONS | 1 |
Robert Haitani | US | Menlo Park | 2016-01-07 / 20160006853 - METHOD AND APPARATUS FOR ACCESSING A CONTACTS DATABASE AND TELEPHONE SERVICES | 11 |
Yutaka Haitani | JP | Kyoto | 2014-12-25 / 20140377408 - YEAST HAVING RESISTANCE TO FREEZING STRESS | 1 |
Rob Haitani | US | Menlo Park | 2013-07-04 / 20130174049 - METHOD AND APPARATUS FOR INTUITIVE MULTITASKING | 4 |
Robert Yuji Haitani | US | San Jose | 2009-07-09 / 20090178002 - Method and Apparatus for Interacting with a Portable Computer System | 1 |
Robert Yuji Haitani | US | Seattle | 2016-01-07 / 20160005188 - COLOR NAME GENERATION FROM IMAGES AND COLOR PALETTES | 7 |
Makoto Haitani | JP | Kanagawa | 2008-10-16 / 20080253691 - Image processing apparatus, image processing method, and program | 2 |
Rob Haitani | US | San Jose | 2008-12-25 / 20080320172 - Method And Apparatus For Synchronization Of Two Computer Systems | 1 |
Robert Y. Haitani | US | Sunnyvale | 2008-11-13 / 20080280645 - Integrated personal digital assistant device | 1 |
Robert Haitani | FI | Espoo | 2016-02-25 / 20160054984 - METHOD AND APPARATUS FOR PROVIDING TEMPLATE-BASED APPLICATIONS | 2 |
Robert Yuji Haitani | US | Menlo Park | 2016-03-17 / 20160081017 - INTEGRATED PERSONAL DIGITAL ASSISTANT DEVICE | 7 |
Robert Haitani | US | Menlo Park | 2016-01-07 / 20160006853 - METHOD AND APPARATUS FOR ACCESSING A CONTACTS DATABASE AND TELEPHONE SERVICES | 11 |
Robert Y. Haitani | US | 2012-09-27 / 20120244915 - HAND-HELD DEVICE | 2 | |
Zhang Haitao | CN | Suzhou Industrial Park | 2013-03-14 / 20130061480 - JIGSAW WITH DEPLOYABLE KEEL AND TILTABLE SHOE | 1 |
Wu Haitao | CN | Shenzhen | 2014-05-08 / 20140129846 - Method and System for Protecting a Driver | 1 |
Hunter Haitbrink | US | Corona | 2015-06-11 / 20150158537 - SCOOTER STAND | 1 |
Sjoerd Haites | NL | Drachten | 2013-12-19 / 20130333220 - SHAVING APPARATUS | 3 |
Wang Haiting | US | Clifton Park | 2014-03-06 / 20140061732 - METHOD AND DEVICE TO ACHIEVE SELF-STOP AND PRECISE GATE HEIGHT | 1 |
Han Haitjema | NL | Eindhoven | 2015-08-06 / 20150219441 - IMAGE SEQUENCE AND EVALUATION METHOD AND SYSTEM FOR STRUCTURED ILLUMINATION MICROSCOPY | 2 |
Charles H. Haitjema | US | Ithaca | 2012-09-06 / 20120225453 - SYSTEMS AND METHODS FOR THE SECRETION OF RECOMBINANT PROTEINS IN GRAM NEGATIVE BACTERIA | 1 |
Han Haitjema | NL | Kp Eindhoven | 2013-12-19 / 20130335747 - METHOD AND APPARATUS FOR DETERMINING A PROPERTY OF A SURFACE | 1 |
Henk Haitjema | NL | Peize | 2010-03-18 / 20100069544 - HYDROGEL CONTACT LENS COMPRISING A POLYMER COMPRISING A CARBOXY BETAINE ESTER MONOMER | 1 |
Henk Haitjema | NL | Eerbeek | 2011-01-27 / 20110021733 - INTRAOCULAR LENSES ESSENTIALLY FREE FROM GLISTENINGS | 3 |
Deborah Ann Haitko | US | Schenectady | 2011-03-31 / 20110073526 - Method for Desulfurization of Hydrocarbon Oils | 7 |
Jaap Andre Haitsma | NL | Eindhoven | 2014-07-10 / 20140196071 - RENDERING DEVICE WITH CONTENT SUBSTITUTION | 8 |
Jurjen Rinnert Haitsma | NL | Rotterdam | 2013-11-28 / 20130315674 - TUBULAR INSTALLATION SYSTEM AND METHOD | 3 |
Jaap Andre Haitsma | NL | Eindhoven | 2014-07-10 / 20140196071 - RENDERING DEVICE WITH CONTENT SUBSTITUTION | 8 |
Jaap Andre Haitsma | NL | Ba Eindhoven | 2011-03-17 / 20110066723 - GENERATING STATISTICS OF POPULAR CONTENT | 1 |
Stacy A. Haitsuka | US | Las Vegas | 2016-01-07 / 20160007052 - LIVE STREAMING BROADCAST SERVICE WITH ARTIST AND FAN COMPETITIVE REWARD SYSTEM | 1 |
Roland H. Haitz | US | Portola Valley | 2016-04-14 / 20160102821 - Light-Emitting Device with Remote Phosphor and Recessed Light Emitting Element | 7 |
Ami Ben Haiun | IL | Ramat Yishay | 2016-03-10 / 20160070003 - X-RAY RADIATION DETECTOR WITH AUTOMATIC EXPOSURE CONTROL | 1 |
Alex Haiut | IL | Kfar Saba | 2015-12-31 / 20150381467 - SYSTEM AND METHOD THEREOF FOR DYNAMICALLY TESTING NETWORKED TARGET SYSTEMS THROUGH SIMULATION BY A MOBILE DEVICE | 1 |
Moshe Haiut | US | Ramat Gan | 2014-11-13 / 20140337036 - LOW POWER ACTIVATION OF A VOICE ACTIVATED DEVICE | 1 |
Alexander Haiut | IL | Kfar Saba | 2014-05-29 / 20140150050 - METHOD, A SYSTEM, AND A COMPUTER PROGRAM PRODUCT FOR MANAGING ACCESS CHANGE ASSURANCE | 1 |
Moshe Haiut | IL | Ramat Gan | 2016-05-12 / 20160133255 - VOICE TRIGGER SENSOR | 13 |
Lin Haixiang | CN | Fangxingyuan | 2010-11-25 / 20100297176 - Polyinosinic Acid - Polycytidylic Acid - Based Adjuvant | 1 |
Huang Haixin | CN | Nanning City | 2015-03-05 / 20150059405 - COLORFUL AND BRIGHT DIAMOND | 1 |
Huang Haixin | US | 2015-03-05 / 20150059404 - COLORFUL AND BRIGHT DIAMOND | 1 | |
Sheng Hai Xu | CN | Nanjing | 2011-10-13 / 20110250784 - Electrical Signal Connector | 1 |
Pu Haiyan | US | Livingston | 2011-09-15 / 20110224187 - PYRROLIDINE, PIPERIDINE AND PIPERAZINE DERIVATIVES AND METHODS OF USE THEREOF | 1 |
He Haiying | CN | Shanghai | 2016-01-28 / 20160024089 - TRIAZOLOPYRIDINE JAK INHIBITOR COMPOUNDS AND METHODS | 7 |
Ma Haiyun | CN | Tianjin | 2013-07-25 / 20130189133 - COMPRESSOR AND METHOD OF ASSEMBLING COMPRESSOR | 1 |
Li Haiyun | CN | Ningbo | 2012-01-12 / 20120006630 - ELEVATOR GOVERNOR DEVICE | 1 |
Luo Haizhu | CN | Fushun City | 2016-02-04 / 20160033396 - SYSTEMS AND METHODS OF INFRARED DETECTION OF COAL MINE POLAR GAS | 1 |
Robert S. Haizmann | US | Rolling Meadows | 2015-07-23 / 20150203770 - PROCESS FOR CONVERTING A HYDROCARBON FEED AND APPARATUS RELATING THERETO | 10 |
Robert Haizmann | US | Rolling Meadows | 2016-05-12 / 20160130511 - PROCESSES FOR RECOVERING HYDROCARBONS FROM A DRAG STREAM FROM A SLURRY HYDROCRACKER | 13 |
Robert S. Haizmann | US | Rolling Meadows | 2015-07-23 / 20150203770 - PROCESS FOR CONVERTING A HYDROCARBON FEED AND APPARATUS RELATING THERETO | 10 |
Robert Haizmann | US | Rolling Meadows | 2016-05-12 / 20160130511 - PROCESSES FOR RECOVERING HYDROCARBONS FROM A DRAG STREAM FROM A SLURRY HYDROCRACKER | 13 |
Martin Haizmann | DE | Glauburg | 2008-09-25 / 20080230397 - Process for the polishing of metallic dental prostheses | 1 |
Christoph Haizmann | DE | Schweinfurt | 2012-10-04 / 20120251025 - ANGULAR CONTACT BALL BEARING, IN PARTICULAR A SPINDLE BEARING, HAVING IMPROVED CAGE GUIDANCE | 1 |
Yousef Haj-Ahmad | CA | Thorold | 2010-03-11 / 20100063266 - Method for Isolating Both Free and Protein Association DNA | 1 |
Yousef Haj-Ahmad | CA | St. Catharines | 2013-06-13 / 20130149691 - Methods, Reagents and Kits for Preservation of Nucleic Acids in Biological Samples | 2 |
Yousef Haj-Ahmad | CA | St. Catherines | 2014-09-11 / 20140255271 - Device for Rapid Urine Concentration | 2 |
Nissan Hajaj | US | Emerald Hills | 2014-07-17 / 20140201199 - Identification of New Sources for Topics | 2 |
Binyamin Hajaj | US | Plantation | 2012-05-03 / 20120109150 - HAPTIC GUIDANCE SYSTEM AND METHOD | 6 |
Mohammad R. Hajaligol | US | Midlothian | 2011-12-08 / 20110297167 - REDUCTION OF CARBON MONOXIDE IN SMOKING ARTICLES USING TRANSITION METAL OXIDE CLUSTERS | 5 |
Moe Hajar | CA | London | 2013-12-26 / 20130345849 - LASER LOCATION CONFIRMATION APPARATUS FOR TOOLS | 1 |
Atul Kashinath Hajare | IN | Maharashtra | 2008-12-25 / 20080318878 - Antibacterial Agents | 3 |
Sidhu G. Hajare | IN | Rahatni | 2013-10-17 / 20130275369 - DATA RECORD COLLAPSE AND SPLIT FUNCTIONALITY | 1 |
Anil Hajare | IN | Maharashtra | 2010-11-18 / 20100291020 - NOVEL COMPOUNDS AS DIPEPTIDYL PEPTIDASE IV (DPP IV) INHIBITORS | 1 |
Anil Kashiram Hajare | IN | Pune | 2015-12-17 / 20150361041 - Pyrrole Derivatives as Alpha 7 NACHR Modulators | 6 |
Atul Kashinath Hajare | IN | Ahmednagar | 2015-05-21 / 20150141354 - GLYCOSIDE DERIVATIVES AND USES THEREOF | 6 |
Vrishali D. Hajare | US | Gainesville | 2012-05-17 / 20120124009 - AUTOMATIC EXPIRATION OF DATA IN FILE SYSTEMS UNDER CERTAIN SCENARIOS | 1 |
Peter L. Hajas | US | Sunnyvale | 2014-12-11 / 20140365968 - Graphical User Interface Elements | 1 |
David Hajas | CH | Rupperswil | 2016-01-07 / 20160007485 - SEMICONDUCTOR MODULE WITH ULTRASONICALLY WELDED TERMINALS | 2 |
Tiberiu M. Hajas | US | Pleasanton | 2014-11-27 / 20140347305 - User Input Device Failure Prediction | 2 |
Tiberiu M. Hajas | US | Tucson | 2012-11-08 / 20120284573 - TOUCH-SENSITIVE USER INPUT DEVICE FAILURE PREDICTION | 1 |
Jose A. Hajase | US | Austin | 2014-04-10 / 20140097918 - PRINTED CIRCUIT BOARD HAVING DC BLOCKING DIELECTRIC WAVEGUIDE VIAS | 1 |
Arman Hajati | US | Santa Clara | 2015-12-03 / 20150345987 - PIEZOELECTRIC TRANSDUCER DEVICE WITH FLEXIBLE SUBSTRATE | 14 |
Arman Hajati | US | Santa Clara | 2015-12-03 / 20150345987 - PIEZOELECTRIC TRANSDUCER DEVICE WITH FLEXIBLE SUBSTRATE | 14 |
Homan Hajbandeh | US | Scottsdale | 2014-06-19 / 20140172445 - Bill Payment Risk Level Determination | 1 |
Petr Hajciar | CZ | Klatovy | 2009-01-22 / 20090025041 - CHANGE-OVER SWITCH OF EXTERNAL UNITS OF FIXED AERIALS FOR SATELLITE SIGNAL RECEIVERS | 1 |
Rudi Hajdinjak | SI | Gornja Radgona | 2012-06-21 / 20120156455 - GAS FILLED INSULATION CONSTRUCTION PANEL | 1 |
Rudy Hajdinjak | SI | Gornja Radgona | 2014-06-12 / 20140161999 - MULTI CHAMBER FILLED CONSTRUCTION PANEL | 1 |
Istvan Hajdu | HU | Hafduboszormeny | 2009-07-16 / 20090180966 - Cancer cell diagnosis by targeting delivery of nanodevices | 1 |
Shahar Hajdu | IL | Givataim | 2015-12-31 / 20150379492 - Systems, Apparatuses, Methods and Computer Executable Code for Facilitating Monetary Transactions Relating to Online Media Content | 6 |
Thomas Csaba Hajdu | US | Santa Barbara | 2009-03-19 / 20090077170 - System, Architecture and Method for Real-Time Collaborative Viewing and Modifying of Multimedia | 1 |
Thomas Hajdu | US | Santa Barbara | 2014-01-16 / 20140019520 - METHOD AND SYSTEM FOR SYNCHRONIZED DISTRIBUTED DISPLAY OVER MULTIPLE CLIENT DEVICES | 7 |
Stephan Hajdu | DE | Rodermark | 2015-09-24 / 20150267965 - DRYER FOR A TEXTILE PRODUCT WEB | 1 |
Imre Hajdu | HU | Bekescsaba | 2013-03-07 / 20130055655 - REGULAR QUADRILATERAL PYRAMID BUILDING AND PROCEDURE FOR OPERATION THEREOF | 1 |
Oliver Hajdu | CA | North Vancouver | 2014-11-20 / 20140342762 - PERIPHERAL SPECIFIC SELECTION OF AUTOMATED RESPONSE MESSAGES | 1 |
Loralee Hajdu | CA | North Vancouver | 2014-11-20 / 20140342762 - PERIPHERAL SPECIFIC SELECTION OF AUTOMATED RESPONSE MESSAGES | 1 |
Istvan Hajdu | HU | Tiszacsege | 2014-10-02 / 20140296173 - STABLE NANOCOMPOSITION COMPRISING EPIRUBICIN, PROCESS FOR THE PREPARATION THEREOF, ITS USE AND PHARMACEUTICAL COMPOSITIONS CONTAINING IT | 3 |
Stephan Hajdu | DE | Roedermark | 2016-05-05 / 20160122910 - INSTALLATION FOR PRODUCING A TEXTILE WEB-SHAPED MATERIAL AND METHOD FOR PRODUCING A TEXTILE WEB-SHAPED MATERIAL | 1 |
Paul E. Hajdu | US | Benicia | 2012-05-03 / 20120103872 - METHOD FOR REDUCING MERCAPTANS IN HYDROCARBONS | 1 |
István Hajdú | HU | Besenyszog | 2010-04-01 / 20100078512 - APPARATUS FOR PROCESSING UTILITY WASTE WITH BIODERADABLE ORGANIC MATERIAL CONTENT | 1 |
István Hajdú | HU | Budapest | 2013-11-14 / 20130303572 - NOVEL INHIBITORS OF MATRIX METALLOPROTEINASES | 1 |
István Hajdú | HU | Budapest | 2013-11-14 / 20130303572 - NOVEL INHIBITORS OF MATRIX METALLOPROTEINASES | 1 |
István Hajdú | HU | Besenyszog | 2010-04-01 / 20100078512 - APPARATUS FOR PROCESSING UTILITY WASTE WITH BIODERADABLE ORGANIC MATERIAL CONTENT | 1 |
István Hajdú | HU | Besenyszog | 2010-04-01 / 20100078512 - APPARATUS FOR PROCESSING UTILITY WASTE WITH BIODERADABLE ORGANIC MATERIAL CONTENT | 1 |
István Hajdú | HU | Besenyszog | 2010-04-01 / 20100078512 - APPARATUS FOR PROCESSING UTILITY WASTE WITH BIODERADABLE ORGANIC MATERIAL CONTENT | 1 |
István Hajdú | HU | Budapest | 2013-11-14 / 20130303572 - NOVEL INHIBITORS OF MATRIX METALLOPROTEINASES | 1 |
Michael P. Hajduch | US | Munster | 2013-06-27 / 20130160246 - Cable Tray Mount | 1 |
Jaroslav Hajduch | SK | Bytca | 2010-07-01 / 20100166900 - VENTING VALVE TO BE USED IN VENTING BORES OF VULCANIZATION MOLDS | 2 |
Marian Hajduch | CZ | Moravsky Beraun | 2014-10-09 / 20140303390 - CARBONIC ANHYDRASE INHIBITORS AND METHOD OF THEIR PRODUCTION | 1 |
Marian Hajduch | CZ | Olomouc | 2010-01-28 / 20100022587 - DERIVATIVES OF 2-PHENYL-3-HYDROXYQUINOLINE-4(1H)-ONE AND METHODS OF THEIR PREPARATION AND UTILIZATION | 1 |
Marian Hajduch | CZ | Moravsky Beroun | 2014-11-13 / 20140336073 - METHOD OF DETERMINATION OF CANCER CELL DRUG SENSITIVITY TOWARDS AURORA KINASE INHIBITORS | 5 |
Marek Hajduczenia | PT | Fiaes | 2014-11-13 / 20140334822 - AUTO-CONFIGURATION OF DEMARCATION DEVICES IN ETHERNET PASSIVE OPTICAL NETWORK | 7 |
Janusz Hajduk | US | Wallington | 2014-02-27 / 20140057534 - RESIN BONDED GRINDING WHEEL | 1 |
Philip J. Hajduk | US | Mundelein | 2009-12-03 / 20090298858 - POTENT PARP INHIBITORS | 2 |
Damian Hajduk | US | San Jose | 2014-09-18 / 20140262262 - Compositions and Methods for the Controlled Release of Active Ingredients | 4 |
Stephen L. Hajduk | US | Athens | 2013-11-28 / 20130315984 - ANTI-TRYPANOSOMAL PEPTIDES AND USES THEREOF | 2 |
Peter Hajdukiewicz | US | Chesterfield | 2010-08-05 / 20100197498 - ORYZA SATIVA LTP PROMOTERS USEFUL FOR MODULATING GENE EXPRESSION IN PLANTS | 2 |
Peter T. Hajdukiewicz | US | Chesterfield | 2015-04-02 / 20150096083 - GENOME WIDE IDENTIFICATION AND CHARACTERIZATION OF GENE EXPRESSION REGULATORY ELEMENTS IN ZEA MAYS FOR USE IN PLANTS | 3 |
John R. Hajdukiewicz | US | Florham Park | 2011-06-23 / 20110154293 - SYSTEM AND METHOD TO IDENTIFY PRODUCT USABILITY | 4 |
John Hajdukiewicz | US | Minneapolis | 2009-06-18 / 20090157586 - OBJECT ORIENTED RULE-BASED SYSTEM AND METHOD | 2 |
John Hajdukiewicz | US | Florham Park | 2009-11-19 / 20090288013 - Scalable User Interface System | 1 |
Richard Hajdukiewicz | US | New York | 2015-11-05 / 20150317665 - Credit Card Reward Program Incorporating Investing in Precious Metals | 1 |
Peter Hajdukiewicz | GB | Stroud | 2009-10-15 / 20090255139 - SURFACE SENSING DEVICE | 1 |
John R. Hajdukiewicz | US | Minneapolis | 2009-03-26 / 20090083697 - Integration of User Interface Design and Model Driven Development | 5 |
Ondrej Hajdusek | CZ | Ceske Budejovice | 2011-05-19 / 20110118449 - FERRITIN 2 FOR THE HOST IMMUNIZATION AGAINST TICKS | 1 |
Detlef Haje | DE | Gorlitz | 2014-10-16 / 20140305368 - MANUFACTURING A COMPONENT OF SINGLE CRYSTAL OR DIRECTIONALLY SOLIDIFIED MATERIAL | 9 |
Detlef Haje | DE | Gorlitz | 2014-10-16 / 20140305368 - MANUFACTURING A COMPONENT OF SINGLE CRYSTAL OR DIRECTIONALLY SOLIDIFIED MATERIAL | 9 |
Detlef Haje | DE | Görlitz | 2010-05-13 / 20100115950 - Hydrophobic coating of condensers in the fitted state | 2 |
Kimberly B. Hajec | US | Pittsford | 2011-01-20 / 20110013230 - SYSTEM AND METHOD FOR GENERATING AN IMAGE ENHANCED PRODUCT | 3 |
Dana C. Hajedemos | US | Cheshire | 2011-03-10 / 20110059623 - SYSTEM FOR CONNECTING APPLIANCES TO WALL OUTLETS | 1 |
Sameer Hajee | NL | Amsterdam | 2012-02-02 / 20120026727 - MODULAR ILLUMINATION DEVICE WITH PEDAL GENERATOR | 2 |
Eyad Hajeer | US | El Paso | 2013-02-21 / 20130045326 - Jalapeno Flavored Food Enhancer | 1 |
Stefan Hajek | DE | Amberg | 2013-10-03 / 20130259758 - FILTER DEVICE AND FILTER METHOD | 3 |
Andreas Hajek | DE | Brackenheim | 2010-06-17 / 20100151235 - Molding composition and shaped plastics articles manufactured therefrom | 1 |
Karin Hajek | DE | Alfter | 2009-06-11 / 20090146116 - Feedstock and Method for Preparing the Feedstock | 1 |
Ondrej Hajek | CZ | Vysoke Myto | 2016-04-07 / 20160100494 - THERMAL STABILIZATION OF TEMPERATURE SENSITIVE COMPONENTS | 1 |
Andreas Hajek | DE | Brakkenheim | 2011-09-01 / 20110213064 - Curable casting compound for manufacturing plastic moulded parts | 1 |
Peter Alan Hajek | US | Burlington | 2012-10-18 / 20120261333 - FILTER ELEMENT FOR FLUID FILTRATION SYSTEM | 1 |
Michaela Hajek | DE | Berlin | 2012-09-06 / 20120226258 - DEVICE AND METHOD FOR ELIMINATING BIOLOGICALLY HARMFUL SUBSTANCES FROM BODILY FLUIDS | 1 |
Milan Hajek | CZ | Praha | 2010-06-03 / 20100133088 - METHOD FOR THE CHEMICAL DEPOLYMERIZATION OF WASTE POLYETHYLENE TEREPHTHALATE | 2 |
Christian Hajek | DE | Ergolding | 2016-05-05 / 20160122092 - CLOSURE, IN PARTICULAR PLASTIC CLOSURE FOR A CONTAINER | 1 |
Riordan Hajek | US | Minneapolis | 2014-06-12 / 20140159401 - AUXILIARY HANDLE FOR A TOOL | 1 |
Ronald E. Hajek | US | Oak Lawn | 2015-03-05 / 20150060537 - Carton With Locking Feature | 1 |
Miroslav Hajek | CZ | Praha 8 | 2015-12-03 / 20150344477 - HELQUAT DERIVATIVES, PREPARATION THEREOF, AND USE THEREOF AS MEDICAMENTS | 1 |
Thomas J. Hajek | US | Lockport | 2014-02-06 / 20140034135 - HYDRAULIC SYSTEM WITH A DYNAMIC SEAL | 1 |
Rudolf Hajek | CZ | Babice U Rosic | 2014-02-06 / 20140035558 - SENSOR DEVICE AND SENSOR INTERFACE | 1 |
Jakub Hajek | CZ | Susice | 2012-07-12 / 20120176057 - CONTROL APPARATUS FOR LED DIODES | 1 |
Jan Hajek | SE | Ludvika | 2016-03-31 / 20160093421 - Electrical Insulation System | 5 |
Andreas Hajek | DE | Weingarten | 2012-06-14 / 20120149808 - Curable casting compound containing keratin fibers and plastic moulded parts produced therefrom | 1 |
Swapnil Hajela | US | Fremont | 2015-03-05 / 20150066934 - AUTOMATIC CLASSIFICATION OF SEGMENTED PORTIONS OF WEB PAGES | 5 |
Sharad Hajela | US | San Carlos | 2013-04-25 / 20130101856 - WAFER BACKSIDE COATING CONTAINING REACTIVE SULFUR COMPOUND | 2 |
Zoe Hajenga | US | Minneapolis | 2014-01-02 / 20140005503 - SLEEP DETECTION USING AN ADJUSTABLE THRESHOLD | 1 |
Yann Hajeri | IT | Treviso | 2015-10-22 / 20150298773 - SYSTEM AND METHOD FOR HANDLING REELS FOR LAYING ELONGATED MEMBERS ON THE BED OF A BODY OF WATER, AUXILIARY STRUCTURE, AND LAYING VESSEL | 2 |
Subhas Hajeri | US | Visalia | 2015-04-02 / 20150096078 - CITRUS TRISTEZA VIRUS BASED VECTORS FOR FOREIGN GENE/S EXPRESSION | 1 |
Yann Hajeri | IT | Treviso (tv) | 2013-11-07 / 20130294838 - PIPE-LAYING VESSEL AND METHOD OF LAYING A PIPELINE | 1 |
Yann Hajeri | FR | Lezignan La Cebe | 2016-04-07 / 20160096593 - Floating Support Anchored On A Reel Comprising A Guide And Deflection Conduit For Flexible Pipes Within Said Reel | 1 |
Shabnam Hajesmaili | FR | Strasbourg | 2011-10-27 / 20110262312 - PHOTOCATALYSTS BASED ON STRUCTURED THREE-DIMENSIONAL CARBIDE, IN PARTICULAR B-SIC, FOAMS | 2 |
Julius Hajgato | CA | Shanty Bay | 2013-07-25 / 20130186406 - GAS DELIVERY MASK FOR MEDICAL USE | 4 |
Julius Hajgato | CA | Ontario | 2012-09-27 / 20120245610 - RETRACTABLE AND REMOVABLE BLADE UNIT FOR A SCALPEL | 1 |
Julius Hajgato | CA | Barrie | 2010-04-01 / 20100078029 - Bite Block Assembly for Endotrachial Tube | 1 |
Hossein Haj-Hariri | US | Charlottesville | 2015-07-16 / 20150198380 - HEAT TRANSFER DEVICE FOR HIGH HEAT FLUX APPLICATIONS AND RELATED METHODS THEREOF | 4 |
Amirali Hajhossein Talasaz | US | Menlo Park | 2014-03-13 / 20140073514 - INTEGRATED SEQUENCING APPARATUSES AND METHODS OF USE | 3 |
Junji Haji | JP | Hyogo | 2014-07-17 / 20140199487 - METHOD FOR PRODUCING HIGH-STRENGTH HOT-DIP GALVANNEALED STEEL SHEET | 4 |
Priya Karim Haji | US | San Francisco | 2013-07-25 / 20130191194 - METHOD FOR INCENTIVIZING FINANCIAL SAVING AND EFFECTING A FINANCIAL BEHAVIOR CHANGE | 1 |
Junji Haji | JP | Tokyo | 2014-01-02 / 20140000769 - HOT ROLLED STEEL SHEET AND METHOD OF PRODUCING SAME | 3 |
Yoshiyuki Haji | JP | Kobe-Shi | 2011-03-31 / 20110077820 - ELECTRONIC CONTROL DEVICE | 1 |
Junji Haji | JP | Oita-Shi | 2015-11-05 / 20150315683 - HOT-ROLLED STEEL SHEET AND METHOD FOR PRODUCING SAME | 1 |
Hiroshi Haji | JP | Osaka | 2016-05-19 / 20160138787 - LIGHT-EMITTING COMPONENTS CONTAINING BODY, MANUFACTURING METHOD OF LIGHT-EMITTING COMPONENTS CONTAINING BODY, COMPONENTS MOUNTING APPARATUS, COMPONENTS MOUNTING METHOD, AND COMPONENTS MOUNTING SYSTEM | 5 |
Goudarz Haji | US | Bloomington | 2009-12-31 / 20090321536 - Piston having channel extending through piston head | 1 |
Sayoko Haji | JP | Okayama | 2010-03-11 / 20100061891 - Flow analysis system capable of quantitatively or semi-quantitatively determining element in sample | 1 |
Masayo Haji | JP | Kyoto | 2013-10-17 / 20130270260 - INDUCTION HEATING COIL AND INDUCTION HEATING DEVICE | 2 |
Habeeb H. Haji | SA | Dhahran | 2014-10-02 / 20140290951 - FILTERCAKE REMOVAL USING EXOTHERMIC IN-SITU NITROGEN-PRODUCING REACTANTS | 1 |
Anas Haji | BE | Brussels | 2010-09-30 / 20100248571 - COMPOSITION COMPRISING A PHOSPHATE BINDER AND ITS PREPARATION | 2 |
Habeeb H. Haji | SA | Qatif | 2012-07-19 / 20120181022 - VDA/ACID SYSTEM FOR MATRIX ACID STIMULATION | 1 |
Katsuhiko Haji | JP | Chiyoda-Ku | 2014-03-13 / 20140073821 - C HEAVY OIL COMPOSITION AND METHOD FOR PRODUCING SAME | 1 |
Melissa Breglio Haji | US | San Francisco | 2013-10-24 / 20130282514 - PERSONALIZING DIGITAL GIFTS | 1 |
Faizal Haji | CA | Calgary | 2011-12-15 / 20110307342 - METHOD AND SYSTEM FOR GENERATING ELECTRONIC RECEIPTS FROM PRINT DATA | 1 |
Mehdi Haji | CA | Montreal | 2015-09-24 / 20150269431 - METHOD AND SYSTEM FOR THE SPOTTING OF ARBITRARY WORDS IN HANDWRITTEN DOCUMENTS | 1 |
Hiroshi Haji | JP | Yamanashi | 2011-01-20 / 20110014777 - METHOD FOR PROCESSING A SUBSTRATE, METHOD FOR MANUFACTURING A SEMICONDUCTOR CHIP, AND METHOD FOR MANUFACTURING A SEMICONDUCTOR CHIP HAVING A RESIN ADHESIVE LAYER | 1 |
Hiroshi Haji | JP | Fukuoka | 2010-03-04 / 20100055875 - METHOD FOR MANUFACTURING SEMICONDUCTOR CHIP AND METHOD FOR PROCESSING SEMICONDUCTOR WAFER | 7 |
Raha Haji Abdul Rahim | MY | Selangor Darul Ehsan | 2013-05-02 / 20130108600 - METABOLITES IN ANIMAL FEED | 1 |
Masoud Hajiaghajani | US | Houston | 2015-09-24 / 20150270747 - SYSTEM AND METHOD FOR CONTROLLING MULTIPHASE ELECTRIC MOTORS | 3 |
Masoud Hajiaghajani | US | College Station | 2015-09-24 / 20150270799 - SYSTEM AND METHOD FOR CONTROLLING MULIPHASE ELECTRIC MOTORS | 1 |
Mahdi Hajiaghayi | US | Irvine | 2016-05-19 / 20160140751 - Automated 3D Reconstruction of the Cardiac Chambers from MRI and Ultrasound | 2 |
Mohammad Taghi Hajiaghayi | US | Florham Park | 2014-03-27 / 20140089522 - System and Method for Assigning Requests in a Content Distribution Network | 7 |
Mohammadtaghi Hajiaghayi | US | Highland Park | 2009-12-03 / 20090296714 - SCALABLE MULTIPROTOCOL LABEL SWITCHING BASED VIRTUAL PRIVATE NETWORKS AND METHODS TO IMPLEMENT THE SAME | 1 |
Mohammad Hajiaghayi | US | Florham Park | 2012-11-01 / 20120275344 - METHODS AND APPARATUS TO IMPLEMENT SCALABLE ROUTING IN NETWORK COMMUNICATION SYSTEMS | 6 |
Arsen Hajian | CA | Waterloo | 2013-10-31 / 20130286404 - METHODS AND APPARATUS FOR ALIGNMENT OF INTERFEROMETER | 1 |
Arsen R. Hajian | US | Brookline | 2015-12-24 / 20150369665 - MULTI BACKEND ULTRA-BROADBAND DISPERSIVE SPECTROMETER | 1 |
Arsen R. Hajian | CA | Waterloo | 2012-08-30 / 20120218558 - APPARATUS AND METHODS FOR OPTICAL COHERENCE TOMOGRAPHY AND CONFOCAL MICROSCOPY | 3 |
Arsen Hajian | US | Brookline | 2015-06-04 / 20150153228 - MULTI-FUNCTION SPECTROMETER-ON-CHIP WITH A SINGLE DETECTOR ARRAY | 1 |
Arsen A. Hajian | CA | Waterloo | 2010-10-28 / 20100274501 - SYSTEMS FOR TERRESTRIAL TARGET DETECTION AND CHARACTERIZATION USING A DISPERSED FOURIER TRANSFORM SPECTROMETER | 1 |
Arsen Hajian | CA | Toronto | 2014-05-08 / 20140125983 - INTERFEROMETERY ON A PLANAR SUBSTRATE | 3 |
Arsen R. Hajian | CA | Toronto | 2013-07-11 / 20130176565 - OPTICAL SLICER FOR IMPROVING THE SPECTRAL RESOLUTION OF A DISPERSIVE SPECTROGRAPH | 2 |
Pouya Hajiani | CA | St-Lambert | 2016-05-19 / 20160138132 - A SYSTEM AND METHOD FOR SEPARATION AND PURIFICATION OF DISSOLVED RARE EARTH/PRECIOUS METALS ELEMENTS/COMPOUNDS | 1 |
Mohammed A. Hajianpour | US | Fort Lauderdale | 2012-06-14 / 20120150186 - External fixation apparatus with angularly adjustable drill guiding and pin clamping means | 3 |
Zoya Hajianpour | US | Fort Lauderdale | 2012-04-26 / 20120097821 - Suction cup apparatus for attachment to porous and nonporous surfaces | 2 |
Mohammed Ali Hajianpour | US | Fort Lauderdale | 2010-12-16 / 20100318084 - External fixation apparatus with adjustable pin clamping means | 3 |
Fatemeh Hajibagher | HU | Debrecen | 2011-07-28 / 20110180672 - Airplane with aerodynamic stall-prevention layout and pertinent longitudinal stability arrangement | 1 |
Alireza Haji Begli | DE | Ramsen | 2012-11-01 / 20120276257 - CURING AIDS | 6 |
Hadi Hajibeygi | US | Palo Alto | 2012-06-21 / 20120158380 - System And Method For Simulating Fluid Flow In A Fractured Reservoir | 1 |
Hadi Hajibeygi | CH | Zurich | 2010-04-15 / 20100094605 - ITERATIVE MULTI-SCALE METHOD FOR FLOW IN POROUS MEDIA | 1 |
David Hajicek | US | Minnetonka | 2010-05-06 / 20100113924 - AUTOMATICALLY SUPPLYING A PRESSURIZING UNIT OF A MEDICAL INJECTION DEVICE WITH FLUID | 1 |
Michael A. Hajicek | US | West Fargo | 2010-10-21 / 20100265674 - PORTABLE ASSEMBLY HAVING A SUBSCRIBER IDENTIFICATION MODULE | 4 |
David J. Hajicek | US | Minnetonka | 2014-03-20 / 20140081214 - APPARATUS AND METHODS FOR FLUID PRESSURIZING UNITS OF INJECTION SYSTEMS | 8 |
David J. Hajicek | US | Minnetonka | 2014-03-20 / 20140081214 - APPARATUS AND METHODS FOR FLUID PRESSURIZING UNITS OF INJECTION SYSTEMS | 8 |
Joshua J. Hajicek | US | Montclair | 2012-04-05 / 20120084084 - Noise cancellation device for communications in high noise environments | 1 |
Christina Hajichristou | CY | Agioi Trimithias | 2010-02-11 / 20100032403 - SELF-ACTUATING CLOSURE MECHANISMS FOR CLOSABLE ARTICLES | 1 |
Kiminori Hajika | JP | Hyogo | 2011-06-30 / 20110156326 - IRON BATH-TYPE MELTING FURNACE | 3 |
Kiminori Hajika | JP | Kobe-Shi | 2011-09-22 / 20110226092 - PROCESS FOR PRODUCING MOLTEN IRON AND APPARATUS FOR PRODUCING MOLTEN IRON | 1 |
Hamid Reza Haji-Karami-Mahabadi | CA | Burlington | 2010-07-08 / 20100170030 - SPLASH COVER FOR PLUNGER | 1 |
Bahman Haji-Khamneh | CA | Willowdale | 2010-07-22 / 20100181491 - DIGITIZER FOR A DIGITAL IMAGING SYSTEM | 1 |
Bahman Haji-Khamneh | US | San Jose | 2014-10-30 / 20140320715 - Imaging Systems And Methods Using Square Image Sensor For Flexible Image Orientation | 1 |
Rasoul Hajikhani | US | Aliso Viejo | 2014-07-31 / 20140214482 - SYSTEMS AND METHODS OF ENHANCING LEADS | 3 |
Abdel Hajila | FR | Obernal | 2014-02-06 / 20140038226 - Device For Spraying A Reagent For Fast Microbiological Analysis | 2 |
Yasufumi Hajima | JP | Kitakyushu-Shi | 2012-02-09 / 20120034667 - METHOD FOR RECOVERING AND PRODUCING ETHANOL AND OIL | 1 |
Matsumoto Hajime | JP | Osaka | 2010-03-18 / 20100069667 - PLASTIC CRYSTAL | 1 |
Sakai Hajime | US | Newark | / - | 1 |
Okamoto Hajime | JP | Kanagawa | 2010-08-12 / 20100201420 - LOGICAL ELEMENT | 1 |
Evan Koon Lun Yuuji Hajime | US | Woodbury | 2015-11-19 / 20150330623 - CATALYTIC BURNER | 7 |
Evan K.l.y. Hajime | US | Woodbury | 2016-01-28 / 20160022853 - POST-STEAM STERILIZATION MOISTURE-INDICATING ARTICLES | 2 |
Hirofumi Hajime | JP | Kanagawa | 2010-02-11 / 20100031989 - THERMOELECTRIC MODULE AND METALLIZED SUBSTRATE | 1 |
Amirhossein Hajimiragha | CA | Richmond Hill | 2013-07-25 / 20130190938 - POWER GENERATION OPTIMIZATION IN MICROGRID INCLUDING RENEWABLE POWER SOURCE | 2 |
Amirhossein Hajimiragha | US | Richmond Hill | 2015-08-27 / 20150241893 - SYSTEMS AND METHODS FOR ERROR MONITORING AND HANDLING IN CONTROL SYSTEMS | 1 |
Seyed Ali Hajimiri | US | La Canada | 2016-04-07 / 20160097715 - INTEGRATED WIDE TARGET RANGE OPTICAL COUPLING-BASED MACH-ZEHNDER SENSOR | 27 |
Seyed Ali Hajimiri | US | La Canada | 2016-04-07 / 20160097715 - INTEGRATED WIDE TARGET RANGE OPTICAL COUPLING-BASED MACH-ZEHNDER SENSOR | 27 |
Seyed Ali Hajimiri | US | Pasadena | 2015-11-19 / 20150331193 - SELF-EQUALIZING PHOTO DETECTOR | 37 |
Hossain Hajimowlana | US | Merrimack | 2009-08-13 / 20090202028 - METHOD, ARTICLE, AND APPARATUS FOR DYNAMIC PHASE DELAY COMPENSATOR | 1 |
Imran Hajimusa | US | San Jose | 2013-10-24 / 20130279552 - NOISE REDUCTION BETWEEN PROXIMATE NETWORKS | 1 |
Mohsen Haji-Rahim | US | Chapel Hill | 2015-07-16 / 20150200189 - INTEGRATED CIRCUIT MODULE HAVING A FIRST DIE WITH A POWER AMPLIFIER STACKED WITH A SECOND DIE AND METHOD OF MAKING THE SAME | 4 |
Zoya Hajirasouliha | US | Tarzana | 2012-06-28 / 20120164598 - DENTAL FULCRUM | 1 |
Miyuki Hajiri | JP | Tokyo | 2012-01-05 / 20120001445 - VEHICLE INTERIOR MEMBER | 1 |
Abraham Hajishah | US | Irvine | 2015-10-29 / 20150310171 - MEDICAL DEVICE DATA FILTERING FOR REAL TIME DISPLAY | 7 |
Michael J Haji-Sheikh | US | Dekalb | 2010-10-21 / 20100264511 - PROVIDING CURRENT CONTROL OVER WAFER BORNE SEMICONDUCTOR DEVICES USING TRENCHES | 1 |
Amin Hajitou | US | Houston | 2012-07-12 / 20120178903 - Methods and Compositions Related to Adenoassociated Virus-Phage Particles | 2 |
Alireza Haji-Valizadeh | US | Twinsburg | 2008-10-16 / 20080255814 - APPARATUS AND METHOD FOR MODEL-BASED CONTROL | 1 |
Mahbod Hajivandi | US | Vista | 2014-03-06 / 20140065625 - Isotopically-Labeled Proteome Standards | 6 |
Yasin Hajizadeh | CA | Calgary | 2016-04-21 / 20160108706 - RESERVOIR SIMULATION SYSTEM AND METHOD | 1 |
Mehrdad Haji Zadeh Armaki | DE | Stuttgart | 2009-03-19 / 20090070964 - Hinge for a vehicle door | 1 |
Usama Mikael Hajj | US | San Francisco | 2015-11-12 / 20150324617 - System and Method for Remotely Initiating Lost Mode on a Computing Device | 4 |
Mohammad Hajj | FR | Limoges | 2015-01-15 / 20150015449 - BASIC ANTENNA, AND CORRESPONDING ONE- OR TWO-DIMENSIONAL ARRAY ANTENNA | 1 |
Melissa Breglio Hajj | US | San Francisco | 2014-01-02 / 20140007257 - SYSTEMS AND METHODS FOR NARRATING ELECTRONIC BOOKS | 5 |
Hazem Hajj | US | Portland | 2009-01-01 / 20090006436 - Automated yield analysis system | 2 |
Rodolphe Hajj | FR | Saint Germain En Laye | 2016-05-19 / 20160136143 - COMPOSITIONS FOR TREATING AMYOTROPHIC LATERAL SCLEROSIS | 4 |
Arash Hajjam | US | Denver | 2013-10-31 / 20130285676 - MICROMECHANICAL RESONATORS | 1 |
Roger Hajjar | US | San Jose | 2011-12-08 / 20110298843 - DYNAMIC POWER AND BRIGHTNESS CONTROL FOR A DISPLAY SCREEN | 2 |
Roger A. Hajjar | US | San Jose | 2016-04-28 / 20160119597 - Servo Feedback Control Based on Designated Scanning Servo Beam in Scanning Beam Display Systems with Light-Emitting Screens | 38 |
Jeffrey Hajjar | US | Boise | 2010-07-29 / 20100186581 - METHOD AND APPARATUS FOR AN ACTION SYSTEM FOR A FIREARM | 1 |
Adeline Hajjar | US | Seattle | 2016-01-07 / 20160002691 - IMMUNOTHERAPEUTIC POTENTIAL OF MODIFIED LIPOOLIGOSACCHARIDES/LIPID A | 1 |
Roger Joseph Hajjar | US | Tenafly | 2015-11-05 / 20150316551 - Sumoylation of SERCA2a and Cardiovascular Disease | 2 |
Roger J. Hajjar | US | New York | 2013-09-19 / 20130243730 - RNA INTERFERENCE FOR THE TREATMENT OF HEART FAILURE | 3 |
Elias Hajjar | AU | Bankstown | 2010-11-25 / 20100294971 - VALVE DIAPHRAGM | 3 |
Hadi Hajjar | LB | Tripoli | 2015-04-09 / 20150096742 - DOWNHOLE FAST-ACTING SHUT-IN VALVE SYSTEM | 1 |
Elias Hajjar | AU | New South Wales | 2011-02-03 / 20110024665 - VALVE | 2 |
Jean-Jacques Hajjar | US | Lexington | 2009-12-17 / 20090309128 - Low Leakage Protection Device | 1 |
Roger J. Hajjar | US | Tenafly | 2015-08-06 / 20150218148 - Benzothiazole Or Benzoxazole Compounds As Sumo Activators | 4 |
Zeinab Hajjarian | US | Boston | 2015-10-01 / 20150276571 - COMPENSATION FOR CAUSES OF TEMPORAL FLUCTUATIONS OF BACKSCATTERED SPECKLE PATTERNS IN LASER SPECKLE RHEOLOGY OF BIOLOGICAL FLUIDS | 1 |
Zeinab Hajjarian | US | 2015-10-01 / 20150276571 - COMPENSATION FOR CAUSES OF TEMPORAL FLUCTUATIONS OF BACKSCATTERED SPECKLE PATTERNS IN LASER SPECKLE RHEOLOGY OF BIOLOGICAL FLUIDS | 1 | |
Mohamad Hajj-Hassan | CA | Montreal | 2011-02-03 / 20110024771 - Optically Interrogated Solid State Biosensors Incorporating Porous Materials - Devices and Methods of Fabrication | 1 |
Kamil Mostafa Hajji | US | Irving | 2012-01-05 / 20120002793 - AUTOMATED TELEPHONE ATTENDANT | 1 |
Philippe Hajji | FR | Chatillon D'Azergues | 2016-01-07 / 20160002434 - HALOGENATED POLYMER COMPOSITE COMPOSITION, ITS MANUFACTURING PROCESS AND ITS USE | 3 |
M. Amine Hajji | US | San Jose | 2010-10-28 / 20100275057 - Data Storage Device In-Situ Self Test, Repair, and Recovery | 1 |
Mazen J. Hajji | US | St. Louis | 2010-06-10 / 20100145595 - SMALL ENGINE OPERATION COMPONENTS | 1 |
Philippe Hajji | FR | Grigny | 2009-01-15 / 20090018248 - HYBRID IMPACT MODIFIERS AND METHOD FOR PREPARING THE SAME | 1 |
Hassan Hajji | GB | Reading | 2014-08-07 / 20140222545 - METHOD OF ENHANCING POINT-OF-SALE SYSTEMS | 1 |
Mazen A. Hajji | US | Chesterfield | 2014-09-11 / 20140251270 - Throttle Body Fuel Reservoir | 2 |
Amine M. Hajji | US | San Jose | 2008-09-04 / 20080215811 - Multiple sourcing storage devices for ultra reliable mirrored storage subsystems | 1 |
Hassan Hajji | JP | Kanagawa-Ken | 2012-12-13 / 20120317424 - Switching between unsecure system software and secure system software | 1 |
Khalil Haj-Khalil | US | Tarzana | 2009-10-01 / 20090249400 - SYSTEMS AND METHODS FOR PROVIDING ON-DEMAND MEDIA CONTENT | 2 |
Janos Hajko | HU | Debrecen | 2009-03-05 / 20090062546 - Dolasetron trifluoroacetate, polymorphs of dolasetron trifluoroacetate and process for preparation thereof | 5 |
Mohamed Haj-Maharsi | US | Houston | 2012-06-07 / 20120139241 - DYNAMIC ADJUSTMENT OF POWER PLANT OUTPUT BASED ON ELECTRICAL GRID CHARACTERISTICS | 2 |
Mohamed Y. Haj-Maharsi | US | Houston | 2010-12-16 / 20100315190 - VERSATILE DISTRIBUTION TRANSFORMER | 3 |
Mohamed Y. Haj-Maharsi | US | Garner | 2010-09-16 / 20100230263 - CUTOUTS WITH AUTOMATIC RECLOSING | 4 |
Mohamed Haj-Maharsi | US | Cypress | 2015-10-15 / 20150292484 - SYSTEM AND METHOD FOR EXTENDING THE OPERATING LIFE OF A WIND TURBINE GEAR TRAIN BASED ON ENERGY STORAGE | 2 |
Karla Hajman | SE | Stockholm | 2010-01-07 / 20100004193 - COMBINATION THERAPY | 1 |
Gheorghe Hajmasan | RO | Lunca Muresului | 2015-04-09 / 20150101049 - Complex Scoring for Malware Detection | 1 |
Ayman Hajmousa | US | Washington | 2009-09-17 / 20090231596 - LASER TRANSMITTER | 3 |
Ayman Hajmousa | US | Dayton | 2015-06-11 / 20150160000 - AUTOMATED LAYOUT AND POINT TRANSFER SYSTEM | 2 |
Péter Hajnal | HU | Budapest | 2013-08-01 / 20130195974 - PH-DEPENDENT GRADUAL RELEASE PHARMACEUTICAL COMPOSITION | 1 |
Péter Hajnal | HU | Budapest | 2013-08-01 / 20130195974 - PH-DEPENDENT GRADUAL RELEASE PHARMACEUTICAL COMPOSITION | 1 |
Andre S. Hajnal | US | Anderson Island | 2014-01-02 / 20140004326 - PULP AND FIBRILLATED FIBER COMPOSITE | 3 |
Joseph Vilmos Hajnal | GB | London | 2011-08-04 / 20110188718 - IMAGE DATA MANAGEMENT SYSTEMS | 4 |
Roger V. Hajny | US | Cedarburg | 2015-11-12 / 20150320017 - SELECTIVE ANIMAL FEEDER | 3 |
Johann G. Hajok | DE | Bochum | 2016-05-19 / 20160139346 - TELECOMMUNICATION ENCLOSURE FOR EXTERNAL CONNECTION | 5 |
Brian H. Hajost | US | Ashburn | 2015-07-09 / 20150193629 - AUTOMATING THE CREATION AND MAINTENANCE OF POLICY COMPLIANT ENVIRONMENTS | 4 |
Brian H. Hajost | US | Great Falls | 2012-03-15 / 20120066287 - MOBILE APPLICATION DEPLOYMENT FOR DISTRIBUTED COMPUTING ENVIRONMENTS | 1 |
Tibor Hajszan | HU | Szeged | 2013-07-04 / 20130172306 - USE OF AN ESTROGEN DERIVATIVE FOR THE MANUFACTURE OF PHARMACEUTICAL COMPOSITIONS USEFUL FOR THE TREATMENT AND/OR PREVENTION OF PSYCHIATRIC DISEASES AND FOR THE TREATMENT AND PREVENTION OF SAID DISEASES | 1 |
Marek Hajtman | SK | Trnava | 2009-06-04 / 20090139197 - AIR-SPLICING DEVICE FOR SPLICE-CONNECTING TWO GLASS FIBER ROVING STRANDS AND PROCESS OF SPLICE-CONNECTING SAME | 1 |
Mahmood Haj-Yahya | IL | Taybe-Meshulash | 2016-01-07 / 20160002286 - CHEMICAL PREPARATION OF UBIQUITIN THIOESTERS AND MODIFICATIONS THEREOF | 3 |
Jawad Haj-Yihia | IL | Haifa | 2014-06-26 / 20140181352 - INTERCONNECT TO COMMUNICATE INFORMATION UNI-DIRECTIONALLY | 7 |
Gabor Hajzer | US | Sandy Hook | 2009-04-23 / 20090100887 - Article of manufacture for quickly and efficiently locking and securing the security doors of a building | 1 |
Agron Haka | AL | Lushnje | 2010-11-11 / 20100282007 - RACK AND PINION GEAR | 1 |
Bernard N. Hakac | US | Webster | 2009-12-03 / 20090297174 - CUSTOMER PART REPLACEMENT FEATURE UTILIZING HIGH FREQUENCY SERVICE INTERVAL FAULT AND SIGNATURE ANALYSES | 1 |
Yaron Hakak | US | San Diego | 2014-09-11 / 20140255310 - Human G Protein-Coupled Receptor and Modulators Thereof for the Treatment of Atherosclerosis and Atherosclerotic Disease and for the Treatment of Conditions Related to MCP-1 Expression | 4 |
Heikki Hakala | FI | Vantaa | 2016-05-05 / 20160121754 - ADJUSTMENT METHOD FOR SPEED-CONTROLLED ELECTRONIC DRIVE AND APPARATUS FOR IMPLEMENTING THE SAME | 1 |
Tero Hakala | FI | Kangasala | 2008-08-21 / 20080201299 - Method and System for Managing Metadata | 1 |
Likka Hemanni Hakala | FI | Helsinki | 2015-08-06 / 20150223195 - APPARATUS AND METHOD FOR ANTENNA ALIGNMENT | 2 |
Harri Hakala | FI | Hyvinkaa | 2016-03-31 / 20160090269 - ELEVATOR GROUP CONTROLLER, ELEVATOR GROUP, A METHOD FOR ALLOCATING CALLS IN AN ELEVATOR GROUP, AND APPLICATION EXECUTABLE IN A REMOTE SERVICE CENTRE OR IN THE ELEVATOR GROUP | 2 |
Juuso Hakala | FI | Pargas | 2014-09-18 / 20140272098 - PROCESS FOR MANUFACTURING NANOPARTICLES IN A CONCENTRATED SLURRY | 2 |
Joona Hakala | FI | Tampere | 2013-02-14 / 20130036812 - METHOD AND APPARATUS FOR DETECTING TIGHTNESS OF THREADED JOINTS OF DRILL RODS | 1 |
Llkka Hakala | FI | Helsinki | 2012-01-12 / 20120007772 - Controller for a Directional Antenna and Associated Apparatus and Methods | 1 |
Risto Hakala | FI | Helsinki | 2009-07-09 / 20090176943 - Novel Biodegradable Polymer | 1 |
Kimmo Hakala | FI | Helsinki | 2012-10-18 / 20120264896 - Process for Recovering a Transition Metal Compound | 2 |
Doug Hakala | US | Woodinville | 2015-03-12 / 20150073430 - LOW PROFILE ELECTRODES FOR AN ANGIOPLASTY SHOCK WAVE CATHETER | 8 |
Tero Juhani Hakala | FI | Kangasala | 2013-04-04 / 20130086077 - Method and Apparatus for Associating Commenting Information with One or More Objects | 1 |
Olli Hakala | FI | Turku | 2011-08-25 / 20110208135 - INTRAVAGINAL DELIVERY SYSTEM AND PROCESS FOR MANUFACTURING IT | 1 |
Ilkka-Hermanni Hakala | FI | Helsinki | 2012-12-20 / 20120319821 - Method and Apparatus for Discrimination of RFID Tags | 3 |
John Charles Hakala | US | Lakewood | 2010-05-13 / 20100120887 - SUSTAINED RELEASE FORMULATION OF MELATONIN | 1 |
Ari Hakala | FI | Siuro | 2016-03-03 / 20160060067 - METHOD AND ARRANGEMENT FOR HANDLING NARROW ROLLS | 3 |
Harri Hakala | FI | Turku | 2011-10-06 / 20110243114 - METHOD AND ARRANGEMENTS FOR ENHANCED WIRELESS ACCESS SIGNALLING IN A WIRELESS LOCAL AREA NETWORK | 3 |
Doug Hakala | US | Woodinville | 2015-03-12 / 20150073430 - LOW PROFILE ELECTRODES FOR AN ANGIOPLASTY SHOCK WAVE CATHETER | 8 |
David F. Hakala | US | El Dorado Hills | 2010-11-18 / 20100288305 - BRUSH CORE AND BRUSH DRIVING METHOD | 1 |
Jani Hakala | FI | Espoo | 2012-10-11 / 20120257338 - FREQUENCY CONVERTER UNIT | 1 |
Henrik Stefan Markus Hakala | FI | Tampere | 2014-12-25 / 20140380420 - METHOD AND APPARATUS FOR EXPANDED CONTENT TAG SHARING | 3 |
Sami P. Hakala | FI | Oulu | 2010-11-18 / 20100290408 - Method, apparatus and computer program for user equipment access channel procedures | 1 |
Henrik Hakala | FI | Tampere | 2012-12-13 / 20120317489 - Method and Apparatus Providing for Transmission of a Content Package | 3 |
Tuomo Hakala | JP | Toyama | 2014-10-09 / 20140299420 - ELEVATOR | 1 |
Tuomo Hakala | FI | Espoo | 2013-08-22 / 20130213742 - METHOD FOR CONTROLLING AN ELEVATOR, AND AN ELEVATOR USING STARTING POSITION DATA OF THE ELEVATOR AND SWAY DATA OF A BUILDING | 1 |
Veikko Hakala | FI | Espoo | 2015-12-17 / 20150365012 - CONVERTER ARRANGEMENT | 2 |
Tuomas Hakala | FI | Helsinki | 2014-09-04 / 20140248547 - METHOD AND ARRANGEMENT FOR AVOIDING ANODE OXIDATION | 3 |
Leena Hakalahti | FI | Oulu | 2013-01-17 / 20130017609 - APPARATUS AND METHOD FOR INDICATING A PHYSICAL OR CHEMICAL PHENOMENONAANM Kansakoski; MarkkuAACI OuluAACO FIAAGP Kansakoski; Markku Oulu FIAANM Hurme; EeroAACI EspooAACO FIAAGP Hurme; Eero Espoo FIAANM Hakalahti; LeenaAACI OuluAACO FIAAGP Hakalahti; Leena Oulu FIAANM Korhonen; RaimoAACI TampereAACO FIAAGP Korhonen; Raimo Tampere FIAANM Kemppainen; AnttiAACI OuluAACO FIAAGP Kemppainen; Antti Oulu FI | 1 |
Antti Hakala-Ranta | FI | Vaasa | 2016-01-07 / 20160006255 - INTELLIGENT ELECTRICAL POWER NETWORK DEVICE | 3 |
Petteri Hakalin | ES | Malaga | 2009-03-19 / 20090075656 - MEASUREMENTS IN COMMUNICATIONS SYSTEMS | 3 |
Tarek Hakam | FR | Toulouse | 2015-12-31 / 20150377933 - INTEGRATED CIRCUIT, CURRENT SENSE CIRCUIT FOR A PULSE WIDTH MODULATION DRIVER AND METHOD THEREFOR | 2 |
Kazuhide Hakamada | JP | Akashi-Shi | 2015-03-12 / 20150068222 - METHOD FOR RE-LIQUEFYING BOIL-OFF GAS GENERATED AT LIQUID HYDROGEN STORAGE TANK | 1 |
Naoki Hakamada | JP | Anjo-Shi | 2015-06-04 / 20150152865 - COMPACT STRUCTURE OF GEAR PUMP DESIGNED TO MINIMIZE LOSS OF PUMPING TORQUE | 9 |
Hitoshi Hakamada | JP | Wako-Shi | 2016-02-18 / 20160046177 - VEHICLE | 3 |
Shinichiro Hakamada | JP | Zama-Shi | 2015-01-15 / 20150015242 - VOLTAGE DETECTION CIRCUIT | 1 |
Junichi Hakamada | JP | Ibaraki-Ken | 2012-12-13 / 20120314260 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 4 |
Junichi Hakamada | JP | Tsukuba | 2015-04-30 / 20150116747 - IMAGE PROCESSING APPARATUS | 1 |
Naoki Hakamada | JP | Anjo-City | 2014-06-26 / 20140178236 - ROTARY PUMP AND BRAKE DEVICE HAVING THE SAME | 2 |
Tomohiko Hakamada | JP | Kanagawa | 2016-03-10 / 20160067696 - RUTHENIUM-DIAMINE COMPLEXES AND METHOD FOR PRODUCING OPTICALLY ACTIVE COMPOUNDS | 1 |
Shinichi Hakamada | JP | Kawasaki-Shi | 2014-10-16 / 20140307023 - AQUEOUS INK, INK JET RECORDING METHOD, INK CARTRIDGE, RECORDING UNIT AND INK JET RECORDING APPARATUS | 14 |
Susumu Hakamada | JP | Kanagawa | 2015-04-23 / 20150112876 - METHOD AND SYSTEM FOR PRESENTING WASTE SORTING INFORMATION | 3 |
Naoki Hakamada | JP | Anjo-Shi | 2015-06-04 / 20150152865 - COMPACT STRUCTURE OF GEAR PUMP DESIGNED TO MINIMIZE LOSS OF PUMPING TORQUE | 9 |
Shin-Ichi Hakamada | JP | Kawasaki-Shi | 2013-12-26 / 20130342604 - INK SET AND INK JET RECORDING METHOD | 6 |
Shinichi Hakamada | JP | Kanagawa | 2009-03-26 / 20090078889 - Water-Based Fluorescent Ink, Recorded Image Using The Same, and Judging Method | 1 |
Shinich Hakamada | JP | Kawasaki-Shi | 2010-01-28 / 20100021633 - INK SET, IMAGE FORMING METHOD, INK JET RECORDING METHOD, INK CARTRIDGE, AND RECORDING UNIT | 1 |
Tomohiko Hakamada | JP | Hiratsuka | 2013-06-20 / 20130158276 - RUTHENIUM-DIAMINE COMPLEXES AND METHOD FOR PRODUCING OPTICALLY ACTIVE COMPOUNDS | 1 |
Junichi Hakamada | JP | Ibaraki | 2015-06-25 / 20150181081 - IMAGE PROCESSING APPARATUS EQUIPPED WITH AUTO-COLOR MODE | 2 |
Yoshiaki Hakamada | JP | Iwaki-Shi | 2011-06-23 / 20110150585 - Insert and Side Cutter | 2 |
Osamu Hakamata | JP | Toyohashi-Shi | 2013-10-03 / 20130260175 - ALUMINUM ALLOY BRAZING SHEET FOR HEAT EXCHANGER | 1 |
Kazuo Hakamata | JP | Odawara-Shi | 2009-05-21 / 20090127471 - IMAGE DETECTING DEVICE AND IMAGE CAPTURING SYSTEM | 12 |
Kazuo Hakamata | JP | Odawara | 2012-09-13 / 20120233400 - DISK ARRAY UNIT | 4 |
Ikuo Hakamata | JP | Kawasaki | 2011-04-28 / 20110099534 - INFORMATION PROCESSING APPARATUS, EXECUTION PROGRAM OPERATION MODIFICATION METHOD, AND RECORDING MEDIUM | 1 |
Tomohiko Hakamata | JP | Kanagawa | 2015-10-01 / 20150275131 - FRAGRANCE COMPOSITION | 2 |
Mitsuaki Hakamata | JP | Aichi | 2011-03-03 / 20110052112 - SLIDING MEMBER FOR THRUST BEARING | 2 |
Masashi Hakamata | JP | Kanagawa-Ken | 2011-10-06 / 20110242541 - OPTICAL MEMBER AND SURFACE PLASMON RESONANCE MEASURING APPARATUS | 3 |
Ryuei Hakamata | JP | Niwa-Gun | 2011-01-20 / 20110015050 - TOOL MAGAZINE | 1 |
Kazuo Hakamata | JP | Odawara-Shi | 2009-05-21 / 20090127471 - IMAGE DETECTING DEVICE AND IMAGE CAPTURING SYSTEM | 12 |
Koji Hakamata | JP | Shizuoka | 2010-06-10 / 20100144405 - PORTABLE TERMINAL | 2 |
Kazuo Hakamata | JP | Kanagawa-Ken | 2008-08-21 / 20080197305 - SOLID-STATE RADIATION IMAGE DETECTOR | 1 |
Tomoyoshi Hakamata | JP | Ibaraki | 2009-12-31 / 20090324836 - METHOD FOR PRODUCING UNSATURATED CARBOXYLIC ACID-MODIFIED VINYL ALCOHOL POLYMER, AND GAS BARRIER FILM OR GAS BARRIER LAMINATE USING THE SAME | 3 |
Osamu Hakamata | JP | Toyohashi-City | 2012-12-27 / 20120325449 - GASKET MATERIAL FOR HEAT EXCHANGER, AND HEAT EXCHANGER USING THE SAME | 3 |
Koki Hakamata | JP | Wako-Shi | 2009-08-06 / 20090193618 - HINGE STRUCTURE FOR VEHICLE OPEN/CLOSE BODY | 1 |
Shintaro Hakamata | JP | Kiyosu-Shi | 2011-06-09 / 20110133217 - Led light emitting apparatus and vehicle headlamp using the same | 1 |
Kazuyuki Hakamata | JP | Toyohashi-Shi | 2015-09-10 / 20150252854 - JOINT DEVICE AND MOTOR | 1 |
Tomohiko Hakamata | JP | Hamamatsu-Shi | 2015-02-19 / 20150051417 - RUTHENIUM-DIAMINE COMPLEX AND METHOD FOR PRODUCING OPTICALLY ACTIVE COMPOUND | 4 |
Osamu Hakamata | JP | Aichi | 2016-02-04 / 20160031045 - BRAZED STRUCTURE | 1 |
Keisei Hakamata | JP | Kawasaki-Shi | 2015-01-22 / 20150022578 - RECORDING APPARATUS AND CONTROL METHOD OF RECORDING APPARATUS HAVING A CONVEYANCE ROLLER PAIR UPSTREAM OF A RECORDING UNIT | 4 |
Osamu Hakamata | JP | Shizuoka | 2012-12-20 / 20120318600 - ELECTRIC MOTORCYCLE AND CONTROLLER UNIT | 1 |
Shingo Hakamata | JP | Wako-Shi | 2013-07-04 / 20130168997 - VEHICULAR DOOR | 1 |
Keisei Hakamata | JP | Tokyo | 2012-06-14 / 20120148325 - CONVEYANCE CONTROLLER, PRINTING APPARATUS, METHOD OF CONVEYING PRINTING MEDIUM, AND PRINTING MEDIUM CONVEYANCE APPARATUS | 5 |
Shinji Hakamata | JP | Osaka | 2010-06-17 / 20100147620 - TORQUE DETECTION DEVICE AND ELECTRIC POWER STEERING APPARATUS USING THE SAME | 1 |
Masashi Hakamata | JP | Ashigarakami-Gun | 2014-02-20 / 20140049774 - DEW CONDENSATION DETECTION METHOD AND DEVICE | 1 |
Tomoe Hakamatani | JP | Shizuoka | 2014-06-19 / 20140172117 - ANTITHROMBOTIC MATERIAL AND MEDICAL DEVICE | 1 |
Tadayasu Hakamatani | JP | Tokyo | 2012-02-16 / 20120040762 - COMPATIBILITY ADAPTER AND COMPATIBILITY PROCESSING METHOD | 2 |
Yasuharu Hakamatsuka | JP | Tokyo | 2014-10-02 / 20140294971 - CANCER CELL-INHIBITING CERAMIC, PROCESS FOR PRODUCING CANCER CELL-INHIBITING CERAMIC, METHOD FOR TREATING BONE TUMOR, AND USE OF BETA-TRICALCIUM PHOSPHATE POROUS GRANULES WITH PARTICLE SIZE OF 1 TO 10 MICROMETER | 4 |
Sina Hakami | US | Kirkland | 2016-03-17 / 20160078412 - CALENDAR REPAIR ASSISTANT | 4 |
Sina Hakami | US | Bothell | 2016-05-05 / 20160124988 - RECURRING CALENDAR ITEM MASTER AND INSTANCE SYNCHRONIZATION | 3 |
Mohammad Reza Hakami | US | Bethlehem | 2013-11-14 / 20130304926 - CONCURRENT LINKED-LIST TRAVERSAL FOR REAL-TIME HASH PROCESSING IN MULTI-CORE, MULTI-THREAD NETWORK PROCESSORS | 1 |
Jukka Antti Petteri Hakanen | FI | Espoo | 2013-07-25 / 20130190568 - Laryngoscope | 3 |
Jukka Hakanen | FI | Espoo | 2012-05-31 / 20120136272 - Arrangement in a Patient Breathing Tube and a Patient Breathing Tube | 1 |
Jukka Anntti Petteri Hakanen | FI | Kantvik | 2012-06-21 / 20120151990 - HOLDER FOR A LIQUID SEPARATOR AND GAS ANALYZER FOR ANALYZING RESPIRATORY GAS SAMPLES | 1 |
Christopher P. Hakanson | US | Woodbury | 2012-12-20 / 20120322331 - MULTIFUNCTIONAL MAT AND METHOD OF MANUFACTURE | 2 |
Robin Hakanson | US | Cedar Rapids | 2012-07-05 / 20120169536 - GNSS RECEIVER DESIGN TESTING | 1 |
Mats Robin Hakanson | SE | Vetlanda | 2010-01-21 / 20100013830 - Processing Of Signals From Global Navigation Satellite Systems By A Graphics Processor | 1 |
Ola Hakanson | SE | Froson | 2009-04-09 / 20090091268 - AIRFIELD LIGHTING WITH LED | 1 |
Hakan Hakanson | SE | Lund | 2016-02-04 / 20160033233 - FIRE-CONTROL SYSTEM | 2 |
Mats Robin Hakanson | US | Cedar Rapids | 2012-07-26 / 20120188124 - GNSS RECEIVER AND OPERATING METHOD | 1 |
Philip Hakansson | SE | Solvesborg | 2010-09-09 / 20100224339 - SIZING COMPOSITION, METHOD FOR THE PRODUCTION OF SIZED PAPER OR SIZED PAPERBOARD AND SIZED PAPER OR SIZED PAPERBOARD | 1 |
Leif Hakansson | SE | Holviken | 2012-08-30 / 20120219973 - IMMUNOREGULATION IN CANCER, CHRONIC INFLAMMATORY AND AUTOIMMUNE DISEASES | 1 |
Carl Johan Hakansson | SE | Stockholm | 2010-03-04 / 20100050705 - LOCK FOR VENDING MACHINE | 1 |
Pontus Hakansson | SE | Malmo | 2015-10-01 / 20150275963 - SLIDING GUIDE RAIL | 1 |
Rikard Hakansson | SE | Vaxjo | 2015-11-26 / 20150336049 - WET SCRUBBER AND A METHOD OF CLEANING A PROCESS GAS | 10 |
Mikael Hakansson | SE | Tranas | 2010-11-04 / 20100280479 - ABSORBENT ARTICLE | 1 |
Yvonne Hakansson | SE | Uppsala | 2013-01-17 / 20130017263 - GASTRIC ACID SECRETION INHIBITING COMPOSITION | 3 |
Stefan Hakansson | SE | Solna | 2009-05-28 / 20090136661 - Internally coating a pipe or a piping system | 1 |
Anders Hakansson | SE | Malmo | 2014-12-04 / 20140358244 - PROSTHESIS | 2 |
Bo E.v. Hakansson | SE | Goteborg | 2009-03-12 / 20090064484 - METHOD FOR THE MANUFACTURING OF BALANCED TRANSDUCERS | 1 |
Jon Hakansson | SE | Torshalla | 2009-12-31 / 20090321171 - CAB STRUCTURE FOR A VEHICLE | 1 |
Greger Hakansson | SE | Linkoping | 2012-01-12 / 20120009402 - COATED CUTTING TOOL FOR METAL CUTTING APPLICATIONS GENERATING HIGH TEMPERATURES | 1 |
Mikael Hakansson | SE | Malmo | 2011-02-10 / 20110030841 - DEVICE FOR HANDLING OF TONER POWDER | 2 |
Marie Hakansson | SE | Malmo | 2016-03-17 / 20160076927 - Fluid Meter with Improved Piston Guidance | 3 |
Rikard Hakansson | SE | Vaxjo | 2015-11-26 / 20150336049 - WET SCRUBBER AND A METHOD OF CLEANING A PROCESS GAS | 10 |
Eskil Hakansson | SE | Jonkoping | 2012-04-12 / 20120085274 - TOP FEEDER FOR A SEWING MACHINE | 1 |
Leif Hakansson | SE | Hollviken | 2016-02-18 / 20160046702 - IMMUNOREGULATORY STRUCTURES FROM NORMALLY OCCURING PROTEINS | 8 |
Annika Hakansson | SE | Hollviken | 2010-12-23 / 20100323370 - METHOD FOR DETERMINING IMMUNE SYSTEM AFFECTING COMPOUNDS | 1 |
Marie Hakansson | SE | Vargbogatan 7b | 2013-10-24 / 20130276528 - PISTON FLUID METER WITH IMPROVED YOKE ARRANGEMENT | 2 |
Jörgen Hakansson | SE | Tyringe | 2010-12-09 / 20100307514 - HEARING PROTECTION EARPLUG | 1 |
Johannes Hakansson | SE | Eslov | 2008-08-21 / 20080200999 - PLAYER DEVICE CONTROLLABLE BY FUNCTIONAL META-DATA, CONTENT ITEM COMPRISING SUCH META-DATA, AND COMPUTER PROGRAM PRODUCT THEREFOR | 1 |
Ola S. Hakansson | SE | Lund | 2008-11-13 / 20080280640 - INDUCTIVE JOYSTICK | 1 |
Bo Hakansson | SE | Goteborg | 2015-02-12 / 20150045607 - ELECTRIC SWITCHING DEVICE | 6 |
Leif Hakansson | SE | Hollviken | 2016-02-18 / 20160046702 - IMMUNOREGULATORY STRUCTURES FROM NORMALLY OCCURING PROTEINS | 8 |
Hakan Hakansson | SE | Lund | 2013-08-22 / 20130218286 - ARTIFICIAL JOINT | 4 |
Marie Hakansson | SE | Limhamn | 2013-03-07 / 20130056490 - Fluid Meter With Pressure Protection | 5 |
Anders P. Hakansson | US | East Amherst | 2015-05-28 / 20150148286 - POTENTIATION OF ANTIBIOTIC TREATMENT WITH A PROTEIN-LIPID COMPLEX | 1 |
Markus Hakansson | FI | Espoo | 2013-08-15 / 20130206610 - Integrated carbon electrode chips for the electric excitation of lanthanide chelates, and analytical methods using these chips | 1 |
Hazeline Hakansson | US | East Amherst | 2015-05-28 / 20150148286 - POTENTIATION OF ANTIBIOTIC TREATMENT WITH A PROTEIN-LIPID COMPLEX | 1 |
Peter Hakansson | SE | Lidingo | 2015-10-01 / 20150281958 - Method and Apparatus for Securing a Connection in a Communications Network | 3 |
Jörgen Hakansson | SE | Tyringe | 2013-06-27 / 20130161121 - DEVICE FOR HEARING PROTECTION | 2 |
Eva Hakansson | US | Wheat Ridge | 2014-10-02 / 20140295218 - METHOD OF DETECTING LITHIUM-ION CELL DAMAGE VIA VAPOR DETECTION | 2 |
Mami Hakari | JP | Ushiku | 2010-11-25 / 20100296976 - LIQUID-LIQUID EXTRACTION SYSTEM | 1 |
Mami Hakari | JP | Ibaraki | 2011-03-31 / 20110073603 - SAMPLE LOW-TEMPERATURE STORAGE CASE AND ORGANISM TRANSPORTATION SUPPORTING SYSTEM | 1 |
Seppo Hakari | FI | Tampere | 2010-12-30 / 20100326310 - ARRANGEMENT FOR SUPPORTING SHELL INTO WEAPON BARREL, SUPPORT ELEMENT AND METHOD | 2 |
Masashi Hakariya | JP | Nagoya-Shi, Aichi-Ken | 2016-03-17 / 20160076474 - AIR-FUEL RATIO CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 2 |
Masashi Hakariya | JP | Aichi-Ken | 2011-05-05 / 20110100344 - VEHICLE AND VEHICLE CONTROL METHOD | 1 |
Masashi Hakariya | US | 2016-01-07 / 20160003181 - CONTROL DEVICE OF INTERNAL COMBUSTION ENGINE | 1 | |
Masashi Hakariya | JP | Nagoya-Shi | 2014-10-02 / 20140290348 - ABNORMALITY DETECTING DEVICE OF INTERNAL COMBUSTION ENGINE | 8 |
Toshihiro Hakata | JP | Osaka | 2009-02-12 / 20090040935 - Evaluation apparatus of hub unit and evaluating method of hub unit | 1 |
Toshihiro Hakata | JP | Kashiwara-Shi | 2015-06-25 / 20150176642 - WHEEL BEARING DEVICE | 3 |
Masayuki Hakata | JP | Saitama Pref | 2011-07-21 / 20110175732 - POWER MANAGEMENT SYSTEM FOR ELECTRONIC SHELF LABELS AND THE LIKE | 1 |
Masayuki Hakata | JP | Saitama | 2013-12-12 / 20130329123 - INFORMATION DISPLAY DEVICE AND DISPLAY DRIVING METHOD | 1 |
Toshihiro Hakata | JP | Oasaka | 2010-06-17 / 20100147104 - Cam Shaft Assembly and Assembly Method Thereof | 1 |
Tomoyuki Hakata | JP | Kyoto | 2016-02-25 / 20160052202 - JOINED STRUCTURE AND METHOD FOR MANUFACTURING JOINED STRUCTURE | 1 |
Kunihiko Hakata | JP | Tottori City | 2013-04-25 / 20130099271 - LIGHT-EMITTING DEVICE AND LIGHTING APPARATUS INCORPORATIONG SAME | 2 |
Hirokazu Hakata | JP | Osaka | 2013-03-14 / 20130065990 - ROSIN-MODIFIED PHENOLIC RESIN, PROCESS FOR PRODUCTION THEREOF, VARNISH FOR PRINTING INK, AND PRINTING INK | 2 |
Toshiyuki Hakata | JP | Hiroshima-Ken | 2013-07-18 / 20130184389 - RESIN COMPOSITION FOR PAVEMENT, AND ASPHALT COMPOSITION FOR PAVEMENT AND PROCESS FOR PRODUCING THE SAME | 3 |
Brian P. Hake | US | Casco | 2014-08-07 / 20140217708 - OUTER COVER HAVING REINFORCING FIBERS FOR AN INSTRUMENT PANEL AIRBAG DOOR | 1 |
Ernst-Jurgen Hake | DE | Radevormwald | 2010-04-29 / 20100102613 - VEHICLE SEAT AND ASSEMBLY METHOD | 2 |
Sarah Hake | US | Bolinas | 2014-12-11 / 20140366215 - PLANTS WITH ELEVATED LEVELS OF GLUCAN | 2 |
Rodney D. Hake | US | Tipton | 2013-02-28 / 20130048323 - TILLAGE IMPLEMENT WITH ADJUSTABLE GANG ANGLE | 2 |
John P. Hake | US | Franklin | 2013-11-14 / 20130304797 - Providing an Integrated Suite of Cloud-Based, Hosted and Internal Applications | 1 |
Rodney Hake | US | Tipton | 2016-05-19 / 20160135354 - ROTARY CUTTER WITH PARALLEL PIVOT WHEELS | 1 |
Lisa Hake | US | Richfield | 2015-08-20 / 20150235161 - WIRELESS CUSTOMER AND LABOR MANAGEMENT OPTIMIZATION IN RETAIL SETTINGS | 1 |
Richard L. Hake | US | Overland Park | 2009-07-02 / 20090171744 - System and method for reducing employee training time and distributing corporate and job information to employees | 1 |
Rodney D. Hake | KS | Tipton | 2013-12-12 / 20130327550 - TILLAGE IMPLEMENT WITH ADJUSTABLE GANG ANGLE | 1 |
Troy A. Hake | US | Independence | 2012-08-30 / 20120217317 - Seed Mixture and Method of Application | 1 |
Frank Hake | US | Bonita Springs | 2012-08-23 / 20120211534 - WHEEL-MOUNTED GOLF SCORECARD HOLDER WITH PENCIL SHARPENER | 1 |
Kater Davis Hake | US | Cleveland | 2012-06-07 / 20120144535 - SEED-OIL SUPPRESSION TO ENHANCE YIELD OF COMMERCIALLY IMPORTANT MACROMOLECULES | 1 |
Gregory Hake | US | Otsego | 2014-02-20 / 20140050617 - DUAL OUTLET OXYGENATOR FOR TREATING BLOOD IN AN EXTRACORPOREAL BLOOD CIRCUIT | 9 |
Stephanie Hake | CH | Rothrist | 2009-02-26 / 20090054651 - Process for the preparation of quaternary N-alkyl morphin or morphinan alkaloid derivatives | 1 |
Michael James Hake | US | Fort Collins | 2014-10-02 / 20140298292 - Accelerated Software Services Delivery System | 1 |
Philip Hake | US | Jefferson Hills | 2012-07-05 / 20120167842 - APPARATUS, KIT, AND METHOD FOR A COOLING SYSTEM | 1 |
Charles Hake | US | Arvada | 2011-02-03 / 20110028295 - Apparatus for Separating a Composite Liquid Into At Least Two Components | 1 |
Jeffrey Scott Hake | US | Wamego | 2014-12-04 / 20140359723 - COMPUTER PROGRAM, SYSTEM, AND METHOD FOR PROVIDING A USER ACCESS TO ELECTRONICALLY PROVIDED CONTENT | 1 |
Charles L. Hake | US | Arvada | 2008-09-11 / 20080220959 - Apparatus and Method for Separating A Composite Liquid Into At Least Two Components | 1 |
Gregory Hake | US | Otsego | 2014-02-20 / 20140050617 - DUAL OUTLET OXYGENATOR FOR TREATING BLOOD IN AN EXTRACORPOREAL BLOOD CIRCUIT | 9 |
Delia Hake | GB | Waltham Cross | 2014-11-06 / 20140325751 - Plastic Receptacle | 1 |
Kazushige Hakeda | JP | Shiojiri-Shi | 2016-04-28 / 20160114582 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 5 |
Mohannad Hakeem | US | Dearborn | 2016-04-21 / 20160107529 - ELECTRIFIED VEHICLE CHARGER | 7 |
Ibrahim Yahya Ahmed Hakeem | SA | Dhahran | 2014-07-10 / 20140190113 - ULTRA-HIGH PERFORMANCE CONCRETE REINFORCEMENT BARS | 1 |
Asaad Hakeem | US | Ashburn | 2008-10-02 / 20080240616 - Automatic camera calibration and geo-registration using objects that provide positional information | 1 |
Shareef Hakeem | IL | Nazareth | 2012-08-16 / 20120210069 - SHARED CACHE FOR A TIGHTLY-COUPLED MULTIPROCESSOR | 2 |
Shannon James Hakeem | US | Santa Fe | 2013-05-02 / 20130104297 - Digital Device Screen Mount for a Helmet | 1 |
Usman Hakeem | SE | Solna | 2015-02-12 / 20150042752 - OBJECTIVE 3D VIDEO QUALITY ASSESSMENT MODEL | 1 |
Quadir Hakeem | US | Oakland | 2015-07-09 / 20150189971 - ARTIFICIAL NAILS WITH DISPLAYS | 1 |
Peter Hakel | US | Los Alamos | 2015-01-29 / 20150031001 - Calculus teaching and demonstration aid | 2 |
Brian Hakel | US | Katy | 2015-03-26 / 20150088081 - Stoma Shield for Ostomy Patients | 1 |
Peter Hakel | US | 2013-06-27 / 20130160314 - T-plotter: tool for plotting celestial lines of position | 1 | |
Scott Hakel | US | Milford | 2013-12-05 / 20130325682 - Systems For Associating Temporary Payment Cards With Financial Accounts | 1 |
Fritz Hakemann | DE | Goldenstedt | 2010-03-18 / 20100066221 - FURNITURE CLOSURE | 1 |
Frank Hakemeyer | DE | Horn-Bad Meinberg | 2013-12-12 / 20130327165 - Locking Device For Adjusting Element | 7 |
Christian Hakemeyer | DE | Muenchen | 2014-01-30 / 20140032127 - SPECTROSCOPIC FINGER-PRINTING OF RAW MATERIALS | 2 |
Armin Haken | US | 2012-05-31 / 20120136973 - SCHEDULING OF MULTIPLE FILES FOR SERVING ON A SERVER | 1 | |
Uwe Haken | US | Norcross | 2015-04-02 / 20150094393 - METHOD FOR MAKING UV-ABSORBING OPHTHALMIC LENSES | 5 |
Armin Haken | US | San Francisco | 2009-08-20 / 20090210547 - SCHEDULING OF MULTIPLE FILES FOR SERVING ON A SERVER | 1 |
Armin D. Haken | US | San Francisco | 2008-09-18 / 20080227401 - System and method for interference mitigation for wireless communication | 1 |
Bernard Haken | NL | Enschede | 2015-11-26 / 20150338376 - METHOD AND APPARATUS FOR MEASURING AN AMOUNT OF SUPERPARAMAGNETIC MATERIAL IN AN OBJECT | 1 |
Rolf Hakenberg | DE | Monzastrasse | 2009-07-09 / 20090175212 - FEEDBACK CONTROL FOR MULTICAST OR BROADCAST SERVICES | 1 |
Rolf Hakenberg | DE | Langen | 2013-08-29 / 20130225163 - ENABLING SIMULTANEOUS USE OF HOME NETWORK AND FOREIGN NETWORK BY A MULTIHOMED MOBILE NODE | 18 |
Rolf Hakenberg | US | 2011-11-24 / 20110286333 - FEEDBACK CONTROL FOR MULTICAST OR BROADCAST SERVICES | 1 | |
Oliver Hakenberg | DE | Dresden | 2009-02-12 / 20090041822 - TISSUE TRANSPLANT CONSTRUCT FOR THE RECONSTRUCTION OF A HUMAN OR ANIMAL ORGAN | 1 |
Rolf Hakenberg | DE | Darmstadt | 2012-10-18 / 20120263148 - NETWORK INITIATED CONTEXT ESTABLISHMENT | 8 |
Rolf Hakenberg | DE | Darmstadt | 2012-10-18 / 20120263148 - NETWORK INITIATED CONTEXT ESTABLISHMENT | 8 |
Paul Andrew Hakenewerth | US | Charlotte | 2008-09-04 / 20080211685 - Changing a function of a device based on tilt of the device for longer than a time period | 1 |
Martin Haker | DE | Lubeck | 2015-07-23 / 20150206003 - Method for the Real-Time-Capable, Computer-Assisted Analysis of an Image Sequence Containing a Variable Pose | 3 |
Marshall E. Haker | US | Englewood | 2013-12-19 / 20130336369 - Global Navigation Satellite System Signal Decomposition and Parameterization Algorithm | 1 |
Fred Haker | DE | Kleinmachnow | 2011-12-15 / 20110303023 - PRESSURE SENSOR WITH SEMICONDUCTOR PRESSURE MEASURING TRANSDUCER | 1 |
Jean Ann Hakes | US | Burley | 2011-04-14 / 20110083612 - BOVINE GERMICIDE APPLICATION TECHNOLOGY | 2 |
Donald Lee Hakes | US | Escondido | 2012-10-25 / 20120269399 - ABOVE-WATER MONITORING OF SWIMMING POOLS | 2 |
Ricky J. Hakes | US | Oregon | 2009-09-24 / 20090238257 - LONELY PULSE COMPENSATION | 1 |
Harrison Hakes | US | Carmel | 2014-04-17 / 20140108060 - TRANSGENIC CROP FINANCIAL SYSTEMS AND METHODS | 1 |
Jeffrey G. Hakes | US | Mansfield | 2015-02-19 / 20150050147 - Active Bleed For Airfoils | 1 |
David James Hakes | US | Willow Grove | 2015-12-03 / 20150343080 - GLYCOPEGYLATION METHODS AND PROTEINS/PEPTIDES PRODUCED BY THE METHODS | 8 |
David Hakes | US | Willow Grove | 2010-01-21 / 20100015684 - FACTOR VII: REMODELING AND GLYCOCONJUGATION OF FACTOR VII | 4 |
Dennis Lee Hakes | US | Burley | 2011-04-14 / 20110083612 - BOVINE GERMICIDE APPLICATION TECHNOLOGY | 2 |
William B. Hakes | US | Marietta | 2012-08-09 / 20120203594 - MONITORING MIGRATION BEHAVIOR OF USERS OF ELECTRONIC DEVICES AND RELATED SERVICE PROVIDERS | 1 |
Jeff G. Hakes | US | Mansfield | 2015-01-29 / 20150027545 - Suppression of Shock-Induced Airflow Separation | 1 |
Linda B. Hakes | DE | Leichlingen | 2011-01-27 / 20110021980 - NEEDLE-FREE DELIVERY DEVICE FOR THERAPEUTIC PROTEINS BASED ON SINGLE ANTIGEN-BINDING DOMAINS SUCH AS NANOBODIES.RTM. | 1 |
David James Hakes | US | Willow Grove | 2015-12-03 / 20150343080 - GLYCOPEGYLATION METHODS AND PROTEINS/PEPTIDES PRODUCED BY THE METHODS | 8 |
David Hakes | US | Brimfield | 2015-07-16 / 20150197294 - Track System for a Machine | 1 |
David J. Hakes | US | Brimfield | 2016-01-28 / 20160023696 - CRAWLER SHOE HAVING WEAR MEASUREMENT FEATURES | 6 |
Tasuku Haketa | JP | Hyogo | 2012-01-19 / 20120015938 - 1,4-BENZODIAZEPIN-2-ON DERIVATIVES | 3 |
Noriko Haketa | JP | Tokyo | 2010-07-22 / 20100184095 - Steroid Hormone Assay Method | 1 |
Tasuku Haketa | JP | Chiba | 2014-12-04 / 20140353640 - ORGANIC ELECTROLUMINESCENCE DEVICE | 1 |
Tasuku Haketa | JP | Ichihara-Shi | 2015-09-10 / 20150255726 - NITROGEN-CONTAINING HETEROCYCLIC DERIVATIVE, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL USING SAME, AND ORGANIC ELECTROLUMINESCENCE ELEMENT AND ELECTRONIC DEVICE USING SAME | 1 |
Mark C. Hakey | US | Fairfax | 2014-09-11 / 20140258958 - METHOD FOR CONVERSION OF COMMERCIAL MICROPROCESSOR TO RADIATION-HARDENED PROCESSOR AND RESULTING PROCESSOR | 24 |
Mark Charles Hakey | US | Fairfax | 2010-10-28 / 20100273298 - Method of Making Integrated Circuit Chip Utilizing Oriented Carbon Nanotube Conductive Layers | 14 |
Mushegh Hakhinian | US | Westwood | 2016-03-24 / 20160085978 - SYSTEM AND METHOD FOR MANAGING COLLABORATION IN A NETWORKED SECURE EXCHANGE ENVIRONMENT | 6 |
Aram Hakhumyan | AM | Yerevan | 2014-12-25 / 20140380107 - TESTING ELECTRONIC MEMORIES BASED ON FAULT AND TEST ALGORITHM PERIODICITY | 2 |
Motomu Hakiai | JP | Yokohama-Shi | 2013-05-30 / 20130134767 - VEHICLE BRAKE DEVICE AND METHOD OF CONTROLLING VEHICLE BRAKE DEVICE | 2 |
Motomu Hakiai | JP | Kanagawa | 2015-02-19 / 20150048670 - BRAKE DEVICE FOR VEHICLE AND CONTROL METHOD THEREOF | 1 |
Takeshi Hakii | JP | Sagamihara-Shi | 2015-10-08 / 20150287953 - TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 14 |
Yuji Hakii | JP | Susono-Shi | 2016-04-14 / 20160104958 - Terminal Connection Structure | 1 |
Takeshi Hakii | JP | Kanagawa | 2015-11-19 / 20150333272 - TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 10 |
Hidemitsu Hakii | JP | Tokyo | 2012-12-20 / 20120318976 - PATTERN MEASUREMENT APPARATUS AND PATTERN MEASUREMENT METHOD | 2 |
Takeshi Hakii | JP | Tokyo | 2015-10-29 / 20150311467 - TRANSPARENT ELECTRODE, AND ELECTRONIC DEVICE | 2 |
Hidemitsu Hakii | JP | Kuki-Shi | 2011-01-06 / 20110001816 - MICROSTRUCTURE INSPECTION METHOD, MICROSTRUCTURE INSPECTION APPARATUS, AND MICROSTRUCTURE INSPECTION PROGRAM | 1 |
Chikako Hakii | JP | Kanagawa | 2011-07-14 / 20110171639 - POLYMER FOR DETECTION OF TARGET SUBSTANCE, AND METHOD FOR DETECTION OF TARGET SUBSTANCE | 5 |
Takeshi Hakii | JP | Sagamihara-Shi, Kanagawa | 2016-02-25 / 20160055937 - TRANSPARENT ELECTRODE FOR TOUCH PANEL, TOUCH PANEL, AND DISPLAY DEVICE | 4 |
Takeshi Hakii | JP | Sagamihara-Shi | 2015-10-08 / 20150287953 - TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 14 |
Andi R. Hakim | AU | Campsie | 2013-09-19 / 20130246375 - METHOD AND SYSTEM FOR FACILITATING ACCESS TO RECORDED DATA | 1 |
Gilad Hakim | IL | Ramat Hashofet | 2012-07-26 / 20120189820 - TEMPERATURE RESPONSIVE GLAZING PLATE | 1 |
Nouri E. Hakim | US | Monroe | 2014-08-07 / 20140217129 - No-Spill Drinking Cup Apparatus | 11 |
Eyal Hakim | US | Boca Raton | 2011-08-11 / 20110195651 - APPARATUS TO INJECT LIQUID SOLUTION INTO A VEHICLE AIR AIRCULATING SYSTEM | 1 |
Zainab Hakim | US | Sammamish | 2014-11-27 / 20140351958 - USER CENTRIC DATA MAINTENANCE | 5 |
Kinan Hakim | DE | Lubeck | 2015-08-13 / 20150229838 - PHOTO COMPOSITION AND POSITION GUIDANCE IN A CAMERA OR AUGMENTED REALITY SYSTEM | 1 |
Huzefa A. Hakim | US | Laguna Hills | 2016-01-28 / 20160026941 - UPDATING AND SYNCHRONIZING EXISTING CASE INSTANCES IN RESPONSE TO SOLUTION DESIGN CHANGES | 5 |
Edgar Hakim | US | West Hollywood | 2012-01-26 / 20120021022 - FOAMABLE SHAVING SUBSTRATE | 1 |
Naseem Hakim | US | Palo Alto | 2014-06-12 / 20140165174 - COMPUTER SYSTEM AUTHENTICATION USING SECURITY INDICATOR | 1 |
Joseph Hakim | US | Cupertino | 2015-09-03 / 20150249485 - Electronic Device With Near-Field Antennas | 2 |
Joseph Hakim | US | Boulder Creek | 2015-10-29 / 20150311960 - Electronic Device With Near-Field Antenna Operating Through Display | 7 |
Meggie Hakim | IL | Nazareth | 2012-12-27 / 20120326092 - VOLATILE ORGANIC COMPOUNDS AS DIAGNOSTIC MARKERS FOR VARIOUS TYPES OF CANCER | 1 |
Joshua J. Hakim | US | Phoenix | 2015-02-12 / 20150040907 - VALVED BREATHING DEVICE PROVIDING ADJUSTABLE EXPIRATION RESISTANCE FOR THE TREATMENT OF SLEEP DISORDERED BREATHING | 1 |
Omar Hakim | US | Austin | 2013-07-04 / 20130173482 - System and Method for Facilitating Transactions Between Two or More Parties | 1 |
Shawn Hakim | US | Northridge | 2013-12-12 / 20130331649 - MAGNETICALLY MANEUVERABLE IN-VIVO DEVICE | 3 |
Jawaid Hakim | US | Brooklyn | 2008-08-28 / 20080208732 - Fixed-Income System For Managing Pre-Trade Activity | 1 |
Ava Hakim | US | Chicago | 2015-12-17 / 20150363378 - MANAGING DOCUMENT UNIT REFERENCES TO IMPROVE COLLABORATIVE DOCUMENT EDITING | 1 |
Romana Hakim | DE | Dreieich | 2012-04-19 / 20120094501 - ETCHING COMPOSITION, IN PARTICULAR FOR SILICON MATERIALS, METHOD FOR CHARACTERIZING DEFECTS ON SURFACES OF SUCH MATERIALS AND PROCESS OF TREATING SUCH SURFACES WITH THE ETCHING COMPOSTION | 1 |
Lawrence S. Hakim | US | Weston | / - | 1 |
Omar B. Hakim | US | Austin | 2015-02-12 / 20150046345 - Method of creating value from intangible assets | 2 |
Sal T. Hakim | US | Phoenix | 2015-02-12 / 20150040907 - VALVED BREATHING DEVICE PROVIDING ADJUSTABLE EXPIRATION RESISTANCE FOR THE TREATMENT OF SLEEP DISORDERED BREATHING | 1 |
Omar Hakim | US | College Station | 2012-02-23 / 20120047009 - Methods And Systems For Implementing A Loyalty Program Utilizing Customizable Rules | 1 |
Carlos A. Hakim | US | Coconut Grove | 2014-11-13 / 20140336560 - EXTERNALLY PROGRAMMABLE VALVE ASSEMBLY | 1 |
Andres Hakim | IL | Kfar-Saba | 2013-04-04 / 20130085759 - SPEECH SAMPLES LIBRARY FOR TEXT-TO-SPEECH AND METHODS AND APPARATUS FOR GENERATING AND USING SAME | 4 |
Nagib Z. Hakim | US | Santa Clara | 2015-05-07 / 20150127983 - TEST, VALIDATION, AND DEBUG ARCHITECTURE | 1 |
David Hakim | US | Silver Spring | 2015-06-11 / 20150160672 - METHOD AND SYSTEM FOR SCHEDULING THE DISCHARGE OF DISTRIBUTED POWER STORAGE DEVICES AND FOR LEVELIZING DISPATCH PARTICIPATION | 3 |
Dan Hakim | US | Silver Spring | 2014-09-18 / 20140278080 - METHOD TO SCALE INERTIAL LOCATION DATA USING DIRECTIONAL AND/OR SCALE CONFIDENCE CONSTRAINTS | 4 |
Gil Hakim | IL | Raanana | 2014-09-04 / 20140249466 - SYSTEM AND METHOD FOR NEUROMODULATION OF BODY TEMPERATURE REGULATION SYSTEM | 3 |
Brian K. Hakim | US | South San Francisco | 2008-12-25 / 20080319420 - Drug Delivery Catheters That Attach to Tissue and Methods for Their Use | 1 |
Omar Besim Hakim | US | Austin | 2016-04-14 / 20160104243 - Methods and Apparatus for Facilitating Investor Education | 15 |
Rachel Hakim | IL | Kibbutz Ramat Hakovesh | 2011-01-06 / 20110003337 - IMMUNOGLOBULIN COMPOSITIONS AND METHODS OF PRODUCING SAME | 1 |
Saif A. Hakim | US | Bellevue | 2014-08-28 / 20140244391 - ONLINE ADVERTISING METHOD AND SYSTEM | 1 |
Nouri E. Hakim | US | Monroe | 2014-08-07 / 20140217129 - No-Spill Drinking Cup Apparatus | 11 |
Murtaza H. Hakim | US | Sammamish | 2010-12-16 / 20100318397 - SYNCHRONIZING DELEGATION MODELS BETWEEN DISPARATE SERVERS | 1 |
Christophe Jean Erez Hakim | US | Mountain View | 2013-07-04 / 20130169947 - OPTICAL DETECTOR | 2 |
Luis Felipe Hakim | US | Fremont | 2010-04-29 / 20100102417 - VAPOR DEPOSITION METHOD FOR TERNARY COMPOUNDS | 1 |
Malek Hakim | CA | Toronto | 2015-10-22 / 20150302303 - SYSTEM AND METHOD FOR PROVIDING UNIFIED AND INTELLIGENT BUSINESS MANAGEMENT APPLICATIONS | 1 |
Gil Hakim | IL | Netanya | 2014-04-17 / 20140105884 - MATERIAL AND METHOD FOR TREATING INTERNAL CAVITIES | 1 |
Mohammad Naim Bin Mohammad Hakim | SG | Singapore | 2015-04-16 / 20150104602 - CRYSTAL BLOCK ARRAY AND METHOD OF MANUFACTURE | 1 |
Jay S. Hakim | US | Northbrook | 2009-11-26 / 20090292745 - DATABASE MANAGEMENT SYSTEM AND METHOD | 2 |
Andy Hakim | US | San Jose | / - | 1 |
Abdul Hakim | IN | Bangalore | 2014-05-22 / 20140140445 - APPARATUS AND METHOD FOR DEMODULATION OF FSK SIGNALS | 1 |
Daniel Hakim | US | Silver Spring | 2015-01-15 / 20150019124 - SYSTEM AND METHOD FOR LOCATING, TRACKING, AND/OR MONITORING THE STATUS OF PERSONNEL AND/OR ASSETS BOTH INDOORS AND OUTDOORS | 7 |
David B. Hakim | US | Rockville | 2009-12-31 / 20090326729 - ENERGY ARBITRAGE BY LOAD SHIFTING | 1 |
Maher Hakim | US | San Francisco | 2010-01-28 / 20100023849 - Creating and Providing Online Presentations | 1 |
Omar Besim Hakim | US | Austin | 2016-04-14 / 20160104243 - Methods and Apparatus for Facilitating Investor Education | 15 |
Daryoush Hakimi | US | Bloomington | 2015-10-08 / 20150286929 - AGGREGATION AND CORRELATION OF DATA FOR LIFE MANAGEMENT PURPOSES | 1 |
Najeeb H. Hakimi | US | Edison | 2015-11-19 / 20150327550 - ENVIRONMENT-FRIENDLY, BIODEGRADABLE, VOC-FREE, NON-CORROSIVE AQUEOUS SOLUTION OF 1, 2-BENZISOTHIAZOLIN-3-ONE (BIT) AND PROCESS FOR PREPARING THE SAME | 2 |
Ari A. Hakimi | US | New Rochelle | 2016-03-10 / 20160067229 - BIOMARKERS FOR RESPONSE TO RAPAMYCIN ANALOGS | 1 |
Farhad Hakimi | US | New York | 2014-04-03 / 20140090652 - INTRAORAL DEVICE FOR TREATMENT OF SNORING, SLEEP APNEA AND TMD | 1 |
Bejan Hakimi | US | Seattle | 2016-03-24 / 20160086785 - METHODS AND DEVICES FOR GENERATING DOUBLE EMULSIONS | 1 |
Farhad Hakimi | US | Watertown | 2014-06-05 / 20140153083 - RIN REDUCED OPTICAL SOURCE FOR OPTICAL COHERENCE TOMOGRAPHY | 2 |
Mohamed-Ali Hakimi | FR | Grenoble | 2012-02-09 / 20120034593 - CYCLIC PEPTIDES WITH AN ANTI-PARASITIC ACTIVITY | 1 |
Salim M. Hakimi | US | Sacramento | 2011-02-03 / 20110030086 - CORN EVENT DAS-59122-7 AND METHODS FOR DETECTION THEREOF | 1 |
Jason Hakimian | US | New York | 2015-02-12 / 20150046992 - INDEPENDENT ADMINISTERING OF VERIFIED USER-CONTROLLED ELECTRONIC IDENTIFICATIONS UTILIZING SPECIFICALLY PROGRAMMED COMPUTER-IMPLEMENTED METHODS AND COMPUTER SYSTEMS | 1 |
Shawn Hakimian | US | New York | 2015-02-12 / 20150046992 - INDEPENDENT ADMINISTERING OF VERIFIED USER-CONTROLLED ELECTRONIC IDENTIFICATIONS UTILIZING SPECIFICALLY PROGRAMMED COMPUTER-IMPLEMENTED METHODS AND COMPUTER SYSTEMS | 1 |
Rex Hakimian | US | New York | 2015-02-12 / 20150046992 - INDEPENDENT ADMINISTERING OF VERIFIED USER-CONTROLLED ELECTRONIC IDENTIFICATIONS UTILIZING SPECIFICALLY PROGRAMMED COMPUTER-IMPLEMENTED METHODS AND COMPUTER SYSTEMS | 1 |
Dorna Hakimimehr | US | San Francisco | 2016-03-10 / 20160067318 - FREE-STANDING BIODEGRADABLE PATCH | 4 |
Dorna Hakimimehr | US | Santa Rosa | 2011-03-24 / 20110071499 - FREE-STANDING BIODEGRADABLE PATCH | 2 |
Dorna Hakimi-Mehr | CA | Vancouver | 2009-04-16 / 20090099651 - LIPID COATINGS FOR IMPLANTABLE MEDICAL DEVICES | 1 |
Mustafa Hakimuddin | US | Katy | 2008-09-11 / 20080216577 - TESTING OF BOTTOMHOLE SAMPLERS USING ACOUSTICS | 1 |
Roya Hakimzadeh | US | Glen Allen | 2012-05-31 / 20120136224 - Combining Predictive Capabilities of Transcranial Doppler (TCD) with Electrocardiogram (ECG) to Predict Hemorrhagic Shock | 1 |
Ansgar Haking | DE | Angelbachtal | 2014-06-26 / 20140180461 - REAL-TIME ACTIVITY PLANNING AND MONITORING OF ACTIVITY EXECUTION | 1 |
Minoru Hakiri | JP | Shizuoka | 2015-12-31 / 20150376425 - INKJET RECORDING INK, INK CARTRIDGE, INKJET RECORDING METHOD, INKJET RECORDING DEVICE AND INK RECORDED MATTER | 26 |
Yoshiyuki Hakiri | JP | Agano-Shi | 2012-06-28 / 20120164341 - METHOD FOR REMOVING IMPURITIES FROM PLATING SOLUTIONS | 1 |
Yoshiyuki Hakiri | JP | Niigata-Shi | 2015-01-22 / 20150024139 - ELECTROLESS COPPER PLATING SOLUTION | 2 |
Yoshiyuki Hakiri | JP | Niigata | 2014-03-27 / 20140083322 - METHOD OF REMOVING IMPURITIES FROM PLATING LIQUID | 1 |
Norio Hakiri | JP | Toyohashi-Shi | 2015-07-09 / 20150190840 - DEVICE AND PROCESS FOR PRODUCING COMPOSITE PARTICLES | 1 |
Minoru Hakiri | JP | Numazu-Shi | 2015-06-04 / 20150152275 - PIGMENT DISPERSION, INKJET INK USING THE PIGMENT DISPERSION, METHOD FOR PREPARING THE PIGMENT DISPERSION AND IMAGE FORMING METHOD USING THE INKJET INK | 2 |
Minoru Hakiri | JP | Shizuoka | 2015-12-31 / 20150376425 - INKJET RECORDING INK, INK CARTRIDGE, INKJET RECORDING METHOD, INKJET RECORDING DEVICE AND INK RECORDED MATTER | 26 |
Eiichi Hakkaku | JP | Tokyo | 2008-09-25 / 20080235570 - System for communication through spatial bulletin board | 1 |
Erkki Hakkala | FI | Helsinki | 2014-07-03 / 20140182162 - INSOLE WITH HEATING ELEMENT | 3 |
Dilek Z. Hakkani-Tur | US | Los Altos | 2016-03-31 / 20160091967 - Eye Gaze for Spoken Language Understanding in Multi-Modal Conversational Interactions | 13 |
Dilek Z. Hakkani-Tur | US | Morris Plains | 2014-06-05 / 20140156275 - Method of Active Learning for Automatic Speech Recognition | 2 |
Dilek Hakkani-Tur | US | Los Altos | 2016-03-03 / 20160062959 - Method and Apparatus for Responding to an Inquiry | 12 |
Dilek Z. Hakkani-Tur | US | Denville | 2013-12-05 / 20130325443 - Library of Existing Spoken Dialog Data for Use in Generating New Natural Language Spoken Dialog Systems | 6 |
Dilek Zeynep Hakkani-Tur | US | Morris Plains | 2013-11-28 / 20130317819 - System and Method for Unsupervised and Active Learning for Automatic Speech Recognition | 2 |
Dilek Zeynep Hakkani-Tur | US | Los Altos | 2016-01-07 / 20160004707 - TRANSLATING NATURAL LANGUAGE UTTERANCES TO KEYWORD SEARCH QUERIES | 5 |
Dilek Hakkani-Tur | US | Denville | 2014-07-24 / 20140205985 - Method and Apparatus for Responding to an Inquiry | 2 |
Dilek Hakkani-Tur | US | Fremont | 2013-08-22 / 20130218836 - Deep Linking From Task List Based on Intent | 4 |
Dilek Hakkani-Tur | US | Bellevue | 2015-11-05 / 20150317302 - TRANSFERRING INFORMATION ACROSS LANGUAGE UNDERSTANDING MODEL DOMAINS | 1 |
Dilek Hakkani-Tur | US | Los Alto | 2016-02-25 / 20160055240 - ORPHANED UTTERANCE DETECTION SYSTEM AND METHOD | 1 |
Dilek Z. Hakkani-Tur | US | Kirkland | 2016-03-31 / 20160093300 - LIBRARY OF EXISTING SPOKEN DIALOG DATA FOR USE IN GENERATING NEW NATURAL LANGUAGE SPOKEN DIALOG SYSTEMS | 2 |
Dilek Z. Hakkani-Tur | US | Parsippany | 2009-10-08 / 20090254344 - ACTIVE LABELING FOR SPOKEN LANGUAGE UNDERSTANDING | 2 |
Dilek Z. Hakkani-Tur | US | Los Altos | 2016-03-31 / 20160091967 - Eye Gaze for Spoken Language Understanding in Multi-Modal Conversational Interactions | 13 |
Dilek Hakkani-Tur | US | Los Altos | 2016-03-03 / 20160062959 - Method and Apparatus for Responding to an Inquiry | 12 |
Juha Mikko Hakkarainen | US | Palm Beach Gardens | 2014-10-23 / 20140312777 - SYSTEMS AND METHODS FOR CONTROLLING COLOR TEMPERATURE | 2 |
Marko Hakkarainen | FI | Oulu | 2015-12-17 / 20150365816 - Management of Subscriber Identity Modules | 1 |
Pekka Hakkarainen | US | Doylestown | 2014-10-09 / 20140303788 - DYNAMIC FA ADE SYSTEM CONSISTING OF CONTROLLABLE WINDOWS, AUTOMATED SHADES AND DIMMABLE ELECTRIC LIGHTS | 1 |
Valtteri Hakkarainen | FI | Turku | 2016-02-04 / 20160036915 - SERVER NODE ARRANGEMENT AND METHOD | 5 |
Harri Hakkarainen | FI | Hollola | 2012-08-02 / 20120195999 - DRINK COMPOSITION | 1 |
Tanja Hakkarainen | FI | Helsinki | 2013-02-07 / 20130034901 - Use of a Proteolytic Enzyme for the Modification of the Cell Surface of a Stem Cell | 1 |
Mikko Hakkarainen | US | Palm Beach Gardens | 2013-11-07 / 20130293137 - TWO-WIRE DIMMER SWITCH FOR CONTROLLING LOW-POWER LOADS | 1 |
Harri Hakkarainen | US | Los Gatos | 2012-01-12 / 20120011373 - System and Method for Secure Device Key Storage | 1 |
Vesa-Matti Hakkarainen | FI | Tampere | 2015-12-31 / 20150382161 - Data Delivery | 3 |
Mika Hakkarainen | FI | Espoo | 2013-04-11 / 20130088577 - MOBILE DEVICE, SERVER ARRANGEMENT AND METHOD FOR AUGMENTED REALITY APPLICATIONS | 1 |
Simo Hakkarainen | FI | Hyvinkaa | 2013-11-21 / 20130311053 - MONITORING SYSTEM AND METHOD | 1 |
Susan Hakkarainen | US | Doylestown | 2011-06-16 / 20110140548 - Method and Apparatus for Converting an Electronic Switch to a Dimmer Switch | 1 |
Harri Hakkarainen | FI | Porvoo | 2010-07-29 / 20100191590 - METHOD FOR ESTABLISHING A CONTROLLED DATA TRANSFER CONNECTION BETWEEN TWO SYSTEMS | 1 |
Johannes Cornelis Hakker | NL | Uytenbosch | 2009-12-31 / 20090321329 - EXPANSION TANK PROVIDED WITH A FLOAT | 1 |
Hadi Hakki | US | Largo | 2009-10-15 / 20090256911 - SYSTEM AND METHOD FOR TRAFFIC RELATED INFORMATION DISPLAY, TRAFFIC SURVEILLANCE AND CONTROL | 1 |
A-Hamid Hakki | US | Dunedin | 2009-10-15 / 20090256911 - SYSTEM AND METHOD FOR TRAFFIC RELATED INFORMATION DISPLAY, TRAFFIC SURVEILLANCE AND CONTROL | 5 |
Sam Hakki | US | Bay Pines | 2009-10-15 / 20090256911 - SYSTEM AND METHOD FOR TRAFFIC RELATED INFORMATION DISPLAY, TRAFFIC SURVEILLANCE AND CONTROL | 5 |
Jonna Hakkila | FI | Kempele | 2013-07-04 / 20130173575 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT PROVIDING LOCAL SERVICE DISCOVERY WITH BROWSER SEARCH | 2 |
Jussi Hakkinen | FI | Tampere | 2015-09-24 / 20150269094 - EXTENDED UTILIZATION AREA FOR A MEMORY DEVICE | 7 |
Sami Hakkinen | FI | Tampere | 2014-01-16 / 20140017015 - METHOD AND ARRANGEMENT FOR SUPPORTING STRUCTURE | 4 |
Marko Tapani Hakkinen | FI | Espoo | 2015-05-07 / 20150126910 - CALCULATING THE ULTRASONIC INTENSITY ESTIMATE USING AN INCOHERENT SUM OF THE ULTRASONIC PRESSURE GENERATED BY MULTIPLE TRANSDUCER ELEMENTS | 3 |
Teemu Hakkinen | FI | Savonlinna | 2012-11-15 / 20120290258 - METHOD FOR MEASURING AND ALIGNING A ROTARY CYLINDRICAL APPARATUS | 1 |
Mari Hakkinen | FI | Espoo | 2016-04-21 / 20160108409 - Method for improved protein production in filamentous fungi | 6 |
Hannu Tapio Hakkinen | FI | Espoo | 2014-10-02 / 20140293889 - Controlling Retransmissions | 5 |
Matti Hakkinen | FI | Mikkeli | 2009-05-07 / 20090114359 - Method For Use In The Wet End Of A Paper Machine, Cardboard Machine Or An Equivalent Web Forming Machine | 1 |
Allan Hakky | US | Bedford | 2009-01-29 / 20090031251 - Wireless Management Interface | 1 |
Sherazhad S. Hakky | US | Largo | / - | 1 |
Said Hakky | US | Largo | 2009-10-15 / 20090256911 - SYSTEM AND METHOD FOR TRAFFIC RELATED INFORMATION DISPLAY, TRAFFIC SURVEILLANCE AND CONTROL | 1 |
Said I. Hakky | US | Largo | 2009-07-09 / 20090173829 - Safety pre-impact deceleration system for vehicles | 4 |
Tariq Hakky | US | Riverview | / - | 1 |
Shereen S. Hakky | US | Largo | / - | 1 |
Kevin Scott Hakl | GB | Oxford Oxfordshire | 2012-07-12 / 20120177258 - METHODS AND APPARATUS FOR GENERATING A MODIFIED INTENSITY PROJECTION IMAGE | 1 |
Kevin Scott Hakl | GB | Oxford | 2015-06-04 / 20150154356 - ARRANGEMENTS FOR VIEWING CLINICAL IMAGES | 5 |
Roni Haklai | IL | Ramat Gan | 2015-08-27 / 20150238466 - LIM KINASE INHIBITORS | 4 |
Mher Hakobyan | US | Seattle | 2010-12-02 / 20100302236 - EXTENSIBLE MAP WITH PLUGGABLE MODES | 1 |
Mher Hakobyan | US | Bellevue | 2011-07-14 / 20110170800 - RENDERING A CONTINUOUS OBLIQUE IMAGE MOSAIC | 1 |
Svellana Hakobyan | GB | Cardiff | 2010-01-14 / 20100009393 - FACTOR H POLYMORPHISMS IN THE DIAGNOSIS AND THERAPY OF INFLAMMATORY DISEASES SUCH AS AGE-RELATED MACULAR DEGENERATION | 1 |
Masaru Hakoda | JP | Kiryu-Shi | 2009-02-26 / 20090050482 - CELL SEPARATION DEVICE AND CELL SEPARATION METHOD | 1 |
Kotaro Hakoda | JP | Osaka | 2016-04-28 / 20160119134 - ENCRYPTION METHOD, ENCRYPTOR, AND ENCRYPTION SYSTEM FOR ENCRYPTING ELECTRONIC DATA BY SPLITTING ELECTRONIC DATA | 9 |
Fumihiko Hakoda | JP | Tokyo | 2015-10-15 / 20150294832 - X-RAY APPARATUS AND STRUCTURE MANUFACTURING METHOD | 1 |
Koji Hakoda | JP | Tochigi-Ken | 2014-03-27 / 20140084195 - ELECTROMAGNETIC ACTUATOR | 1 |
Yuzuru Hakoda | JP | Tokyo | 2011-07-14 / 20110170830 - RECEPTACLE ATTACHED WITH OPTICAL ISOLATOR AND METHOD THEREOF | 1 |
Yasunori Hakoda | JP | Saitama | 2011-07-07 / 20110163738 - CURRENT DETECTION CIRCUIT AND TRANSFORMER CURRENT MEASURING SYSTEM | 1 |
Takashi Hakoda | JP | Nagano-Ken | 2016-04-28 / 20160114514 - TEMPERATURE CONTROL DEVICE OF INJECTION MOLDING MACHINE | 10 |
Takashi Hakoda | JP | Hanishina-Gun | 2012-06-14 / 20120146260 - MOLDING METHOD OF INJECTION MOLDING MACHINE | 1 |
Hidetaka Hakoda | JP | Hyogo | 2014-12-25 / 20140375697 - DISPLAY DEVICE | 1 |
Teruyuki Hakoda | JP | Takasaki | 2012-02-16 / 20120039767 - SENSING ELEMENT FOR CYCLIC SATURATED HYDROCARBONS OPTICAL DETECTOR WHICH USES THE SAME | 1 |
Takashi Hakoda | JP | Nagano-Ken | 2016-04-28 / 20160114514 - TEMPERATURE CONTROL DEVICE OF INJECTION MOLDING MACHINE | 10 |
Hironobu Hakoda | JP | Takasago-Shi | 2010-09-23 / 20100237578 - FORKLIFT REAR AXLE ATTACHMENT STRUCTURE AND FORKLIFT INCLUDING THE SAME | 1 |
Katsuhisa Hakoda | JP | Tokyo | 2009-12-03 / 20090295984 - Image pickup apparatus | 1 |
Kotaro Hakoda | JP | Osaka | 2016-04-28 / 20160119134 - ENCRYPTION METHOD, ENCRYPTOR, AND ENCRYPTION SYSTEM FOR ENCRYPTING ELECTRONIC DATA BY SPLITTING ELECTRONIC DATA | 9 |
Fumiyoshi Hakoe | JP | Tokyo | 2013-05-02 / 20130105723 - TITANIUM OXIDE PARTICLES, PROCESS FOR PRODUCING SAME, MAGNETIC MEMORY, OPTICAL INFORMATION RECORDING MEDIUM, AND CHARGE ACCUMULATION TYPE MEMORY | 3 |
Toshikazu Hakogi | JP | Osaka | 2015-11-26 / 20150335667 - CHEMICAL COMPOUNDS | 8 |
Toshikazu Hakogi | JP | Osaka | 2015-11-26 / 20150335667 - CHEMICAL COMPOUNDS | 8 |
Yutaro Hakoi | JP | Hachioji-Shi | 2013-12-26 / 20130342102 - ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE | 2 |
Hiroyuki Hakoi | JP | Nara | 2010-09-09 / 20100225864 - PRODUCTION METHOD OF LIQUID CRYSTAL DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Hiroyuki Hakoi | JP | Osaka | 2012-01-19 / 20120013837 - COMPOSITION FOR FORMING LIQUID CRYSTAL ALIGNMENT FILM AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Hiroyuki Hakoi | JP | Nara-Shi | 2012-11-15 / 20120287389 - PRODUCTION METHOD OF LIQUID CRYSTAL DISPLAY INCLUDING SCANNING EXPOSURE | 9 |
Hiroyuki Hakoi | JP | Nara-Shi | 2012-11-15 / 20120287389 - PRODUCTION METHOD OF LIQUID CRYSTAL DISPLAY INCLUDING SCANNING EXPOSURE | 9 |
Hiroyuki Hakoi | JP | Osaka-Shi | 2014-06-26 / 20140176888 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Takashisa Hakoishi | JP | Kanagawa | 2010-05-13 / 20100121766 - DATA PROCESSING SYSTEM AND DATA PROCESSING METHOD | 1 |
Takahisa Hakoishi | JP | Kanagwa | 2010-03-25 / 20100076834 - DATA PROCESSING SYSTEM AND DATA PROCESSING METHOD | 1 |
Takahisa Hakoishi | JP | Kanagawa | 2011-11-17 / 20110282720 - DATA PROCESSING SYSTEM AND DATA PROCESSING METHOD | 4 |
Jani Hakola | FI | Nummenkyla | 2013-09-05 / 20130231230 - METHOD FOR MODERNIZING A MULTIROLL CALENDER, IN PARTICULAR FOR MODERNIZING A SUPERCALENDER AND A MODERNIZED MULTIROLL CALENDER, IN PARTICULAR A MODERNIZED SUPERCALENDER | 2 |
Maija Hakola | FI | Helsinki | 2015-07-09 / 20150191404 - Composition Comprising Paraffin Fractions Obtained From Biological Raw Materials and Method of Producing Same | 1 |
Gordon R. Hakola | US | Paradise Valley | 2008-11-27 / 20080290008 - Cyclone with in-situ replaceable liner system and method for accomplishing same | 1 |
Sami Hakola | US | 2012-10-18 / 20120264440 - ENHANCEMENTS IN CHANNEL RELIABILITY IN SCENARIOS OPERATING ON SHARED BAND | 1 | |
Sami-Jukka Hakola | FI | Kemple | 2014-06-26 / 20140177517 - Methods and Apparatuses for Facilitating Communications | 1 |
Sami-Jukku Hakola | FI | Kempele | 2012-11-22 / 20120294163 - Apparatus and Method for Direct Device-to-Device Communication in a Mobile Communication System | 1 |
Sami-Jukka Hakola | FI | Kemplele | / - | 1 |
Sami-Jukka Hakola | FI | Kempele | 2016-04-21 / 20160112175 - FEEDBACK RESOURCE MAPPING IN WIRELESS COMMUNICATIONS | 126 |
Sameli Hakola | FI | Helsinki | 2015-02-12 / 20150045522 - POLYPROPYLENE COMPOSITION COMBINING SOFTNESS, TOUGHNESS, LOW HAZE AND HIGH THERMAL RESISTANCE | 3 |
Sami-Jukka Hakola | FI | Oulu | 2015-11-19 / 20150334619 - METHOD AND APPARATUS FOR PROVIDING CELL RE-SELECTION | 6 |
Sami Hakola | FI | Kempele | 2015-04-16 / 20150105090 - Enhancements in Channel Reliability in Scenarios Operating on Shared Band | 13 |
Jani Hakola | FI | Nummenkylä | 2013-09-05 / 20130231230 - METHOD FOR MODERNIZING A MULTIROLL CALENDER, IN PARTICULAR FOR MODERNIZING A SUPERCALENDER AND A MODERNIZED MULTIROLL CALENDER, IN PARTICULAR A MODERNIZED SUPERCALENDER | 1 |
Sami-Jukka Hakola | FI | Kempele | 2016-04-21 / 20160112175 - FEEDBACK RESOURCE MAPPING IN WIRELESS COMMUNICATIONS | 126 |
Sami Hakola | FI | Kempele | 2015-04-16 / 20150105090 - Enhancements in Channel Reliability in Scenarios Operating on Shared Band | 13 |
Sami-Jukka Hakola | FI | Kampele | 2012-11-29 / 20120300712 - Channel Access Control | 1 |
Muneto Hakomori | JP | Chigasaki-Shi | 2012-05-10 / 20120114854 - VACUUM PROCESSING APPARATUS AND VACUUM PROCESSING METHOD | 4 |
Akira Hakomori | JP | Yamaguchi | 2011-04-28 / 20110094438 - LAMINATED BODY AND THE METHOD FOR PRODUCTION THEREOF | 3 |
Kazuaki Hakomori | JP | Kobe-Shi | / - | 1 |
Yuu Hakomori | JP | Minato-Ku | 2008-10-30 / 20080267460 - Occupant information detection system | 2 |
Shiho Hakomori | JP | Tokyo | 2013-10-31 / 20130289672 - LASER THERAPY APPARATUS, LASER THERAPY SYSTEM, AND DETERMINATION METHOD | 1 |
Shiho Hakomori | JP | Kanagawa | 2014-01-30 / 20140031699 - PHOTODYNAMIC DIAGNOSIS APPARATUS, PHOTODYNAMIC DIAGNOSIS METHOD AND DEVICE | 7 |
Tadashi Hakomori | JP | Tokyo | 2015-10-29 / 20150306090 - ORALLY ADMINISTERED MEDICAL COMPOSITION | 2 |
Katsuhiko Hakomori | JP | Kawasaki | 2012-01-12 / 20120008303 - Communication module | 5 |
Ikuo Hakomori | JP | Itabashi-Ku | 2010-03-18 / 20100065933 - Semiconductor strain gauge and the manufacturing method | 1 |
Hakon Hakonarson | US | Philadelphia | 2011-11-24 / 20110286997 - Genetic Alterations on Chromosome 16 and Methods of Use Thereof for the Diagnosis and Treatment of Type 1 Diabetes | 1 |
Henri Hakonen | FI | Espoo | 2015-12-03 / 20150344265 - DOORWAY OF AN ELEVATOR | 2 |
Pertti Hakonen | FI | Helsinki | 2010-10-28 / 20100272917 - Method and apparatus | 1 |
Rahul Hakoo | IN | Noida | / - | 1 |
Souren Hakopian | US | Vienna | 2011-01-13 / 20110008761 - ON-DEMAND REAL TIME VIDEO TRAINING AND SELF ANALYSIS SYSTEM | 1 |
Shuji Hakoshima | JP | Yokohama-Shi | 2016-04-28 / 20160113486 - EYE GAZE DETECTION APPARATUS AND EYE GAZE DETECTION METHOD | 5 |
Eiichi Hakoshima | JP | Kobe-Shi | 2013-12-12 / 20130330433 - TIRE MOLD | 1 |
Shuji Hakoshima | JP | Machida-Shi | 2013-12-05 / 20130321608 - EYE DIRECTION DETECTING APPARATUS AND EYE DIRECTION DETECTING METHOD | 1 |
Yuhko Hakoshima | JP | Kitakyushu-Shi | 2015-11-19 / 20150329755 - Water-Repellant Transparent Coating-Substrate Assembly and Process for Producing the Same | 1 |
Eyal Hakoun | IL | Kibbutz Matzuba | 2011-03-24 / 20110072185 - MULTI-PROTOCOL STORAGE DEVICE BRIDGE | 1 |
Vivien Hakoun | FR | Montpellier | 2014-11-06 / 20140330530 - METHOD AND DEVICE FOR DETERMINING A TRAJECTORY OF AN AQUEOUS FLOW, AND AUTONOMOUS PROBE IMPLEMENTED IN SAID METHOD | 1 |
Satoshi Hakozaki | JP | Fukuoka-Ken | 2016-05-12 / 20160131850 - OPTICAL RECEPTACLE | 2 |
Hiroshi Hakozaki | JP | Fukushima | 2013-08-22 / 20130216834 - ZINC OXIDE PARTICLES AND COSMETIC | 2 |
Akira Hakozaki | JP | Kai-Shi | 2009-08-06 / 20090195851 - Magnet rotor, electromagnetic drive device and light quantity adjustment device using the magnet rotor | 1 |
Nobuyuki Hakozaki | JP | Hyogo | 2011-12-15 / 20110306788 - OXIDIZED ORGANIC COMPOUND MANUFACTURING METHOD | 1 |
Masahiro Hakozaki | JP | Tochigi | 2009-01-15 / 20090016832 - DRILL | 1 |
Katsuya Hakozaki | JP | Tokyo | 2008-09-18 / 20080223899 - Staple Cartridge and Staple Leg Chip Processing Apparatus | 1 |
Hironori Hakozaki | JP | Kanagawa | 2013-10-03 / 20130258395 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM AND COMPUTER-READABLE STORAGE MEDIUM | 3 |
Nobuyuki Hakozaki | JP | Himeji-Shi | 2011-07-21 / 20110178334 - FIXED-BED REACTOR AND PROCESS FOR PRODUCING ACRYLIC ACID USING THE REACTOR | 6 |
Tomohiro Hakozaki | US | Cincinnati | 2016-03-17 / 20160077082 - COMPOSITIONS AND METHODS FOR INHIBITING HMGB1 ACTIVATION OF MELANOCYTES | 19 |
Hironori Hakozaki | JP | Tokyo | 2015-11-26 / 20150339086 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD | 2 |
Akira Hakozaki | JP | Yamanashi-Ken | 2012-08-02 / 20120194796 - LIGHT QUANTITY ADJUSTMENT APPARATUS LENS UNIT AND OPTICAL APPARATUS PROVIDED WITH THE SAME | 1 |
Atsushi Hakozaki | JP | Tsukuba-Shi | 2015-04-02 / 20150094380 - AGENT FOR IMPROVING VESICOURETHRAL DYSSYNERGIA | 1 |
Priyanka Bansilal Haksar | IN | Thane (west) | 2015-07-30 / 20150209298 - GASTRIC RESISTANT PHARMACEUTICAL OR NUTRACEUTICAL COMPOSITION WITH RESISTANCE AGAINST THE INFLUENCE OF ETHANOL | 2 |
Priyanka Bansilal Haksar | US | 2015-07-30 / 20150209298 - GASTRIC RESISTANT PHARMACEUTICAL OR NUTRACEUTICAL COMPOSITION WITH RESISTANCE AGAINST THE INFLUENCE OF ETHANOL | 1 | |
Priyanka Bansilal Haksar | IN | Charai | 2012-04-19 / 20120093926 - GASTRIC RESISTANT PHARMACEUTICAL OR NUTRACEUTICAL FORMULATION COMPRISING ONE OR MORE SALTS OF ALGINIC ACID | 1 |
Yalcin Haksoz | AU | Victoria | 2010-12-30 / 20100328967 - RESONANT POWER CONVERTER | 1 |
Takehiko Hakui | JP | Saitama | 2010-01-28 / 20100019465 - ACTUATOR FOR VEHICLE | 1 |
Takehiko Hakui | JP | Wako-Shi | 2009-10-01 / 20090241706 - TELESCOPIC ACTUATOR | 2 |
Touru Hakukawa | JP | Tokyo | 2010-02-11 / 20100035837 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR VASOCONSTRICTION | 1 |
Nina Hakulinen | FI | Niittylahti | 2012-05-03 / 20120107905 - Variants of Fungal Serine Protease | 1 |
Mikko Hakulinen | FI | Kuopio | 2009-06-04 / 20090143681 - Method for measuring of thicknesses of materials using an ultrasound technique | 1 |
Harri Hakulinen | FI | Pirkkala | 2010-04-29 / 20100105314 - ANNOUNCING INFORMATION SERVICE THROUGH BROADCASTING OR MULTICASTING | 1 |
Hideki Hakuma | JP | Minato-Ku | 2013-06-13 / 20130146137 - CIS-BASED THIN FILM SOLAR CELL | 1 |
Hideki Hakuma | JP | Higashiomi-Shi | 2009-11-05 / 20090272423 - Multi-Junction Type Solar Cell Device | 1 |
Hideki Hakuma | JP | Tokyo | 2013-03-28 / 20130074925 - THIN FILM SOLAR CELL | 9 |
Hideki Hakuma | JP | Tokyo | 2013-03-28 / 20130074925 - THIN FILM SOLAR CELL | 9 |
Yomei Hakumura | JP | Anjo | 2014-12-18 / 20140371029 - CONTROL DEVICE | 2 |
Youmei Hakumura | JP | Susono-Shi | 2015-08-06 / 20150217760 - CONTROL APPARATUS FOR HYBRID VEHICLE | 1 |
Youmei Hakumura | JP | Susono-Shi, Shizuoka-Ken | 2016-04-14 / 20160101681 - HYBRID-VEHICLE DRIVING DEVICE | 1 |
Yomei Hakumura | JP | Toyokawa | 2013-01-10 / 20130012353 - CONTROL DEVICE | 8 |
Yomei Hakumura | JP | Anjo-Shi | 2011-05-05 / 20110106356 - VEHICLE CONTROL DEVICE | 1 |
Yomei Hakumura | JP | Toyokawa | 2013-01-10 / 20130012353 - CONTROL DEVICE | 8 |
Yomei Hakumura | JP | Susono | 2014-11-06 / 20140330469 - CONTROL DEVICE | 7 |
Jussi Hakunti | FI | Turku | 2013-03-21 / 20130074013 - METHOD, COMPUTER PROGRAM AND APPARATUS FOR ENABLING SELECTION OF AN OBJECT ON A GRAPHICAL USER INTERFACE | 5 |
Ziyad Sami Hakura | US | San Jose | 2015-12-03 / 20150348317 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PROCESSING PRIMITIVE SPECIFIC ATTRIBUTES GENERATED BY A FAST GEOMETRY SHADER | 1 |
Ziyad S. Hakura | US | Mountain View | 2009-05-14 / 20090125854 - Automated generation of theoretical performance analysis based upon workload and design configuration | 1 |
Ziyad S. Hakura | US | Gilroy | 2015-07-30 / 20150213638 - HIERARCHICAL TILED CACHING | 39 |
Ziyad Sami Hakura | US | Gilroy | 2015-02-26 / 20150054827 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PASSING ATTRIBUTE STRUCTURES BETWEEN SHADER STAGES IN A GRAPHICS PIPELINE | 5 |
Shigeaki Hakusui | US | Irvington | 2015-05-21 / 20150142850 - CONTEXTUAL COMMUNITY PARADIGM | 1 |
Shigeaki Hakusui | US | Boxford | 2013-01-03 / 20130003723 - Virtual PBX based on Feature Server Modules | 3 |
Shinya Hakuta | JP | Kanagawa | 2009-03-12 / 20090066254 - SELF-BALLASTED FLUORESCENT LAMP AND LIGHTING APPARATUS | 1 |
Keisuke Hakuta | JP | Sagamihara | 2012-04-26 / 20120099725 - METHOD OF GENERATING ID WITH GUARANTEED VALIDITY, AND VALIDITY LEGITIMACY GUARANTYING RFID TAG | 2 |
Takashi Hakuta | JP | Chiba | 2009-09-17 / 20090234073 - Alpha-Olefin/non-conjugated cyclic polyene copolymers, production processes thereof, and crosslinkable compositions including the copolymer | 3 |
Takashi Hakuta | JP | Sodegaura-Shi | 2012-03-29 / 20120073631 - ETHYLENE RESIN COMPOSITION, SEALING MATERIAL FOR SOLAR CELL, AND SOLAR CELL MODULE UTILIZING THE SEALING MATERIAL | 3 |
Shinya Hakuta | JP | Ashigarakami-Gun | 2015-08-06 / 20150219799 - OPTICAL MEMBER WITH ANTIREFLECTION FILM, AND METHOD OF MANUFACTURING THE SAME | 11 |
Koji Hakuta | JP | Tokyo | 2016-02-25 / 20160051896 - NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM, INFORMATION PROCESSING DEVICE, AND METHOD OF CONTROLLING INFORMATION PROCESSING DEVICE | 1 |
Shinya Hakuta | JP | Yokohama-Shi | 2010-04-22 / 20100097007 - LIGHT-EMITTING DIODE LIGHTING DEVICE | 2 |
Kohzo Hakuta | JP | Chofu-Shi | 2012-05-17 / 20120121221 - OPTICAL NANOFIBER RESONATOR | 1 |
Keisuke Hakuta | JP | Kawasaki | 2008-12-25 / 20080320557 - BATCH VERIFICATION DEVICE, PROGRAM AND BATCH VERIFICATION METHOD | 1 |
Hiroshi Hakuta | JP | Takaoka-Shi | 2010-11-11 / 20100286398 - METHOD FOR PRODUCING CIS-3-SUBSTITUTED-3-AZABICYCLO[3.2.1]OCTAN-8-OL DERIVATIVE | 1 |
Takashi Hakuta | JP | Shiba | 2012-03-29 / 20120073655 - PACKAGE BODY FOR STORING OR TRANSPORTING SOLAR CELL SEALING FILM AND METHOD FOR STORING OR TRANSPORTING SOLAR CELL SEALING FILM | 1 |
Shinya Hakuta | JP | Ashigarakami-Gun | 2015-08-06 / 20150219799 - OPTICAL MEMBER WITH ANTIREFLECTION FILM, AND METHOD OF MANUFACTURING THE SAME | 11 |
Ahmed M. Hala | SA | Riyadh | 2015-11-26 / 20150342020 - HYBRID PLASMA SOURCE | 1 |
Jaroslav Hala | CZ | Praha | 2013-12-12 / 20130332511 - COMMUNICATION PROTOCOL AND SYSTEM FOR NETWORK COMMUNICATIONS | 1 |
Roger A. Hala | US | Gardnerville | 2012-12-06 / 20120304775 - DETECTION OF ANOMALOUS MOVEMENT IN A RECIPROCATING DEVICE | 2 |
Roger Aloysius Hala | US | Gardnerville | 2011-04-14 / 20110085920 - METHOD AND APPARATUS FOR DYNAMIC IMPULSE SIGNAL ATTENUATION SIMULATION | 3 |
Roger Hala | US | Gardnerville | 2010-08-05 / 20100198534 - SYSTEM AND METHOD FOR MONITORING THE CONDITION OF A GEAR ASSEMBLY | 2 |
Keri Hala | US | Marshalltown | 2011-07-14 / 20110172791 - AUTOMATICALLY ADDRESSABLE CONFIGURATION SYSTEM FOR RECOGNITION OF A MOTION TRACKING SYSTEM AND METHOD OF USE | 1 |
Ralf Hala | DE | Lindenberg | 2011-06-16 / 20110143113 - SEALING STRIPS FOR VEHICLE WINDOWS | 9 |
Jeffrey L. Halaas | US | New York | 2011-09-08 / 20110218332 - Modulators of body weight, corresponding nucleic acids and proteins, and diagnostic and therapeutic uses thereof | 1 |
David Halaas | US | Buckley | 2014-07-31 / 20140214243 - FORMATION FLIGHT CONTROL | 2 |
David J. Halaas | US | Buckley | 2010-03-04 / 20100052948 - DETERMINING AND PROVIDING VEHICLE CONDITIONS AND CAPABILITIES | 2 |
Liliane Halab | US | Outremont | 2013-04-18 / 20130096106 - COMPOUNDS AND METHODS FOR THE TREATMENT OR PREVENTION OF FLAVIVIRUS INFECTIONS | 1 |
Liliane Halab | CA | Outremont | 2008-10-30 / 20080269481 - Compounds and methods for the treatment or prevention of Flavivirus infections | 1 |
Lilliane Halab | CA | Laval | 2011-03-31 / 20110077228 - NOVEL C-21-KETO LUPANE DERIVATIVES PREPARATION AND USE THEREOF | 1 |
Liliane Halab | CA | Laval | 2011-03-31 / 20110077251 - NOVEL 17 BETA LUPANE DERIVATIVES | 2 |
Ruth Halaban | US | New Haven | 2013-11-28 / 20130315934 - Methods and Compositions for Assessing and Treating Cancer | 1 |
Vijaya Halabe | GB | Abingdon | 2010-07-22 / 20100185427 - AUTOMATED FIELD DEVELOPMENT PLANNING | 2 |
Osama Halabi | JP | Iwate | 2010-09-30 / 20100245373 - METHOD AND SOFTWARE OF DRAWING VECTOR-ORIENTED GRAPHIC FOR LASER PROJECTOR, AND A LASER PROJECTOR SYSTEM | 1 |
Lena Halabi | US | Minneapolis | 2014-03-13 / 20140072672 - HYDROCOLLOID STABILIZED DEHYDRATED FOOD FOAM | 1 |
Shaul Halabi | IL | Mevaseret Zion | 2011-04-07 / 20110082964 - PARTITIONING PROCESS TO IMPROVE MEMORY CELL RETENTION | 1 |
Mitri Halabi | US | San Jose | 2014-08-21 / 20140233423 - PROVISIONING SINGLE OR MULTISTAGE NETWORKS USING ETHERNET SERVICE INSTANCES (ESIs) | 7 |
Christopher James Halabi | US | Plano | 2013-12-05 / 20130326050 - Method of Organic Cloud Discovery and Transformation of Network Assets | 1 |
Shaul Halabi | IL | Mevasseret Zion | 2010-11-18 / 20100293434 - NON-VOLATILE MEMORY WITH BI-DIRECTIONAL ERROR CORRECTION PROTECTION | 1 |
Mitri I. Halabi | US | San Jose | 2009-08-13 / 20090201923 - APPARATUS AND METHOD FOR A FAULT-TOLERANT SCALABLE SWITCH FABRIC WITH QUALITY-OF-SERVICE (QOS) SUPPORT | 1 |
Mohamad Halabi | US | Denver | 2015-12-10 / 20150358291 - FIREWALL POLICY COMPARISON | 4 |
Jason M. Halac | US | Solana Beach | 2013-06-06 / 20130144316 - LARGE BORE CLOSURE DEVICE AND METHODS | 2 |
Jason M. Halac | US | Solara Beach | 2013-03-28 / 20130079802 - SUTURE LOCKING DEVICE AND METHODS | 1 |
Aviraham Halachmi | IL | Rishon-Lezion | 2010-04-15 / 20100095383 - Protection of Digital Data Content | 1 |
Shlomit Halachmi | IL | Binyamina | 2009-12-03 / 20090298814 - Novel salts of conjugated psychotropic drugs and processes of preparing same | 1 |
Zohar Halachmi | IL | Raanana | 2013-09-26 / 20130251131 - METHOD AND APPARATUS FOR NETWORK MAINTENANCE AND SUPERVISION OF A CONTROLLED DISPLAY PORTION | 4 |
Amir Halachmi | IL | Kfar Yehoshua | 2009-07-23 / 20090183425 - GREENHOUSE STRUCTURE | 1 |
Israel Alan Halachmi | US | Gaithersburg | 2009-12-03 / 20090300622 - DISTRIBUTED TRANSACTION PROCESSING SYSTEM | 1 |
Eliezer Halachmi Katchanov | IL | Oranit | 2010-09-16 / 20100233787 - ENERGY PRODUCTION FROM ALGAE IN PHOTO BIOREACTORS ENRICHED WITH CARBON DIOXIDE | 2 |
Ionel Halaciuga | US | Potsdam | 2010-09-09 / 20100224027 - METHOD FOR PRODUCING DISPERSED, CRYSTALLINE, STABLE TO OXIDATION COPPER PARTICLES | 1 |
Ionel Halaciuga | US | Postsdam | 2009-03-19 / 20090071292 - PREPARATION OF SILVER SPHERES BY THE REDUCTION OF SILVER POLYAMINE COMPLEXES | 1 |
Ionel Halaciuga | US | Providence | 2013-10-24 / 20130277623 - PROCESS FOR THE SURFACE MODIFICATION OF A POLYMER PARTICLE | 1 |
Thomas D. Halaczkiewicz | US | San Luis Obispo | 2010-04-15 / 20100090456 - INTERCHANGEABLE FITTING SYSTEM AND METHOD | 1 |
Kohmei Halada | JP | Ibaraki | 2013-02-14 / 20130036870 - METHOD FOR COLLECTING Co FROM URBAN ORE | 1 |
Kohmei Halada | JP | Tsukuba | 2014-01-02 / 20140001125 - NANOSTRUCTURE MATERIAL SUPPORTING ARSENIC ION ADSORPTION COMPOUND AND METHOD TO REMOVE ARSENIC ION USING THE SAME | 1 |
Joseph Halada | US | Newark | 2014-08-28 / 20140241900 - METHODS FOR REPAIRING A TURBINE AIRFOIL CONSTRUCTED FROM CMC MATERIAL | 3 |
Gary Halada | US | Baiting Hollow | 2013-01-10 / 20130011492 - ELECTROCHEMICAL DEPOSITION OF NOBLE METAL AND CHITOSAN COATING | 1 |
Gary P. Halada | US | Baiting Hollow | 2015-01-15 / 20150014180 - ELECTROCHEMICAL SYNTHESIS OF NITRO-CHITOSAN | 1 |
Lucian Halada | AT | Linz | 2014-12-11 / 20140361007 - CIRCUIT FOR THE INDUCTIVE HEATING OF A METAL | 1 |
Kohmei Halada | JP | Tsukuba-Shi | 2014-02-06 / 20140033868 - Method For Extraction And Separation Of Lanthanoid Elements And Actinoid Elements, And Means For Extraction And Separation Of Lanthanoid Elements And Actinoid Elements | 1 |
Ted Haladyna | US | Northville | 2015-07-16 / 20150197241 - METHOD AND SYSTEM FOR ENGINE CONTROL | 8 |
Ted Haladyna | US | Northville | 2015-07-16 / 20150197241 - METHOD AND SYSTEM FOR ENGINE CONTROL | 8 |
Milind Halageri | IN | Ranebennur | 2013-07-25 / 20130189659 - Appraisal Process Framework for Scrum Projects | 1 |
Patrick B. Halahan | US | Santa Rosa | 2015-02-26 / 20150057818 - IRRIGATION SMART CONTROLLERS WITH PROGRAMMING AND FIRMWARE UPDATING | 1 |
Patrick B. Halahan | US | Novato | 2015-11-05 / 20150313098 - System and Method for Smart Irrigation | 2 |
Erez Halahmi | IL | Petach Tikva | 2016-03-10 / 20160072746 - E-Mail Proxy | 3 |
Izhar Halahmi | IL | Hod Hasharon | 2015-10-15 / 20150291836 - ORGANIC SEALER FOR MICRO OXIDATION COATING | 33 |
Erez Halahmi | IL | Bazra | 2011-06-02 / 20110128784 - NON-VOLATILE MEMORY DEVICE | 4 |
Erez Halahmi | CH | Gorgier | 2014-07-17 / 20140197877 - SYSTEM FOR A CONTACTLESS CONTROL OF A FIELD EFFECT TRANSISTOR | 2 |
Erez Halahmi | IL | Petah Tikva | 2012-05-24 / 20120131449 - System and Method for Rapid Document Conversion | 1 |
Sameer Halai | US | Seattle | 2016-04-14 / 20160103572 - COLLABORATIVE MEDIA SHARING | 3 |
Sameer Halai | US | Bellevue | 2012-05-24 / 20120131171 - INVITE ABUSE PREVENTION | 2 |
Anna B. Halajko | US | Parlin | 2014-03-27 / 20140087214 - Electrochemical Devices and Methods of Fabrication | 1 |
Terry Halajko | CA | Winnipeg | 2015-12-03 / 20150344081 - Vehicle | 2 |
Anna Halajko | US | Parlin | 2014-05-01 / 20140117291 - METAL FLUORIDE COMPOSITIONS FOR SELF FORMED BATTERIES | 2 |
Mordechai Halak | IL | Raanana | 2011-12-29 / 20110319790 - PHARMACEUTICAL COMPOSITION AND SYSTEM FOR PERMEABILIZING FETAL MEMBRANES | 1 |
Jirí Halák | CZ | Knezmost | 2012-12-27 / 20120327302 - DEVICE FOR RECEIVING OF HIGH-DEFINITION VIDEO SIGNAL WITH LOW-LATENCY TRANSMISSION OVER AN ASYNCHRONOUS PACKET NETWORK | 1 |
Jirí Halák | CZ | Knezmost | 2012-12-27 / 20120327302 - DEVICE FOR RECEIVING OF HIGH-DEFINITION VIDEO SIGNAL WITH LOW-LATENCY TRANSMISSION OVER AN ASYNCHRONOUS PACKET NETWORK | 1 |
Jirí Halák | CZ | Knezmost | 2012-12-27 / 20120327302 - DEVICE FOR RECEIVING OF HIGH-DEFINITION VIDEO SIGNAL WITH LOW-LATENCY TRANSMISSION OVER AN ASYNCHRONOUS PACKET NETWORK | 1 |
Adel Halaka | US | Holmdel | 2010-04-22 / 20100095695 - Temperature controlled compressor for electrical energy savings in enclosed room cooling | 1 |
Folim G. Halaka | US | Burr Ridge | 2015-09-17 / 20150259379 - Purification columns and methods | 4 |
Folim G. Halaka | US | Lake Forest | 2014-07-24 / 20140206060 - CONTINUOUS SONICATION FOR BIOTECHNOLOGY APPLICATIONS AND BIOFUEL PRODUCTION | 6 |
Shekhar Halakatti | US | Carlsbad | 2013-03-28 / 20130081079 - AUTOMATED ENVIRONMENTAL FEEDBACK CONTROL OF DISPLAY SYSTEM USING CONFIGURABLE REMOTE MODULE | 2 |
Shekhar Halakatti | IN | Bangalore | 2010-07-15 / 20100175821 - Interconnecting Microfluidic Package, Fabrication Method and Methods of Use | 1 |
William E. Halal | US | Washington | 2016-01-07 / 20160005377 - METHODS, SYSTEMS AND APPARATUS FOR DISPLAYING THE MULTIMEDIA INFORMATION FROM WIRELESS COMMUNICATION NETWORKS | 11 |
Afif Samih Halal | US | Katy | 2013-07-18 / 20130183098 - FLUID LEVEL CONTROL SYSTEM AND METHOD OF USING SAME | 2 |
William E. Halal | US | Washington | 2016-01-07 / 20160005377 - METHODS, SYSTEMS AND APPARATUS FOR DISPLAYING THE MULTIMEDIA INFORMATION FROM WIRELESS COMMUNICATION NETWORKS | 11 |
Ion C. Halalay | US | Grosse Pointe Park | 2015-04-02 / 20150093639 - LITHIUM ION BATTERY ELECTRODES | 24 |
Ion C. Halalay | US | Crosse Pointe Park | 2012-04-05 / 20120082893 - LITHIUM ION BATTERY | 1 |
Ion C. Halalay | US | Grosse Point Park | 2010-03-04 / 20100051518 - Method for diagnosing machine fault by analyzing hydraulic fluid | 2 |
Ion C. Halalay | US | Grosse Pointe | 2008-12-04 / 20080295645 - CAVITATION PROCESS FOR PRODUCTS FROM PRECURSOR HALIDES | 3 |
Ion C. Halalay | US | Grosse Pointe Park | 2015-04-02 / 20150093639 - LITHIUM ION BATTERY ELECTRODES | 24 |
Khaldoun Halalo | DE | Zeuthen | 2015-05-21 / 20150140276 - SOLID BODY JOINING OF A CARRIER BODY AND A COVER LAYER, PARTICULARLY BY ANODIC BONDING | 1 |
Gary E. Halama | US | Burnsville | 2013-04-25 / 20130103317 - METHODS OF DETERMINING THE LIQUID WATER CONTENT OF A CLOUD | 1 |
Jindrich Halama | CZ | Trutnov | 2015-09-10 / 20150255230 - INDICATOR LAMP | 1 |
Ales Halama | CZ | Pardubice | 2011-02-10 / 20110034692 - SPECIFIC IMPURITIES OF MONTELUKAST | 3 |
Ashok Halambi | US | Sunnyvale | 2015-03-26 / 20150089484 - Fast, Combined Forwards-Backwards Pass Global Optimization Framework for Dynamic Compilers | 2 |
Soorgoli Ashok Halambi | US | Cupertino | 2016-03-24 / 20160088009 - SECURE BEHAVIOR ANALYSIS OVER TRUSTED EXECUTION ENVIRONMENT | 1 |
Soorgoli Ashok Halambi | US | Sunnyvale | 2015-05-28 / 20150148109 - SYSTEM, APPARATUS, AND METHOD FOR ADAPTIVE OBSERVATION OF MOBILE DEVICE BEHAVIOR | 3 |
Asaf Halamis | IL | Karkur | 2010-06-03 / 20100135860 - HOLDER FOR HOLE-TYPE CELL CARRIER | 1 |
Asaf Halamish | IL | Pardes Hana-Karkur | 2012-12-20 / 20120318265 - Mask for the administration of inhaled drugs | 2 |
Danny Halamish | GB | Bristol | 2011-04-07 / 20110083000 - DATA PROCESSING ARCHITECTURES FOR PACKET HANDLING | 1 |
Tal Halamish | IL | Petah Tikva | 2014-06-12 / 20140160251 - LIVE STREAMING VIDEO OVER 3D | 1 |
Michal Halamish | IL | Mazkeret Batya | 2013-02-07 / 20130036214 - SYSTEM AND METHOD FOR MANAGING ENVIRONMENT CONFIGURATION USING SNAPSHOTS | 1 |
Asaf Halamish | IL | Pardes Chana | 2011-05-19 / 20110117634 - FLAT CELL CARRIERS WITH CELL TRAPS | 2 |
Asaf Halamish | IL | Pardes Hanna | 2009-05-28 / 20090133226 - Sterile Handle Covers | 1 |
Todd D. Halamka | US | Chicago | 2011-01-20 / 20110011015 - Energy efficient garage | 2 |
Hans-Joachim Halamoda | DE | Albstadt | 2009-11-26 / 20090288975 - Felting needle package | 1 |
John B. Halander | US | Salt Lake City | 2016-03-31 / 20160091291 - SYSTEMS FOR DELIVERING EXPLOSIVES AND METHODS RELATED THERETO | 3 |
Pratik Halani | US | Sugar Land | 2015-11-26 / 20150340803 - Multi-Contact Connector Assembly | 1 |
Matthew Aaron Halanski | US | Cross Plains | 2015-02-26 / 20150057662 - STENT APPARATUS AND METHOD | 1 |
Matthew A. Halanski | US | Warren | 2011-04-07 / 20110082406 - SAFETY CAST | 1 |
Kiran Halappa | IN | Bangalore | / - | 1 |
Mladen Halar | CA | Mississauga | 2016-05-12 / 20160131347 - Traffic Signal Mounting Bracket | 1 |
Atul Halari | US | Bartleti | 2011-07-07 / 20110167118 - MESSAGE WAITING NOTIFICATION TO EXTERNAL MESSAGE CENTERS | 1 |
Estelle Halary Wagner | FR | Marseille | 2011-10-06 / 20110239940 - VAPOR PHASE DEPOSITION SYSTEM | 1 |
Estelle Halary-Wagner | CH | Ecublens | 2015-04-30 / 20150114566 - LARGE AREA DEPOSITION IN HIGH VACUUM WITH HIGH THICKNESS UNIFORMITY | 2 |
Nancy J. Halas | US | Houston | 2016-03-17 / 20160074544 - WASTE REMEDIATION | 18 |
Nancy Jean Halas | US | Houston | 2011-04-21 / 20110090497 - Multimetallic Nanoshells for Monitoring Chemical Reactions | 1 |
Miroslav Halas | US | Dallas | 2012-08-02 / 20120198569 - ASSOCIATED WITH ABNORMAL APPLICATION-SPECIFIC ACTIVITY MONITORING IN A COMPUTING NETWORK | 1 |
Nancy J. Halas | US | Houston | 2016-03-17 / 20160074544 - WASTE REMEDIATION | 18 |
Summer Halas | US | Somerville | 2010-10-28 / 20100272681 - Inhibitors of Serine Proteases | 1 |
Naomi J. Halas | US | Houston | 2015-11-05 / 20150318415 - FULLY INTEGRATED CMOS-COMPATIBLE PHOTODETECTOR WITH COLOR SELECTIVITY AND INTRINSIC GAIN | 2 |
William Paul Halas | US | Long Valley | 2016-02-04 / 20160037159 - SYSTEMS, DEVICES, AND METHODS FOR PROVIDING PRODUCTS AND CONSULTATIONS | 4 |
Robert J. Halas | US | 2015-04-16 / 20150101284 - BAG CLAMP WITH A RECIPROCATING BLADE | 1 | |
Miroslav Halas | US | Charlottesville | 2014-11-06 / 20140331309 - Secure Network Cloud Architecture | 11 |
Miroslav Halas | US | Charlottesville | 2014-11-06 / 20140331309 - Secure Network Cloud Architecture | 11 |
Adel Farhan Halasa | US | Akron | 2015-11-05 / 20150315363 - CHEMICALLY FUNCTIONALIZED RENEWED RUBBER COMPOSITION | 1 |
Adel Farhan Halasa | US | Bath | 2012-03-29 / 20120073720 - WIRE COAT COMPOSITIONS FOR RUBBER ARTICLES | 8 |
Matej Halasa | BE | Lessines | 2012-02-02 / 20120027667 - Method for the production of hydrogen peroxide | 1 |
Adel Farhan Halasa | US | Bath | 2012-03-29 / 20120073720 - WIRE COAT COMPOSITIONS FOR RUBBER ARTICLES | 8 |
Elizabeth Halash | US | Dearborn | 2015-12-17 / 20150363210 - VEHICLE DOWNLOAD BY REMOTE MOBILE DEVICE | 6 |
Mark Halash | US | Austin | 2010-08-19 / 20100210349 - CUSTOMIZED ENHANCEMENT SYSTEM | 2 |
Elizabeth Halash | US | Warren | 2016-05-05 / 20160123753 - Method and Apparatus for Dynamic Destination Arrival Time Updating | 1 |
Ramanujam S. Halasipuram | IN | Bangalore | 2014-10-02 / 20140297583 - Determining Statistics for Cost-Based Optimization of a Workflow | 1 |
Ramanujam Seshadri Halasipuram | IN | Magarpatta City | 2011-02-10 / 20110035369 - Query Optimization with Memory I/O Awareness | 1 |
Ramanujam Seshadri Halasipuram | IN | Bangalore | 2013-01-03 / 20130007067 - SYSTEM AND METHOD FOR IMPLEMENTING MULTI-TEMPORAL DATABASE FUNCTIONALITY | 1 |
Georg Halasy-Wimmer | DE | Vaihingen | 2011-01-27 / 20110017332 - PULSATION DAMPING CAPSULE | 4 |
Georg Halasy-Wimmer | DE | Vaihingen Enz | 2016-01-07 / 20160001728 - METHOD AND DEVICE FOR DETECTING THE PRESENCE OF OBJECTS IN A PASSENGER COMPARTMENT OF A VEHICLE | 4 |
Christopher Lee Halasz | US | Parker | 2015-04-30 / 20150116994 - Lighting Device | 5 |
Frank Halasz | US | Santa Cruz | 2015-10-22 / 20150301781 - SEAMLESS ILLUMINATED PANEL | 1 |
Stephen Sandor Halasz | US | Parker | 2009-01-22 / 20090021928 - Flashlight | 2 |
Sylvia Halasz | US | Fair Haven | 2010-07-08 / 20100174736 - Systems and Methods to Evaluate Search Qualities | 4 |
Stephen Joseph Halasz | US | Desert Hot Springs | 2009-01-22 / 20090021928 - Flashlight | 1 |
David E. Halasz | US | Stow | 2014-09-18 / 20140280585 - METHODS AND APPARATUS FOR TRANSMITTING SERVICE INFORMATION IN A NEIGHBORHOOD OF PEER-TO-PEER COMMUNICATION GROUPS | 4 |
Steven Jon Halasz | US | Montclair | 2013-07-11 / 20130175760 - Storytelling Strategy Board Game Method of Playing and Apparatus | 1 |
Edmund Halasz | CH | Orbe | 2013-06-13 / 20130148118 - SPARK CHAMBER FOR OPTICAL EMISSION ANALYSIS | 1 |
Maria Halasz | AU | Sydney | 2015-02-12 / 20150044139 - Method of Treatment or Prevention of Hair Loss or for the Enhancement of Hair Growth | 3 |
Edmund Halasz | CH | Ecublens | 2014-12-18 / 20140368818 - SPECTROMETER AND METHOD OF SPECTROSCOPY | 2 |
Robert Halasz | SE | Nacka | 2010-11-25 / 20100298810 - PHARMACEUTICAL COMPOSITION AND NASAL RINSING DEVICE THEREFOR | 1 |
John Edward Halat | CA | Sylvan Lake | 2016-03-17 / 20160076349 - METHOD AND APPARATUS FOR ENHANCING THE PRODUCTIVITY OF WELLS | 1 |
Richard Arthur Halavais | US | Anaheim Hills | 2013-01-10 / 20130013354 - SYSTEM AND METHOD FOR MANAGING SEAT RESERVATIONS | 21 |
Uriel Halavee | IL | Ramat Gan | 2015-03-19 / 20150079193 - COMPOSITIONS AND METHODS FOR INDUCING ANGIOGENESIS | 2 |
Maryam Halavi | US | Fairfax | 2012-08-30 / 20120220284 - Method and System for Communicating Status or Warning Regarding Mobile Device Functions | 12 |
Maryam Halavi | US | Fairfax | 2012-08-30 / 20120220284 - Method and System for Communicating Status or Warning Regarding Mobile Device Functions | 12 |
Avishay Halavy | IL | Tel Aviv | 2014-11-13 / 20140333715 - Method and System for Switching Between Video Streams in a Continuous Presence Conference | 9 |
Avishay Halavy | IL | Tel Aviv | 2014-11-13 / 20140333715 - Method and System for Switching Between Video Streams in a Continuous Presence Conference | 9 |
Tobias Halbach | DE | Muenchen | 2016-01-07 / 20160001237 - ASYMMETRICALLY POROUS MEMBRANES MADE OF CROSS-LINKED THERMOPLASTIC SILICONE ELASTOMER | 3 |
Martin Halbach | DE | Niederwerrn | 2015-11-05 / 20150314481 - Clocked Regulation of the Amount of Plaster Paste | 1 |
Alexandre Halbach | BE | Battice | 2016-01-28 / 20160022398 - FASTENABLE DEVICE FOR ORAL CAVITY POSITION DETECTION | 2 |
Richard E. Halbach | US | Alpharetta | 2013-08-29 / 20130222140 - Microprocessor Controlled Security Tag | 2 |
Alexandre Halbach | BE | Liege | 2015-08-20 / 20150230593 - POSITION DETECTION OF AN ORAL CARE IMPLEMENT | 1 |
Tobias Halbach | DE | Munich | 2015-07-02 / 20150183808 - OXASILACYCLES AND METHOD FOR THE PRODUCTION THEREOF | 2 |
Hannes Halbauer | AT | Theresienfield | 2014-10-09 / 20140300136 - Front Axle Mounting With Crash Grooves | 1 |
Hardy Halbauer | DE | Ettlingen | 2013-12-12 / 20130331140 - METHOD OF OPERATING A BASE STATION AND BASE STATION | 11 |
Rainer Halbauer | DE | Obergroeningen | 2010-08-05 / 20100198126 - MEDICAL COMPRESS II | 2 |
Hannes Halbauer | AT | Theresienfeld | 2016-02-18 / 20160046169 - TWIST BEAM AXLE | 1 |
Hardy Halbauer | DE | Ettlingen | 2013-12-12 / 20130331140 - METHOD OF OPERATING A BASE STATION AND BASE STATION | 11 |
Volker Halbe | DE | Olpe | 2011-03-03 / 20110048670 - METHOD FOR THE TEMPERATURE-DEPENDENT SETTING OF A SEALING GAP IN A REGENERATIVE HEAT EXCHANGE, AND THE RESPECTIVE ACTUATING APPARATUS | 2 |
Devdatta Halbe | US | Eden Prairie | 2013-07-18 / 20130185131 - SYSTEM AND METHOD FOR INTEGRATING SOCIAL AND LOYALTY PLATFORMS | 1 |
Chaitanya V. Halbe | IN | Mumbai | 2015-08-06 / 20150219115 - Blade for Axial Compressor Rotor | 1 |
Jens Halbedel | DE | Puchheim | 2012-10-25 / 20120267977 - Electrical Drive Motor for a Vehicle | 1 |
Ralf Halbedel | DE | Heidelberg | 2016-03-03 / 20160062603 - SYSTEMS AND METHODS FOR SELF-LEARNING DYNAMIC INTERFACES | 6 |
Ralf Halbedel | DE | Heldelberg | 2009-01-01 / 20090006539 - System and method for switching between stateful and stateless communication modes | 1 |
Reto Halbeisen | CH | Dittingen | 2012-06-21 / 20120158061 - METHODS AND SYSTEMS FOR MINIMALLY INVASIVE POSTERIOR ARCH EXPANSION | 1 |
Eric P. Halber | US | Apex | 2012-03-15 / 20120066302 - CROWD FORMATION BASED ON PHYSICAL BOUNDARIES AND OTHER RULES | 1 |
Eric P. Halber | US | Morrisville | 2016-01-07 / 20160003634 - Passive Crowd-Sourced Map Updates And Alternate Route Recommendations | 3 |
Justin P. Halberda | US | Baltimore | 2015-07-16 / 20150199811 - METHODS AND SYSTEMS FOR PSYCHOPHYSICAL ASSESSMENT OF NUMBER-SENSE ACUITY | 2 |
Richard Halberg | US | Madison | 2009-03-12 / 20090068646 - METHODS AND KITS FOR DETECTING MUTATIONS | 1 |
James Fitzgerald Halberg | US | Sun Prairie | 2014-05-29 / 20140149298 - SYSTEM AND METHOD FOR DETECTING DOCUMENTS | 1 |
Ben Halberg | US | River Falls | 2016-02-11 / 20160042334 - RETAIL CONVENIENCE MARKET TECHNOLOGY WITH ENHANCED VENDOR ADMINISTRATION AND USER MOBILE APP FUNCTIONALITY | 5 |
Dwaine Halberg | US | Mesa | 2009-01-15 / 20090017729 - POLISHING PAD AND METHODS OF IMPROVING PAD REMOVAL RATES AND PLANARIZATION | 1 |
Les Halberg | US | Valencia | 2016-04-28 / 20160118842 - IMPLANT CURRENT CONTROLLED BATTERY CHARGING BASED ON TEMPERATURE | 5 |
John Halberg | US | Vadnais Heights | 2008-11-20 / 20080282961 - Tactile Fire Escape System | 1 |
Nils Halberg | US | Brooklyn | 2015-10-15 / 20150292031 - TREATMENT OF ANGIOGENESIS DISORDERS | 3 |
Daniel J. Halberg | US | Vadnais Heights | 2008-11-20 / 20080282961 - Tactile Fire Escape System | 1 |
Matthew L. Halbersma | US | Harrisburg | 2012-12-13 / 20120311816 - POSITIONABLE HINGE | 1 |
Craig Halberstadt | US | Charlotte | 2014-12-25 / 20140377867 - BIOREACTOR FOR CELL GROWTH AND ASSOCIATED METHODS | 3 |
Benjamin Halberstadt | IL | Jerusalem | 2016-03-24 / 20160085756 - IDENTIFYING AND SCORING DATA VALUES | 18 |
Knut Halberstadt | DE | Mulheim An Der Ruhr | 2014-03-06 / 20140060058 - GAS TURBINE SYSTEM HAVING AN EVAPORATIVE COOLER | 8 |
Joseph Halberstadt | GB | London | 2013-10-24 / 20130282568 - SYSTEM AND METHOD FOR PROCESSING AND SETTLING PAYMENT INSTRUCTIONS RELATING TO VARIOUS FINANCIAL INSTRUMENTS | 2 |
Ethan Nahum Halberstadt | US | Wayne | 2009-12-03 / 20090293330 - Fan-Express | 1 |
Benny Halberstadt | IL | Jerusalem | 2009-02-12 / 20090043800 - Safe Multi-Stream Versioning in a Metadata Repository | 1 |
John Philip Halberstadt | US | Broomfield | 2008-08-28 / 20080201981 - Spray-formed reinforcement for footwear | 1 |
Hans Halberstadt | NL | Groesbeek | 2016-03-03 / 20160061871 - NOISE DETECTION CIRCUIT | 35 |
Andrew Halberstadt | US | Burlington | 2013-10-17 / 20130275135 - Automatic Updating of Confidence Scoring Functionality for Speech Recognition Systems | 1 |
Benjamin Halberstadt | IL | Jerusalem | 2016-03-24 / 20160085756 - IDENTIFYING AND SCORING DATA VALUES | 18 |
Hans Halberstadt | NL | Nijmegen | 2015-07-30 / 20150212133 - NOISE SENSOR | 2 |
Knut Halberstadt | DE | Mulheim An Der Ruhr | 2014-03-06 / 20140060058 - GAS TURBINE SYSTEM HAVING AN EVAPORATIVE COOLER | 8 |
Hans Halberstadt | NL | Groesbeek | 2016-03-03 / 20160061871 - NOISE DETECTION CIRCUIT | 35 |
Craig R. Halberstadt | US | Winston-Salem | 2013-12-12 / 20130330364 - THERAPEUTIC FORMULATIONS | 1 |
Dennis Halberstadt | NL | Rosmalen | 2011-03-31 / 20110076869 - COAXIAL CONNECTOR | 2 |
Craig R. Halberstadt | US | Clemmons | 2015-01-29 / 20150030657 - SMOOTH MUSCLE CELL CONSTRUCTS | 1 |
Ehud Halberstam | IL | Kfar Saba | 2014-04-03 / 20140096025 - INTEGRATED EXPERIENCE FOR APPLICATIONS WITHIN A MOBILE APPLICATION | 1 |
Meir Halbersttadt | IL | Nof Ayalon | 2012-05-03 / 20120110676 - PROTECTION OF SECRET VALUE USING HARDWARE INSTABILITY | 1 |
Alan P. Halbert | US | Bluffdale | 2009-03-26 / 20090078443 - Ceiling fan mounting base | 1 |
Robert K. Halbert | US | Houston | 2015-02-26 / 20150053392 - SURFACE WELLHEAD ELECTRICAL CONNECTION AND METHOD OF USE | 1 |
James G. Halbert | US | Scottsville | 2015-07-23 / 20150201689 - GARMENT ENGAGEMENT SYSTEM | 1 |
Terrence Halbert | US | O'Fallon | 2014-12-04 / 20140354418 - RADIO FREQUENCY IDENTIFICATION NOTIFICATION SYSTEM | 1 |
Timothy Halbert | GB | Cambridge | 2015-10-01 / 20150279106 - COLLABORATIVE AUGMENTED REALITY | 1 |
David D. Halbert | US | Colleyville | 2013-10-31 / 20130287772 - BIOMARKERS FOR THERANOSTICS | 1 |
Donald Halbert | US | San Diego | 2015-10-22 / 20150300923 - Remote Maintenance Of Medical Devices | 14 |
Joel Martin Halbert | US | Tucson | 2014-12-25 / 20140380065 - TRANSITION RATE CONTROLLED BUS DRIVER CIRCUIT WITH REDUCED LOAD SENSITIVITY | 1 |
Alan P. Halbert | US | Irving | 2012-10-25 / 20120266964 - CASSETTE WITH INFUSION SET CONTAINING ANTI-FREEFLOW BALL VALVE FOR PERISTALTIC INFUSION PUMP | 2 |
David R. Halbert | US | Placerville | 2015-09-10 / 20150253265 - MOLECULAR ANALYSIS USING MICRO ELECTRO-MECHANICAL SENSOR DEVICES | 1 |
Gavin William Halbert | GB | Jordanhill | 2012-03-08 / 20120059148 - NON-NATURALLY OCCURRING LIPOPROTEIN PARTICLE | 1 |
Richard A. Halbert | US | Redmond | 2011-12-29 / 20110320364 - SYSTEM AND METHOD FOR ENHANCING BUYER AND SELLER INTERACTION DURING A GROUP-BUYING SALE | 2 |
Phillip Halbert | US | San Francisco | 2014-02-20 / 20140051958 - Analyte Meter Communication Module | 1 |
David Halbert | US | Colleyville | 2016-01-07 / 20160003835 - APTAMERS AND USES THEREOF | 1 |
John B. Halbert | US | 2014-01-02 / 20140006704 - ROW HAMMER CONDITION MONITORING | 2 | |
Phillip Charles Halbert | US | San Francisco | 2015-09-17 / 20150258334 - MEDICAL DEVICE FOR REMOVING AN IMPLANTED OBJECT | 1 |
Fischel Halbert | US | Santa Barbara | 2010-12-30 / 20100330439 - Electrochemical cells utilizing Taylor Vortex Flows | 1 |
Thomas R. Halbert | US | Baton Rouge | 2012-09-27 / 20120241360 - HYDROPROCESSING METHODS UTILIZING CARBON OXIDE-TOLERANT CATALYSTS | 3 |
Richard V. Halbert | US | Redmond | 2011-12-29 / 20110320362 - SYSTEM AND METHOD FOR ENHANCING BUYER AND SELLER INTERACTION DURING A GROUP-BUYING SALE | 2 |
Jeffrey Gary Halbert | US | Marathon | 2011-06-16 / 20110143742 - DIGITAL COMMUNICATION VIA A MOBILE HANDHELD NETWORK DEVICE | 1 |
Brad A. Halbert | US | St. Marys | 2016-05-05 / 20160122077 - PALLET TRUCK WITH INTEGRATED HALF-SIZE PALLET SUPPORT | 1 |
John Halbert | US | Beaverton | 2015-04-23 / 20150109871 - ROW HAMMER MONITORING BASED ON STORED ROW HAMMER THRESHOLD VALUE | 6 |
Ellen Halbert | US | Dansville | 2012-08-23 / 20120213908 - PEANUT BUTTER WITH AN ORGANIC STABILIZER AND METHOD FOR MANUFACTURE THEREOF | 1 |
Phillip C. Halbert | US | San Francisco | 2015-12-03 / 20150342726 - OCULAR IMPLANT INSERTION APPARATUS AND METHODS | 1 |
John B. Halbert | US | Beaverton | 2016-04-28 / 20160117219 - DEVICE, SYSTEM AND METHOD TO RESTRICT ACCESS TO DATA ERROR INFORMATION | 19 |
John B. Halbert | US | Beaverton | 2016-04-28 / 20160117219 - DEVICE, SYSTEM AND METHOD TO RESTRICT ACCESS TO DATA ERROR INFORMATION | 19 |
Johm Halbert | US | Beaverton | 2014-02-27 / 20140059287 - ROW HAMMER REFRESH COMMAND | 1 |
Donald Halbert | US | San Diego | 2015-10-22 / 20150300923 - Remote Maintenance Of Medical Devices | 14 |
Christine L. Halbert | US | Bothell | 2013-11-07 / 20130296409 - COMPOSITIONS AND METHODS FOR GENERATING ADENO-ASSOCIATED VIRAL VECTORS WITH UNDETECTABLE CAPSID GENE CONTAMINATION | 1 |
Reuven Halberthal | IL | Tzur Igal | 2014-03-13 / 20140068915 - DEVICE AND METHOD FOR ATTACHING A TAG TO A TOOL | 4 |
Reuven Halberthal | IL | Tel Aviv | 2012-08-23 / 20120212330 - ANTENNA, APPARATUS AND METHOD FOR IDENTIFYING AND TRACKING MULTIPLE ITEMS | 2 |
Reinier Halbertsma | CA | Ottawa | 2009-09-03 / 20090217617 - Panel Building Component and Building Shelter | 1 |
Efrat Halbfinger | IL | Raanana | 2013-06-13 / 20130150352 - CRYSTALLINE FORMS OF THE TRI-MESYLATE SALT OF PERPHENAZINE-GABA AND PROCESS OF PRODUCING THE SAME | 2 |
Remo Halbheer | CH | Hinwil | 2012-12-20 / 20120318044 - DENSITY MONITOR | 1 |
Michael Halbherr | DE | Berlin | 2015-01-22 / 20150025793 - ROUTE SELECTION BY DRAG AND DROP | 4 |
Axel Halbherr | DE | Ludwigshafen | 2014-10-16 / 20140305074 - Molding Containers | 5 |
Annett Halbhuber | DE | Scheinfeld | 2014-09-11 / 20140256859 - WOOD MATERIAL PRODUCT AND METHOD FOR THE PRODUCTION THEREOF | 3 |
Thomas Halbig | DE | Dorfen | 2016-03-03 / 20160064838 - ELECTRONIC COMPONENT | 1 |
Siegfried Halbig | DE | Bubenreuth | 2015-11-26 / 20150340111 - DEVICE FOR DETECTING UNAUTHORIZED MANIPULATIONS OF THE SYSTEM STATE OF AN OPEN-LOOP AND CLOSED-LOOP CONTROL UNIT AND A NUCLEAR PLANT HAVING THE DEVICE | 1 |
Daniel Matthew Halbig | US | Ballston Lake | 2014-12-25 / 20140377623 - ENERGY STORAGE DEVICE ASSEMBLY | 3 |
Michael Halbig | DE | Greding | 2009-04-16 / 20090096270 - VEHICLE SEAT ASSEMBLY HAVING WALK-IN AND FOLD-FLAT FEATURES | 1 |
Gerhard Halbig | DE | Sulzthal | 2012-03-22 / 20120066877 - EXTRACTOR TOOL FOR BEARING RINGS | 1 |
Gautier Halbin | FR | Fontenilles | 2015-10-01 / 20150272648 - SYSTEM FOR ATTACHING A PIECE OF OSTEOSYNTHESIS EQUIPMENT | 3 |
Alexander J. Halbleib | US | Saint Joseph | 2015-12-10 / 20150354124 - APPLIANCE AND METHOD FOR REMOVING NOISE FROM A MOTOR CHARACTERISTIC SIGNAL IN A LAUNDRY TREATING APPLIANCE | 1 |
Hansjorg Halbo | DE | Maxhutte-Haidhof | 2011-03-17 / 20110061993 - DEVICE FOR TRANSPORTING OBJECTS | 1 |
Hansjoerg Halbo | DE | Maxhuette-Haidhof | 2008-09-18 / 20080224362 - DEVICE FOR TREATING CONTAINERS | 1 |
Elodie Halbot | US | Lansing | 2014-07-10 / 20140194567 - INTERPENETRATING POLYMER NETWORKS DERIVED FROM SILYLATED TRIGLYCERIDE OILS AND POLYSILOXANES | 1 |
Eran Halbraich | IL | Bet Zayit | 2009-05-07 / 20090116476 - METHOD FOR FORWARDING AND STORING SESSION PACKETS ACCORDING TO PRESET AND/OR DYNAMIC RULES | 3 |
Hubert Halbritter | DE | Dietfurt | 2015-10-22 / 20150301176 - OPTOELECTRONIC APPARATUS | 6 |
Lars Halbritter | DE | Lohne | 2016-03-17 / 20160075520 - DOSING APPARATUS | 3 |
Mark Halbur | US | Mundelein | 2015-03-26 / 20150089380 - COMPONENT SPECIFYING AND SELECTION APPARATUS AND METHOD USING INTELLIGENT GRAPHIC TYPE SELECTION INTERFACE | 2 |
Ted C. Halbur | US | Lino Lakes | 2014-10-02 / 20140291408 - FINANCIAL TRANSACTION PRODUCT WITH ELECTRICAL ASSEMBLY AND FACE PANEL | 34 |
Mark James Halbur | US | Kalispell | 2010-02-25 / 20100043770 - ROCK CUTTING AND TRIMMING DEVICE | 1 |
Ted C. Halbur | US | Minneapolis | 2011-12-29 / 20110320350 - TRANSACTION PRODUCT WITH A PLURALITY OF CARDS | 1 |
Patrick G. Halbur | US | Ames | 2016-05-12 / 20160129103 - CHIMERIC INFECTIOUS DNA CLONES, CHIMERIC PORCINE CIRCOVIRUSES AND USES THEREOF | 4 |
Doug Halbur | US | Glidden | 2014-07-03 / 20140182133 - THREE PIECE LIFT ARM APPARATUS AND METHOD | 2 |
Heidrun Halbwirth | AT | Vienna | 2011-03-03 / 20110055980 - Chalcone 3-Hydroxylase | 1 |
Soren Halbye | DK | Vaerlose | 2008-11-06 / 20080274999 - Hyaluronic Acid Fraction with Moisturizing and Anti-Wrinkle Properties | 1 |
Theodore Halchak | US | Upper Montclair | 2009-07-30 / 20090192237 - Low scorching flame retardants for polyurethane foams | 2 |
Judy D. Halchin | US | Cupertino | 2012-11-22 / 20120296744 - CUSTOM STORES | 3 |
David Halchin | US | Summerfield | 2016-03-24 / 20160087593 - Scalable Periphery Tunable Matching Power Amplifier | 7 |
Judy Halchin | US | Cupertino | 2014-10-16 / 20140310821 - ELECTRONIC SINGLE ACTION SOFTWARE DISTRIBUTION | 2 |
Lance Halcom | US | Coppell | 2015-10-22 / 20150298803 - ADJUSTABLE SCISSOR CONTROL LINK | 3 |
Randall Halcomb | US | Foster City | 2014-02-06 / 20140039021 - ANTIVIRAL COMPOUNDS | 2 |
Randal L. Halcomb | US | Foster City | 2014-10-23 / 20140316132 - MODULATORS OF TOLL-LIKE RECEPTORS | 1 |
Randall L. Halcomb | US | Forster City | 2013-03-21 / 20130071354 - MODULATORS OF TOLL-LIKE RECEPTORS | 1 |
Randall L. Halcomb | US | Foster City | 2016-03-24 / 20160083368 - THERAPEUTIC COMPOUNDS | 27 |
Randall L. Halcomb | US | Foster City | 2016-03-24 / 20160083368 - THERAPEUTIC COMPOUNDS | 27 |
Michael Austin Halcrow | US | Pflugerville | 2010-02-04 / 20100025466 - Method and System for Verifying Election Results | 4 |
Michael A. Halcrow | US | Pflugerville | 2012-05-24 / 20120130977 - DYNAMIC LANGUAGE CHECKING | 8 |
Michael A. Halcrow | US | Pflugerville | 2012-05-24 / 20120130977 - DYNAMIC LANGUAGE CHECKING | 8 |
Bruno H. Hald | US | Castle Rock | 2013-12-05 / 20130325167 - METHOD FOR MOVER TRANSITION IN AN AUTOMATED MEDIA LIBRARY | 1 |
Hermann Hald | DE | Weissach | 2011-10-20 / 20110253344 - Protective structure | 2 |
John Hald | DK | Graested | 2010-04-15 / 20100089501 - Martensitic Creep Resistant Steel Strengthened by Z-Phase | 1 |
Flemming Hald | DK | Hadsten | 2015-04-30 / 20150116128 - CONSUMPTION METER WITH REMOTE PROGRAM UPDATE | 1 |
Martin Hald | US | West Linn | 2012-07-12 / 20120179639 - Automated Metadata Generation of Learning and Knowledge Objects | 2 |
Markus Hald | DE | Jagstzell | 2015-08-06 / 20150221913 - Device for Increasing Safety when using Battery Systems | 3 |
Martin Hald | US | Irvine | 2014-08-21 / 20140237571 - SHARED INTERNET STORAGE RESOURCE, USER INTERFACE SYSTEM, AND METHOD | 2 |
David Hald | DK | Greve | 2011-12-08 / 20110302641 - METHOD AND SYSTEM PROTECTING AGAINST IDENTITY THEFT OR REPLICATION ABUSE | 1 |
Jacob Hald | DK | Birkerod | 2011-11-24 / 20110286977 - G6PC2-Encoded Beta Cell Surface Tags | 3 |
Christian Hald | DE | Mannheim | 2009-10-01 / 20090248473 - Managing Consistent Interfaces for Business Objects Across Heterogeneous Systems | 1 |
Rainer Hald | DE | Ellwangen | 2014-04-17 / 20140103882 - EMERGENCY SYSTEM FOR POWER FAILURES | 5 |
Jorn Winther Hald | DK | Struer | 2010-12-09 / 20100312195 - AUTO INJECTOR WITH AUTOMATIC NEEDLE RETRACTION | 1 |
Jørn Winther Hald | DK | Struer | 2011-09-15 / 20110224621 - AUTO INJECTOR WITH CHANGING ANCHOR LOCATIONS FOR A MECHANICAL DRIVER | 2 |
Karin Hald | NO | Oslo | 2014-09-18 / 20140271433 - Method for Improving the Reaction Rate in Gas Hydrate Formation Processes | 1 |
Jorgen Hald | DK | Fredensborg | 2011-07-07 / 20110164466 - Reconstructing an Acoustic Field | 1 |
Jørgen Hald | DK | Fredensborg | 2014-10-23 / 20140313859 - COMPUTATIONALLY EFFICIENT BROADBAND FILTER-AND-SUM ARRAY FOCUSING | 2 |
Steffen Hald | DE | Schwaikheim | 2011-09-29 / 20110238932 - CONTROLLER AND METHOD FOR OPERATING A CONTROLLER, COMPUTER PROGRAM, COMPUTER PROGRAM PRODUCT | 1 |
Gautam S. Haldankar | US | Louisville | 2015-12-31 / 20150376472 - METHODS FOR PRODUCING CROSSLINKABLE OLIGOMERS | 2 |
Hrishikesh Haldankar | US | Fairborn | 2011-02-03 / 20110026791 - SYSTEMS, COMPUTER-READABLE MEDIA, AND METHODS FOR CLASSIFYING AND DISPLAYING BREAST DENSITY | 1 |
Raj Haldankar | US | Redwood City | 2016-05-12 / 20160129082 - COMPOSITIONS AND METHODS OF USE FOR TREATING METABOLIC DISORDERS | 4 |
Pranab Haldar | IN | Nutanbazar | 2014-12-04 / 20140357863 - PROCESS FOR PREPARATION OF PURE LINAGLIPTIN | 1 |
Kasturi Haldar | US | South Bend | 2009-05-28 / 20090136529 - Compositions And Methods For Treatment, Research And Therapeutic Applications For Malaria | 1 |
Saptarsi M. Haldar | US | Pepper Pike | 2016-04-07 / 20160095867 - BET INHIBITION THERAPY FOR HEART DISEASE | 1 |
Kasturi Haldar | US | Chicago | 2015-12-17 / 20150359762 - COMPOSITION AND METHOD FOR THE TREATMENT OF NEUROLOGICAL DISEASES AND CEREBRAL INJURY | 2 |
Anifuddha Haldar | IN | Kolkata | 2011-09-08 / 20110219161 - SYSTEM AND METHOD FOR PROVIDING ADDRESS DECODE AND VIRTUAL FUNCTION (VF) MIGRATION SUPPORT IN A PERIPHERAL COMPONENT INTERCONNECT EXPRESS (PCIE) MULTI-ROOT INPUT/OUTPUT VIRTUALIZATION (IOV) ENVIRONMENT | 1 |
Jayanta Haldar | IN | Bangalore | 2015-11-19 / 20150329478 - ANTIMICROBIAL COMPOUNDS, THEIR SYNTHESIS AND APPLICATIONS THEREOF | 3 |
Debashis Haldar | US | Olathe | 2014-01-16 / 20140018074 - NOTIFYING A WIRELESS COMMUNICATION SYSTEM ABOUT PREVIOUSLY REGISTERED WIRELESS COMMUNICATION SYSTEMS | 3 |
Rama Haldar | US | Randolph | 2010-04-29 / 20100104656 - Meltable Binder for Melt Granulation and/or Pelletization | 1 |
Jayanta Haldar | US | Belmont | 2010-06-03 / 20100136072 - Polymeric Coatings that Inactivate Viruses and Bacteria | 1 |
Justin P. Haldar | US | Los Angeles | 2014-07-31 / 20140210474 - LINEAR TRANSFORM FOR DIFFUSION MRI | 1 |
Sabyasachi Haldar | IN | Kolkata | 2013-04-25 / 20130098655 - NEW FREE ELECTRON WIRE | 1 |
Anirudh Haldar | IN | Kolkata | 2009-10-01 / 20090248973 - System and method for providing address decode and virtual function (VF) migration support in a peripheral component interconnect express (PCIE) multi-root input/output virtualization (IOV) environment | 1 |
Malay Haldar | US | Salt Lake City | 2011-03-10 / 20110061116 - Animal Model of Synovial Sarcoma | 1 |
Amrita Haldar | US | Houston | 2015-03-05 / 20150064340 - FIXED AND PORTABLE COATING APPARATUSES AND METHODS | 4 |
Amlan Haldar | US | Santa Clara | 2014-01-23 / 20140025493 - CUSTOM RETARGETING DESCRIPTION LANGUAGE | 1 |
Malay Haldar | US | Foster City | 2014-09-18 / 20140282260 - GENERATING AN IMAGE STREAM | 1 |
Aniruddha Haldar | IN | West Bengal | 2013-02-21 / 20130044796 - HARDWARE-BASED DATA EYE TRAINING FOR HIGH SPEED LINKS | 1 |
Manas K. Haldar | US | Fargo | 2016-01-07 / 20160000724 - CONTROLLED RELEASE NANOPARTICLES AND METHODS OF USE | 5 |
Arindam Haldar | IN | Bangalore | 2015-12-24 / 20150367431 - Power Tool, Such as a Saw Device, with Detection System | 3 |
Pranab Haldar | IN | Midnapur (west) | 2011-10-13 / 20110250454 - PREPARATION OF NEBIVOLOL | 1 |
Tathagata Haldar | US | Pottstown | 2009-02-05 / 20090037242 - System for Monitoring Periodic Processing of Business Related Data | 1 |
Jayanta Haldar | US | South Boston | 2009-03-26 / 20090081249 - Bi-Functional Polymer-Attached Inhibitors of Influenza Virus | 1 |
Rama Krishna Haldar | US | Randolph | 2012-07-12 / 20120178822 - Co-Processed Excipient Compositions | 1 |
Soumya Haldar | IN | Gujarat | 2015-11-19 / 20150328592 - THIN FILM COMPOSITE RESERVE OSMOSIS MEMBRANE WITH ANTIFOULING PROPERTIES AND METHOD OF PREPARATION OF THE SAME | 1 |
Aniruddha Haldar | IN | Kolkata | / - | 1 |
Andrew Haldeman | US | Fort Worth | 2014-09-18 / 20140271222 - Composite Rotor System Using Two Race Track Style Cantilevered Yokes | 4 |
Charles W. Haldeman | US | Simsbury | 2015-06-11 / 20150160097 - LONG WAVE INFRARED SENSING FOR TURBOMACHINE | 2 |
Randolph M. Haldeman | US | Menlo Park | 2010-12-09 / 20100312640 - Call-Based Advertising | 4 |
George S. Haldeman | US | Melrose | 2013-03-28 / 20130077096 - METHOD AND APPARATUS FOR MEASURING A POSITION OF A PARTICLE IN A FLOW | 2 |
Joshua Haldeman | US | Northampton | 2015-09-17 / 20150260428 - POOL WATER HEATER | 1 |
Steven Vincent Haldeman | US | Hampden | 2009-12-03 / 20090293952 - Thin Film Photovoltaic Module | 2 |
Kurt P. Haldeman | US | Hiawatha | 2010-02-04 / 20100027765 - METHOD AND SYSTEM FOR PROVIDING ASSISTED COMMUNICATIONS | 1 |
Gina Karlin Haldeman | US | Alexandria | 2013-10-31 / 20130290025 - SOFTWARE AND METHODS FOR ORGANIZING HEALTH DATA | 1 |
Jay Haldeman | US | Greensboro | 2014-12-11 / 20140364280 - PADDLING TRAINING DEVICE AND BOARD | 1 |
Paul Haldeman | US | Murrietta | 2011-04-21 / 20110090072 - Auxiliary tow lighting with versatile gripping apparatus and method | 1 |
Steven V. Haldeman | US | Hampden | 2014-12-11 / 20140360582 - THIN FILM PHOTOVOLTAIC MODULE WITH STABILIZED POLYMER | 1 |
Betty A. Haldeman | US | Seattle | 2013-06-06 / 20130142801 - ANTIBODY TO HUMAN ZCYTO-10 POLYPEPTIDE | 12 |
Lance Haldeman | US | Somerset | 2015-02-05 / 20150039484 - METHOD AND APPARATUS FOR MANAGING FINANCIAL CONTROL VALIDATION PROCESSES | 1 |
Paul C. Haldeman | US | Murrieta | 2011-01-13 / 20110009878 - CARDIAC LEAD AND STYLET ASSEMBLY | 1 |
Ross George Haldeman | US | Albuquerque | 2013-03-14 / 20130065723 - Regulating the work output speed of an infinitely variable transmission using the rotational resistance created by a high-voltage alternator/generator mechanically connected to a secondary output shaft or gear from the IVT to restrain its rotation | 3 |
Stephen Vincent Haldeman | US | Hampden | 2014-02-13 / 20140044978 - MULTIPLE LAYER GLAZING BILAYER COMPRISING CESIUM TUNGSTEN OXIDE | 1 |
Betty A. Haldeman | US | Seattle | 2013-06-06 / 20130142801 - ANTIBODY TO HUMAN ZCYTO-10 POLYPEPTIDE | 12 |
Johann Haldemann | CH | Birr | 2015-04-30 / 20150114676 - CONDUCTOR BAR WITH MULTI-STRAND CONDUCTOR ELEMENT | 8 |
Johann Haldemann | CH | Birr | 2015-04-30 / 20150114676 - CONDUCTOR BAR WITH MULTI-STRAND CONDUCTOR ELEMENT | 8 |
Peter Haldemann | CH | Rothrist | 2014-04-17 / 20140107259 - PROCESS FOR MANUFACTURING A SURFACE-TREATED COMPACTED MATERIAL PROCESSABLE ON A SINGLE SCREW PLASTICS CONVERSION EQUIPMENT | 3 |
Sterling Halden | US | San Carlos | 2014-06-19 / 20140172001 - TWO-STAGE DEPLOYMENT ANEURYSM EMBOLIZATION DEVICES | 1 |
Rolf U. Halden | US | Phoenix | 2015-01-08 / 20150010945 - METHODS AND SYSTEMS FOR TRACKING BIOREMEDIATION PROCESSES | 8 |
Jonas Halden | CH | Winterthur | 2010-01-14 / 20100009044 - A READY-FOR-USE BAKERY DOUGH PRODUCT | 1 |
David Halden | GB | Hertfordshire | 2010-05-06 / 20100107844 - Automated Hole Punch | 1 |
Rolf U. Halden | US | Phoenix | 2015-01-08 / 20150010945 - METHODS AND SYSTEMS FOR TRACKING BIOREMEDIATION PROCESSES | 8 |
Jonas Halden | US | Aurora | 2015-10-08 / 20150282514 - POWDER FOR BROWNING FOOD SURFACES | 2 |
Karl Halden | US | Sunnyvale | 2016-04-07 / 20160095689 - IVC FILTER RETRIEVAL SYSTEMS WITH MULTIPLE CAPTURE MODES | 2 |
Rolf U. Halden | US | Tempe | 2015-03-26 / 20150087551 - METHODS AND SYSTEMS FOR SAMPLING, SCREENING, AND DIAGNOSIS | 3 |
David K. Halden | GB | Hertfordshire | 2012-09-13 / 20120230747 - PRINTING DEVICE INTERNAL LIGHTING | 2 |
Karl S. Halden | US | San Carlos | 2013-08-15 / 20130211495 - INTERFERENCE-RELIEF TYPE DELIVERY DETACHMENT SYSTEMS | 2 |
Rolf Halden | US | Phoenix | 2016-02-11 / 20160041138 - Methods for Monitoring Airborne Contaminants and Agents using Atmospheric Condensate | 1 |
Stefan Halder | DE | Achstetten-Bronnen | 2012-05-31 / 20120134740 - STOP SCREW HAVING A STOP BUFFER PART | 1 |
Amit Halder | US | Ithaca | 2015-12-10 / 20150352748 - METHOD AND SYSTEM FOR CRACK-FREE DRYING OF HIGH STRENGTH SKIN ON A POROUS CERAMIC BODY | 12 |
Bibhradjit Halder | US | Peoria | 2014-05-15 / 20140136020 - Worksite Position Control System Having Integrity Checking | 1 |
Sabuj Halder | US | Tonawanda | 2015-08-06 / 20150218470 - HOT OXYGEN NOZZLE AND USES THEREOF IN GASIFIERS | 1 |
Kamlesh Halder | IN | Bangalore | 2015-08-27 / 20150245189 - PERSONAL SAFETY AND EMERGENCY SERVICES | 2 |
Arthur Halder | DE | Ostrach | 2010-04-15 / 20100092274 - TRANSPORT SYSTEM | 1 |
Sandip Halder | BE | Heverlee | 2012-12-13 / 20120315712 - Method for Detecting Embedded Voids in a Semiconductor Substrate | 1 |
Santanu Halder | IN | Canning Town | 2011-04-28 / 20110099217 - Method and System for Determining a Quotient Value | 1 |
Thomas Halder | DE | Munchen | 2016-02-25 / 20160052014 - Rotary Coater and Device for the Generative Production of an Object Using the Rotary Coater | 2 |
Mithun Kumar Halder | IN | Kolkata | 2015-06-25 / 20150180743 - SYSTEM AND METHOD FOR REPLAYING NETWORK CAPTURES | 1 |
Stefan Halder | DE | Laupheim | 2009-03-26 / 20090078090 - Recoilles Hammer | 1 |
Prosun Halder | IN | Kolkata | 2016-05-12 / 20160130074 - PACKET FOR HOLDING SUBSTANTIALLY ELONGATED ARTICLES SUCH AS CIGARETTES | 1 |
Amit Halder | US | Painted Post | 2015-09-10 / 20150251335 - HONEYCOMB STRUCTURE COMPRISING A MULTILAYER CEMENT SKIN | 2 |
Amit Halder | US | Ithaca | 2015-12-10 / 20150352748 - METHOD AND SYSTEM FOR CRACK-FREE DRYING OF HIGH STRENGTH SKIN ON A POROUS CERAMIC BODY | 12 |
Sabuj Halder | US | Monroeville | 2013-06-27 / 20130160606 - CONTROLLABLE SOLIDS INJECTION | 1 |
Arindam Halder | IN | Baroda | 2015-10-15 / 20150290216 - OPHTHALMIC COMPOSITION COMPRISING A PROSTAGLANDIN | 4 |
Sandip Halder | BE | Leuven | 2012-04-19 / 20120094401 - METHODS OF PROCESSING AND INSPECTING SEMICONDUCTOR SUBSTRATES | 2 |
Prosun Halder | IN | Kolkata, State Of West Bengal | 2016-02-25 / 20160052701 - ROLLING BUNDLING MECHANISM FOR AUTOMATIC OPENING AND CLOSING | 1 |
Santanu Halder | IN | West Bengal | 2011-04-28 / 20110099215 - SYSTEM AND METHOD TO IDENTIFY A MEDIAN VALUE | 1 |
Ernst Halder | DE | Stuttgart | 2014-11-27 / 20140347035 - METHOD FOR MEASURING THE FREQUENCY OF AN ELECTRICAL SIGNAL AND AN ELECTRICAL MEASURING SYSTEM | 2 |
Subrata Halder | US | Piscataway | 2011-01-13 / 20110006847 - HIGH-VOLTAGE IMPULSE AMPLIFIER | 1 |
Vivek Halder | US | Cupertino | 2015-07-16 / 20150199966 - Crowd Sourcing Audio Transcription Via Re-Speaking | 1 |
Thomas Martin Halder | BR | Camacari, Ba | 2015-03-05 / 20150064760 - MODIFIED MICROORGANISM AND METHODS OF USING SAME FOR PRODUCING BUTADIENE AND 1-PROPANOL AND/OR 1,2-PROPANEDIOL | 1 |
Thomas Halder | DE | Munich | 2012-10-25 / 20120267813 - Device For A Layerwise Manufacturing Of A Three-Dimensional Object And Method For Supplying A Building Material | 1 |
Dipl.-Ing. Ernst Halder | DE | Renningen | 2012-01-05 / 20120001792 - Measuring device with a measuring section and a reference section | 1 |
Thomas Martin Halder | BR | Camacari | 2015-03-05 / 20150064759 - MODIFIED MICROORGANISM AND METHODS OF USING SAME FOR PRODUCING 2-PROPANOL AND1-PROPANOL AND/OR 1,2-PROPANEDIOL | 1 |
Bibhrajit Halder | US | Peoria | 2014-10-30 / 20140324300 - POSITION IDENTIFICATION SYSTEM WITH MULTIPLE CROSS-CHECKS | 6 |
Abbas Halder Ali | US | Rockville | 2013-02-21 / 20130046887 - NETWORK CAPACITY PLANNING FOR MULTIPLE INSTANCES OF AN APPLICATION | 1 |
Jonathan D. Halderman | US | Sunnyvale | 2016-03-10 / 20160072585 - Method Of Creating An Optical Link Among Devices | 2 |
Betty A. Halderman | US | Seattle | 2011-03-03 / 20110054148 - ANTIBODIES TO INTERFERON-LIKE PROTEIN ZCYTO21(IL-29) | 1 |
Jonathan D. Halderman | US | Santa Clara | 2014-09-11 / 20140255934 - METHOD AND DEVICE FOR IDENTIFICATION OF NUCLEATED RED BLOOD CELLS FROM A MATERNAL BLOOD SAMPLE | 7 |
Charles W. Halderman | US | Simsbury | 2015-06-25 / 20150176502 - METHOD OF CONTROLLING A GAS TURBINE ENGINE USING REAL-TIME COMPONENT TEMPERATURE DATA | 1 |
Ronald G. Halderman | US | Billings | 2012-07-26 / 20120186881 - DRILLING FLUID RECOVERY WHEN DRILLING UNDER AN OBSTACLE OR WATER BODY | 2 |
J. Alex Halderman | US | Princeton | 2012-03-15 / 20120066494 - Controlling Download and Playback of Media Content | 2 |
Jonathan Halderman | US | Santa Clara | 2012-08-23 / 20120211477 - METHOD AND APPARATUS FOR IMPROVED LASER SCRIBING OF OPTO-ELECTRIC DEVICES | 3 |
Jason N. Haldiman | US | Sugar Land | 2009-12-17 / 20090308813 - Non-recirculating, self-sanitizing carbon filter system | 1 |
Luc Haldimann | CH | Pratteln | 2011-10-13 / 20110252305 - CAPTURING THE VISUAL CONTENT OF BROWSER WINDOWS | 2 |
Adrian Haldimann | CH | Jegenstorf | 2009-01-22 / 20090021328 - MICROWAVE UNIT | 1 |
Niklaus Haldimann | US | New York | 2015-12-03 / 20150350608 - SYSTEM AND METHOD FOR ACTIVITY MONITORING USING VIDEO DATA | 3 |
Nadja Haldimann | US | Seattle | 2013-06-06 / 20130145327 - Interfaces for Displaying an Intersection Space | 1 |
Murielle Haldimann Sanchez | FR | Valleiry | 2012-01-12 / 20120010114 - ACETALS AS PERFUMING INGREDIENTS | 1 |
Kurt Haldin | US | New Milford | 2009-04-09 / 20090090037 - Product display device | 1 |
Thorvald Haldin | FI | Kuni | 2011-08-25 / 20110203379 - PRESSURE COMPENSATOR | 1 |
David Haldin | CA | Maple Ridge | 2012-12-06 / 20120304523 - FISH LURE | 1 |
Ike Iaokim Haldopoulos | US | Fairburn | 2008-08-21 / 20080197065 - Sintered polymeric materials and applications thereof | 1 |
Dean Haldopoulos | US | Atlanta | 2011-08-18 / 20110198301 - Modular Filter Assembly | 2 |
Ike Haldopoulos | US | Fairburn | 2009-01-22 / 20090019955 - Disposable Pipette and Methods for Making and Using the Same | 1 |
Jakob Brandt Utne Haldorsen | NO | Nesbru | / - | 1 |
Ronny Haldorsen | NO | Oslo | 2012-10-18 / 20120263541 - SUBSEA STRUCTURE FOR PIPE ASSEMBLIES | 1 |
Rolf Haldorsen | NO | Rubbestadneset | 2015-10-22 / 20150298936 - Reel With Replaceable Drum and a Method for Using Same | 2 |
Jakob Brandt Utne Haldorsen | US | Somerville | 2011-03-03 / 20110051552 - METHODS AND APPARATUS TO CALCULATE A DISTANCE FROM A BOREHOLE TO A BOUNDARY OF AN ANISOTROPIC SUBTERRANEAN ROCK LAYER | 5 |
Jakob B. U. Haldorsen | NO | Hvalstad | 2015-11-19 / 20150331134 - DECOMPOSING FULL-WAVEFORM SONIC DATA INTO PROPAGATING WAVES FOR CHARACTERIZING A WELLBORE AND ITS IMMEDIATE SURROUNDINGS | 1 |
Jakob Brandt Utne Haldorsen | NO | Hvalstad | 2012-12-06 / 20120307592 - Methods and Apparatus to Calculate A Distance from A Borehole to A Boundary of An Anisotropic Subterranean Rock Layer | 1 |
Hans Arne Haldorsen | NO | Darbu | 2009-01-15 / 20090015001 - COMBINATION POSTCARD AND CROSSWORD PUZZLE | 1 |
Jakob B. U. Haldorsen | NO | Nesbru | 2012-01-12 / 20120008459 - SIMULTANEOUS OR NEAR-SIMULTANEOUS ACQUISITION FOR BOREHOLE SEISMIC | 1 |
Henrik Dishington Haldorsen | NO | Oslo | 2015-02-26 / 20150053281 - DLC-COATED GATE VALVE IN PETROLEUM PRODUCTION OR WATER INJECTION | 1 |
Jakob Haldorsen | NO | Nesbru | 2011-10-20 / 20110255370 - METHODS AND APPARATUS TO IMAGE SUBSURFACE FORMATION FEATURES | 1 |
Heikki Haldre | EE | Tallinn | 2010-03-18 / 20100070384 - METHOD AND SYSTEM FOR CUSTOM TAILORING AND RETAIL SALE OF CLOTHING | 1 |
Christa Haldrup | DK | Norre Snede | 2015-06-04 / 20150152507 - BIOMARKERS FOR PROSTATE CANCER | 1 |
Daniel Hale | US | Houston | 2016-04-14 / 20160103457 - Method and Apparatus For Monitoring and Troubleshooting Of HVAC Equipment | 1 |
Brian R. Hale | US | Lake Mills | 2011-05-12 / 20110108438 - Electrochemical Liquid Treatment System Using Dose Control | 3 |
Eric C. Hale | US | San Francisco | 2014-04-17 / 20140103084 - Pulley Camera Strap And Camera Mounting System Using Same | 5 |
Joseph E. Hale | US | Lake Elmo | 2013-12-05 / 20130324970 - TREATMENT AND PLACEMENT DEVICE FOR SINUSITIS APPLICATIONS | 2 |
Mark Andrew Hale | US | San Jose | 2009-08-20 / 20090209197 - System and method for correlating multi-format, omni-directional communications | 1 |
Christopher Hale | US | Foster City | 2015-12-24 / 20150368264 - SYNTHESIS OF POLYCYCLIC-CARBAMOYLPYRIDONE COMPOUNDS | 1 |
Ryan Hale | US | Brooklyn | 2011-04-21 / 20110089176 - Tote Box Seal | 2 |
Michael Hale | US | North Andover | 2015-10-08 / 20150288645 - SYNCHRONIZED STORY-CENTRIC MEDIA DISTRIBUTION | 1 |
Jonathan Michael Hale | GB | Swansea South Wales | 2014-07-17 / 20140201515 - Imaging process | 1 |
Jeffrey Wayne Hale | US | Hickory | / - | 1 |
Kelly S. Hale | US | Oviedo | 2010-12-30 / 20100328051 - Method And System For the Presentation Of Information Via The Tactile Sense | 2 |
Gideon Matthew Hale | GB | Basingstoke | 2011-07-21 / 20110178783 - Image processing system for use with a patient positioning device | 1 |
Nathan Hale | US | Mt. Pleasant | 2010-04-15 / 20100091058 - HEAT ACTIVATED PRINTING PROCESS | 2 |
Rosemarie Hale | US | Lansing | 2014-07-24 / 20140207112 - HYGIENE BOTTLE | 1 |
Geoff Hale | GB | Oxford | 2011-01-13 / 20110008330 - Compositions and methods of tolerizing a primate to an antigen | 1 |
Eric Lawrence Hale | US | Altadena | 2011-09-22 / 20110230710 - Method For Using Variable Direction Of View Endoscopy In Conjunction With Image Guided Surgical Systems | 3 |
Tristan C. Hale | US | Somerville | 2013-07-04 / 20130174014 - SYSTEMS AND METHODS FOR CONFIGURING A MOBILE-OPTIMIZED WEBSITE | 2 |
Michael Robin Hale | US | Bedford | 2010-05-20 / 20100124543 - SULPHONAMIDE DERIVATIVES AS PRODRUGS OF ASPARTYL PROTEASE INHIBITORS | 1 |
Christopher J. Hale | US | El Cerrito | 2009-10-22 / 20090265811 - MAIZE PLANTS WITH REDUCED GENE SILENCING | 1 |
Elizabeth Hale | US | Drexel Hill | 2008-09-11 / 20080220322 - UNIVERSAL BATTERY MOUNT | 1 |
Nancy C. Hale | US | Oklahoma City | 2008-09-18 / 20080228491 - Positive affirmation bear | 2 |
Anthony Shane Hale | US | Plymouth | 2015-08-20 / 20150233505 - ENGINE FLUID LINE WITH FLEXIBLE JOINT | 1 |
Bryson W. Hale | US | St. Petersburg | 2014-01-09 / 20140012908 - VERIFICATION-BASED ACCESS TO FEATURES IN A BUSINESS CONTEXT-BASED SOCIAL NETWORK | 1 |
Beau Hale | US | St. Thomas | 2015-12-03 / 20150348208 - TRANSACTION MATCHING | 3 |
C. David Hale | US | Wilmington | 2014-06-26 / 20140173840 - MOTORIZED DRAIN CLEANING MACHINE | 4 |
Dale Hale | US | Elizabeth City | 2012-11-08 / 20120279667 - TIRE RUN-FLAT REMOVAL AND INSTALLATION MACHINE | 1 |
Curtis Richard Hale | US | Dunwoody | 2008-10-09 / 20080249971 - Process and Heuristic Statistic for Prospect Selection Through Data Mining | 1 |
Charles Allen Hale | US | Madison | 2011-03-31 / 20110072738 - Patent application for an adjustable leveling block system for structures | 1 |
Jeffrey Charles Hale | US | Littleton | 2011-03-17 / 20110066364 - Navigation Device Automated Mode Sensing and Adjustment | 1 |
Thomas Hale | GB | Northampton | 2010-04-29 / 20100101515 - CAM DRIVE | 2 |
Jonathan Michael Hale | GB | Swansea | 2010-04-29 / 20100106685 - METHOD AND SYSTEM FOR DATA SYNCHRONIZATION | 1 |
Thomas Hale | GB | Northamptonshire | 2010-03-18 / 20100064998 - ADJUSTABLE CAMSHAFT WITH A PLANETARY GEAR | 1 |
Elbert Alden Hale | US | Orlando | 2011-03-03 / 20110049061 - METHOD FOR TREATING ODOR IN WASTEWATER | 1 |
Joseph E. Hale | US | Maplewood | 2011-02-03 / 20110029007 - TREATMENT AND PLACEMENT DEVICE FOR SINUSITIS APPLICATIONS | 3 |
Matthew W. Hale | US | Gainesville | 2008-10-16 / 20080250814 - Dehazing a lubes product by integrating an air separation unit with the dehazing process | 1 |
Alan Troy Hale | US | Irvine | 2014-06-19 / 20140166644 - RADIATION-SHIELDING CONTAINER | 1 |
Colin Paul Hale | GB | London | 2014-04-03 / 20140090450 - Test Rig And Method For Simulating And Analyzing Petrochemical Fouling | 1 |
Keith Hale | US | Joshua | 2014-09-18 / 20140277829 - Drive System Power Measurement and Diagnostic System | 4 |
Michael John Hale | GB | Sharnbrook | 2009-07-23 / 20090183515 - Apparatus and method for displaying and dispensing frozen edible products | 1 |
Ryan D. Hale | US | Kent | 2016-03-31 / 20160093222 - AIRCRAFT PERFORMANCE PREDICTIONS | 12 |
Gideon Matthew Hale | GB | Hampshire | 2009-02-26 / 20090052760 - Image processing system for use with a patient positioning device | 1 |
Roger W. Hale | GB | Cambridge | 2012-06-28 / 20120166426 - EXTRACTING AND DISPLAYING COMPACT AND SORTED RESULTS FROM QUERIES OVER UNSTRUCTURED OR SEMI-STRUCTURED TEXT | 3 |
Gideon Hale | GB | London | 2016-05-12 / 20160129283 - METHOD OF CALIBRATION OF A STEREOSCOPIC CAMERA SYSTEM FOR USE WITH A RADIO THERAPY TREATMENT APPARATUS | 3 |
Horace Winston Hale | CH | Degersheim | 2011-10-13 / 20110251647 - METHOD FOR RESURFACING A LUMBAR ARTICULAR FACET | 1 |
Jeffrey Hale | US | Littleton | 2015-03-12 / 20150074725 - HYBRID ELECTRONIC PROGRAMMING GUIDE | 1 |
Daniel Hale | US | Studio City | 2016-03-03 / 20160059006 - CARDIAC LEAD WITH SNAP-LOCK CONSTRUCTION OF INTEGRATED DISTAL TIP ASSEMBLY | 1 |
Ron L. Hale | NM | Sandia Park | 2014-03-06 / 20140066618 - Method Of Forming An Aerosol For Inhalation Delivery | 1 |
Trinity Horton Hale | US | Houston | 2014-05-08 / 20140128641 - Process for Recovering Ethanol | 9 |
J. C. Hale | US | Rancho Santa Margarita | 2010-03-18 / 20100070560 - Implementing a Java Server in a Multiprocessor Environment | 1 |
Michael Hale | US | Fremont | 2013-02-21 / 20130046787 - METHODS AND APPARATUS TO INTERFACE AN APPLICATION TO A DATABASE | 1 |
Guy Alan Hale | US | Danville | 2014-11-27 / 20140350111 - METHODS FOR TREATMENT AND PROPHYLAXIS AGAINST HEMORRHOIDS | 1 |
Shannon Patricia Hale | US | San Francisco | 2013-02-21 / 20130046799 - METHODS AND SYSTEMS FOR DESIGNING AND BUILDING A SCHEMA IN AN ON-DEMAND SERVICES ENVIRONMENT | 1 |
Ron L. Hale | US | Sandra Park | 2011-10-20 / 20110253135 - METAL COORDINATION COMPLEXES OF VOLATILE DRUGS | 1 |
Reuben Hale | US | Oakland | 2016-05-12 / 20160129182 - VIBRATION SENSOR BASED DRUG DELIVERY MONITOR | 1 |
Peter Hale | US | San Francisco | 2015-04-02 / 20150095762 - SYSTEM AND METHOD FOR THE DYNAMIC PROVISIONING OF STATIC CONTENT | 5 |
Mitchell W. Hale | US | Houston | 2013-12-19 / 20130336711 - HIGH TENSILE STRENGTH JOINT FOR CONNECTING RODS AND FITTINGS | 1 |
Jon Hale | US | Alpine | 2016-05-12 / 20160129726 - Handheld All-Terrain Chalker | 1 |
Richard Spencer Hale | US | Austin | 2014-12-11 / 20140365241 - SYSTEM FOR PRE-HOSPITAL PATIENT INFORMATION EXCHANGE AND METHODS OF USING SAME | 1 |
Shannon P. Hale | US | San Francisco | 2014-01-23 / 20140022387 - SPECIFYING SEARCH CRITERIA FOR SEARCHING VIDEO DATA | 2 |
John T. Hale | US | Concord | 2010-08-19 / 20100206732 - Method, Apparatus, and Magnet for Magnetically Treating Fluids | 1 |
Michael Hale | US | Bellevue | 2016-03-03 / 20160063762 - MANAGEMENT OF CONTENT IN A 3D HOLOGRAPHIC ENVIRONMENT | 1 |
Tyler James Hale | US | San Jose | 2015-03-19 / 20150082183 - LOCATION-BASED AND ALTER-EGO QUERIES | 2 |
Eric B. Hale | US | Cleveland | 2016-02-25 / 20160056691 - SELF-CENTERING FOR ENCODER DEVICE | 1 |
Presley Eugene Hale | US | La Puente | 2013-07-25 / 20130191424 - SYSTEM AND METHOD FOR PERFORMING CALCULATIONS USING A PORTABLE ELECTRONIC DEVICE | 2 |
Kevin P. Hale | US | Fort Worth | 2011-08-18 / 20110202366 - SYSTEM AND METHOD FOR PROVIDING TELEPHARMACY SERVICES | 1 |
Daniel Eugene Hale | US | Encinitas | 2013-07-25 / 20130191424 - SYSTEM AND METHOD FOR PERFORMING CALCULATIONS USING A PORTABLE ELECTRONIC DEVICE | 3 |
Sharon Hale | US | Los Angeles | 2013-08-01 / 20130196921 - METHODS FOR THE PREVENTION OR TREATMENT OF NO-REFLOW FOLLOWING ISCHEMIA/REPERFUSION INJURY | 1 |
Charles R. Hale | US | Trumbull | 2011-04-14 / 20110087624 - System and Method for Generating Knowledge Based Radiological Report Information Via Ontology Driven Graphical User Interface | 7 |
Peter Hale | US | Windsor | 2012-02-16 / 20120039744 - GOLD-BASED ALLOY, FREE OF SILVER AND TIN, FOR DENTAL COPINGS OR ABUTMENTS | 2 |
Patrick M. Hale | US | Thomaston | 2010-07-22 / 20100183461 - Gas Booster System and Related Method | 1 |
Eric L. Hale | US | Altadena | 2011-07-21 / 20110175991 - Image Orienting Coupling Assembly | 3 |
Joseph Benjamin Hale | GB | Chilworth | 2013-05-09 / 20130117424 - Computer Device and Method of Providing Configuration Files in a Computer Device | 1 |
Kevin S. Hale | US | Joelton | 2014-04-10 / 20140100888 - Method to Identify Potential Workers Compensation Customers and Mapping Their Location | 1 |
Richard John Hale | GB | Swansea | 2013-01-17 / 20130017013 - CONNECTOR ASSEMBLIES | 1 |
Eric Hale | US | San Francisco | 2012-10-25 / 20120269503 - Miniature Ball Tripod | 1 |
Jeramy Hale | US | Menlo Park | 2012-10-25 / 20120270435 - ELECTRICAL SAFETY PLUG WITH GRIP WINGS FOR ELECTRICAL PLUGS AND DATA CORD PLUGS | 1 |
Molly Ruth Hale | US | Menlo Park | 2012-10-25 / 20120270435 - ELECTRICAL SAFETY PLUG WITH GRIP WINGS FOR ELECTRICAL PLUGS AND DATA CORD PLUGS | 1 |
Kevin Christopher Hale | US | Mount Morris | 2013-12-12 / 20130326949 - Expandable Planting Pot | 1 |
John C. Hale | US | Mcminnville | 2010-12-16 / 20100314496 - ENHANCED AERIAL DELIVERY SYSTEM | 3 |
John Hale | US | Altenburg | 2016-02-04 / 20160034519 - SYSTEM AND METHOD FOR VERIFYING THE CONTENTS OF FORMS RELATIVE TO A SEPARATE DATASET | 1 |
James S. Hale | US | Pittsburgh | 2016-03-17 / 20160075663 - BENZOIMIDAZOL-1,2-YL AMIDES AS Kv7 CHANNEL ACTIVATORS | 2 |
Robert P. Hale | US | Portland | 2009-01-01 / 20090006832 - Method and System for linking Firmware Modules in a Pre-Memory Execution Environment | 1 |
Kelly Hale | US | Dana Point | 2013-12-05 / 20130325467 - SYSTEMS AND METHODS FOR PRESENTING AUDIO MESSAGES | 3 |
Thomas W. Hale | US | Amarillo | 2014-07-03 / 20140187475 - Lantibiotic Compositions and Methods For Preventing or Treating Mastitis | 1 |
Ron L. Hale | US | Sandia Park | 2015-09-24 / 20150265783 - Self-Contained Heating Unit and Drug-Supply Unit Employing Same | 13 |
Ron L. Hale | US | Woodside | 2013-10-24 / 20130276779 - METHOD OF FORMING AN AEROSOL FOR INHALATION DELIVERY | 10 |
Michael D. Hale | US | Raleigh | 2015-12-03 / 20150347602 - POLICY BASED POPULATION OF GENEALOGICAL ARCHIVE DATA | 3 |
Glen G. Hale | US | Easton | 2014-12-04 / 20140356076 - PILE CAP CONNECTORS | 3 |
Bradley Shane Hale | US | Paragould | 2015-08-13 / 20150225001 - CENTER PLATE FOR A RAILWAY CAR AND METHOD OF ASSEMBLING THE SAME | 1 |
Matthew B. Hale | US | Stanford | 2013-03-21 / 20130071860 - METHODS FOR AUTOIMMUNE DISEASE DIAGNOSIS, PROGNOSIS, AND TREATMENT` | 1 |
Layton Hale | US | Castro Valley | 2015-03-19 / 20150076359 - System and Method for Generation of Extreme Ultraviolet Light | 4 |
Troy Hale | US | Goodlettsville | 2014-03-13 / 20140069241 - RING LOCK MANDREL AND RELEASE MECHANISM | 6 |
Gregory Brooks Hale | US | Orlando | 2014-03-06 / 20140062774 - PERFORMING SEAMLESS POSITIONING USING VARIOUS LOCATION TECHNIQUES | 1 |
Michael J. Hale | US | Orlando | 2015-12-03 / 20150345926 - APPARATUS AND METHOD TO COMPENSATE BEARING RUNOUT IN AN ARTICULATED ARM COORDINATE MEASUREMENT MACHINE | 2 |
Sean Hale | US | Muncie | 2013-01-31 / 20130030260 - SYSTEM AND METHOD FOR BIOMETRIC HEALTH RISK ASSESSMENT | 1 |
Jeffrey Benton Hale | US | Smithville | 2010-10-28 / 20100269397 - FISH SET LINE | 1 |
John Hale | US | Englewood | 2008-12-25 / 20080313853 - HANDLE WITH INSERT | 1 |
Joseph Benjamin Hale | GB | Southampton | 2014-02-06 / 20140040863 - DOCUMENTATION GENERATION FOR WEB APIS BASED ON BYTE CODE ANALYSIS | 1 |
Ron Hale | US | Columbus | 2012-08-23 / 20120210980 - ENCLOSURE FOR HIGH PRESSURE FUEL RAIL | 1 |
Scot J. Hale | US | Williston Park | 2011-10-20 / 20110258112 - SYSTEM AND METHOD FOR CHARGING A VEHICLE | 1 |
Patrick Hale | CA | Burlington | 2014-04-17 / 20140103089 - FASTENING TOOL AND METHOD OF OPERATION | 1 |
Timothy Arthur Hale | AU | Claremont | 2012-10-25 / 20120266800 - SPAR MOORING LINE SHARING METHOD AND SYSTEM | 1 |
Wesley Raymond Hale | US | Kingsport | 2012-12-20 / 20120322951 - CLEAR BLENDS OF ALIPHATIC-AROMATIC POLYESTERS AND ALIPHATIC POLYESTERS | 20 |
George H. Hale | US | Arlington | 2009-03-12 / 20090069986 - APPARATUS AND METHOD USING IMAGING IN BUILDING A COTTON MODULE | 2 |
Shannon Hale | US | San Francisco | 2015-05-21 / 20150143248 - APPARATUS AND METHODS FOR PERFORMING AN ACTION ON A DATABASE RECORD | 8 |
Ron L. Hale | US | Woodside | 2013-10-24 / 20130276779 - METHOD OF FORMING AN AEROSOL FOR INHALATION DELIVERY | 10 |
Trinity Hale | US | Houston | 2015-01-29 / 20150031924 - Recovering Ethanol with Sidestreams to Regulate C3+ Alcohols Concentrations | 2 |
Phillip A. Hale | US | Brea | 2012-04-05 / 20120084479 - Modular Digital Presentation Switcher | 1 |
Wayne Hale | US | Uvalde | 2012-03-22 / 20120067228 - COLLAPSIBLE GRILL | 1 |
Jeremy Hale | CA | Nanaimo | 2015-11-12 / 20150327347 - METHOD AND APPARATUS FOR GENERATING AN INFRARED ILLUMINATION BEAM WITH A VARIABLE ILLUMINATION PATTERN | 3 |
Rachel Hale | US | Jacksonville | 2015-02-05 / 20150038969 - Sternal Closure Cerclage, Plate Implant And Instrumentation | 1 |
Shane Hale | US | Jersey Village | 2014-09-18 / 20140260539 - GAS CHROMATOGRAPH WITH IMPROVED OPERATION | 3 |
Robert Hale | CA | Scarborough | 2015-03-05 / 20150059591 - HEAD ASSEMBLY FOR BREWING APPARATUS | 2 |
Wesley Raymond Hale | US | Kingsport | 2012-12-20 / 20120322951 - CLEAR BLENDS OF ALIPHATIC-AROMATIC POLYESTERS AND ALIPHATIC POLYESTERS | 20 |
Leland E. Hale | US | South Colby | 2011-02-03 / 20110029850 - DOCUMENT PROCESSOR AND RE-AGGREGATOR | 1 |
Jason Hale | US | Richmond | 2016-02-11 / 20160041499 - Toner Cartridge for use in an Image Forming Device | 3 |
Scott Hale | US | Beverly Hills | 2012-03-08 / 20120055959 - Handbag shape retainer | 1 |
Susan Jean Hale | US | Brooklyn | 2014-07-31 / 20140209493 - Garment and Accessories Organizer and Shoulder Bag | 1 |
Christopher Hale | US | Mill Valley | 2015-12-24 / 20150373020 - Secure Communications Methods for Use with Entrepreneurial Prediction Systems and Methods | 2 |
Nathan A. Hale | US | Denver | 2015-05-21 / 20150143420 - REMOTE CONTROL AUDIO LINK | 2 |
Weston J. Hale | US | Missoula | 2015-12-24 / 20150366925 - Therapeutic Compounds | 1 |
Jeffrey J. Hale | US | Westfield | 2013-02-14 / 20130040929 - NOVEL PROLYLCARBOXYPEPTIDASE INHIBITORS | 17 |
Robert Hale | US | Atlanta | 2014-04-10 / 20140100870 - Clinical Guidelines Engine | 6 |
Brian M. Hale | US | San Jose | 2015-04-02 / 20150095210 - MERCHANT LOAN MANAGEMENT AND PROCESSING | 2 |
William E. Hale | US | High Point | 2011-10-27 / 20110262759 - LOW PENETRATION LOW FORMALDEHYDE ALKYD COMPOSITION | 1 |
Lonnie Otis Hale | US | Myrtle Beach | 2010-10-28 / 20100269397 - FISH SET LINE | 1 |
William A. Hale | US | Cottonwood | 2009-01-01 / 20090000609 - Cooking grill with electrically driven variable height fire box | 1 |
Jonathan Michael Hale | GB | South Wales | 2011-09-01 / 20110213862 - USER PROFILE SYNCHRONIZATION | 1 |
Samuel Hale | US | Ooltewah | 2015-12-24 / 20150366678 - MODULAR FOREARM | 1 |
David Alan Hale | US | Chillicothe | 2010-06-24 / 20100157502 - System for decoupling a power source from a load | 1 |
David Gordon Hale | AU | Lindfield | 2013-03-28 / 20130079172 - Golf Club | 1 |
David K. Hale | US | Mclean | 2015-02-05 / 20150039278 - SYSTEM AND METHOD FOR AUTOMATED MODEL CALIBRATION, SENSITIVITY ANALYSIS, AND OPTIMIZATION | 1 |
Greg B. Hale | US | Orlando | 2015-09-17 / 20150264419 - STREAMING OF DIGITAL DATA TO A PORTABLE DEVICE | 2 |
Caryn R. Hale | US | Athens | 2014-04-03 / 20140093941 - PROKARYOTIC RNAi-LIKE SYSTEM AND METHODS OF USE | 1 |
Matthew S. Hale | US | Barton | 2009-04-02 / 20090087014 - SKEW/DOUBLEFEED DETECTION IN SCANNED IMAGES | 1 |
Jonathan Michael Hale | GB | Stoke Gifford | 2011-11-17 / 20110283097 - IMAGING PROCESS | 1 |
Brendon David Hale | NZ | Christchurch | 2014-02-13 / 20140042944 - METHOD OR SYSTEM FOR MINIMIZING THE IMPACT OF BACK EMF SAMPLING FOR MOTOR RESISTANCE PROFILING | 1 |
Jonathan Robert Hale | CA | Calgary | 2016-05-19 / 20160138349 - TREATMENT OF HEAVY OIL CUTTINGS FOR DISPOSAL | 2 |
Jason Paul Hale | US | Richmond | 2013-07-04 / 20130170854 - Imaging Unit Having a Collapsible Handle | 1 |
Ron L. Hale | US | Sandia Park | 2015-09-24 / 20150265783 - Self-Contained Heating Unit and Drug-Supply Unit Employing Same | 13 |
Stephen P. Hale | US | Belmont | 2010-06-17 / 20100152099 - MACROCYCLIC COMPOUNDS FOR INHIBITION OF TUMOR NECROSIS FACTOR ALPHA | 1 |
Shane Hale | US | Sharpsburg | 2010-05-06 / 20100114373 - SYSTEMS AND METHODS FOR SCANNING A WORKSPACE VOLUME FOR OBJECTS | 1 |
Jeffrey John Hale | US | Westfield | 2009-02-12 / 20090042954 - 2-(Aryl)Azacyclylmethyl Carboxylates, Sulfonates, Phosphonates, Phosphinates and Heterocycles as S1p Receptor Antagonists | 1 |
David A. Hale | US | Chillicothe | 2009-02-12 / 20090040074 - Configurable keypad | 1 |
Michael W. Hale | US | Ft. Worth | 2013-06-20 / 20130153768 - SYSTEM AND METHOD FOR USING A PORTABLE NEAR IR LED LIGHT SOURCE AND PHOTOGRAMMETRY FOR BORESIGHT HARMONIZATION OF AIRCRAFT AND GROUND VEHICLE COMPONENTS | 1 |
Shane R. Hale | US | Jersey Village | 2015-10-15 / 20150293066 - ONLINE GAS CHROMATOGRAPH OPERATION WITH REDUCED USAGE OF CALIBRATION GAS | 1 |
Anne S. Hale | US | Stockbridge | 2009-02-26 / 20090053830 - Blood Test Kit | 1 |
Stephen Hale | US | Belmont | 2012-09-27 / 20120245040 - METHODS FOR SYNTHESIS OF ENCODED LIBRARIES | 5 |
Michael Wayne Hale | US | Fort Worth | 2012-12-20 / 20120317773 - DYNAMIC REAL-TIME BORESIGHTING SYSTEM AND METHOD | 1 |
David Peter Hale | US | Tuscaloosa | 2009-03-12 / 20090069953 - ELECTRONIC CONTROL SYSTEM AND ASSOCIATED METHODOLOGY OF DYNAMICALLY CONFORMING A VEHICLE OPERATION | 1 |
Arthur Hale | US | Angelton | 2012-12-20 / 20120318512 - THERMALLY ASSISTED GRAVITY DRAINAGE (TAGD) | 1 |
John C. Hale | US | Southlake | 2009-03-12 / 20090065646 - AERIAL DELIVERY SYSTEM | 1 |
John Chandler Hale | US | Broken Arrow | 2015-09-17 / 20150261958 - COMPLIANCE METHOD FOR A CYBER-PHYSICAL SYSTEM | 1 |
Kathleen Hale | US | San Francisco | 2014-01-30 / 20140032471 - ARTIFICIAL INTELLIGENCE SCRIPT TOOL | 1 |
Michael O. Hale | US | Bellevue | 2014-11-13 / 20140337023 - SPEECH TO TEXT CONVERSION | 1 |
Caryn Hale | US | Athens | 2011-08-04 / 20110189776 - PROKARYOTIC RNAi-LIKE SYSTEM AND METHODS OF USE | 1 |
Mary Jane Hale | US | Sunnyvale | 2010-11-25 / 20100294262 - SOLAR THERMAL COLLECTOR MANIFOLD | 3 |
Mike J. Hale | NL | Hoek | 2011-06-02 / 20110129631 - IGNITION RESISTANT CARBONATE POLYMER COMPOSITION | 1 |
Brian Curtis Hale | US | Syracuse | 2013-11-28 / 20130313358 - Tie Rod Lock | 1 |
Cody Hale | US | Browns Summit | 2013-08-22 / 20130215808 - MULTIPLE PORT RF SWITCH ESD PROTECTION USING SINGLE PROTECTION STRUCTURE | 2 |
Jerry E. Hale | US | Kitts Hill | 2010-10-14 / 20100262061 - Disposable self-contained wound protection device | 1 |
Ryan D. Hale | US | Kent | 2016-03-31 / 20160093222 - AIRCRAFT PERFORMANCE PREDICTIONS | 12 |
Larry Hale | US | Warrenton | 2013-09-19 / 20130240688 - Adjustable Foot for Furniture | 1 |
Robert W. Hale | US | Manassas | 2015-02-12 / 20150047034 - COMPOSITE ANALYSIS OF EXECUTABLE CONTENT ACROSS ENTERPRISE NETWORK | 3 |
James Raymond Hale | US | Katy | 2013-02-21 / 20130043035 - METHOD OF RETROFITTING SUBSEA EQUIPMENT WITH SEPARATION AND BOOSTING | 1 |
Jeffrey S. Hale | US | Lincoln | 2015-12-10 / 20150355029 - Reflective focusing optics | 3 |
Kelly S. Hale | US | Orlando | 2011-09-08 / 20110218953 - DESIGN OF SYSTEMS FOR IMPROVED HUMAN INTERACTION | 1 |
Nathan Hale | US | Denver | 2013-12-26 / 20130342329 - APPARATUS, SYSTEMS AND METHODS FOR PAIRING A CONTROLLED DEVICE WITH AN RF REMOTE CONTROL USING AN RFID TAG | 10 |
Charles Hale | US | New York | 2016-01-07 / 20160005062 - PRODUCT-DISTRIBUTION STATION OBSERVATION, REPORTING AND PROCESSING | 1 |
Brian K. Hale | US | Monroe | 2009-05-07 / 20090113733 - EXTENDABLE PLUMB AND LEVEL MEASURING DEVICE AND ASSOCIATED USAGE METHOD | 1 |
Daniel Hale | US | North Hollywood | 2014-04-03 / 20140094890 - IMPLANTABLE THERAPY LEAD WITH CONDUCTOR CONFIGURATION ENHANCING ABRASION RESISTANCE | 2 |
Dave Hale | US | Berkeley | 2014-01-02 / 20140005994 - WINDOWED SIMULATION IN FLUID FLOWS | 1 |
Lucas R. Hale | US | King George | 2014-08-28 / 20140238734 - Electromagnetic Cloak Using Metal Lens | 1 |
Kevin M. Hale | US | Woodstock | 2011-06-30 / 20110155334 - Use of Modified Inorganic Particles With Deinking | 2 |
Eric C. Hale | US | San Franciso | 2014-04-10 / 20140097306 - Adjustable Mounting Clip And System Using Same | 1 |
Layton Carter Hale | US | Castro Valley | 2013-05-02 / 20130105108 - Heat Removal From Substrates In Vacuum | 1 |
Jeff Hale | US | Spiro | 2010-12-02 / 20100300808 - Tree stand | 1 |
Michael Jon Hale | US | San Diego | 2016-02-25 / 20160054316 - SYSTEM AND APPARATUS FOR POINT-OF-CARE DIAGNOSTICS | 3 |
Justin Eugene Hale | US | Woodinville | 2009-07-30 / 20090192659 - AIRCRAFT MAINTENANCE LAPTOP | 1 |
Theodore Hale | US | Bronx | 2009-07-30 / 20090192359 - FLEXIBLE SURGICAL RETRACTOR | 1 |
Anton Hale | CH | Widnau | 2008-12-04 / 20080297760 - Geodesic Measuring Instrument with a Piezo Drive | 1 |
Nathan Earl Hale | US | Grand Terrace | 2011-12-22 / 20110311379 - PUMP DIAPHRAGM | 1 |
James L. Hale | US | Fort Wayne | 2013-11-21 / 20130307465 - Air driven alternators for battery powered vehicles | 1 |
Arthur Herman Hale | US | Houston | 2014-06-05 / 20140151045 - INCREASING FORMATION STRENGTH THROUGH THE USE OF TEMPERATURE AND TEMPERATURE COUPLED PARTICULATE TO INCREASE NEAR BOREHOLE HOOP STRESS AND FRACTURE GRADIENTS | 2 |
Graham Hale | US | Manhattan | 2009-09-17 / 20090233483 - Coaxial Cable Crimp Connector | 1 |
Michael Hale | US | Los Angeles | 2010-09-30 / 20100242154 - Glove with aesthetic and functional design | 1 |
Jeffrey Lee Hale | US | Cary | 2011-01-13 / 20110004999 - Mattress with a Separate Body and Pillow Contained within a Cover | 2 |
Eric L. Hale | US | Vancouver | 2015-03-05 / 20150065799 - Solid State Variable Direction Of View Endoscope | 5 |
David Hale | US | San Diego | 2015-03-05 / 20150065491 - ADMINISTRATION OF BENZODIAZEPINE COMPOSITIONS | 2 |
Michele Kay Hale | US | Columbia | 2011-09-15 / 20110220690 - Elliptical Garment Hanger | 1 |
David Hale | US | Encinitas | 2010-08-26 / 20100215730 - Compositions for Nasal Administration of Phenothiazines | 1 |
Jeremy A. Hale | US | Urbana | 2009-10-29 / 20090270269 - NANO-SCALE FLUORO-BIOSENSORS EXHIBITING A LOW FALSE ALARM RATE FOR RAPID DETECTION OF BIOLOGICAL CONTAMINANTS | 1 |
Nathan Hale | US | Denver | 2013-12-26 / 20130342329 - APPARATUS, SYSTEMS AND METHODS FOR PAIRING A CONTROLLED DEVICE WITH AN RF REMOTE CONTROL USING AN RFID TAG | 10 |
Michael R. Hale | US | Bedford | 2009-11-05 / 20090274650 - INHIBITORS OF ASPARTYL PROTEASE | 2 |
Daniel Hale | US | Belmont | 2015-07-02 / 20150182223 - INDEPENDENT GRIPPER | 5 |
Timothy Allen Hale | US | Houston | 2009-11-12 / 20090277655 - Method and apparatus for deflagration pressure attenuation | 1 |
John William Sanford Hale | GB | Cambridge | 2015-06-25 / 20150174346 - DRY POWDER INHALER | 1 |
Mark A. Hale | US | Canton | 2010-03-11 / 20100061888 - MAGNETICALLY MODIFIED AEROSOL DECONTAMINATION APPARATUS AND METHOD | 1 |
Merton G. Hale | BE | Brussels | 2015-10-29 / 20150308840 - CODING SYSTEM FOR SATELLITE NAVIGATION SYSTEM | 1 |
David Gordon Hale | AU | New South Wales | 2011-01-13 / 20110009208 - Fairing for a Golf Club Shaft | 1 |
Thomas Swithun Hale | NZ | Auckland | 2011-05-05 / 20110101823 - ACTUATOR | 1 |
Nathan Alexander Hale | US | Denver | 2010-12-30 / 20100328132 - AUTOMATIC CHANGE OF ASSOCIATION OF A REMOTE CONTROL DEVICE WITH AN ELECTRONIC DEVICE | 1 |
Michele Hale | US | Lighthouse Point | 2010-04-29 / 20100104723 - Cookie Top Muffin | 1 |
Michael J. Hale | US | Nashville | 2015-12-03 / 20150347890 - Thin Gage Open Loop System Cards and Method of Manufacture | 2 |
Daniel Dee Hale | US | Garden Grove | 2011-06-09 / 20110131743 - Liquid proof sandwiched layer cleaning textile | 1 |
Marc Hale | US | Henderson | 2015-11-26 / 20150336498 - METHOD AND APPARATUS FOR A TIE-DOWN STRAP RETENTION DEVICE | 1 |
Clark C. Hale | US | Portland | 2010-11-04 / 20100280912 - ONLINE MARKETPLACE MANAGEMENT SYSTEM WITH AUTOMATED PRICING TOOL | 1 |
Kevin R. Hale | US | Parkville | / - | 1 |
Robert Hale | CA | Toronto | 2011-02-24 / 20110041699 - Beverage machine brewing chamber and extraction member for a beverage dispensing machine | 2 |
Peter Hale | AU | New South Wales | 2011-02-03 / 20110024539 - Distributor Plate | 1 |
Horace Winston Hale | CH | Moerschwil | 2009-06-18 / 20090157119 - ORTHOPEDIC FIXATION MECHANISM | 1 |
Richard Thomas Hale | US | Brooklyn | 2012-11-29 / 20120300079 - Object-oriented cable camera system | 1 |
David F. Hale | US | San Diego | 2009-10-15 / 20090258865 - ADMINISTRATION OF BENZODIAZEPINE COMPOSITIONS | 1 |
Steve C. Hale | US | San Jose | 2012-03-22 / 20120070094 - VARIABLE-LENGTH CODE DECODER | 2 |
Mark Charles Hale | CA | Whitby | 2015-01-29 / 20150032440 - Method for Providing Translations to an E-Reader and System Thereof | 3 |
Clarence Henderson Hale | US | Camarillo | 2012-09-06 / 20120225854 - COMPOUNDS THAT INTERACT WITH GLUCOKINASE REGULATORY PROTEIN FOR THE TREATMENT OF DIABETES | 1 |
Matthew Hale | US | Palo Alto | 2010-04-22 / 20100099074 - COLLECTION DEVICE AND METHOD FOR STIMULATING AND STABILIZING A BIOLOGICAL SAMPLE | 2 |
Nathan A. Hale | US | Henderson | 2010-05-06 / 20100115576 - FIRMWARE RECOVERY OF WIRELESS DEVICES | 1 |
Matthew Hale | US | Redwood City | 2014-09-18 / 20140273070 - ASPIRATION-FREE WELL PLATE APPARATUS AND METHODS | 1 |
George Hale | US | San Clemente | 2010-07-29 / 20100186138 - Jerseys Having Releasable Seams | 1 |
Shannon Hale | US | San Francisco | 2015-05-21 / 20150143248 - APPARATUS AND METHODS FOR PERFORMING AN ACTION ON A DATABASE RECORD | 8 |
Kelly Hale | US | Oviedo | 2011-04-21 / 20110091847 - METHOD, SYSTEM, AND COMPUTER SOFTWARE CODE FOR THE ADAPTATION OF TRAINING VIA PERFORMANCE DIAGNOSIS BASED ON (NEURO)PHYSIOLOGICAL METRICS | 1 |
Matthew S. Hale | US | Houston | 2015-03-05 / 20150060152 - CUTTING ELEMENTS FOR EARTH-BORING TOOLS AND EARTH-BORING TOOLS INCLUDING SUCH CUTTING ELEMENTS | 5 |
Amir Haleem | US | San Francisco | 2016-04-21 / 20160112518 - SYSTEMS AND METHODS FOR SMART DEVICE NETWORKING | 1 |
Ambreen Haleem | US | Sunnyvale | 2012-07-26 / 20120191343 - NAVIGATION SYSTEM HAVING MANEUVER ATTEMPT TRAINING MECHANISM AND METHOD OF OPERATION THEREOF | 3 |
Azeem Haleem | US | Naperville | 2016-05-12 / 20160128485 - Salat Buddy Prayer Aid | 1 |
Pericles Haleftiras | US | San Diego | 2012-01-12 / 20120010876 - VOICE INTEGRATION PLATFORM | 1 |
Donald Earl Hale, Jr. | US | Sanfrord | 2013-06-27 / 20130160859 - METHOD AND PRODUCT DELIVERY MECHANISM WITH A PUMP | 1 |
James Michael Halek | US | Southlake | 2011-06-02 / 20110128203 - Microwave Demulsification of Hydrocarbon Emulsion | 2 |
Heather A. Halem | US | Westborough | 2015-12-24 / 20150366934 - Use of Melanocortins to Treat Insulin Sensitivity | 4 |
Zachery Halem | US | Harrison | 2016-04-21 / 20160110705 - PAYMENT METHOD AND SYSTEM | 1 |
Srinivas S. Halembar | US | Irving | 2015-06-11 / 20150161240 - SUBROUTINES IN A GRAPHICAL QUERY BUILDER | 1 |
Joacim Halén | US | San Jose | 2016-02-04 / 20160036599 - Methods and Nodes for Distribution of Content to Consumers | 2 |
Joacim Halén | SE | Sollentuna | 2013-01-03 / 20130007823 - METHOD FOR RETRANSMISSION USING CHECKSUMS FOR IDENTIFYING LOST DATA PACKETS | 6 |
Joacim Halén | SE | Sollentuna | 2012-05-17 / 20120120809 - GMPLS BASED OAM PROVISIONING | 6 |
Robert Forgan Halenbeck | US | San Rafael | 2014-06-19 / 20140170145 - COMPOSITIONS AND METHODS OF USE FOR MGD-CSF IN DISEASE TREATMENT | 1 |
Forgan Robert Halenbeck | US | San Rafael | 2008-10-02 / 20080242603 - Novel Apo2L and IL-24 Polypeptides, Polynucleotides, and Methods of Their Use | 1 |
Robert Forgan Halenbeck | US | San Francisco | 2012-10-11 / 20120258071 - Compositions and Methods of Use for MGD-CSF in Disease Treatment | 3 |
Robert F. Halenbeck | US | San Rafael | 2008-11-13 / 20080281084 - Formulation, Solubilization, Purification, and Refolding of Tissue Factor Pathway Inhibitor | 1 |
Praveen Halepatali | IN | Chennai | 2012-12-13 / 20120315121 - Counterweight Attachment And Removal System And Machine Using Same | 1 |
Sameer Halepete | US | San Jose | 2013-05-23 / 20130132749 - ADAPTIVE POWER CONTROL | 3 |
Emir Halepovic | US | Somerset | 2016-03-17 / 20160080237 - ADAPTIVE BIT RATE MEDIA STREAMING BASED ON NETWORK CONDITIONS RECEIVED VIA A NETWORK MONITOR | 1 |
Robert D. Haler | US | Blue Springs | 2013-12-26 / 20130342697 - VEHICLE-MOUNTED VIDEO SYSTEM WITH DISTRIBUTED PROCESSING | 5 |
Robert Dale Haler | US | Grain Valley | 2009-10-08 / 20090252486 - VIBRATION RESISTANT CAMERA FOR MOUNTING TO OBJECT | 1 |
Robert Haler | US | Kansas City | 2013-08-22 / 20130215322 - DOCUMENT CAMERA WITH AUTOMATICALLY SWITCHED OPERATING PARAMETERS | 1 |
Bradley Haler | US | Belgrade | 2015-07-16 / 20150197203 - QUICK RELEASE ATV RACK SYSTEM | 1 |
Rex K. Hales | US | Riverton | 2014-06-05 / 20140152478 - RANDOMIZED TIME-INTERLEAVED SAMPLE-AND-HOLD SYSTEM | 11 |
Jan Harry Hales | DK | Soeborg | 2014-05-29 / 20140147771 - FUEL CELL, A PORTABLE ELECTRONIC DEVICE AND A METHOD OF MANUFACTURING A FUEL CELL RESERVOIR | 1 |
Charles Nicholas Hales | GB | Cambridge | 2014-03-27 / 20140087481 - SCD FINGERPRINTS | 2 |
Jason Hales | GB | Nottingham | 2015-03-12 / 20150069747 - Steering Assemblies | 1 |
Neil James Hales | GB | Macclesfield | 2010-06-03 / 20100137243 - Oxazolidinone And/Or Isoxazoline As Antibacterial Agents | 2 |
Rex K. Hales | US | Riverton | 2014-06-05 / 20140152478 - RANDOMIZED TIME-INTERLEAVED SAMPLE-AND-HOLD SYSTEM | 11 |
James W. Hales | US | Harrison | 2008-11-06 / 20080274006 - Overlay cladding for molten metal processing | 1 |
Charles Nicholas Hales | US | 2012-09-20 / 20120237500 - SCD Fingerprints | 2 | |
Jan Hales | DK | Soborg | 2015-07-23 / 20150202598 - METHOD OF PREPARING A CATALYTIC STRUCTURE | 1 |
John H. Hales | US | Frisco | 2015-11-05 / 20150316359 - CHARGE CASE FRAGMENTATION CONTROL FOR GUN SURVIVAL | 27 |
Stephen J. Hales | US | Poquoson | 2015-11-19 / 20150329948 - Abnormal Grain Growth Suppression in AL Alloys | 2 |
Thomas G. Hales | US | Piedmont | 2014-09-18 / 20140272873 - METHODS, SYSTEMS AND KIT FOR DEMONSTRATING MEDICAL PROCEDURE | 1 |
Laura M. Hales | US | Chester Springs | 2014-11-27 / 20140348798 - SENECA VALLEY VIRUS BASED COMPOSITIONS AND METHODS FOR TREATING DISEASE | 3 |
Alan Hales | US | Richardson | 2015-10-29 / 20150309117 - SCAN TESTING SYSTEM, METHOD AND APPARATUS | 10 |
Michael K. Hales | US | Midland | 2016-02-04 / 20160031481 - Wheel Imbalance Rejection Module | 14 |
Alan Hales | US | Richardson | 2015-10-29 / 20150309117 - SCAN TESTING SYSTEM, METHOD AND APPARATUS | 10 |
John Hudson Hales | US | Frisco | 2013-01-10 / 20130008670 - SAFETY SYSTEM FOR OIL AND GAS DRILLING OPERATIONS | 3 |
Steve Hales | US | Palo Alto | 2013-06-13 / 20130151644 - COPYING DATA ONTO AN EXPANDABLE MEMORY | 5 |
John Edward Hales | GB | London | 2014-12-18 / 20140371106 - COMPOSITION | 1 |
Stephen J. Hales | US | Newport News | 2012-04-19 / 20120090738 - Abnormal Grain Growth Suppression in Aluminum Alloys | 4 |
Alan David Hales | US | Richardson | 2011-04-28 / 20110099442 - ENHANCED CONTROL IN SCAN TESTS OF INTEGRATED CIRCUITS WITH PARTITIONED SCAN CHAINS | 1 |
Charles A. Hales | US | Lincoln | 2009-09-03 / 20090220606 - TREATMENT AND PREVENTION OF ABNORMAL CELLULAR PROLIFERATION | 1 |
Ollie James Hales | US | Durham | 2013-03-07 / 20130059666 - COUNTER-BALANCING IN-PLAY VIDEO GAME INCENTIVES/REWARDS BY CREATING A COUNTER-INCENTIVE | 1 |
Devin J. Hales | US | Lehi | 2012-11-08 / 20120279433 - Winch System Safety Device Controlled by Towrope Angle | 3 |
Robert Norman Hales | US | Durham | 2015-11-12 / 20150321199 - Devices and Methods for Removing Ferromagnetic Particles from a Liquid | 1 |
Ollie J. Hales | US | Durham | 2015-08-20 / 20150231502 - GAME ADJUSTMENTS THROUGH CROWDSOURCING | 3 |
Michael K. Hales | US | Midland | 2016-02-04 / 20160031481 - Wheel Imbalance Rejection Module | 14 |
Margaret Hales | GB | Great Wilbraham | 2008-12-25 / 20080318836 - sCD Fingerprints | 1 |
Christopher Roy Hales | GB | Cambridge | 2010-03-25 / 20100077247 - COMPUTER AUDIO INTERFACE UNITS AND SYSTEMS | 1 |
Jan Harry Hales | DK | Soborg | 2014-08-21 / 20140233776 - PORTABLE ELECTRONIC DEVICE FOR WEARING AT THE EAR AND A METHOD OF OPERATING A PORTABLE ELECTRONIC DEVICE | 1 |
Margaret Hales | GB | Cambridge | 2012-09-20 / 20120237500 - SCD Fingerprints | 2 |
Wesley Hales | US | Mountain View | 2016-03-31 / 20160094575 - AUTOMATED HARDENING OF WEB PAGE CONTENT | 1 |
Paul Christopher Hales | US | 2013-11-21 / 20130310650 - LARYNGOSCOPY | 1 | |
Laura M. Hales | US | Cambridge | 2016-04-28 / 20160114049 - RNAi VITAMIN D CONJUGATES | 5 |
Simon Anthony Hales | US | White Salmon | 2015-03-05 / 20150064658 - UNMANNED VEHICLE SIMULATION | 2 |
Kelly Hales | US | Nyack | 2012-09-27 / 20120244101 - Self-Leveling Cosmetic | 3 |
Geoffrey A. Hales | US | San Jose | 2013-04-18 / 20130094110 - SUSPENSION CLAMP FOR CLAMPING A DISK DRIVE SUSPENSION TO AN ACTUATOR ARM | 2 |
John H. Hales | US | Oklahoma City | 2014-04-17 / 20140102724 - SECONDARY BARRIER FOR USE IN CONJUNCTION WITH AN ISOLATION DEVICE IN A HORIZONTAL WELLBORE | 1 |
Paul Christopher Hales | AU | Mount Eliza | 2013-11-21 / 20130310650 - LARYNGOSCOPY | 1 |
John Hales | GB | Nottingham | 2013-10-10 / 20130266754 - JOINING STRETCHABLE FABRIC PORTIONS TO ONE ANOTHER | 2 |
Devin Hales | US | Lehi | 2010-05-13 / 20100121493 - Towrope Winch Rider Profile | 2 |
Devin T. Hales | US | Lehi | 2010-08-19 / 20100211239 - Towrope Winch Dead Start | 1 |
Kelvin Hales | GB | Egham | 2013-10-24 / 20130280067 - METHOD OF CONTROLLING A WIND TURBINE | 3 |
Steven A. Hales | US | Palo Alto | 2016-05-19 / 20160139582 - HVAC SCHEDULE ESTABLISHMENT IN AN INTELLIGENT, NETWORK-CONNECTED THERMOSTAT | 7 |
John Hales | US | Frisco | 2014-08-21 / 20140231065 - PERFORATING SAFETY SYSTEM AND ASSEMBLY | 5 |
Robert J. Hales | US | Tampa | 2009-04-02 / 20090085449 - Extension apparatus for previously installed electrical cabinets | 1 |
Philip William Hales | GB | Newport, Wales | 2016-04-28 / 20160113700 - DUAL-FUNCTION PLASMA AND NON-IONISING MICROWAVE COAGULATING ELECTROSURGICAL INSTRUMENT AND ELECTROSURGICAL APPARATUS INCORPORATING THE SAME | 1 |
Randall Hales | US | Draper | 2013-07-18 / 20130184845 - ON-DEMAND PRODUCTION OF ELECTRONIC DEVICE ACCESSORIES | 1 |
Ben Hales | US | Clear Lake | 2013-09-05 / 20130232400 - Dynamic Data Collection for Rule Based Documents | 1 |
Kelvin Beverley Hales | GB | Surrey | 2012-03-08 / 20120056426 - CONTROL SYSTEM AND METHOD FOR A WIND TURBINE | 1 |
John H. Hales | US | Choctaw | 2014-09-18 / 20140260591 - Protection of Electronic Devices Used with Perforating Guns | 4 |
Luke Halestrap | GB | London | 2010-11-25 / 20100299282 - Method and System for Modeling Volatility | 2 |
Avraham Haleva | IL | Rishon Lezion | 2009-11-05 / 20090275313 - METHOD, A SYSTEM AND A COMPUTER PROGRAM PRODUCT FOR WAP BROWSING ANALYSIS IN ON AND OFF PORTAL DOMAINS | 1 |
Aaron S. Haleva | NL | Oakhurst | 2013-05-09 / 20130112766 - METERED AND ACTIVE SPRAYER DEVICES WITH AEROSOL FUNCTIONALITY ("FLAIROSOL II") | 1 |
Aaron S. Haleva | US | Oakhurst | 2014-07-24 / 20140203039 - SYSTEMS AND METHODS FOR DISPENSING ONE OR MORE LIQUIDS FROM A PORTABLE SELF-CONTAINED APPARATUS ("Industrial Flair") | 6 |
Uri Halevi | IL | Modi'In Ilit | 2011-02-24 / 20110047038 - Smart Card For Safer Credit Transactions | 1 |
Shai Halevi | US | New York | / - | 1 |
Shai Halevi | US | Elmsford | 2015-05-07 / 20150124962 - Fast Computation of a Single Coefficient in an Inverse Polynomial | 15 |
Shai Halevi | US | Elmsford | 2015-05-07 / 20150124962 - Fast Computation of a Single Coefficient in an Inverse Polynomial | 15 |
Gal Halevi | IL | Kiryat Ono | 2015-12-03 / 20150345899 - HOLSTER FOR HANDGUN | 1 |
Barr Halevi | US | Albuquerque | 2015-10-15 / 20150295248 - CATHODE CATALYSTS FOR FUEL CELL APPLICATION DERIVED FROM POLYMER PRECURSORS | 5 |
Yonatan Halevi | IL | Mevaseret Zion | 2011-06-09 / 20110138487 - Storage Device and Method for Using a Virtual File in a Public Memory Area to Access a Plurality of Protected Files in a Private Memory Area | 1 |
Eviatar Halevi | IL | Macabim | 2015-12-17 / 20150360481 - PRINTER AND IMAGE PROCESSING | 2 |
Eviatar Halevi | US | 2015-06-25 / 20150175371 - DEVICE FOR RECEIVING AND SUBMITTING A SUBSTRATE | 1 | |
Eviatar Halevi | IL | Netanya | 2014-10-30 / 20140320868 - PRINTER AND A METHOD OF PRINTING | 1 |
Omri Halevi | IL | Mazkeret Batya | 2016-03-24 / 20160088426 - ADAPTER DEVICE TO ALLOW THE MONITORING OF MEDIA EXPOSURE ON CONSUMER DEVICES | 5 |
Elad Halevi | IL | Rishon- Lezion | 2016-05-05 / 20160122158 - ELEVATOR FOR SHABAT OBSERVERS | 2 |
Yonatan Halevi | IL | Petach Tikva | 2012-10-11 / 20120260022 - HANDLING COMMANDS WITHIN A WRITE-ONCE READ-MANY STORAGE DEVICE CONFIGURATION | 1 |
Karin Halevi | IL | Kadima | 2011-09-01 / 20110212165 - PHARMACEUTICAL COMPOSITIONS OF THE ISOLATED D-ENANTIOMER OF THE QUINAZOLINONE DERIVATIVE HALOFUGINONE | 2 |
Yonatan Halevi | IL | Petah Tikva | 2009-04-30 / 20090113219 - OPTIMIZED HIERARCHICAL INTEGRITY PROTECTION FOR STORED DATA | 2 |
Shmuel Halevi | US | Chestnut Hill | 2009-11-19 / 20090284748 - Speckle Noise Reduction in Coherent Imaging Systems | 1 |
Zeev Halevi | IL | Haifa | 2015-07-30 / 20150213149 - SYSTEM AND METHOD FOR SYNCHRONIZING COLLABORATIVE FORM FILLING | 4 |
Gil Halevi | IL | Karmiel | 2010-09-16 / 20100235236 - SYSTEM AND METHOD FOR CREATING AND USING ADVERTISEMENTS DATABASE | 1 |
Yonatan Halevi | US | Kfar Uria | 2012-05-17 / 20120124386 - Method and System for Refreshing Content in a Storage Device | 1 |
Ofer Halevi | GB | Middlesex | 2010-12-16 / 20100319003 - MOBILE COMMUNICATIONS DEVICE PROGRAMMED WITH MESSAGE LOGGING CAPABILITY | 2 |
Brian D. Halevie-Goldman | US | Walnut Creek | 2014-08-21 / 20140235569 - COMPOSITION AND METHODS FOR THE PRODUCTION OF S-ADENOSYLMETHIONINE WITHIN THE BODY | 2 |
Alon Y. Halevy | US | Los Altos | 2012-07-12 / 20120179695 - SEARCHING THROUGH CONTENT WHICH IS ACCESSIBLE THROUGH WEB-BASED FORMS | 3 |
Haim Halevy | IL | Kazerim | 2011-02-24 / 20110046607 - SELF-WITHDRAWING CATHETER FOR INJECTING INTO BODY PASSAGEWAYS AND KIT CONTAINING SAME | 1 |
Alon Halevy | US | Los Altos | 2015-06-11 / 20150161201 - CLUSTERING QUERY REFINEMENTS BY INFERRED USER INTENT | 8 |
Ben Zion Halevy | IL | Tel-Aviv | 2015-07-16 / 20150201016 - METHODS AND SYSTEM FOR INCORPORATING A DIRECT ATTACHED STORAGE TO A NETWORK ATTACHED STORAGE | 5 |
Nir Halevy | IL | Petach-Tikva | 2010-07-15 / 20100179576 - Hernia Repair Kit | 1 |
Noam Halevy | IL | Raanana | 2014-04-03 / 20140092915 - Channel Service Manager | 3 |
Gilad Halevy | IL | Modi'In | 2015-12-17 / 20150359603 - SIMULATION-BASED FOCUSED-ULTRASOUND TREATMENT PLANNING | 3 |
Alon Halevy | US | Los Altos | 2015-06-11 / 20150161201 - CLUSTERING QUERY REFINEMENTS BY INFERRED USER INTENT | 8 |
Gilad Halevy | IL | Modi'In, Ot | 2015-12-17 / 20150359603 - SIMULATION-BASED FOCUSED-ULTRASOUND TREATMENT PLANNING | 1 |
Daphna Halevy | IL | Tel Aviv | 2014-01-02 / 20140000475 - WATER REPELLENT MATERIALS FOR WOOD PRODUCTS | 3 |
Alon Yitzchak Halevy | US | Los Altos | 2016-05-19 / 20160140188 - SYSTEMS, METHODS, AND COMPUTER-READABLE MEDIA FOR SEARCHING TABULAR DATA | 3 |
Paul H. Haley | US | Coon Valley | 2012-04-12 / 20120087815 - CENTRIFUGAL COMPRESSOR ASSEMBLY AND METHOD | 2 |
Mary Haley | US | Dayton | 2016-02-11 / 20160039533 - ANTI-STATIC, PRESSURE-SENSITIVE ADHESIVE PATCH AND METHOD OF USE | 1 |
David V. Haley | AU | South Australia | 2010-07-22 / 20100183104 - Method and System for Communication in a Wireless Network | 1 |
Scott Haley | US | Bellvue | 2014-09-04 / 20140250543 - ACETYL CO-ENZYME A CARBOXYLASE HERBICIDE RESISTANT PLANTS | 1 |
Gregory Jay Haley | US | San Diego | 2009-06-04 / 20090143364 - CHIRAL CIS-IMIDAZOLINES | 1 |
Caprice Gray Haley | US | Somerville | 2014-08-28 / 20140243995 - STACKED PLANAR SHEET TISSUE ENGINEERING SCAFFOLDS WITH 3D STRUCTURAL ORDER | 1 |
Paul Haley | US | Pearl River | 2014-11-27 / 20140349783 - GOLF UTILITY TOOL | 1 |
David V. Haley | AU | Stepney | 2010-04-15 / 20100091920 - IMPROVING RECEIVER PERFORMANCE IN A COMMUNICATION NETWORK | 2 |
Reanna L. Haley | US | Kaneohe | 2012-08-16 / 20120204898 - All in One | 1 |
Michael Haley | US | Marlboro | 2014-03-27 / 20140088768 - AUTOMATED SPRAY DRIER CONTROL SYSTEM | 12 |
Kari N. Haley | US | Portland | 2016-02-11 / 20160043287 - NETWORK OF SEMICONDUCTOR STRUCTURES WITH FUSED INSULATOR COATING | 5 |
Jeffrey T. Haley | US | Mercer Island | 2015-11-12 / 20150320787 - COBALAMIN COMPOSITIONS AND METHODS FOR TREATING OR PREVENTING MUCOSITIS | 9 |
Jeffrey Haley | US | Norwood | 2014-11-27 / 20140348936 - GASTRORETENTIVE CONTROLLED RELEASE VEHICLES THAT INCLUDE ETHYLENE COPOLYMERS, ETHYL CELLULOSES, AND/OR THERMOPLASTIC POLYURETHANES | 3 |
David Victor Lawrie Haley | AU | Stepney | 2016-04-07 / 20160099816 - RECEIVER PERFORMANCE IN A COMMUNICATION NETWORK | 8 |
Gregory J. Haley | US | San Diego | 2014-11-27 / 20140350231 - METHOD OF PREPARING DEOXYRIBOFURANOSE COMPOUNDS | 7 |
Michael A. Haley | US | New York | 2012-12-27 / 20120330971 - ITEMIZED RECEIPT EXTRACTION USING MACHINE LEARNING | 1 |
David Victor Lawrie Haley | AU | Stepney | 2016-04-07 / 20160099816 - RECEIVER PERFORMANCE IN A COMMUNICATION NETWORK | 8 |
Trey Haley | US | Franklin | 2015-07-09 / 20150191190 - WHEEL ASSEMBLY FOR COOLER | 1 |
Marykate Haley | US | Pasadena | 2009-10-22 / 20090265359 - Method and system enabling identification of information content having enhanced desirability to a user | 1 |
Andrew J. Haley | US | Sacramento | 2010-03-25 / 20100075732 - WINNER AWARENESS DATA DISTRIBUTION SYSTEMS AND METHODS | 1 |
Kellie Haley | US | Peabody | 2015-10-01 / 20150275284 - CLONAL AMPLIFICATION OF NUCLEIC ACID ON SOLID SURFACE WITH TEMPLATE WALKING | 3 |
Tina Haley | US | El Segundo | 2011-02-10 / 20110035239 - System, method, and computer program product for valuing and administering annuity with guaranteed minimum withdrawal benefit to generate rising withdrawal stream | 1 |
Kalliopi S. Haley | US | Byron Center | 2010-02-04 / 20100025490 - AIR CARE UNIT ALLOWING FOR CUSTOMIZATION OF FRAGRANCE STRENGTH AND CHARACTER | 1 |
Michael B. Haley | US | San Rafael | 2010-06-10 / 20100145665 - SMART CONTENT AND PLACEMENT IN A COMPUTER-AIDED DESIGN APPLICATION | 3 |
David Victor Haley | AU | Stepney | 2012-05-17 / 20120120945 - METHOD AND SYSTEM FOR COMMUNICATION IN A WIRELESS NETWORK | 1 |
Benjamin Haley | US | Chelmsford | 2014-12-18 / 20140373188 - COMPOSITIONS FOR RNA INTERFERENCE AND METHODS OF USE THEREOF | 1 |
Thomas L. Haley | US | Boyne City | 2012-10-04 / 20120246978 - TRENCHER ASSEMBLY AND ASSOCIATED ACCESSORIES | 1 |
Steighton Lee Haley | US | Seattle | 2013-08-22 / 20130217411 - BATTERY-SAVING IN GEO-FENCE CONTEXT METHOD AND SYSTEM | 1 |
David Victor Lawrie Haley | AU | Adelaide | 2015-09-10 / 20150256247 - COMMUNICATION SYSTEM AND METHOD | 4 |
Kevin Haley | US | Bryon Center | 2012-12-06 / 20120304416 - UPRIGHT DEEP CLEANER AND METHOD | 3 |
Patrick Haley | US | Elk River | 2013-05-30 / 20130137935 - Fiber optic illumination device and method of manufacturing | 1 |
James E. Haley | US | Aston | 2014-11-20 / 20140341084 - METHOD FOR PROVIDING CUSTOM RING-BACK TONES | 2 |
Michael Haley | US | Marlboro | 2014-03-27 / 20140088768 - AUTOMATED SPRAY DRIER CONTROL SYSTEM | 12 |
Alan Bryan Creighton Haley | AU | Maudsland, Queensland | 2015-10-15 / 20150292210 - A GUTTER, GUTTER ASSEMBLIES AND COMPONENTS THEREFOR | 1 |
Thomas R. Haley | US | Lafayette Hill | 2014-09-18 / 20140275992 - VISUALIZATION SYSTEMS, INSTRUMENTS AND METHODS OF USING THE SAME IN SPINAL DECOMPRESSION PROCEDURES | 1 |
Jean E. Haley | US | Oak Brook | 2015-09-17 / 20150259186 - Vacuum Bottle Stopper for Wine, Inert Gas Supply and Method | 2 |
Glenn Eric Haley | US | Granville | 2015-09-03 / 20150247270 - INSULATION PAD FOR PIPES AND VESSELS | 2 |
Stephen Alfred Haley | US | Austin | 2013-10-17 / 20130275679 - LOADING A PRE-FETCH CACHE USING A LOGICAL VOLUME MAPPING | 1 |
Edmund J. Haley | US | Dillsburg | 2013-08-22 / 20130216190 - OPTICAL ASSEMBLY WITH FERRULE AND FRAME | 10 |
Glenn E. Haley | US | Granville | 2015-08-20 / 20150233110 - ROOF INSULATION SYSTEMS | 1 |
Jane Haley | GB | Hedge End | 2011-09-22 / 20110229696 - DOCUMENT OF VALUE AND METHOD FOR DETECTING SOIL OR WEAR LEVEL | 1 |
Thomas Haley | US | Pella | 2015-02-05 / 20150035656 - REMOTE CONTROL SYSTEM | 1 |
Kaylen J. Haley | US | Westminster | 2016-02-18 / 20160045264 - MICROWAVE ANTENNA PROBES AND METHODS OF MANUFACTURING MICROWAVE ANTENNA PROBES | 17 |
Boyd E. Haley | US | Nicholasville | 2015-09-24 / 20150265556 - METHOD OF SUPPLEMENTING THE DIET AND AMELIORATING OXIDATIVE STRESS | 11 |
David Victor Lawrie Haley | AU | Kent Twon | 2013-04-18 / 20130094620 - ESTIMATION OF A MULTIPATH SIGNAL IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Kevin L. Haley | US | Byron Center | 2015-07-23 / 20150203794 - ENZYME CLEANING COMPOSITION AND METHOD OF USE | 3 |
Jeffrey C. Haley | US | Norwood | 2013-09-26 / 20130251773 - Controlled Release Insect Repellent Materials | 2 |
David Victor Haley | AU | Adelaide Sa | 2015-11-19 / 20150333942 - Digital Communication System | 1 |
John Haley | US | Chester Springs | 2014-04-03 / 20140095206 - ADAPTIVE MEDICAL DOCUMENTATION SYSTEM | 1 |
Alan Bryan Creighton Haley | AU | Maudsland | 2015-10-15 / 20150292210 - A GUTTER, GUTTER ASSEMBLIES AND COMPONENTS THEREFOR | 1 |
Boyd Haley | US | Nicholasville | 2011-09-22 / 20110229415 - SEMI-SYNTHETIC ANTIBODIES AS RECOGNITION ELEMENTS | 1 |
Edmund Joseph Haley | US | Dillsburg | 2014-09-18 / 20140270646 - OPTICAL CONNECTOR HOUSING ASSEMBLY WITH DUAL INSERTION AND EXTRACTION OPTIONS | 4 |
Charlene Haley | US | Ridgecrest | 2010-10-28 / 20100274181 - SMART SENSOR PORTS AND METHODS OF USING SAME | 3 |
Kevin C. Haley | US | Austin | 2012-01-12 / 20120010943 - SYSTEMS AND METHODS FOR PROVIDING A REVERSE FREQUENCY CAP IN ADVERTISEMENT VIEWING | 1 |
Kari Haley | US | Eugene | 2014-10-16 / 20140308730 - CONJUGATES OF BIOMOLECULES TO NANOPARTICLES | 4 |
Boyd E. Haley | US | Lexington | 2014-10-30 / 20140322097 - DEVICE FOR RAPID DETERMINATION OF DISEASE-ASSOCIATED THIOL COMPOUNDS | 4 |
Shawn P. Haley | US | Lake Orion | 2010-01-07 / 20100001835 - Customer Paging and Communications System | 1 |
Thomas C. Haley | US | Charlestown | 2013-12-19 / 20130339117 - SYSTEMS AND METHODS OF INCENTIVIZING GIFTING | 1 |
Jeffrey T. Haley | US | Bellevue | 2010-11-11 / 20100285098 - ADHERING TROCHES WITH SANTACID FOR TREATMENT OF THROAT ESOPHAGUS AND STOMACH | 2 |
Charlene A. Haley | US | Labelle | 2011-06-09 / 20110133698 - Apparatus for Preventing Electrical Shock in Devices | 7 |
M. Frank Haley | US | Glenshaw | 2012-05-31 / 20120136148 - Photochromic Compounds Having At Least Two Photochromic Moieties | 3 |
Stephen A. Haley | US | Round Rock | 2016-03-24 / 20160087912 - DYNAMIC STORAGE BANDWIDTH ALLOCATION | 3 |
Douglas Frank Haley | US | Ridgefield | 2010-06-10 / 20100145770 - Methods and Systems of Performing Marketing and Market Research | 1 |
N. Rebecca Haley | US | Durham | 2010-05-27 / 20100129329 - METHODS FOR USING ALDHbr CELLS TO SUPPLEMENT STEM CELL TRANSPLANTATION | 1 |
Paul Haley | US | Richmond | 2013-11-28 / 20130317866 - SYSTEMS AND METHODS FOR PROVIDING A BENEFIT PRODUCT WITH PERIODIC GUARANTEED INCOME | 1 |
Jeffrey T. Haley | US | Mercer Island | 2015-11-12 / 20150320787 - COBALAMIN COMPOSITIONS AND METHODS FOR TREATING OR PREVENTING MUCOSITIS | 9 |
David Haley | US | Bellevue | 2015-12-31 / 20150379719 - OBJECT DIGITIZATION | 3 |
John R. Haley | US | Sammamish | 2015-10-08 / 20150286256 - Micro-Hole Vents for Device Ventilation Systems | 1 |
Kevin Coates Haley | US | The Hills | 2013-06-27 / 20130166389 - LIST-BASED ADVERTISEMENT SERVING | 1 |
Travis Darran Haley | US | Montrose | 2011-05-12 / 20110107643 - Ergonomic Firearm Fore Grip | 1 |
Roy M. Haley | US | Sugarland | 2011-04-14 / 20110087932 - Method and System for Detecting a Failure In an Error Correcting Unit | 1 |
Jeff Haley | US | Bellevue | 2011-05-05 / 20110105082 - Exempt from automatic restriction of functionality moving phones accompanied by an override transmitter | 1 |
Paul F. Haley | US | Coon Valley | 2015-01-15 / 20150013360 - COAXIAL ECONOMIZER ASSEMBLY AND METHOD | 6 |
Boyd E. Haley | US | Nicholasville | 2015-09-24 / 20150265556 - METHOD OF SUPPLEMENTING THE DIET AND AMELIORATING OXIDATIVE STRESS | 11 |
Kaylen J. Haley | US | Westminster | 2016-02-18 / 20160045264 - MICROWAVE ANTENNA PROBES AND METHODS OF MANUFACTURING MICROWAVE ANTENNA PROBES | 17 |
Robert Paul Haley | US | Midland | 2009-11-19 / 20090286013 - USE OF MICROWAVE ENERGY TO SELECTIVELY HEAT THERMOPLASTIC POLYMER SYSTEMS | 1 |
Neil Haley | US | Hudson | 2009-11-05 / 20090276666 - SYSTEM, METHOD, AND ADAPTER FOR CREATING FAULT-TOLERANT COMMUNICATION BUSSES FROM STANDARD COMPONENTS | 1 |
Jeffrey C. Haley | US | Cincinnati | 2011-12-08 / 20110300391 - Multilayer films having reduced curling | 4 |
Glenn Haley | US | Granville | 2015-12-17 / 20150361653 - BUILDING INSULATION SYSTEM | 7 |
Travis D. Haley | US | Montrose | 2014-04-03 / 20140091115 - Sling Fittings and Sling System for a Firearm | 4 |
Michael M. Haley | US | Eugene | 2015-12-10 / 20150355153 - PHENYLACETYLENES | 6 |
Edmund J. Haley | US | Dillsburg | 2013-08-22 / 20130216190 - OPTICAL ASSEMBLY WITH FERRULE AND FRAME | 10 |
Robert W. Haley | US | Dallas | 2010-12-23 / 20100325173 - RAPID DEVELOPMENT OF INFORMATICS SYSTEMS FOR COLLABORATIVE DATA MANAGEMENT | 2 |
Denise Haley | US | New Bedford | 2010-11-04 / 20100277305 - Wireless Ultrasound Probe Asset Tracking | 3 |
Ben Haley | US | Austin | 2014-02-06 / 20140040015 - SYSTEM AND METHOD FOR CONTROLLING REAL-TIME BIDDING FOR ONLINE ADVERTISEMENTS | 3 |
Ryan Edward Haley | US | Hartwell | 2010-04-22 / 20100097780 - Refrigerated led illumination system | 1 |
Chris Lyman Haley | US | Aumsville | 2010-03-11 / 20100059952 - Haley material handling cart | 1 |
Neil Alexander Haley | US | Hudson | 2010-02-18 / 20100043006 - SYSTEMS AND METHODS FOR A CONFIGURABLE DEPLOYMENT PLATFORM WITH VIRTUALIZATION OF PROCESSING RESOURCE SPECIFIC PERSISTENT SETTINGS | 1 |
Charles L. Haley | US | Belton | 2009-12-03 / 20090296359 - Optimized Two-Socket/Four-Socket Server Architecture | 1 |
Katarina L. Haley | US | Hillsorough | 2009-11-05 / 20090275005 - Methods, Systems, and Computer Program Products for Speech Assessment | 1 |
John D. Haley | US | Sea Cliff | 2014-01-16 / 20140018375 - BIOLOGICAL MARKERS PREDICTIVE OF ANTI-CANCER RESPONSE TO EPIDERMAL GROWTH FACTOR RECEPTOR KINASE INHIBITORS | 7 |
David Haley | US | Seattle | 2012-12-06 / 20120307010 - OBJECT DIGITIZATION | 1 |
Shane Haley | US | Florissant | 2009-08-27 / 20090212690 - Flexible electroluminescent devices and systems | 1 |
Mike Haley | US | Marlboro | 2009-07-30 / 20090191174 - BLOOD PROCESSING DEVICE AND ASSOCIATED SYSTEMS AND METHODS | 1 |
Paul Francis Haley | US | Coon Valley | 2015-12-03 / 20150345833 - ECONOMIZER INJECTION ASSEMBLY AND METHOD | 1 |
Michele Renee Haley | US | Salt Lake City | 2013-05-30 / 20130133671 - SOUND MUFFLING HEADWEAR | 2 |
Kari Haley | US | Portland | 2015-08-20 / 20150233936 - WATER-DISPERSABLE NANOPARTICLES | 1 |
Ronald Joseph Haley | US | Havre | / - | 1 |
Shawn Haley | US | Lake Orion | 2009-07-30 / 20090189558 - Actuator Position Homing Method and Apparatus | 2 |
Kevin Haley | US | Byron Center | 2013-12-05 / 20130318725 - SURFACE CLEANING APPARATUS | 4 |
Cecelia Haley | US | Northville | 2010-11-04 / 20100279430 - SAMPLE PREPARATION DEVICE AND METHOD | 1 |
Howard Haley | US | Three Rivers | 2011-01-27 / 20110021639 - Microbially stable dispersion medium for emulsions | 1 |
Kevin Haley | US | Grand Rapids | 2014-03-20 / 20140076368 - CLEANING PAD AND STEAM APPLIANCE | 1 |
James Edward Haley | US | Aston | 2009-04-30 / 20090110170 - Internet Messaging Notification Methods and Systems | 1 |
Courtney Frances Haley | US | Mcintosh | 2014-08-21 / 20140234010 - APPLICATOR DEVICE OR DISPENSER WITH STONE TIP | 1 |
Stephen C. Haley | US | Boynton Beach | 2016-05-12 / 20160129296 - VARIABLE WEIGHT TONING STRAP | 2 |
Charlene A. Haley | US | Ridgecrest | 2011-10-06 / 20110244699 - Apparatus for Preventing Electrical Shock in Devices | 1 |
Jeffrey Charles Haley | US | Norwood | 2015-10-22 / 20150299458 - ELASTOMERIC COMPOSITION HAVING OIL RESISTANCE | 1 |
Stephen Alfred Haley | US | Round Rock | 2009-02-19 / 20090049450 - METHOD AND SYSTEM FOR COMPONENT LOAD BALANCING | 1 |
Timothy Patrick Haley | US | Boulder | 2015-10-22 / 20150302026 - SYSTEMS AND METHODS FOR MULTI-THREADED SHADOW MIGRATION | 2 |
Steighton L. Haley | US | Portland | 2013-09-19 / 20130246603 - System, method, and computer program product for automatic router discovery | 1 |
Paul Haley | US | Glen Allen | 2009-01-01 / 20090006237 - Method and system for portable retirement investment | 1 |
Clement J. Haley | US | Mifflinburg | 2008-12-18 / 20080311343 - Highly Resilient, Dimensionally Recoverable Nonwoven Material | 1 |
John D. Haley | US | Farmingdale | 2012-06-21 / 20120157480 - Biological markers predictive of anti-cancer response to epidermal growth factor receptor kinase inhibitors | 2 |
Michael R. Haley | US | South Salem | 2008-09-25 / 20080235373 - SYSTEM AND METHOD FOR DETECTING STATUS CHANGES IN A NETWORK USING VIRTUAL COORDINATE MAPPING | 1 |
Kim P. Haley | US | Fort Lauderdale | 2013-09-26 / 20130252512 - Surgical Bra with Mastectomy Kit | 1 |
Robert P. Haley | US | Midland | 2011-03-03 / 20110048490 - LATTICE-MATCHED CHALCOGENIDE MULTI-JUNCTION PHOTOVOLTAIC CELL | 1 |
Tim Haley | US | Chicago | 2015-10-22 / 20150296743 - PET TREAT DISPENSING CONTAINER WITH CAP FASTENER | 1 |
John D. Haley | US | Chester Springs | 2014-07-31 / 20140214451 - Adaptive Medical Documentation System | 2 |
Cecelia Haley | US | Canton | 2015-04-30 / 20150118684 - METHOD FOR PROCESSING POLYNUCLEOTIDE-CONTAINING SAMPLES | 3 |
Vincent L. Haley | US | Lawrence | 2011-09-15 / 20110220282 - METHOD OF USING A WELDING MACHINE INCORPORATING A VACUUM TRACK ASSEMBLY | 3 |
Jeffrey Haley | US | Mercer Island | 2015-11-26 / 20150341493 - Before completing a call to a moving driver, query the caller | 3 |
Paul V. Haley | US | Sewickley | 2016-03-24 / 20160085743 - SYSTEM FOR KNOWLEDGE ACQUISITION | 1 |
Caleb James Haley | US | Larose | 2016-02-25 / 20160053564 - Systems and Methods for Core Recovery | 1 |
John R. Haley, Jr. | US | Chantilly | 2015-10-29 / 20150312395 - PERFORM AN ACTION BASED ON DIALED DIGITS | 1 |
David C. Haley, Jr. | US | Seattle | 2015-12-31 / 20150379770 - DIGITAL ACTION IN RESPONSE TO OBJECT INTERACTION | 1 |
John Richard Haley, Jr. | US | Chantilly | 2015-03-12 / 20150074745 - MOBILE COMMUNICATION DEVICE AND METHOD OF OPERATING THEREOF | 1 |
David C. Haley, Jr. | US | Dallas | 2011-07-21 / 20110175809 - Tracking Groups Of Users In Motion Capture System | 1 |
Robert P. Haley, Jr. | US | Midland | 2011-05-05 / 20110104496 - ADDITIVES FOR THE USE OF MICROWAVE ENERGY TO SELECTIVELY HEAT THERMOPLASTIC POLYMER SYSTEMS | 7 |
Alvin J. Halfaker | US | Grand Rapids | 2013-03-14 / 20130061376 - APPARATUS AND METHOD FOR IMPROVING THE SOUND SUPPRESSION CAPABILITIES OF HELMETS WORN BY RIDERS OF RECREATIONAL VEHICLES | 1 |
Mathew D. Halfant | US | San Jose | 2009-08-06 / 20090195486 - SYSTEMS AND METHODS FOR COLOR CONTROL OF DISPLAY DEVICES | 1 |
Ronnie Halfar | DE | Hamburg | 2014-07-24 / 20140205758 - SOLVENT-FREE WIRE ENAMEL COMPOSITION | 1 |
Marvin Halfen | US | Hastings | 2011-06-02 / 20110127317 - File Folder And Repositionable Tab | 1 |
Friedhelm Halfenberg | DE | Julich | 2010-03-18 / 20100068427 - CAST-COATING-LIKE INKJET PRINTING MATERIAL | 1 |
Geoff Halferdahl | CA | Edmonton | 2015-10-08 / 20150283510 - DEWATERING OF OIL SANDS TAILINGS USING IN SITU ELECTRO-OSMOSIS | 1 |
Lawrence Halff | US | San Francisco | 2015-02-12 / 20150044062 - HOT WATER RECIRCULATION SYSTEM TECHNOLOGIES | 2 |
Henry Halff | US | San Antonio | 2012-03-15 / 20120064499 - TEACHING LESSONS WITHIN AN ELECTRONIC DEVICE | 1 |
Hami Halff | US | San Antonio | 2011-07-07 / 20110163881 - SYSTEM AND METHOD RESPONSIVE TO AN EVENT DETECTED AT A GLUCOSE MONITORING DEVICE | 1 |
Lisa Halff | US | San Antonio | 2014-11-13 / 20140333438 - SYSTEM AND METHOD RESPONSIVE TO AN EVENT DETECTED AT A GLUCOSE MONITORING DEVICE | 3 |
Harry Halff | US | San Antonio | 2014-11-13 / 20140333438 - SYSTEM AND METHOD RESPONSIVE TO AN EVENT DETECTED AT A GLUCOSE MONITORING DEVICE | 2 |
Ram Halfi | IL | Shoham | 2010-01-28 / 20100022225 - METHODS AND SYSTEMS FOR SETTING, SCHEDULING, OPTIMIZING, AND INITIATING PERSONAL COMMUNICATION AND PRIORITIZING COMMUNICATION CHANNELS AND DEVICES | 1 |
Timothy E. Halfiman | US | Schaumburg | 2012-02-02 / 20120030004 - Electronic Offer Management System and Method Thereof | 1 |
Mark Douglas Halfman | US | Newtonville | 2016-04-28 / 20160117968 - DISPLAY INCORPORATING DYNAMIC SATURATION COMPENSATING GAMUT MAPPING | 4 |
Timothy E. Halfman | US | Schaumburg | 2014-09-18 / 20140278885 - ELECTRONIC OFFER MANAGEMENT SYSTEM AND METHOD THEREFOR | 3 |
Aaron Halfmann | US | Germantown | 2010-07-15 / 20100176518 - SYSTEM AND METHOD FOR CONVERTING AN ENGINE TO AN ALTERNATE FUEL | 1 |
Eric Halfmann | US | Magnolia | 2016-05-12 / 20160130896 - HIGH COLLAPSE PRESSURE CHAMBER AND METHOD FOR DOWNHOLE TOOL ACTUATION | 4 |
Rüdiger Halfmann | DE | Otterberg | 2010-01-07 / 20100002575 - ADAPTIVE MODULATION AND CODING IN A SC-FDMA SYSTEM | 4 |
Steve Halfmann | US | Chandler | 2015-07-23 / 20150204194 - TURBINE ROTOR ASSEMBLIES WITH IMPROVED SLOT CAVITIES | 10 |
Michael Halfmann | DE | Heidelberg | 2010-06-24 / 20100162389 - PROVIDING PERMISSION TO PERFORM ACTION ON AN ELECTRONIC TICKET | 1 |
Steve Halfmann | US | Chandler | 2015-07-23 / 20150204194 - TURBINE ROTOR ASSEMBLIES WITH IMPROVED SLOT CAVITIES | 10 |
Edmund Halfmann | DE | Neuss | 2014-10-16 / 20140306412 - Articulated connection for transferring a steering movement onto a vehicle wheel | 7 |
Steve H. Halfmann | US | Chandler | 2009-01-01 / 20090000304 - INTEGRATED SUPPORT AND MIXER FOR TURBO MACHINERY | 1 |
Ruediger Halfmann | DE | Otterberg | 2016-05-05 / 20160127912 - CONFIGURATION OF RESOURCE USAGE IN COMMUNICATION SYSTEMS | 10 |
Eric Halfmann | US | Houston | 2014-11-20 / 20140338891 - Slip with Altering Load Distribution Feature | 1 |
James Halfmann | US | Centennial | 2012-07-26 / 20120186684 - Flame Resistant Hose Construction and Method | 1 |
Achim Halfmann | DE | Wuppertal | 2008-09-25 / 20080232576 - CONTACT BANK | 1 |
Niklas Halfmann | DE | Hamburg | 2013-08-15 / 20130206710 - JIG FOR THE MODELLING OF AT LEAST ONE SECTION OF AN AIRCRAFT FUSELAGE | 7 |
Helmut Halfmann | DE | Rheinberg | 2013-08-22 / 20130216431 - DEVICE FOR IRRADIATING SURFACES | 3 |
Ruediger Halfmann | DE | Otterberg | 2016-05-05 / 20160127912 - CONFIGURATION OF RESOURCE USAGE IN COMMUNICATION SYSTEMS | 10 |
Rudiger Halfmann | DE | Otterberg | 2011-04-21 / 20110090793 - Method and Device for Data Communication and Communication System Comprising Such Device | 7 |
Ulrich Halfmann | DE | Brauningshof | 2011-01-20 / 20110013441 - STATIC CONVERTER AND METHOD FOR STARTING UP THE CONVERTER | 3 |
Philippe Halfon | FR | Marseille | 2014-05-15 / 20140135259 - TREATMENT OF HEPATITIS C VIRUS | 4 |
Amos Halfon | IL | Sitriya | 2016-03-17 / 20160076293 - SYSTEM AND DEVICE FOR SOFT CLOSING | 4 |
Sherin Halfon | US | Palo Alto | 2013-07-25 / 20130189216 - LINEAR POLYOL STABILIZED POLYFLUOROACRYLATE COMPOSITIONS | 5 |
Zion Halfon | IL | Herzliya | 2009-09-03 / 20090218886 - REMOTE ACTUATION BASED ON MEASURING ELECTRIC CURRENT CONSUMPTION | 1 |
Moshe Halfon | IL | Hod Hasharon | 2009-10-01 / 20090242023 - SYSTEM AND METHOD FOR PRODUCING A SOLAR CELL ARRAY | 1 |
Itzhak Halfon | IL | Nes Tziyona | 2015-01-29 / 20150027220 - WIND PARAMETER INDICATION | 1 |
Yossi Halfon | IL | Givon Hahadasha | 2015-11-19 / 20150331200 - SWITCHING PATCH CORD FIBERS | 1 |
Leon Halfon | US | Los Angeles | 2013-05-02 / 20130104792 - Rescue Boat | 2 |
Amos Halfon | IL | Moshav Staria | 2009-08-06 / 20090193736 - System and Device for Stiffening a Door | 1 |
Shlomi Halfon | IL | Tel Aviv | 2010-08-05 / 20100195781 - NEUTRON BEAM RADIATION APPARATUS | 1 |
Haim Halfon | IL | Petah Tikva | 2014-10-30 / 20140321309 - Transparent Mesh Overlay in Hub-Spoke Satellite Networks | 2 |
Amitai Halfon | NZ | Auckland | 2012-02-02 / 20120025019 - Vehicle Seat with Reclining Back and Leg Rest | 1 |
Amos Halfon | IL | Rishon Le Zion | 2010-07-22 / 20100180506 - COUPLING MECHANISM FOR SLIDING DOORS | 1 |
Refael Halfon | IL | Givataim | 2010-02-04 / 20100029222 - DELAY DIVERSITY IN ANTENNA ARRAYS | 1 |
Ben John Halford | GB | Leicetershire | 2009-01-22 / 20090020936 - RECONFIGURABLE WORKPIECE SUPPORT | 1 |
Michael Maurice Halford | AU | Kangaroo Ground | 2016-02-25 / 20160053022 - ANTIBODIES AGAINST HUMAN RYK AND USES THEREFOR | 1 |
Ben John Halford | GB | South Luffenham | 2009-10-08 / 20090250857 - TOOLING SYSTEM | 1 |
Nigel Halford | GB | Harpenden | 2015-06-25 / 20150176019 - Over-expression of GCN2-Type Protein Kinase in Plants | 1 |
Hubert E. Halford | US | Rosharon | 2008-10-09 / 20080245532 - APPARATUS AND METHODS OF MILLING A RESTRICTED CASING SHOE | 1 |
Thomas R. Halford | US | 2008-08-21 / 20080198789 - METHOD AND SYSTEM FOR ESTABLISHING COOPERATIVE ROUTING IN WIRELESS NETWORKS | 1 | |
Jashua Lee Halford | US | Riverside | 2012-01-12 / 20120009104 - Smart Test Tube Rack | 1 |
Ben John Halford | GB | Oakham | 2015-11-26 / 20150336295 - TOOL MANAGEMENT SYSTEM | 1 |
Ben Halford | GB | Rutland | 2016-02-04 / 20160031122 - MOULD TOOL HEAT TRANSITION MANAGEMENT | 10 |
Simon Halford | GB | Coventry | 2016-05-12 / 20160129789 - Vehicle Distributed Network | 1 |
Ben Halford | GB | Rutland | 2016-02-04 / 20160031122 - MOULD TOOL HEAT TRANSITION MANAGEMENT | 10 |
Ben John Halford | GB | Ruland | 2009-03-05 / 20090056517 - RECONFIGURABLE TOOLING SYSTEM FOR SUPPORTING A WORKPIECE | 1 |
Joseph Halford | US | St. Louis | 2014-06-26 / 20140174318 - BRAKE BEAM ASSEMBLY FOR A RAILWAY CAR TRUCK | 4 |
Thomas R. Halford | US | Manhattan Beach | 2015-09-24 / 20150270865 - JOINT ANALOG AND DIGITAL INTERFERENCE CANCELLATION IN WIRELESS SYSTEMS | 8 |
Ben Halford | GB | Oakham | 2015-02-19 / 20150048551 - TOOL TEMPERATURE CONTROL | 2 |
Steven D. Halford | US | Palm Bay | 2012-11-22 / 20120294295 - DUAL PACKET CONFIGURATION FOR WIRELESS COMMUNICATIONS | 3 |
Keith Halford | US | Madison | 2009-02-05 / 20090034703 - Prepaid Calling Time Processing: A Method and Apparatus for Processing Pre-Paid Calling Time in a Telephone Communication System | 1 |
Colin Halford | US | Los Angeles | 2015-04-16 / 20150104789 - ANTIBIOTIC SUSCEPTIBILITY TESTING USING PROBES FOR PRERIBOSOMAL RNA | 1 |
Jason C. G. Halford | GB | Manchester | 2012-05-17 / 20120121735 - Composition and Method for Reducing Food Intake | 1 |
Thomas R. Halford | US | Manhattan Beach | 2015-09-24 / 20150270865 - JOINT ANALOG AND DIGITAL INTERFERENCE CANCELLATION IN WIRELESS SYSTEMS | 8 |
Steven Dennis Halford | US | Palm Bay | 2009-05-28 / 20090135799 - WIRELESS COMMUNICATIONS DEVICE INCLUDING PATH SEARCHER WITH COMMON COHERENT CORRELATOR AND RELATED METHODS | 1 |
Jason Christian Grovenor Halford | GB | Manchester | 2016-04-28 / 20160113985 - COMPOSITION AND METHOD FOR REDUCING FOOD INTAKE | 2 |
Chris Halford | US | Andover | 2009-01-22 / 20090021737 - Color management system with system-level communications | 1 |
James W. Halford | CA | Indian Head | 2011-10-06 / 20110239527 - Pest Control for Burrowing Animals | 1 |
Ben John Halford | GB | Leicestershire | 2008-08-28 / 20080203640 - Tooling System | 1 |
Andrew D. Halford | US | Manchester | 2011-06-23 / 20110153279 - APPROACH FOR PLANNING, DESIGNING AND OBSERVING BUILDING SYSTEMS | 1 |
Kenneth J. Halford | US | Woodbury | 2015-04-09 / 20150099113 - MULTILAYER POLYURETHANE PROTECTIVE FILMS | 7 |
William Halford | US | Springfield | 2010-09-09 / 20100226940 - HERPES SIMPLEX VIRUS MUTANT ICP0 | 1 |
Ben John Halford | GB | Rutland | 2010-11-25 / 20100295229 - Tooling System With Array of Height Adjustable Elements | 1 |
Alex W. Halfpenny | US | Marion | 2013-12-05 / 20130323687 - TRAINING DATA MANAGEMENT METHOD AND RELATED SYSTEM | 1 |
Kurt I. Halfyard | CA | Mississauga | 2014-12-25 / 20140377454 - METHOD OF IMPROVING SHEET RESISTIVITY OF PRINTED CONDUCTIVE INKS | 17 |
Linda M. Halgash | US | Edwardsville | 2010-05-20 / 20100122806 - Compact and Efficient Heat Exchanger, Furnace, HVAC Unit, Building, and Method of Making | 1 |
Joseph F. Halgas, Jr. | US | Huntingdon Valley | 2013-06-20 / 20130160050 - METHOD FOR SIMPLIFYING HOME ENTERTAINMENT SYSTEM CONFIGURATION THAT EMPLOYS BIDIRECTIONAL DIGITAL AUDIO/VIDEO INTERCONNECTIONS | 2 |
Donald N. Halgren | US | Manchester | 2014-10-16 / 20140308895 - Mobile WiFi arrangement | 10 |
Anne B. Halgren | US | Corvallis | 2010-07-29 / 20100189798 - CONTROL OF ERWINIA AMYLOVORA WITH VINYLGLYCINES AND BACTERIA THAT PRODUCE VINYLGLYCINES | 2 |
Donald N. Halgren | US | Manchester | 2014-10-16 / 20140308895 - Mobile WiFi arrangement | 10 |
Kapil Hali | IN | Hyderabad | 2014-02-13 / 20140044014 - WIRELESS INTERFACE SHARING | 2 |
Dana Haliberg | US | Forest Lake | 2011-11-03 / 20110268505 - Retractable wheel for boat lift and other structures | 1 |
John R. Haliburton | US | San Francisco | 2008-09-25 / 20080233623 - GENETICALLY MODIFIED HOST CELLS FOR INCREASED P450 ACTIVITY LEVELS AND METHODS OF USE THEREOF | 1 |
John R. Haliburton | US | South San Francisco | 2012-03-22 / 20120070868 - PRODUCTION OF ODD CHAIN FATTY ACID DERIVATIVES IN RECOMBINANT MICROBIAL CELLS | 1 |
James George Haliburton | US | San Francisco | 2014-02-06 / 20140040810 - ELECTRONIC DEVICE AND METHOD OF CHANGING A KEYBOARD | 2 |
Jeff Haliburton | US | Brookings | 2013-10-03 / 20130257235 - ELECTRONIC DISPLAY | 1 |
James Haliburton | US | San Francisco | 2011-09-29 / 20110234543 - SYSTEM AND METHOD FOR GESTURE DETECTION AND FEEDBACK | 1 |
James George Haliburton | SE | Malmo | 2015-09-17 / 20150261418 - ELECTRONIC DEVICE AND METHOD FOR DISPLAYING CONTENT | 1 |
Christopher M. Haliburton | US | Commerce Township | 2012-11-22 / 20120296502 - FORWARD-LOOKING HYBRID VEHICLE CONTROL STRATEGY | 1 |
Dennis R. Halicki | US | Hillsboro | 2013-01-03 / 20130007491 - ENHANCED INTERCONNECT LINK WIDTH MODULATION FOR POWER SAVINGS | 2 |
Gregory F. Halik | US | Empire | 2013-08-29 / 20130227632 - CATV Entry Adapter and Method for Distributing CATV and In-Home Entertainment Signals | 3 |
David H. Halik | US | Cheektowaga | 2013-04-11 / 20130087320 - Equipment Enclosure With Air Diverter Temperature Control System | 2 |
Sami Halila | FR | Voreppe | 2010-02-25 / 20100048738 - HYBRID COMPOUNDS BASED ON POLYOL(S) AND AT LEAST ONE OTHER MOLECULAR ENTITY, POLYMERIC OR NON-POLYMERIC, IN PARTICULAR OF THE POLYORGANOSILOXANE TYPE, PROCESS FOR THE PREPARATION THEREOF, AND APPLICATIONS THEREOF | 3 |
Ahmet Halilcavusogullari | DE | Werdohl | 2009-10-29 / 20090267373 - Trim part for a motor vehicle | 1 |
Reynaldo B. Halili | US | San Diego | 2013-04-18 / 20130096531 - HYPODERMIC NEEDLE ASSEMBLY HAVING A TRANSITION HUB FOR ENHANCING FLUID DYNAMICS AND MICROSPHERE INJECTABILITY | 1 |
Edgardo C. Halili | US | Santa Clarita | 2015-10-08 / 20150283321 - PRIMING DETECTION SYSTEM AND METHOD OF USING THE SAME | 19 |
Rey Halili | US | San Diego | 2012-11-22 / 20120296309 - MIXING TOOL | 2 |
Edgardo Halili | US | Santa Clarita | 2011-11-17 / 20110282282 - RESERVOIR PRESSURE EQUALIZATION SYSTEMS AND METHODS | 1 |
Edgardo C. Halili | US | Santa Clarita | 2015-10-08 / 20150283321 - PRIMING DETECTION SYSTEM AND METHOD OF USING THE SAME | 19 |
Haris Halilovic | US | Erie | 2016-01-28 / 20160025172 - FABRIC-REINFORCED BEARINGS AND METHODS | 1 |
Andriyanto Halim | SG | Singapore | 2016-02-25 / 20160056702 - MIXED-MODE POWER FACTOR CORRECTION | 1 |
Yansen Halim | SG | Singapore | 2014-09-04 / 20140248931 - Method for Playing a Game | 1 |
Merissa Halim | US | Diamond Bar | 2009-10-29 / 20090266416 - PHOTOVOLTAIC DEVICES INCLUDING SELF-ASSEMBLING FULLERENE DERIVATIVES FOR IMPROVED EFFICIENCIES | 1 |
Chris Halim | US | Aliso Viejo | 2008-12-04 / 20080301247 - AUTOMATIC FILE SHARING OVER A NETWORK | 1 |
Andygibb Halim | US | Seattle | 2015-05-07 / 20150127578 - STATELESS SIMULATION SERVICE | 1 |
Md. Abdul Halim | CA | Mississauga | 2014-10-09 / 20140301919 - MIXED CHLORIDE PROCESS FOR THE EXTRACTION OF ALUMINA | 2 |
Nor Hadhirah Bt Halim | MY | Kajang | 2012-07-26 / 20120190592 - METHOD AND SYSTEM FOR REMOVING ORGANIC DEPOSITS | 1 |
Samuel Claude Halim | CH | Zurich | 2015-03-05 / 20150064446 - SOLUTION-PROCESSABLE TUNGSTEN OXIDE BUFFER LAYERS AND ELECTRONICS COMPRISING SAME | 2 |
Fakher Halim | US | Villa Park | 2008-09-11 / 20080222517 - Applying Patterns to XSD for Extending Functionality to Both XML and non-XML Data Data Structures | 1 |
M. A. Halim | CA | East York | 2013-06-13 / 20130149219 - SEPARATION OF IRON FROM VALUE METALS IN LEACHING OF LATERITE ORES | 1 |
Fransky Halim | CA | Mississauga | 2016-04-14 / 20160104126 - METHOD OF RETRIEVING AND UNIFORMALIZING ELEVATOR MAINTENANCE AND CALLBACK DATA AND CODE EVENTS | 1 |
Mohd Amin Abdul Halim | MY | Selangor | 2014-10-09 / 20140302497 - GENE CONTROLLING FRUIT COLOR PHENOTYPE IN PALM | 1 |
Sobia Ahsan Halim | PK | Karachi | 2015-09-24 / 20150266889 - MYRTOCOMULOACETALONE 1 AS AN ANTI INFLAMMATORY AGENT | 1 |
Temy Sanjaya Halim | SG | Singapore | 2012-06-14 / 20120149247 - SOCKET AND A SYSTEM OF CASCADABLE SOCKETS | 1 |
Sobia A. Halim | PK | Karachi | 2014-12-25 / 20140378515 - IMMUNOSUPPRESSIVE COMPOUNDS | 1 |
M. Huzainy B. Halim | MY | Tronoh Perak | 2014-11-27 / 20140351187 - Method and System for Validating Energy Measurement in a High Pressure Gas Distribution Network | 1 |
M. A. Halim | CA | Mississauga | 2013-10-31 / 20130283977 - PROCESS FOR EXTRACTION OF RARE EARTH ELEMENTS | 2 |
Chris Halim | US | San Jose | 2015-07-30 / 20150215190 - Future Messaging System | 4 |
Ahmad Helmi Abdul Halim | MY | Kuala Lumpur | 2013-04-04 / 20130083688 - WIRELESS NETWORK SYSTEM | 1 |
Nagui Halim | US | Yorktown Heights | 2015-09-24 / 20150269157 - KNOWLEDGE DISCOVERY IN DATA ANALYTICS | 19 |
Rosliana Halim | AU | Notting Hill | 2015-06-25 / 20150175592 - Viral Polymerase Inhibitors | 5 |
Andygibb Halim | US | Redmond | 2015-05-07 / 20150127412 - WORKFLOW MANAGEMENT SYSTEM | 1 |
Martin Halim | KR | Seoul | 2015-01-15 / 20150016024 - CATHODE ACTIVE MATERIAL HAVING CORE-SHELL STRUCTURE AND PRODUCING METHOD THEREOF | 1 |
Adnan Halim | SE | Malmo | 2015-06-25 / 20150177261 - DIAGNOSIS AND TREATMENT OF ALZHEIMER'S DISEASE | 2 |
Irwan Halim | US | Houston | 2014-12-11 / 20140361995 - COMPUTING DEVICE EXPANSION SYSTEM | 6 |
Ervina Halim | US | Needham | 2016-03-17 / 20160075880 - Modified Colorants and Inkjet Ink Compositions Comprising Modified Colorants | 1 |
Aomar Halimaoui | FR | La Terasse | 2013-10-10 / 20130264678 - METHOD FOR MAKING A SEMI-CONDUCTING SUBSTRATE LOCATED ON AN INSULATION LAYER | 3 |
Aomar Halimaoui | FR | La Terrasse | 2015-06-04 / 20150155175 - METHOD FOR THE METALLIZATION OF A POROUS MATERIAL | 7 |
Aomar Halimaoui | FR | Montbonnot-Saint-Martin | 2015-02-26 / 20150054141 - METHOD FOR FORMING INTEGRATED CIRCUITS ON A STRAINED SEMICONDUCTOR SUBSTRATE | 1 |
Jad Halimeh | DE | Munchen | 2012-01-12 / 20120008866 - Method and device for detecting an interfering object in a camera image | 1 |
Jad Halimeh | DE | Muenchen | 2014-10-30 / 20140321701 - METHOD AND APPARATUS FOR RECOGNIZING DIRECTIONAL STRUCTURES ON A WINDOW PANE OF A VEHICLE | 1 |
Arber Halimi | US | Hartland | 2015-04-09 / 20150098761 - Control Lever Assembly for Walk-Behind Compaction Roller | 1 |
Henry M. Halimi | US | Los Angeles | 2014-08-21 / 20140230925 - FLUID MONITORING AND CONTROL SYSTEM | 2 |
Sammy Halimi | US | Carrollton | 2015-08-20 / 20150237661 - Communication Systems and Methods for Flexible Telematics at a Vehicle | 3 |
Henry Halimi | US | Los Angeles | 2010-08-19 / 20100205842 - HANGING DISPLAY TAG | 2 |
Laurence Halimi | US | Belle Mead | 2012-05-31 / 20120134937 - PERSONAL CARE COMPOSITIONS HAVING REDUCED EYE IRRITATION | 3 |
Diane Halimi | FR | St. Maurice De Beynost | 2010-11-25 / 20100297692 - REACTION MEDIUM FOR DETECTING AND/OR IDENTTIFYING STAPHYLOCCOUS AUREUS | 1 |
Diane Halimi | FR | Saint-Maurice-De-Beynost | 2016-02-18 / 20160046976 - USE OF AT LEAST ONE CHROMOGENIC AND/OR FLUOROGENIC PHOSPHATASE SUBSTRATE FOR THE DETECTION AND/OR ENUMERATION OF ENTEROBACTERIA IN A SAMPLE | 3 |
Adis Halimic | US | Cheswick | 2016-04-14 / 20160102739 - BELT TENSIONING METHOD | 3 |
Rudy Halimun | ID | Jakarta | 2015-07-30 / 20150210422 - Reclosable Packaging Container for Powder or Granular Materials | 1 |
Cornelia Halin | SE | Zurich | 2014-11-27 / 20140348784 - COMPOSITIONS AND METHODS FOR TREATMENT OF ANGIOGENESIS IN PATHOLOGICAL LESIONS | 1 |
Peter Fischer Halin | DK | Holte | 2015-05-14 / 20150132824 - Polypeptides Having Xanthan Degrading Activity and Polynucleotides Encoding Same | 1 |
Cornelia Halin | CH | Zurich | 2010-12-16 / 20100316602 - COMPOSITIONS AND METHODS FOR TREATMENT OF ANGIOGENESIS IN PATHOLOGICAL LESIONS | 2 |
Richard D. Halin | US | Richmond | 2010-11-11 / 20100281656 - Detachable synthetic rope connector | 1 |
Cory Halischuk | CA | Clandeboye | 2014-11-20 / 20140338276 - Fastening a Ceiling Trim | 4 |
Ismo Halivaara | FI | Tampere | 2015-08-06 / 20150223020 - EVENT-BASED ASSISTANCE DATA DELIVERY | 19 |
Edward Halk | US | Sunnyvale | 2014-05-22 / 20140141006 - HUMAN MONOCLONAL ANTIBODIES TO EPIDERMAL GROWTH FACTOR RECEPTOR (EGFR) | 4 |
Mark Halk | US | Houston | 2014-06-12 / 20140157735 - Apparatus, Methods and Systems for Removing Particulate Impurities from Above a Shale Shaker | 1 |
Edward L. Halk | US | Sunnyvale | 2014-12-25 / 20140380515 - TRANSGENIC TRANSCHROMOSOMAL RODENTS FOR MAKING HUMAN ANTIBODIES | 11 |
David Halk | US | Cummings | 2008-10-02 / 20080240893 - HIGH CAPACITY PICK AND PLACE PROCESS | 1 |
Edward L. Halk | US | Sunnyvale | 2014-12-25 / 20140380515 - TRANSGENIC TRANSCHROMOSOMAL RODENTS FOR MAKING HUMAN ANTIBODIES | 11 |
Edward L. Halk | US | Milpitas | 2012-07-19 / 20120183565 - FULLY HUMAN ANTIBODIES TO BTLA | 2 |
Rahmi Halk | US | Brick | 2010-05-27 / 20100125932 - MARTIAL ARTS STUDENT IDENTIFICATION SYSTEM | 1 |
Thomas George Halka | US | Birch Run | 2014-10-30 / 20140318494 - CONNECTING ROD | 1 |
Daniel Edward Halka | US | Baltimore | 2014-07-03 / 20140187328 - ASYNCHRONOUS INTERACTIVE GAME PLAY | 5 |
Natacha Halk-Beraud | FR | Champigny-Sur-Marne | 2010-02-25 / 20100043489 - Method For Separating A Mixture Of Carbon Monoxide, Methane, Hydrogen And Optionally Nitrogen by Cryogenic Distillation | 1 |
Richard P. Halke | US | Los Angeles | 2016-04-07 / 20160099786 - METHOD AND SYSTEM OF MEDIA PROGRAMMING TO PROVIDE AN INTEGRATED ENTERTAINMENT EXPERIENCE | 3 |
Troels Halken | DK | Herning | 2009-07-23 / 20090184154 - Method for the strengthening of a welded connexion and/or for the increase of tolerance of a welded connexion in relation to fatigue load, element for a tower of a wind turbine, tower of a wind turbine and wind turbine | 1 |
Nedzad Halkic | DE | Filderstadt | 2012-11-22 / 20120293670 - Fast measurement of alignment data of a camera system | 1 |
Torben Halkier | DK | Solroed Strand | 2012-05-03 / 20120107852 - METHOD FOR PREPARING MODIFIED POLYPEPTIDES | 3 |
Barbara Ann Halkier | DK | Copenhagen K | 2014-05-15 / 20140137294 - GLUCOSINOLATE TRANSPORTER PROTEIN AND USES THEREOF | 1 |
Barbara Ann Halkier | DK | Copenhagen | 2011-01-20 / 20110016582 - Biosynthetic Engineering of Glucosinolates | 1 |
Barbara Halkier | DK | Frederiksberg | 2010-01-14 / 20100011462 - FLAVIN MONOOXYGENASES AND TRANSCRIPTION FACTORS INVOLVED IN GLUCOSINOLATE BIOSYNTHESIS | 1 |
Hillel Halkin | IL | Savyon | / - | 1 |
Evangelos Halkiopoulos | GR | Peania | 2010-02-11 / 20100033399 - SMART POLE | 1 |
Erik Halkjaer | DK | Copenhagen N | 2015-10-22 / 20150299685 - Virus Filtration of Liquid Factor VII Compositions | 3 |
Esben Hansen Halkjaer | DK | Frederiksberg | 2014-11-06 / 20140329281 - Recombinant Production of Steviol Glycosides | 1 |
Erik Halkjaer | DK | Bronshoj | 2011-05-05 / 20110105725 - ANTIBODY PURIFICATION PROCESS | 1 |
Esben Halkjær Hansen | DK | Frederiksberg C | 2013-07-04 / 20130171328 - PRODUCTION OF STEVIOL GLYCOSIDES IN MICROORGANISMS | 1 |
Vesa Halkka | FI | Helsinki | 2009-02-26 / 20090054068 - Context based connectivity for mobile devices | 1 |
Roman D. Halko | US | 2011-09-29 / 20110237365 - HOCKEY STICK | 1 | |
Nathan Halko | US | Boulder | 2016-02-11 / 20160042373 - SYSTEMS AND METHODS FOR IDENTIFYING AND ANALYZING INTERNET USERS | 3 |
Lauri Halko | FI | Helsinki | 2013-12-05 / 20130326405 - Method and Apparatus Pertaining to Radiation Treatment Plan Optimization States | 3 |
Roman D. Halko | US | Chula Vista | 2012-11-08 / 20120283053 - HOCKEY STICK | 1 |
Teemu Halkosaari | FI | Espoo | 2012-09-27 / 20120243723 - EARPHONE WITH A SUPPORT ELEMENT | 1 |
Dawn Halkuff | US | New York | 2015-10-08 / 20150285776 - PROCESSES AND SYSTEMS FOR ACHIEVING AND ASSISTING IN IMPROVED NUTRITION BASED ON FOOD ENERGY DATA AND RELATIVE HEALTHFULNESS DATA | 9 |
Dawn Halkuff | US | New York | 2015-10-08 / 20150285776 - PROCESSES AND SYSTEMS FOR ACHIEVING AND ASSISTING IN IMPROVED NUTRITION BASED ON FOOD ENERGY DATA AND RELATIVE HEALTHFULNESS DATA | 9 |
Travis Halky | US | Jupiter | 2014-10-09 / 20140301490 - INGRESS-MITIGATED RF CABLE PLANTS AND INGRESS MITIGATION METHODS FOR SAME | 11 |
Lori Hall | US | Cary | 2010-03-04 / 20100057599 - METHOD FOR BUSINESS ON-LINE ACCOUNT OPENING WITH EARLY WARNING SYSTEM | 2 |
Simon Richard Geoffrey Hall | GB | Middlesex | 2012-04-12 / 20120086936 - Method And System For Measuring The Propagation Properties Of A Light Beam | 1 |
William Alfred Hall | US | Tega Cay | 2009-04-16 / 20090095402 - METHOD AND APPARATUS FOR CONTROLLING THE POWER OUTPUT OF A RADIO FREQUENCY SEALING MACHINE | 1 |
Connie C. Hall | US | N. Myrtle Beach | 2009-05-28 / 20090133789 - Sanitary cover for a handle | 1 |
D. Brian Hall | US | Simpsonville | 2010-02-04 / 20100030170 - Absorptive Pad | 1 |
Joel Meador Hall | US | Mauldin | 2012-12-20 / 20120322013 - Combustor Housing for Combustion of Low-BTU Fuel Gases and Methods of Making and Using the Same | 4 |
Eric Michael Hall | US | Mountain View | 2014-02-27 / 20140058902 - DISTRIBUTED SYSTEM FOR REMOTE ORDERING | 1 |
Richard J. Hall | US | Seneca | 2010-12-09 / 20100307754 - Aerosol injection into vadose zone | 1 |
Thomas J. Hall | US | Bainbridge Island | 2016-03-17 / 20160076360 - HORIZONTAL DIRECTIONAL DRILLING AREA NETWORK AND METHODS | 2 |
Kevin Hall | CA | Saskatoon | 2014-06-12 / 20140158385 - SEEDING IMPLEMENT DEPTH ADJUSTMENT MECHANISM | 10 |
Darren Hall | TW | Taipei | 2010-12-02 / 20100305235 - Anticorrosive Nanocomposite Coating Material, and a Preparation Process Thereof | 2 |
Patrick John Hall | US | Rock Hill | 2009-04-16 / 20090095402 - METHOD AND APPARATUS FOR CONTROLLING THE POWER OUTPUT OF A RADIO FREQUENCY SEALING MACHINE | 1 |
Duane Alan Hall | US | Lexington | 2009-09-03 / 20090218052 - DRYER CONFIGURATION FOR PRODUCTION OF POLYESTER PARTICLES | 1 |
Michael Hall | US | Snohomish | 2015-10-15 / 20150296355 - USING PHYSICAL GESTURES TO INITIATE CALL TRANSFERS BETWEEN DEVICES | 19 |
Christopher A. Hall | US | Cypress | 2015-07-09 / 20150192001 - High-Rate Injection Screen Assembly with Checkable Ports | 12 |
Richard W. J. Hall | US | Southborough | 2015-06-25 / 20150174735 - HIGH POROSITY ABRASIVE ARTICLES AND METHODS OF MANUFACTURING SAME | 10 |
Nathan Lee Hall | US | Liberty Township | 2014-12-11 / 20140364356 - FABRIC CARE COMPOSITIONS | 2 |
Karen Hall | US | Mayslick | 2016-03-31 / 20160090736 - Wall Partition | 1 |
Joel Hall | US | Mauldin | 2010-11-04 / 20100275604 - HIGH VOLUME FUEL NOZZLES FOR A TURBINE ENGINE | 1 |
James C. Hall | US | Allentown | 2014-07-31 / 20140209415 - Emergency Back-Up Power System For Traction Elevators | 1 |
Robert D. Hall | US | Berkey | 2015-07-09 / 20150194856 - SYSTEMS AND METHODS FOR COOLING A DRIVE END BEARING | 2 |
Matthew Thornhill Hall | US | Seattle | 2014-04-17 / 20140109106 - CODE DEPENDENCY CALCULATION | 1 |
Michael Hall | US | Bellevue | 2015-10-08 / 20150288866 - MULTI-CAMERA VIEW SELECTION | 3 |
Eric M. Hall | US | Goleta | 2014-07-31 / 20140213001 - WHITE LIGHT DEVICES USING NON-POLAR OR SEMIPOLAR GALLIUM CONTAINING MATERIALS AND PHOSPHORS | 5 |
William B. Hall | US | Evanston | 2015-01-22 / 20150024908 - Weight Holding Device | 3 |
Richard Allen Hall | US | Riverdale | 2014-12-18 / 20140366330 - Hand stabilizer | 1 |
Craig Hall | CA | Lashburn | 2014-06-19 / 20140166300 - DRIVE HEAD FOR A WELLHEAD | 1 |
William David Hall | US | Stamford | 2011-07-14 / 20110172871 - SYSTEM AND METHOD FOR MEASURING ENERGY EFFICIENCY IN VEHICLES | 1 |
Matt Hall | US | Seattle | 2011-08-04 / 20110191676 - Cross-Browser Interactivity Recording, Playback, and Editing | 2 |
Michael A. Hall | US | Orion | 2011-07-14 / 20110172877 - MASS, DRAG COEFFICIENT AND INCLINATION DETERMINATION USING ACCELEROMETER SENSOR | 1 |
Matthew W. Hall | US | Seattle | 2014-09-04 / 20140250004 - Coupling Prepaid Debit Cards to Online Stored-Value Accounts | 1 |
Christopher David Hall | US | Dublin | 2013-01-24 / 20130020823 - ROOF PANELS FOR AN AUTOMOTIVE VEHICLE | 3 |
Gidget A. Hall | US | Town And Country | 2015-03-05 / 20150066753 - BILL PAY SYSTEM USING BILL PAY CODE | 1 |
William G. Hall | US | Shreveport | 2013-05-09 / 20130115109 - COMPRESSOR DISCHARGE TEMPERATURE MONITOR AND ALARM | 1 |
Bruce Wayne Hall | US | San Diego | 2011-07-14 / 20110173173 - CONNECTION ENGINE | 1 |
Sean Michael Hall | AU | Sydney | 2015-02-12 / 20150045333 - LIQUID COMPOSITIONS COMPRISING VITAMIN D AND USES THEREOF | 2 |
John J. Hall | US | Portland | 2015-03-05 / 20150067811 - CONDUCTING SESSIONS WITH CAPTURED IMAGE DATA OF PHYSICAL ACTIVITY AND UPLOADING USING TOKEN-VERIFIABLE PROXY UPLOADER | 1 |
Graeme Peter Hall | AU | Langwarren | 2014-04-10 / 20140097034 - PLASTIC WALL PANEL | 1 |
Christopher G. Hall | GB | Skeimersdale | 2013-12-05 / 20130319408 - IMPROVEMENTS TO POWERED AIR BREATHING APPARATUS | 1 |
Shawn A. Hall | US | Pleasantville | 2015-10-08 / 20150289406 - HIGH-DENSITY, FAIL-IN-PLACE SWITCHES FOR COMPUTER AND DATA NETWORKS | 9 |
Patrick Hall | US | Chapel Hill | 2015-01-15 / 20150019554 - NUMBER OF CLUSTERS ESTIMATION | 1 |
Ian Brewster Hall | US | Kensington | 2013-01-24 / 20130020788 - Knee Airbag with Passive Venting for Out of Position Occupant Protection | 3 |
John Adrian Hall | US | Kingwood | 2014-02-13 / 20140041868 - METHOD AND APPARATUS FOR DRILLING AND COMPLETION FLUID SEPARATION | 2 |
Jacob R. Hall | US | Draper | 2012-01-12 / 20120007339 - STRAP FOR SNOWBOARD BINDING | 1 |
Bruce S. Hall | US | Salisbury | 2013-01-10 / 20130008129 - Shrapnel Containment System and Method for Producing Same | 1 |
Christopher Hall | US | Cypress | 2013-05-16 / 20130118726 - Gravel Pack Crossover Tool with Low Drag Force | 7 |
Andrew Brian Hall | US | Redmond | 2015-12-03 / 20150347274 - Performance Optimization Tip Presentation During Debugging | 1 |
Kathleen B. Hall | US | St. Louis | 2014-10-09 / 20140302593 - PROCESS FOR PURIFYING VLPS | 2 |
Shawn Hall | US | Kansas City | 2016-03-17 / 20160078553 - Device Account Upgrade-At-Claim | 2 |
Stephen Anthony Hall | GB | Somerset | 2015-12-17 / 20150361284 - DIGITAL PRINTING INKS | 3 |
Debra J. Hall | US | Naples | 2015-06-04 / 20150153824 - SYSTEM AND METHOD FOR MULTI-DOMAIN PROBLEM SOLVING ON THE WEB | 2 |
Ola Hall | SE | Lerum | 2009-12-31 / 20090322593 - RADAR LEVEL GAUGING SYSTEM WITH GALVANICALLY ISOLATING COMMUNICATION LINK | 1 |
Christopher Carl Hall | US | Dublin | 2015-01-15 / 20150013350 - Cryogenic Fluid Cylinder | 1 |
David L. Hall | US | South Salt Lake | 2013-12-12 / 20130328659 - Sealed Thermostat | 2 |
Kennith Hall | US | Torrance | 2012-01-12 / 20120007937 - MULTI-PURPOSE ARMORED VEHICLE | 1 |
Eliessa Florence Hall | US | St. Augustine | 2014-03-27 / 20140083437 - ARM BOARD DEVICE | 1 |
William Hall | US | St. Augustine | 2014-03-27 / 20140083437 - ARM BOARD DEVICE | 1 |
Garth F. Hall | US | Sudbury | 2015-01-15 / 20150018223 - METHODS OF DIAGNOSING TAU-ASSOCIATED NEURODEGENERATIVE DISEASES | 1 |
Aaron T. J. Hall | CA | North Vancouver | 2013-10-17 / 20130275629 - Devices and Methods for Transmitting USB Data Over DisplayPort Transmission Media | 2 |
Martin Hall | SE | Uppsala | 2014-09-11 / 20140251913 - SYSTEM AND PROCESS FOR BIOPOLYMER CHROMATOGRAPHY | 7 |
Nicolas-Alexander Hall | NO | Oslo | 2010-08-19 / 20100207719 - COMPUTER IMPLEMENTED METHOD TO DISPLAY TECHNICAL DATA FOR MONITORING AN INDUSTRIAL INSTALLATION | 1 |
Stephen Anthony Hall | GB | Wells | 2016-05-19 / 20160137857 - HIGH-STRETCH ENERGY CURABLE INKS & METHOD OF USE IN HEAT TRANSFER LABEL APPLICATIONS | 4 |
Roger Graham Hall | CH | Stein | 2016-02-25 / 20160050924 - PESTICIDALLY ACTIVE SUBSTITUTED PYRIDYL CARBOXAMIDES | 14 |
Richard B. Hall | US | Sanford | 2010-12-30 / 20100331597 - Catalyst And Once-Through Reactor-Regenerator Process For Oxygenate To Olefins Production | 1 |
James Alan Hall | US | High Point | 2013-02-21 / 20130045625 - FLEXIBLE ORGANIZATIONAL CONNECT | 1 |
Kwame Joel Hall | JM | Kingston | 2010-10-28 / 20100269716 - PORTABLE ELECTROMECHANICAL BRAILLE LABEL MAKER | 1 |
Claudia Patricia Hall | US | Bellingham | 2016-01-21 / 20160015158 - Backpack that Converts to a Sleeping Mat | 1 |
Jonathan Hall | CH | Basel | 2010-11-04 / 20100280094 - COMPOSITIONS AND METHODS TO TREAT MUSCULAR & CARDIOVASCULAR DISORDERS | 1 |
Richard Hall | US | Orlando | 2010-05-06 / 20100114806 - Condition-Based Monitoring System For Machinery And Associated Methods | 1 |
Erin Louise Hall | NZ | Whitford | 2011-05-12 / 20110107799 - LAUNDRY APPLIANCE | 1 |
Stacy Hall | US | Provo | 2015-08-13 / 20150226008 - ONE PIECE REAMER FOR USE IN BORING OPERATIONS OF GAS AND OIL MINING | 1 |
Tim Hall | US | Dodge City | 2012-09-06 / 20120226485 - METHODS FOR PREDICTING THE FORMATION OF WIND TURBINE BLADE ICE | 1 |
Simon Berners Hall | NZ | Palmerston North | 2015-07-23 / 20150207139 - COMPOSITIONS, ZINC ELECTRODES, BATTERIES AND THEIR METHODS OF MANUFACTURE | 4 |
Claire Hall | NZ | Auckland | 2010-08-19 / 20100212047 - COMPOSITIONS ISOLATED FROM FORAGE GRASSES AND METHODS FOR THEIR USE | 2 |
Harvey K. Hall | NZ | Motueka | 2011-09-01 / 20110214211 - RASPBERRY PLANT VARIETY NAMED 'NR7' | 5 |
Michael Patrick Hall | NZ | Hastings | 2009-05-28 / 20090136628 - Produce shaping, apparatus therefor and uses thereof | 1 |
Harvey K. Hall | NZ | Auckland | 2008-12-25 / 20080320622 - Raspberry plant named 'Adele' | 1 |
Jeremy Peter Hall | GB | Cardiff | 2014-07-10 / 20140192450 - Fault Current Limiter | 1 |
Clive Edward Hall | NL | Nijmegen | 2014-12-04 / 20140356276 - OPTICAL QUALITY DIAMOND MATERIAL | 4 |
Jeff D. Hall | US | Meridian | 2012-09-06 / 20120223198 - ELECTRONIC STORAGE DEVICE MOUNTS | 1 |
Jeffery Hall | US | San Diego | 2014-12-18 / 20140372297 - RELATED PARTY PAYMENT SYSTEM | 5 |
Eric Hall | US | Warsaw | 2016-02-04 / 20160030055 - BONE PERFORATOR | 1 |
Jack F. Hall | US | Interlachen | 2010-12-02 / 20100300812 - Forklift Clamp | 1 |
Kevin L. Hall | US | Watkinsville | 2016-02-04 / 20160031502 - Modular Towable Trailer System | 1 |
Mary P. Hall | US | Waunakee | 2016-03-17 / 20160076079 - NOVEL LUCIFERASE SEQUENCES UTILIZING INFRARED-EMITTING SUBSTRATES TO PRODUCE ENHANCED LUMINESCENCE | 2 |
Kerstin Elisabet Hall | SE | Solna | 2010-05-27 / 20100129344 - MEDICAL USES AND THERAPIES BASED UPON THE ACTION OF AZUROCIDIN ON IGFBP-1 | 1 |
Christopher J. Hall | US | Satellite Beach | 2016-02-04 / 20160032643 - Method and Apparatus for Reinforcing Roll Up, Roll Down Shutters and Doors Using Self-Forming Structural Shapes | 5 |
Scott D. Hall | US | Sullivan | 2009-01-08 / 20090008823 - Sealed Sensor Assembly and Method of Making the Same | 1 |
Jason W. Hall | US | Holland | 2016-02-04 / 20160029801 - OFFICE CHAIR | 1 |
Harry Thomas Hall | US | West Chester | 2014-03-20 / 20140081401 - NESTED EXPANDABLE SLEEVE IMPLANT | 1 |
Aaron T. Hall | US | Carey | 2014-05-22 / 20140140762 - SQUEEGEE RETAINER CLIP | 2 |
Ronald Hall Hall | CA | Woodstock | 2014-04-17 / 20140102735 - VIBRATORY RIPPER HAVING DEPTH ADJUSTABLE RIPPING MEMBER | 1 |
William E. Hall | US | Hawthorne | 2011-12-29 / 20110317829 - Physically Unclonable Function Implemented Through Threshold Voltage Comparison | 1 |
Mark S. Hall | US | South Orange | 2014-10-23 / 20140316910 - SYSTEM FOR REPLACING A CURSOR IMAGE IN CONNECTION WITH DISPLAYING ADVERTISING CONTENT | 13 |
Steven Deane Hall | US | Olivenhain | 2015-11-19 / 20150334518 - Optimization of NFC Tag for Different Battery Levels | 13 |
M. Matthews Hall | US | Portland | 2008-10-16 / 20080251746 - Electronically actuated valve system | 1 |
Stephen H. Hall | US | Forest Grove | 2016-01-28 / 20160026597 - MODE SELECTIVE BALANCED ENCODED INTERCONNECT | 7 |
Thomas Brett Hall | US | Portland | 2014-07-03 / 20140189616 - INCREMENTAL CONCURRENT PROCESSING FOR EFFICIENT COMPUTATION OF HIGH-VOLUME LAYOUT DATA | 3 |
Ronald W. Hall | US | Corvallis | 2010-08-05 / 20100192792 - METHOD OF PREDICTING A DRYING PARAMETER FOR A PRINTING PRESS | 1 |
Stephen H. Hall | US | Hillsboro | 2010-08-12 / 20100202118 - SHIFTED SEGMENT LAYOUT FOR DIFFERENTIAL SIGNAL TRACES TO MITIGATE BUNDLE WEAVE EFFECT | 3 |
Robert Hall | US | Sherwood | 2010-09-09 / 20100226612 - Optical receptacle and plug with simple structure | 1 |
Corinne Hall | US | Hillsboro | 2011-03-03 / 20110054714 - MEMORY MODULE THERMAL MANAGEMENT | 1 |
David D. Hall | US | Corvallis | 2011-04-14 / 20110084997 - DETERMINING A HEALTHY FLUID EJECTION NOZZLE | 2 |
James W. Hall | US | Portland | 2008-09-11 / 20080216622 - SELF FEEDING DRILL PRESS LATHE ATTACHMENT | 1 |
Michael Hall | CH | Basel | 2015-06-11 / 20150157623 - COMBINATION OF SYROSINGOPINE AND MITOCHONDRIAL INHIBITORS FOR THE TREATMENT OF CANCER AND IMMUNOSUPPRESSION | 2 |
Brian Corey Hall | US | St. Peters | 2011-04-21 / 20110093356 - COST ESTIMATION SYSTEMS, METHODS, AND USER INTERFACES FOR CONFIGURABLE ELECTRICAL PANELS | 1 |
Charles E. Hall | US | Wentzville | 2015-10-01 / 20150274379 - ADJUSTABLE BOX TOP LID | 3 |
Brian Hall | US | Seattle | 2010-09-16 / 20100232675 - BLOOD AND CELL ANALYSIS USING AN IMAGING FLOW CYTOMETER | 5 |
William A. Hall | US | O'Fallon | 2009-11-12 / 20090277904 - Universal Box Top Lid | 1 |
Andrew F. Hall | US | St. Charles | 2012-12-06 / 20120307964 - System for Medical Imaging Using Long Persistence Contrast Agents | 5 |
Jakob Hall | DK | Hillerod | 2012-12-06 / 20120311008 - SMART ROUNDING SUPPORTING PSYCHOLOGICAL PRICING | 1 |
Richard Hall | US | Mayfield | 2011-12-29 / 20110314714 - MERCHANDISING AND MERCHANT DISPLAYS FOR STATIONARY | 1 |
Clint Andrew Hall | US | Kansas City | 2010-07-15 / 20100180192 - DYNAMICALLY CONFIGURING A PRESENTATION LAYER ASSOCIATED WITH A WEBPAGE DELIVERED TO A CLIENT DEVICE | 1 |
Gidget Hall | US | Town And Country | 2010-04-22 / 20100100480 - Apparatus and Method for Bill Payment Card Enrollment | 1 |
Martin Hall | US | Palm City | 2008-12-11 / 20080305881 - Dual Laser Golf Training System | 1 |
Jonathan R. Hall | US | San Diego | 2015-08-13 / 20150226177 - MOTION CONTROL SYSTEM AND METHOD WITH ENERGY HARVESTING | 1 |
Joshua Hall | US | San Diego | 2015-08-13 / 20150225130 - Multi-Compartment, Portable Beverage Container | 1 |
Christopher T. Hall | US | Louisville | 2015-08-13 / 20150224382 - Training Device for Habit Formation Limiting Arm Movement Over a Predetermined Range of Motions | 1 |
Steven C. Hall | US | Lake St. Louis | 2009-11-12 / 20090277904 - Universal Box Top Lid | 1 |
Jürgen Hall | DE | Rotenbach | 2010-02-25 / 20100043567 - MEASURING ARRANGEMENT FOR FLOW MEASUREMENT IN A CHANNEL | 1 |
Todd Maxwell Hall | US | Sheffield | 2013-08-22 / 20130216341 - Bin Sweep | 2 |
Meredith Hall | IE | Newbliss | 2009-06-11 / 20090145697 - FORKLIFT TRUCKS | 1 |
Matthew Clayton Hall | US | Madison | 2016-02-04 / 20160032062 - POLYMER NANOCOMPOSITES | 2 |
James Hall | US | Tampa | 2008-11-27 / 20080289483 - METHOD OF MODIFYING THE FREQUENCY RESPONSE OF A WOODEN ARTICLE | 1 |
Gary D. Hall | US | Grand Prairie | 2014-04-24 / 20140110525 - System and Method for Providing for Collective Control in an Aircraft | 1 |
Jeffery L. Hall | US | South Pasadena | 2014-04-24 / 20140110612 - HYDRAULIC HIGH PRESSURE VALVE CONTROLLER USING THE IN-SITU PRESSURE DIFFERENCE | 1 |
Bruce Milne Hall | AU | New South Wales | 2011-12-22 / 20110311559 - METHOD OF IDENTIFYING CD4+ CD25+ T-CELLS ACTIVATED TO AN ANTIGEN WHICH EXPRESS CD8 | 1 |
Chris Hall | US | Dublin | 2013-11-28 / 20130317728 - IDLE STOP AND HEATER CONTROL SYSTEM AND METHOD FOR A VEHICLE | 1 |
Corby Hall | US | New Braunfels | 2014-10-16 / 20140305297 - Gas Flow System for a Long-Barrel Firearm | 3 |
Brian Hall | US | Russellville | 2010-02-25 / 20100043775 - ARTIFICIAL LOG SET ASSEMBLY | 1 |
Eric Hall | US | Plymouth | 2016-02-04 / 20160032268 - UREASE PURIFICATION FROM JACK BEANS OR OTHER ORGANISMS | 1 |
Giles F. Hall | US | Somerville | 2016-05-05 / 20160122755 - Compositions, Methods and Apparatus for Oligonucleotides Synthesis | 1 |
Brian Hall | US | Harbor City | 2010-02-18 / 20100042614 - DEFERRED 3-D SCENEGRAPH PROCESSING | 1 |
Christopher Hall | US | Brighton | 2015-03-19 / 20150074999 - THERMAL SPRAY COATING FOR CONNECTING ROD SMALL END | 3 |
Robert Neil Hall | GB | Aberdeen | 2013-08-22 / 20130213670 - Downhole Apparatus | 1 |
Robert Hall | US | Berkeley Heig | 2012-12-27 / 20120329538 - MOBILE DEVICE CONFIGURED POINT AND SHOOT TYPE WEAPON | 2 |
Gregory L. Hall | US | Mayfield Village | 2015-12-03 / 20150342377 - Pillow for displaying imagery and playing associated audio | 1 |
George M. Hall | US | Colleyville | 2015-01-22 / 20150023616 - Elastic Drawstring for Trash Bags | 10 |
Brian Hall | US | Orlando | 2014-01-02 / 20140000184 - DIAGONAL BRACE CONNECTOR AND METHOD | 2 |
Martin J. Hall | US | Seattle | 2014-12-04 / 20140359519 - Determination of Intended Navigation Destination Based on User-Generated and Analysis-Based Information | 9 |
Michele Hall | US | Bountiful | 2012-12-06 / 20120310125 - CYLINDRICAL ROLLER MASSAGE TOOL | 1 |
Gary W. Hall | US | Redmond | 2015-08-13 / 20150230192 - USER-INPUT SCHEDULING OF SYNCHRONIZATION OPERATION ON A MOBILE DEVICE BASED ON USER ACTIVITY | 8 |
David Hall | US | Denison | 2016-03-17 / 20160075848 - METHODS AND SYSTEMS FOR CARPET RECYCLING | 2 |
Jamie Vincent Clarke Hall | AU | Thornlie | 2014-05-22 / 20140138502 - ARTICLE HANDLING APPARATUS | 2 |
Aaron T.j. Hall | CA | North Vancouver | 2015-10-29 / 20150309958 - METHODS AND DEVICES FOR EXTENDING USB 3.0-COMPLIANT COMMUNICATION | 1 |
Chris Hall | US | Cypress | 2013-05-23 / 20130126187 - Entry Tube System | 1 |
Jared Mark Delaney Hall | US | Aurora | 2012-09-13 / 20120227763 - FLOOR MOP | 1 |
Wendell Hall | US | Bountiful | 2012-12-06 / 20120310125 - CYLINDRICAL ROLLER MASSAGE TOOL | 1 |
Sharon L. Hall | US | Cincinnati | 2014-05-01 / 20140120511 - TeachAtCafe - TeaChatCafe, Transparent Digital and Social Media as an Open Network Communication and Collaboration Tool with User Driven Content and Internet Content Submission Capability for Educators and Their Students | 1 |
David Robison Hall | US | Rochester | 2015-06-11 / 20150160882 - MIXED MODE HARD DRIVE WITH IDLE TIME & EXPANDABLE I-REGION / E-REGION | 12 |
James Douglas Hall | US | Homer | 2014-05-01 / 20140121039 - BATTING TEE DEVICE | 1 |
Lester C. Hall | US | Hollywood | 2010-10-21 / 20100268078 - METHODS AND SYSTEMS FOR MONITORING PATIENTS UNDERGOING TREATMENT FOR CANCER | 2 |
Gary S. Hall | US | Jacksonville | 2013-07-04 / 20130172831 - LIQUID DISPENSING RESERVOIR | 4 |
John Robert Hall | US | Houston | 2012-08-09 / 20120204078 - FLASH-BASED EEPROM EMULATION USING ERROR CORRECTION CONTROL | 1 |
Byron Hall | US | Danville | 2016-01-28 / 20160029498 - SIGN VENTILATION SYSTEM | 1 |
Bradley Jordan Hall | CA | Sarnia | 2013-09-12 / 20130235335 - METHOD FOR ALTERING THE OPTICAL DENSITY AND SPECTRAL TRANSMISSION OR REFLECTANCE OF CONTACT LENSES | 1 |
Clayton Hall | US | Conway | 2012-02-23 / 20120047073 - Account Administration Plans and Systems | 2 |
David L. Hall | US | Piedmont | 2015-12-24 / 20150369531 - REFRIGERATOR APPLIANCE WITH HOT WATER DISPENSER | 19 |
Richard M. Hall | US | Henderson | 2013-08-08 / 20130205021 - PRIVATE NETWORK WITH ENHANCED USER EXPERIENCE | 4 |
Keith Hall | US | San Jose | 2015-07-30 / 20150210809 - Samarium Containing Complex and Condensation Reaction Catalysts, Methods for Preparing the Catalysts, and Compositions Containing the Catalysts | 9 |
Kimberly Hall | US | Oxon Hill | 2013-09-12 / 20130237124 - BRASSIERE | 1 |
Eric S. Hall | US | Lexington | 2013-04-25 / 20130100204 - SYSTEMS FOR REGULATING AIRFLOW VELOCITY IN PRINT GAP REGIONS OF MICRO-FLUID EJECTION DEVICES | 2 |
Shawn A. Hall | US | Salt Lake City | 2013-10-24 / 20130282509 - SALES LEAD GENERATION SYSTEM FOR A COMPANY IN A SERVICE INDUSTRY AND RELATED METHODS | 1 |
Robert S. Hall | US | Roanoke | 2012-11-29 / 20120301604 - USE OF ELECTRO-STATIC MASK TO APPLY LAYERS TO AN ELECTRO-ACTIVE OPTICAL ELEMENT | 3 |
Mathew James Hall | AU | Balmain | 2011-08-25 / 20110203901 - PARKING METER | 2 |
Geoff Hall | AU | Essendon Airport | 2010-09-16 / 20100230121 - FIRE FIGHTING BUCKET SUSPENDABLE FROM AIRCRAFT | 1 |
Grant David Hall | AU | Victoria | 2010-07-01 / 20100162737 - SYSTEM AND METHOD OF WETTING ADIABATIC MATERIAL | 1 |
Thomas Edward Hall | AU | Victoria | 2010-04-08 / 20100088773 - MUTATIONS IN LAMA2 GENE OF ZEBRAFISH | 1 |
Andrew L. Hall | US | Hendersonville | 2013-04-25 / 20130097967 - Vacuum Packaging Appliance with Roll Storage | 1 |
Andre M. Hall | US | East Hartford | 2014-12-18 / 20140366554 - CROSS REFERENCE TO RELATED APPLICATIONS | 4 |
Colin Hall | AU | Brighton | 2010-04-01 / 20100079846 - CHARGE CONDUCTING MEDIUM | 1 |
David John Hall | AU | Toowoomba | 2013-02-14 / 20130037287 - BLADE ASSEMBLY FOR AN EXCAVATING APPARATUS | 2 |
Bevan Hall | AU | Birkdale | 2015-10-15 / 20150292177 - HEAVY DUTY EXCAVATOR BUCKET | 4 |
Jerry A. Hall | US | Gurnee | 2013-11-07 / 20130295112 - Antibodies Against Nerve Growth Factor (NGF) With Enhanced In Vivo Stability | 2 |
David Malcolm Hall | AU | Lockleys | 2012-08-30 / 20120217307 - RFID TAG ASSEMBLY AND METHOD | 3 |
Branden Hall | US | Hyattsville | 2013-07-04 / 20130171594 - SYSTEMS AND METHODS FOR PROVIDING TRAINING AND COLLABORATIVE ACTIVITIES THROUGH A GROUP-BASED TRAINING AND EVALUATION PLATFORM | 2 |
Edward Hall | US | Somerville | 2012-05-10 / 20120110720 - IMPACT ABSORBING LINER WITH ADJUSTMENT DEVICE | 1 |
Ross Hall | US | Orlando | 2010-06-03 / 20100138694 - Root-Cause Analysis System And Associated Methods | 1 |
John Frederick Hall | US | Southport | 2011-12-15 / 20110304473 - Residential emergency activated locating device (RLD) | 1 |
John Jeffrey Hall | US | Jackson | 2014-10-16 / 20140305040 - MOBILE PLANT GROWING DEVICE AND KIT | 1 |
Douglas Hall | US | Niles | 2014-09-25 / 20140283922 - SPRING ASSEMBLIES FOR USE IN GAS TURBINE ENGINES AND METHODS FOR THEIR MANUFACTURE | 1 |
Henry Hall | US | Dover | 2011-12-15 / 20110304259 - COILS FOR ELECTRON DISCHARGE DEVICES | 1 |
Chris Robert Hall | AU | Queensland | 2009-11-05 / 20090272079 - METHOD AND APPARATUS FOR FORMING AND FILLING FLEXIBLE PACKAGING | 1 |
Hugh Leslie Hall | AU | Queensland | 2009-11-05 / 20090272079 - METHOD AND APPARATUS FOR FORMING AND FILLING FLEXIBLE PACKAGING | 1 |
Michael Andrew Hall | AU | Melbourne | 2009-10-08 / 20090254578 - METHODS AND APPARATUS FOR SEARCHING AND ACCESSING MULTIMEDIA CONTENT | 1 |
Arndt Hall | DE | Weisendorf | 2012-01-19 / 20120014758 - INDEXABLE CUTTING INSERT FOR A SIDE MILLING CUTTER | 1 |
Derek Shane Hall | AU | Perth | 2009-09-24 / 20090236847 - PIPE INSERT | 1 |
Naomi Hall | AU | Kareela | 2009-08-20 / 20090209315 - METHOD OF GAMING, A GAMING SYSTEM AND A GAME CONTROLLER | 1 |
Stuart Hall | AU | Perth | 2009-02-12 / 20090040503 - SYSTEM AND METHOD FOR ANALYZING ROLLING STOCK WHEELS | 1 |
David Hall | GB | Devon | 2012-01-19 / 20120015457 - PCB-MOUNTED INTEGRATED CIRCUITS | 1 |
Timothy Hall | US | Englewood | 2015-04-30 / 20150114847 - ELECTROCHEMICAL SYSTEM AND METHOD FOR ELECTROPOLISHING HOLLOW METAL BODIES | 4 |
Derek Shane Hall | AU | Western Australia | 2008-12-18 / 20080309068 - Pipe Insert | 1 |
Thomas W. Hall | US | Nampa | 2009-05-14 / 20090119967 - RIFLE RECOIL ABSORPTION SYSTEM | 1 |
Robert J. Hall | US | Berkeley Heig | 2015-11-12 / 20150324852 - GEOGRAPHIC ADVERTISING USING A SCALABLE WIRELESS GEOCAST PROTOCOL | 9 |
Brandon Hall | US | Concord | 2015-10-29 / 20150308354 - ELECTRONIC SWITCHING MODULE FOR MULTIPLE VEHICLE CONTROLS | 1 |
Craig Hall | US | Sarasota | 2010-12-30 / 20100326523 - System and Method for Collecting and Optically Transmitting Solar Radiation | 1 |
Bruce M. Hall | AU | New South Wales | 2014-12-18 / 20140370038 - CD4+ CD25+ T-CELLS ACTIVATED TO A SPECIFIC ANTIGEN | 2 |
Charles B. Hall | CA | Alberta | 2014-03-20 / 20140081936 - METHOD AND APPARATUS FOR RECORDING AND PROFILING TRANSACTION FAILURE SOURCE ADDRESSES IN HARDWARE TRANSACTIONAL MEMORIES | 2 |
Shawn Hall | US | San Jose | 2013-04-18 / 20130097131 - Establishing Parse Scope | 1 |
Karen Hall | US | Saint Cloud | 2012-05-10 / 20120110736 - Pouch blanket | 1 |
Anthony Hall | AU | New South Wales | 2008-08-28 / 20080206032 - Load Carrying Vehicles | 1 |
Susan Hall | NL | Beilen | 2013-01-24 / 20130024362 - PROCESSING CODED DATA | 1 |
Georgi Hall | US | Pittsburg | 2009-03-12 / 20090064611 - FLOOR SYSTEM | 1 |
Jeff G. Hall | US | Waunakee | 2014-01-02 / 20140004514 - Snap-Back Primers And Detectable Hairpin Structures | 8 |
Edwin J. Hall | US | San Jose | 2013-02-28 / 20130055408 - Techniques for defining, using and manipulating rights management data structures | 1 |
Lindsey H. Hall | US | Pleasant Valley | 2014-03-13 / 20140073131 - METHOD TO IMPROVE SEMICONDUCTOR SURFACES AND POLISHING | 1 |
Erin Louise Hall | NZ | Auckland | 2012-09-13 / 20120227189 - LAUNDRY APPLIANCE | 2 |
Karl E. Hall | US | Lewisville | 2011-12-08 / 20110302056 - Wi-Fi Internet Network Ecommerce Redirect Business Process | 1 |
Michelene Hall | US | East Rochester | 2014-06-12 / 20140162864 - METAL DETECTIBLE CERAMIC TOOLING | 1 |
Richard Jason Hall | US | Research Triangle Park | 2014-06-12 / 20140162335 - Recombinant Yeast Expressing AGT1 | 1 |
Jon-Arne Hall | NO | Sarpsborg | 2014-11-13 / 20140332247 - INTERNAL COOLING OF POWER CABLES AND POWER UMBILICALS | 2 |
John Hall | GB | Angus | 2015-06-25 / 20150178697 - Operating a Self Service Terminal as a Virtual Teller | 2 |
Jefferson W. Hall | US | Chandler | 2015-11-12 / 20150325567 - SEMICONDUCTOR DIODE AND METHOD OF MANUFACTURE | 12 |
John Eric Hall | US | Anderson | 2014-03-13 / 20140071707 - TEMPERATURE CONTROLLED VEHICLE LED LAMP | 1 |
Wendy Hall | GB | Southampton | 2014-09-18 / 20140280639 - Methods, Systems, and Devices for Analyzing Social Media Content | 1 |
Michael A. Hall | US | Clarkston | 2012-01-26 / 20120018949 - THREE-DIMENSIONAL PUZZLE | 1 |
Paul Kevin Hall | US | Lexington | 2014-12-18 / 20140368966 - Z-Directed Capacitor Components for Printed Circuit Boards | 19 |
Collin John Hall | GB | Poole | 2012-06-14 / 20120150133 - REDUCED-PRESSURE, WOUND-CLOSURE AND TREATMENT SYSTEMS AND METHODS | 1 |
Heidi L. Hall | US | Webster | 2014-10-30 / 20140320410 - OPTICAL NAVIGATION DEVICE | 2 |
Daniel W. Hall | US | Caldwell | 2011-03-03 / 20110048272 - GAS CHECK WITH SYSTEM FOR IMPROVED LOADING AND RETENTION IN BORE OF MUZZLELOADING FIREARMS | 2 |
Gary W. Hall | US | Tavernier | 2015-05-21 / 20150141210 - SWIMMING RESISTANCE TRAINER | 3 |
Matthew Hall | DE | Ludwigsburg | 2014-08-21 / 20140230798 - INTERNAL COMBUSTION ENGINE AND METHOD FOR OPERATING AN INTERNAL COMBUSTION ENGINE | 1 |
Richard Craig Hall | US | Sarasota | 2009-02-12 / 20090039788 - LIGHT UNIT WITH INTERNAL BACK-UP POWER SUPPLY, COMMUNICATIONS AND DISPLAY | 1 |
Phil Hall | DE | Koln | 2014-08-21 / 20140232153 - LIGHTWEIGHT AIRCRAFT PASSENGER SEAT ASSEMBLY | 1 |
Michael Aaron Hall | US | Valencia | 2015-05-07 / 20150127464 - SYSTEMS AND METHODS FOR AUTOMATICALLY GENERATING CAMPAIGNS USING ADVERTISING TARGETING INFORMATION BASED UPON AFFINITY INFORMATION OBTAINED FROM AN ONLINE SOCIAL NETWORK | 12 |
Robert Hall | US | South Easton | 2010-09-02 / 20100223593 - METHODS AND APPARATUS FOR CONTROL CONFIGURATION WITH OBJECT HIERARCHY, VERSIONING, CHANGE RECORDS, OBJECT COMPARISON, AND OTHER ASPECTS | 8 |
Stanley D. Hall | US | Frisco | 2014-03-27 / 20140083526 - SLAM SHUT SAFETY DEVICE WITH GUIDED VALVE DISC | 3 |
Rodney W. Hall | US | Las Vegas | 2009-06-18 / 20090154178 - Method and apparatus to secure street light | 1 |
David Hall | GB | St. Asaph Denbighshire | 2015-11-19 / 20150331405 - ENERGY MANAGEMENT SYSTEM | 2 |
Kenneth Cameron Hall | US | Lafayette Hill | 2011-12-08 / 20110299822 - SWITCH RACK SYSTEM | 2 |
Dawn Hall | US | 2016-02-11 / 20160040124 - ADAPTED LEPIDOPTERAN INSECT CELLS FOR THE PRODUCTION OF RECOMBINANT PROTEINS | 1 | |
Frederick L. Hall | US | Glendale | 2013-12-12 / 20130331547 - TRANSGENE DELIVERING RETROVIRUS TARGETING COLLAGEN EXPOSED AT SITE OF TISSUE INJURY | 10 |
Lee J. Hall | US | Glendale | 2011-04-14 / 20110086995 - CO-CRYSTALLIZABLE DIACETYLENIC MONOMER COMPOSITIONS, CRYSTAL PHASES AND MIXTURES, AND RELATED METHODS | 1 |
John Edward Hall | AU | Sydney | 2013-08-15 / 20130212153 - COMPUTER IMPLEMENTED METHOD, WEB SERVER, CLIENT COMPUTING DEVICE AND COMPUTER READABLE STORAGE MEDIUM FOR SELECTIVELY RELATING EVENT DATA | 1 |
David Glenn Hall | US | Houston | 2013-12-26 / 20130345100 - SPHERICAL PELLETS CONTAINING COMMON CLAY PARTICULATE MATERIAL USEFUL AS A PROPPANT IN HYDRAULIC FRACTURING OF OIL AND GAS WELLS | 1 |
David F. Hall | US | Owensville | 2014-10-09 / 20140298557 - PROTECTIVE SHROUD FOR A WELDING HELMET, KITS AND HELMETS INCLUDING THE SAME | 1 |
Monte L. Hall | US | Grapevine | 2014-03-06 / 20140067336 - SYSTEM AND METHOD FOR DEVELOPING A DESIGN AND PRICE OF A PANEL STRUCTURE | 1 |
Jeremy T. Hall | US | Sterling | 2015-12-03 / 20150350314 - INTERFACES TO MANAGE LAST-MILE CONNECTIVITY FOR DIRECT NETWORK PEERINGS | 2 |
Ronald P. Hall | US | Austin | 2015-10-15 / 20150293577 - INSTRUCTION LOOP BUFFER WITH TIERED POWER SAVINGS | 4 |
Victor M. Hall | DE | Aachen | 2015-09-24 / 20150269233 - Data Reduction With Specified Constraints | 2 |
Richard Hall | CA | Vancouver | 2014-03-06 / 20140066862 - ERGONOMIC SYRINGE SYSTEMS | 1 |
Alan Webster Hall | US | Chandler | 2012-06-28 / 20120161441 - Claw Clamp | 1 |
Deborah Christine Hall | CA | Toronto | 2016-05-05 / 20160125061 - SYSTEM AND METHOD FOR CONTENT SELECTION | 1 |
John Barrett Hall | US | Charlotte | 2016-03-03 / 20160063460 - PAYMENT INSTRUMENT VALIDATION AND PROCESSING | 2 |
Steven R. Hall | US | Cambridge | 2013-01-24 / 20130020903 - ELECTROCHEMICAL METHODS, DEVICES, AND STRUCTURES | 1 |
William E. Hall | US | Yorktown Heights | 2016-05-05 / 20160125188 - CONFIDENTIAL EXTRACTION OF SYSTEM INTERNAL DATA | 1 |
Kenneth Hall | US | Jackson | 2012-11-08 / 20120279975 - PORTABLE WASTE TRANSFER TANK | 1 |
Timothy D. Hall | US | Englewood | 2015-12-10 / 20150354077 - ELECTRODEPOSITION OF CHROMIUM FROM TRIVALENT CHROMIUM USING MODULATED ELECTRIC FIELDS | 1 |
Richard Hall | GB | Harrogate | 2013-08-15 / 20130211417 - OBSTETRIC FORCEPS | 1 |
Barry Michael Hall | GB | Lymm Cheshire | 2015-01-29 / 20150028178 - GUTTER MOUNT | 1 |
Keith Edward Hall | GB | Lymm Cheshire | 2015-01-29 / 20150028178 - GUTTER MOUNT | 1 |
John M. Hall | US | Amherst | 2012-12-06 / 20120308937 - Uni-Directional Beam Splitter Coating | 1 |
Gary N. Hall | US | Tucson | 2012-06-14 / 20120150674 - Alcoholic Beverage Allocation Machine | 1 |
Travis S. Hall | US | Radford | 2013-04-18 / 20130094798 - Monitoring Structural Shape or Deformations with Helical-Core Optical Fiber | 2 |
David Bruce Hall | US | Ballston Lake | 2013-06-06 / 20130143992 - MIXTURES RESULTING FROM METHODS FOR PURIFICATION OF POLYETHER POLYMERS | 12 |
Duane D. Hall | US | Cedar Rapids | 2014-03-06 / 20140066388 - MITOCHONDRIAL-TARGETED CATIONIC NANOPARTICLES COMPRISING CAMKII INHIBITORS AND USES THEREOF FOR TREATING AND PREVENTING DISEASES AND DISORDERS ASSOCIATED WITH CAMKII ACTIVITY | 1 |
Gabriel J. Hall | US | 2013-07-18 / 20130185348 - CLIENT APPLICATION INTEGRATION FOR WORKFLOWS | 2 | |
Gary E. Hall | US | Danielsville | 2013-10-31 / 20130285219 - INTEGRATED CIRCUIT POWER GRID WITH IMPROVED ROUTING RESOURCES AND BYPASS CAPACITANCE | 1 |
Andrew Hall | US | Chicago | 2013-06-20 / 20130159160 - METHOD AND SYSTEM FOR CREATING AND TRADING DERIVATIVE INVESTMENT PRODUCTS BASED ON A STATISTICAL PROPERTY REFLECTING THE VARIANCE OF AN UNDERLYING ASSET | 2 |
Loftus Hall | GB | London | 2013-06-20 / 20130152308 - INTELLIGENT HOSPITAL BED AND METHOD FOR OPERATING SAME | 1 |
Hugh Hall | US | Upper Montclair | 2009-12-03 / 20090296898 - AUTOMATED INCIDENT RESPONSE METHOD AND SYSTEM | 2 |
Steve Hall | US | Edmonds | 2011-12-01 / 20110295961 - SYSTEM AND METHOD FOR CONVEYING PATIENT INFORMATION | 1 |
Eric Hall | US | Bellevue | 2014-03-13 / 20140071727 - Reduced Power Consumption Content-Addressable Memory | 3 |
Greg Hall | CA | Guelph | 2012-06-14 / 20120146301 - Modular Chair | 1 |
Christopher Hall | US | Brooklyn | 2013-10-31 / 20130291018 - CONNECTED MULTI-SCREEN DIGITAL PROGRAM GUIDE | 4 |
James Hall | US | Los Altos | 2015-09-17 / 20150264503 - LISTENING OPTIMIZATION FOR CROSS-TALK CANCELLED AUDIO | 2 |
Christopher Hall | GB | Chatteris | 2013-10-31 / 20130286115 - INKJET PRINTERS | 1 |
Frederick L. Hall | US | Glendale | 2013-12-12 / 20130331547 - TRANSGENE DELIVERING RETROVIRUS TARGETING COLLAGEN EXPOSED AT SITE OF TISSUE INJURY | 10 |
Merlin Hall | US | Chesterfield | 2011-12-01 / 20110295193 - OPHTHALMIC SURGERY KITS FOR PRIMING TUBES FOR OPHTHALMIC SURGICAL PROCEDURES | 1 |
Adam R. Hall | US | Perry | 2012-10-11 / 20120255790 - Dual Pipe For Increased Fluid Flow | 2 |
Roger Graham Hall | CH | Basel | 2012-03-29 / 20120077991 - NOVEL INSECTICIDES | 10 |
George Martin Hall | US | Sebastian | 2014-07-17 / 20140198885 - METHODS AND SYSTEMS FOR MITIGATING SIGNAL INTERFERENCE | 2 |
Richard Hall | CA | Port Moody | 2014-03-06 / 20140066862 - ERGONOMIC SYRINGE SYSTEMS | 1 |
Dawn Hall | US | Spring City | 2016-02-11 / 20160040124 - ADAPTED LEPIDOPTERAN INSECT CELLS FOR THE PRODUCTION OF RECOMBINANT PROTEINS | 1 |
Michael L. Hall | US | Jefferson | 2013-06-13 / 20130147220 - SHACKLE BOW AND SHACKLE | 3 |
Philip S. Hall | US | Savage | 2015-07-23 / 20150203650 - AEROGELS, CALCINED AND CRYSTALLINE ARTICLES AND METHODS OF MAKING THE SAME | 1 |
Daniel Thomas Hall | US | Dallas | 2016-03-10 / 20160071333 - Vehicle Information System | 1 |
Douglas J. Hall | US | Chesterfield | 2013-10-31 / 20130287613 - PERISTALTIC MICROPUMP AND RELATED SYSTEMS AND METHODS | 1 |
Benjamin Hall | US | Boston | 2014-07-24 / 20140202116 - Self-Contained Devices for Treating Medical Waste and Methods if Their Use | 1 |
Christopher Stephen Hall | US | Kirkland | 2016-05-19 / 20160136455 - REAL-TIME QUANTIFICATION OF SKIN BURNS IN EXTERNAL BEAM RADIATION THERAPY | 13 |
Jonathan C. Hall | US | Portland | 2016-05-05 / 20160124749 - COALESCING ADJACENT GATHER/SCATTER OPERATIONS | 7 |
Stuart Hall | US | Centreville | 2012-11-08 / 20120280546 - CONFIGURABLE CUSHION SET FOR A SEAT | 1 |
Bruce M. Hall | CH | Basel | 2011-03-31 / 20110076270 - Therapeutic Binding Molecules | 1 |
Tracy Hall | US | Chandler | 2010-09-23 / 20100237954 - SYSTEMS AND METHODS FOR SELF TESTING A VOLTAGE CONTROLLED OSCILLATOR | 1 |
David J. Hall | US | San Diego | 2014-06-05 / 20140154184 - TIME-GATED FLUORESCENCE IMAGING WITH SI-CONTAINING PARTICLES | 1 |
Joel Hall | US | Greenville | 2012-11-22 / 20120291448 - Flexible Combustor Fuel Nozzle | 1 |
Leroy Hall | US | Raritan | 2013-12-19 / 20130336980 - ST2L Antagonists and Methods of Use | 2 |
Frederick L. Hall | US | Laguna Niguel | 2016-04-21 / 20160106858 - TARGETING OF PHARMACEUTICAL AGENTS TO PATHOLOGIC AREAS USING BIFUNCTIONAL FUSION POLYMERS | 1 |
Loftus Richard Hall | GB | Hackney | 2012-07-19 / 20120182605 - Identification Device | 1 |
James Hall | GB | Cumbria | 2013-09-26 / 20130248180 - RETRIEVABLE CEMENTING BUSHING SYSTEM | 2 |
William Eric Hall | US | Clinton | 2010-04-29 / 20100104095 - Novel Hash Function With Provable Resistance To Differential Attacks | 3 |
Thomas Hall | US | San Diego | 2016-04-28 / 20160119210 - USER INTERFACES FOR CONTINUOUS GLUCOSE MONITORING | 17 |
Matthew T. Hall | US | Seattle | 2013-06-13 / 20130151951 - GENERATING CSS SHORTHAND PROPERTIES | 1 |
Dennis G. Hall | CA | Edmonton | 2016-03-24 / 20160083732 - SYNTHETIC LETHALITY IN CANCER | 4 |
Harry Thomas Hall | US | Chester Springs | 2016-04-21 / 20160106490 - Nested Expandable Sleeve Implant | 1 |
Jeffrey A. Hall | US | Fort Wayne | 2014-08-28 / 20140239764 - LAMINATED ROTOR WITH IMPROVED MAGNET ADHESION AND METHOD OF FABRICATING | 5 |
Charles Hall | US | Bainbridge | 2012-02-02 / 20120024218 - HYBRID INFLATABLE KAYAK | 1 |
Eric Spencer Hall | US | Lexington | 2010-06-03 / 20100132874 - Composite Ceramic Substrate for Micro-Fluid Ejection Head | 2 |
Paul Kevin Hall | US | Lexington | 2014-12-18 / 20140368966 - Z-Directed Capacitor Components for Printed Circuit Boards | 19 |
Todd Hall | US | Goshen | 2011-01-06 / 20110004287 - Bifurcated Stent and Delivery System | 1 |
Scott Jeffrey Hall | US | Louisville | 2015-04-30 / 20150116877 - POWER DISTRIBUTION SYSTEMS AND METHODS OF TESTING A POWER DISTRIBUTION SYSTEM | 9 |
Stephen A. Hall | US | Alexandria | 2011-12-15 / 20110307296 - Data Distribution Method and System | 2 |
Wally E. Hall | US | Centennial | 2012-12-06 / 20120307076 - SYSTEMS AND METHODS FOR TESTING VIDEO HARDWARE BY EVALUATING OUTPUT VIDEO FRAMES CONTAINING EMBEDDED REFERENCE CHARACTERISTICS | 1 |
Todd A. Hall | US | Goshen | 2014-03-06 / 20140066706 - IN-VIVO VISUALIZATION SYSTEM | 6 |
Billy J. Hall | US | Cleveland | 2010-07-08 / 20100170589 - Portable Flexible and Extendable Drain Pipe | 1 |
Benjamin Mark Hall | US | Indianapolis | 2015-07-16 / 20150197927 - ANTI-LIGATURE FLUSH VALVE COVER | 1 |
Ann Mandt Hall | US | Louisville | 2016-04-21 / 20160106205 - HEIGHT ADJUSTABLE TABLE | 1 |
Ian Brewster Hall | US | Gross Pointed Farms | 2010-05-06 / 20100109216 - DYNAMIC DISPLACEMENT ENERGY MANAGEMENT DEVICE | 1 |
Charles Hall | GB | Hants | 2014-05-15 / 20140130427 - TEMPORARY PLATFORM | 2 |
Lynn A. Hall | US | Lexington | 2009-10-22 / 20090261179 - BOTTLE CAP SEAL FOR WICKED AIR FRESHENER | 1 |
Nicole Alane Hall | US | Ft. Thomas | 2008-08-28 / 20080206185 - Personal Care Composition Comprising a Silicone Elastomer | 1 |
Jeffrey P. Hall | US | Naples | 2011-04-07 / 20110079239 - HAIR DRYER | 1 |
Orthro Hall | US | San Diego | 2013-08-15 / 20130207886 - VIRTUAL-PHYSICAL ENVIRONMENTAL SIMULATION APPARATUS | 1 |
Daniel B. Hall | US | Easton | 2016-04-28 / 20160114049 - RNAi VITAMIN D CONJUGATES | 3 |
Matthew Richard Thomas Hall | US | Roseville | 2012-05-10 / 20120113841 - METHODS AND APPARATUS FOR DETECTION OF A NAT DEVICE | 1 |
Stephen Don Hall | US | Trussville | 2012-09-20 / 20120234885 - Wet Umbrella Carrier | 1 |
Ann Elizabeth Hall | US | Holland | 2012-02-02 / 20120025980 - REAL-TIME METHOD AND SYSTEM FOR DETERMINING AND VALIDATING LOCATION OF A RELOCATED MOBILE OBJECT OR PERSON IN A TRACKING ENVIRONMENT | 2 |
Mark S. Hall | US | South Orange | 2014-10-23 / 20140316910 - SYSTEM FOR REPLACING A CURSOR IMAGE IN CONNECTION WITH DISPLAYING ADVERTISING CONTENT | 13 |
Bruce Hall | US | Gaithersburg | 2013-01-17 / 20130014399 - Speed/agility/quickness (SAQ) skill measurement set-up assistantAANM Hall; BruceAACI GaithersburgAAST MDAACO USAAGP Hall; Bruce Gaithersburg MD US | 1 |
Edward Hall | US | Bloomington | 2015-07-09 / 20150191763 - COMPOSITIONS FOR IN SITU LABELING OF BACTERIAL CELL WALLS WITH FLUOROPHORES AND METHODS OF USE THEREOF | 1 |
Ian Brewster Hall | US | Gross Pointe Farms | 2010-05-06 / 20100109395 - DYNAMIC DISPLACEMENT ENERGY MANAGEMENT DEVICE | 3 |
Warren Hall | US | Del Mar | 2015-07-23 / 20150202226 - Pharmaceutical formulations useful for inhibiting acid secretion and methods for making and using them | 4 |
Warren Hall | US | San Diego | 2014-09-18 / 20140271853 - NOVEL FORMULATIONS OF PROTON PUMP INHIBITORS AND METHODS OF USING THESE FORMULATIONS | 2 |
Eric Hall | US | Fremont | 2011-11-24 / 20110286484 - System and Method of Multi-Wavelength Laser Apparatus | 1 |
Michael Hall | US | Orion | 2013-06-13 / 20130151093 - METHODS AND SYSTEM FOR USING VEHICLE LONGITUDINAL ACCELERATION FOR TRANSMISSION CONTROL | 1 |
David M. Hall | US | Auburn | 2015-08-20 / 20150233022 - Articles of Ignition Resistant Cotton Fibers | 1 |
Peter Ryan Hall | CA | Vancouver | 2016-01-28 / 20160023365 - PLANT PROCESSING SYSTEM AND METHOD | 2 |
Frederick L. Hall | US | Glenndale | 2015-01-29 / 20150031625 - TARGETED VECTORS FOR CANCER IMMUNOTHERAPY | 1 |
Kevin Norman Hall | CA | Saskatoon | 2013-06-13 / 20130149091 - POSITION ADJUSTMENT ASSEMBLY FOR AN AGRICULTURAL CONVEYOR | 4 |
Brandon R. Hall | US | Stewartstown | 2016-04-07 / 20160096630 - AIRCRAFT HYBRID FUEL SYSTEM | 3 |
Jerry B. Hall | US | Johnston | 2016-03-24 / 20160081276 - UTILIZING CROP MOISTURE DATA | 3 |
Edmund J. Hall | US | Louisa County | 2015-04-02 / 20150094874 - ELECTRIC POWER SYSTEM CONTROL WITH MEASUREMENT OF ENERGY DEMAND AND ENERGY EFFICIENCY USING T-DISTRIBUTIONS | 2 |
Caroline Alexandra Hall | GB | Bebington | 2013-11-07 / 20130296289 - ANTI-DANDRUFF SHAMPOO | 3 |
Jim Hall | US | Centennial | 2015-12-31 / 20150379575 - Monitoring and Using Telemetry Data | 5 |
Evelyn Hall | US | Seattle | 2014-09-18 / 20140277574 - VALVE LOADER METHOD, SYSTEM, AND APPARATUS | 1 |
Peter Hall | US | Steamboat Springs | 2013-09-05 / 20130230398 - PADDLE FOR WATER SPORTS | 1 |
James Hall | US | Poway | 2013-08-15 / 20130212537 - Extracting Feature Information From Mesh | 1 |
Douglas Hall | US | Columbus | 2015-09-03 / 20150247544 - DAMPER WITH INTEGRATED ELECTRONICS | 1 |
Gary W. Hall | US | Kirkland | 2011-05-19 / 20110119370 - MEASURING NETWORK PERFORMANCE FOR CLOUD SERVICES | 1 |
Matthew James Hall | US | San Diego | 2015-08-20 / 20150235503 - Parking Meter | 1 |
Brad Hall | US | San Francisco | 2012-05-10 / 20120117079 - TIME SERIES SEARCH ENGINE | 1 |
Eric R. D. Hall | US | Bristol | 2011-11-24 / 20110283928 - SAILBOAT HALYARD LOCK | 2 |
Robert A. Hall | CA | Vancouver | 2012-05-10 / 20120114181 - VEHICLE POSE ESTIMATION AND LOAD PROFILING | 1 |
Ian Brewster Hall | US | Silver Spring | 2010-11-04 / 20100276956 - FASTENER COVER EXTENSION PROVIDING BUCKLING PATH DURING SIDE IMPACT | 2 |
Ian Brewster Hall | US | Ann Arbor | 2010-05-06 / 20100109394 - DYNAMIC DISPLACEMENT ENERGY MANAGEMENT DEVICE | 5 |
Howard Hall | US | Groton | 2015-01-29 / 20150032691 - TCP/UDP ACCELERATION | 1 |
Lisa L. Hall | US | Framingham | 2014-10-02 / 20140294785 - DOSAGE COMPENSATING TRANSGENES AND CELLS | 1 |
Lawrence O. Hall | US | Tampa | 2012-09-20 / 20120236120 - AUTOMATIC STEREOLOGICAL ANALYSIS OF BIOLOGICAL TISSUE INCLUDING SECTION THICKNESS DETERMINATION | 1 |
Charles A. Hall | US | Waltham | 2014-10-16 / 20140306851 - INTEGRATED ANTENNA AND ANTENNA COMPONENT | 1 |
Derek S. Hall | US | Dubuque | 2013-09-05 / 20130227937 - RIDE CONTROL SYSTEM | 1 |
Aron B. Hall | US | Palo Alto | 2014-08-07 / 20140223451 - COMMUNICATIONS DRIVER MANAGEMENT | 4 |
Douglas Warren Hall | US | Cayucos | 2011-06-02 / 20110126890 - TEXTURED SUPERSTRATES FOR PHOTOVOLTAICS | 1 |
Thomas Hall | US | San Diego | 2016-04-28 / 20160119210 - USER INTERFACES FOR CONTINUOUS GLUCOSE MONITORING | 17 |
John W. Hall | US | Bountiful | 2011-11-17 / 20110282285 - CATHETER PLACEMENT DEVICE AND METHOD | 1 |
Ronald D. Hall | US | Cedar Park | 2012-06-21 / 20120159125 - EFFICIENCY OF SHORT LOOP INSTRUCTION FETCH | 1 |
Latonya Hall | US | Macon | 2015-11-26 / 20150341374 - UNIFIED INTERFACE FOR ANALYSIS OF AND RESPONSE TO SUSPICIOUS ACTIVITY ON A TELECOMMUNICATIONS NETWORK | 1 |
Bradley Hall | US | Palo Alto | 2015-11-05 / 20150317377 - AUTOMATIC CREATION OF RULES FOR IDENTIFYING EVENT BOUNDARIES IN MACHINE DATA | 12 |
John Hall | US | Bountiful | 2014-09-18 / 20140263574 - OUT OF POSITION FRICTION STIR WELDING OF CASING AND SMALL DIAMETER TUBING OR PIPE | 2 |
Kristian Hall | GB | Hull | 2016-03-17 / 20160074564 - WOUND MANAGEMENT | 10 |
Thomas Albert Hall | US | San Jose | 2014-03-13 / 20140072311 - OPTOELECTRONIC COMPONENT | 2 |
Anthony Charles Hall | US | Canastota | 2011-11-17 / 20110281016 - PREPARED FEED FOR A RUMINANT AND A METHOD FOR PREPARING THE FEED AND A DIGESTION ENHANCING FORAGE MATERIAL | 1 |
George A. Hall | US | Orangevale | 2012-11-22 / 20120293244 - CHARGE PUMP CIRCUITS AND METHODS | 1 |
Lincoln Hall | TT | Maracas | 2016-01-07 / 20160005983 - ORGANIC PHOTOSENSITIVE DEVICES COMPRISING ARYL SQUARAINES AND METHODS OF MAKING THE SAME | 1 |
Christopher Hall | GB | London | 2012-11-08 / 20120281288 - CONTROL DEVICE | 1 |
Michael Andrew Hall | GB | Heslington | 2015-05-07 / 20150123314 - PROCESS FOR THE MANUFACTURE OF SHAPE MEMORY POLYMER MATERIAL | 3 |
Jonathan Hall | US | San Mateo | 2015-10-15 / 20150295442 - SERIES-CONNECTED STORAGE INTERFACE CONVERTER | 13 |
Michael J. Hall | US | Camillus | 2014-10-23 / 20140311830 - Ultra Lightweight Segmented Ladder/Bridge System | 9 |
Christopher Hall | GB | Preston | 2013-08-15 / 20130205474 - HEADGEAR SUPPORT DEVICE HAVING FRONT-BACK ADJUSTMENT | 1 |
Peter Hall | US | Phoenix | 2013-08-22 / 20130216371 - TURBOPROP ENGINE SYSTEMS WITH NOISE REDUCING INLET ASSEMBLIES | 2 |
Jeffrey W. Hall | US | Hudson | 2016-01-07 / 20160005328 - METHODS AND SYSTEMS FOR IMPROVING HAND HYGIENE | 1 |
John Peter Hall | US | Surfside | 2014-12-04 / 20140354783 - Human-Perspective Stereoscopic Camera | 2 |
James W. Hall | US | Princeton | 2011-11-17 / 20110277433 - SUSPENDED OPERATOR PLATFORM | 1 |
Michael Shawn Hall | US | Hurricane | 2015-09-10 / 20150252608 - SUBTERRANEAN HYDRAULIC SECURITY SYSTEM AND METHOD OF USE | 1 |
Jason Neil Hall | US | Hurricane | 2015-09-10 / 20150252608 - SUBTERRANEAN HYDRAULIC SECURITY SYSTEM AND METHOD OF USE | 1 |
Russell W. Hall | US | Eau Claire | 2014-02-20 / 20140050834 - GRIDDLE HAVING ATTACHED WARMING PLATE | 1 |
Christopher J. Hall | CA | Guelph | 2012-02-09 / 20120034223 - METHODS OF IMPROVING THE THERAPEUTIC EFFICACY AND UTILITY OF ANTIBODY FRAGMENTS | 1 |
David Hall | US | Kernersville | 2015-01-15 / 20150013269 - CIGARETTE PACKAGE CODING SYSTEM AND ASSOCIATED METHOD | 2 |
Anne Lindsay Hall | US | Schenectady | 2015-09-10 / 20150250434 - METHOD AND APPARATUS FOR CATHETER GUIDANCE USING A COMBINATION OF ULTRASOUND AND X-RAY IMAGING | 1 |
Mark T. Hall | US | Bridgewater | 2016-01-07 / 20160000473 - ROD ATTACHMENT FOR HEAD TO HEAD CROSS CONNECTOR | 14 |
Eric Hall | US | Lee'S Summit | 2015-10-08 / 20150286670 - SYSTEM AND METHOD FOR MANAGING FACILITY CONTENT AND EQUIPMENT INFORMATION | 3 |
Diana Hall | CH | Lausanne | 2015-03-05 / 20150065563 - USE OF VGII3 ACTIVITY MODULATOR FOR THE MODULATION OF ADIPOGENESIS | 7 |
Barry G. Hall | US | Bellingham | 2012-05-10 / 20120115740 - BACTERIAL STRAIN IDENTIFICATION METHOD AND SYSTEM | 1 |
James Hall | US | Topanga | 2011-05-19 / 20110116642 - Audio System with Portable Audio Enhancement Device | 1 |
George M. Hall | US | Dallas | 2009-03-26 / 20090078751 - APPARATUS AND METHOD FOR HANDLES INTEGRATED WITH PRODUCT CONTAINERS | 1 |
George M. Hall | US | Colleyville | 2015-01-22 / 20150023616 - Elastic Drawstring for Trash Bags | 10 |
Stanley K. Hall | US | Godwin | 2015-08-06 / 20150216102 - AERATOR WITH VARIABLE DELAY OF CORING HEAD | 5 |
Christopher Michael Hall | US | San Jose | 2015-10-29 / 20150310122 - WEB UI BUILDER APPLICATION | 1 |
William Y. Hall | US | Frisco | 2015-08-27 / 20150243196 - Preassembled Display Systems and Methods of Installation Thereof | 9 |
Adrian E. Hall | US | Lake Forest Park | 2016-03-24 / 20160085578 - CORRELATING HYPERVISOR DATA FOR A VIRTUAL MACHINE WITH ASSOCIATED OPERATING SYSTEM DATA | 1 |
John M. Hall | US | Uniontown | 2013-01-17 / 20130015697 - WHEEL ASSEMBLY HEAT SHIELDAANM Hall; John M.AACI UniontownAAST OHAACO USAAGP Hall; John M. Uniontown OH USAANM Burley; William J.AACI BarbertonAAST OHAACO USAAGP Burley; William J. Barberton OH USAANM Dyko; Mark P.AACI CopleyAAST OHAACO USAAGP Dyko; Mark P. Copley OH USAANM Burkhalter; KurtAACI AkronAAST OHAACO USAAGP Burkhalter; Kurt Akron OH US | 1 |
Eric Spenser Hall | US | Lexington | 2011-11-10 / 20110273513 - Wind Baffles for Micro-Fluid Ejection Devices | 1 |
Scott Hall | US | Rochester Hills | 2016-05-19 / 20160138716 - KEY FOB SEAL STRUCTURE WITH PRESSURE RELEASE CAPABILITY | 1 |
Steven D. Hall | US | Olivenhain | 2013-11-21 / 20130309964 - NFC DEVICE CONTEXT DETERMINATION THROUGH PROXIMITY GESTURAL MOVEMENT DETECTION | 7 |
Steven Deane Hall | US | Olivenhain | 2015-11-19 / 20150334518 - Optimization of NFC Tag for Different Battery Levels | 13 |
Jeff G. Hall | US | Waunakee | 2014-01-02 / 20140004514 - Snap-Back Primers And Detectable Hairpin Structures | 8 |
Jeff G. Hall | US | Madison | 2009-06-04 / 20090142754 - RNA Detection Assays | 3 |
Dominick Hall | US | Sacramento | 2015-10-29 / 20150305576 - MULTIPLE DISPENSING ASSEMBLY | 1 |
Dustin J. Hall | US | Columbus | 2013-05-30 / 20130133603 - ENGINE COMPONENT SEAL ASSEMBLY AND METHOD OF SEALING A COOLANT PASSAGE FROM AN ENGINE COMPONENT | 1 |
Dawn D. Hall | US | Underhill | 2014-03-13 / 20140070340 - NORMALLY CLOSED MICROELECTROMECHANICAL SWITCHES (MEMS), METHODS OF MANUFACTURE AND DESIGN STRUCTURES | 2 |
Jeffrey A. Hall | CA | Calgary | 2016-05-19 / 20160138796 - STEAM DILUENT GENERATOR | 1 |
Gale Hall | US | Portland | 2012-02-16 / 20120036725 - KICKBACK DETECTION METHOD AND APPARATUS | 1 |
Allen T. Hall | US | Ellington | 2012-04-26 / 20120099812 - ELECTRO-OPTIC DEVICE | 1 |
Phillip Hall | US | Jonesborough | 2012-04-26 / 20120101187 - WATERBORNE COATING COMPOSITIONS CONTAINING LOW-VOC COALESCENTS | 1 |
Mark Hall | US | Raynham | 2016-03-31 / 20160089154 - SURGICAL TOOL WITH FEEDBACK | 2 |
Daniel Hall | US | Smyrna | 2013-06-27 / 20130161332 - VEHICLE FUEL TANK | 3 |
Steven B. Hall | US | Racine | 2008-11-13 / 20080281478 - Programmable Automatic Trim Control System For Marine Applications | 1 |
Mary P. Hall | US | Madison | 2009-12-17 / 20090311769 - Thermostable luciferases and methods of production | 2 |
Timothy J. Hall | US | Madison | 2012-04-19 / 20120095333 - Coupled Axial and Lateral Displacement Estimation for Elasticity Imaging | 3 |
Anne L. Hall | US | New Berlin | 2010-08-12 / 20100204580 - ULTRASOUND BREAST SCREENING DEVICE | 1 |
Harlan Hall | US | Oregon | 2012-03-15 / 20120064167 - MODIFIED-RELEASE PHARMACEUTICAL DRUG COMPOSITION | 3 |
Vincent Hall | US | Elk Mound | 2010-06-03 / 20100133942 - Permanent Magnet Arrangement for Generator Rotor | 1 |
Craig R. Hall | US | Hartford | 2015-09-17 / 20150260201 - Blower Assembly And Method | 4 |
Anne Lindsay Hall | US | New Berlin | 2011-09-08 / 20110218438 - ULTRASOUND APPARATUS AND METHOD OF MANUFACTURING SAME | 9 |
Mary Hall | US | Waunakee | 2015-11-26 / 20150337198 - COMPOSITIONS AND METHODS FOR STABILIZING LUMINOGENIC SUBSTRATES | 7 |
Brian J. Hall | US | Hudson | 2010-12-09 / 20100307032 - FOOTWEAR WITH SHAPED SOLE SURFACE | 1 |
Timothy Jon Hall | US | Madison | 2016-03-31 / 20160089112 - Ultrasonic Imaging System with Angularly Compounded Acoustic Radiation Force Excitation | 5 |
Ryan Hall | US | Marlborough | 2008-10-30 / 20080264429 - Clip-style hearing protector | 1 |
Adam Hall | US | Burlington | 2013-08-01 / 20130196323 - Methods Of Nucleic Acid Analysis | 1 |
Adam R. Hall | US | Greensboro | 2013-08-08 / 20130203050 - HYBRID NANOPORE DEVICE WITH OPTICAL DETECTION AND METHODS OF USING SAME | 1 |
George B. Hall | US | High Point | 2013-08-22 / 20130218841 - SYSTEMS AND METHODS FOR PROVIDING BUSINESS CONTINUITY SERVICES | 1 |
Stephen Hall | US | Sandy | 2015-11-19 / 20150329026 - Heating, Ventilation, and Air Conditioning Seat Assembly | 7 |
Jeffrey Hall | US | West Lafayette | 2016-05-19 / 20160135414 - SOYBEAN VARIETY XR30AT14RX | 6 |
Robert Roger Hall | US | Winston-Salem | 2014-02-20 / 20140048095 - COSMETIC COLOR APPLICATOR AND METHOD FOR USING SAME | 1 |
David Steven Hall | GB | Oxfordshire | 2015-06-25 / 20150175335 - PARTIALLY COATED TWO-PIECE TINPLATE CAN | 1 |
Hans Hall | DE | Weingarten | 2015-11-12 / 20150321713 - CRAWLER WEB | 3 |
Robert C. Hall | US | Apex | 2015-02-19 / 20150051557 - DEVICES AND METHODS OF ADMINISTERING OPTHALMIC MEDICATIONS | 3 |
William E. Hall | US | Palatine | 2013-09-19 / 20130240395 - Bottle Shipment Packaging and Method | 2 |
James D. Hall | US | Benwood | 2012-02-16 / 20120039672 - FULLY GROUTED CABLE BOLT | 1 |
Stephen Hall | US | Apex | 2013-10-10 / 20130267853 - ULTRASOUND DEVICE, AND ASSOCIATED CABLE ASSEMBLY | 1 |
John D. Hall | US | Newhall | 2012-02-16 / 20120037721 - PRESSURE WASHER HOSE CONVERTER FITTING | 1 |
William Jordan Hall | US | Charlotte | 2014-03-13 / 20140072665 - PROCESS FOR MANUFACTURING AN OPHTHALMIC LENS | 2 |
Robert Hall | US | South Eason | 2010-09-02 / 20100222902 - METHODS AND APPARATUS FOR CONTROL CONFIGURATION WITH OBJECT HIERARCHY, VERSIONING, INHERITANCE, AND OTHER ASPECTS | 1 |
Robert David Hall | US | Berkey | 2013-10-31 / 20130285772 - SOFT-START SYSTEMS AND METHODS FOR VEHICLE STARTERS | 2 |
Malcolm J. Hall | AU | Ashburton | 2015-11-26 / 20150336020 - Three-Dimensional (3D) Illumination Toy or Amusement Device | 1 |
Sean Hall | AU | Sydney | 2015-11-26 / 20150335688 - PROBIOTIC COMBINATIONS AND USES THEREOF | 1 |
Jacob Randy Hall | US | Highland | 2015-11-26 / 20150335132 - HEATING SYSTEM FOR TRANSDERMALLY DELIVERED MATERIALS | 1 |
Richard W.j. Hall | US | Southborough | 2012-05-17 / 20120122377 - Abrasive Tool with Flat and Consistent Surface Topography for Conditioning a CMP Pad and Method for Making | 3 |
David Jonathan Hall | US | San Diego | 2011-06-09 / 20110133064 - TIME-DOMAIN METHOD AND APPARATUS FOR DETERMINING THE DEPTH AND CONCENTRATION OF A FLUOROPHORE IN A TURBID MEDIUM | 1 |
Braden Fraser Hall | CA | Kelowna | 2014-05-22 / 20140139407 - MODULAR HEADS-UP DISPLAY SYSTEMS | 1 |
Steven Hall | US | Olivenhain | 2015-10-22 / 20150305031 - TIME DOMAIN COEXISTENCE OF RF SIGNALS | 10 |
Steven R. Hall | US | Burlington | 2012-02-02 / 20120025671 - ELECTROCHEMICAL METHODS, DEVICES, AND STRUCTURES | 2 |
Gregory T. Hall | US | Adrian | 2015-07-16 / 20150197057 - METHOD AND APPARATUS FOR MAKING A LIGHT WEIGHT CONTAINER | 4 |
Mark Hall | US | Bridgewater | 2015-12-03 / 20150342757 - OPTICAL TRIAL DEVICE | 2 |
Phillip Bryan Hall | US | Jonesborough | 2016-05-19 / 20160137877 - THERMOSETTING COMPOSITIONS BASED ON PHENOLIC RESINS AND CURABLE POLEYESTER RESINS MADE WITH DIKETENE OR BETA-KETOACETATE CONTAINING COMPOUNDS | 8 |
Brent S. Hall | US | Cheshire | 2014-04-17 / 20140102793 - SYSTEM AND METHOD FOR ACQUIRING INFORMATION DURING UNDERGROUND DRILLING OPERATIONS | 2 |
John Daniel Hall | US | Trion | 2014-10-02 / 20140290540 - CARPET FUEL PROCESSING BOILER | 1 |
Lee J. Hall | US | The Woodlands | 2014-10-02 / 20140290692 - Methods of Mitigating Bituminous Material Adhesion Using Nano-Particles | 1 |
Peter Hall | CY | Nicosia | 2014-10-02 / 20140290949 - Apparatus, Module, Device and Method for Shearing Objects | 1 |
Peter Hall | GB | Birmingham | 2015-10-29 / 20150311582 - RECONFIGURABLE MIMO ANTENNA FOR VEHICLES | 6 |
Jonathan C. Hall | US | Hillsboro | 2016-04-21 / 20160110196 - COALESCING ADJACENT GATHER/SCATTER OPERATIONS | 10 |
Bruce Lawrence Hall | US | Escondido | 2015-10-29 / 20150309757 - PRINTER INTERFACE FOR PRINTING DATA AND/OR RECEIPTS TO AND FROM HAND HELD DEVICES | 1 |
Simon Richard Geoffrey Hall | GB | London Greater London | 2013-08-08 / 20130201446 - Hologram | 1 |
Maleata Hall | US | Oceanside | 2012-09-27 / 20120244498 - FORMABLE RESORBABLE BIOMATERIAL INTERFACE FOR DENTAL IMPLANT DEVICES | 1 |
Byron Hall | US | Urbana | 2013-08-08 / 20130201685 - Sign Ventilation System | 1 |
James C. Hall | US | Corvallis | 2013-08-08 / 20130201263 - PRESSURE BAG | 1 |
John Hall | CA | St. John'S | 2011-11-03 / 20110267029 - Fibre Monitoring Apparatus and Method | 1 |
Kimberly S. Hall | US | Johns Creek | 2012-09-27 / 20120244918 - Cell Phone Case | 1 |
Shane Stewart Hall | AU | Mont Albert | 2015-09-10 / 20150254691 - SYSTEM AND METHOD OF CONSTRUCTING ON-LINE SURVEYS | 1 |
Goran Hall | SE | Molndal | 2015-11-12 / 20150327065 - System for Handling Access by Wireless Devices in Wi-Fi Network | 9 |
Walter D. Hall | US | Spring | 2011-12-22 / 20110308889 - Lift Truck Safety System With Pivoting Fork | 3 |
Richard W. Hall | US | Southborough | 2012-05-10 / 20120115402 - CHEMICAL MECHANICAL PLANARIZATION PAD CONDITIONER AND METHODS OF FORMING THEREOF | 4 |
Lori Hall | US | Raleigh | 2015-06-11 / 20150161723 - METHOD FOR BUSINESS ON-LINE ACCOUNT OPENING | 1 |
Richard W. J. Hall | US | Southborough | 2015-06-25 / 20150174735 - HIGH POROSITY ABRASIVE ARTICLES AND METHODS OF MANUFACTURING SAME | 10 |
Rudolph A. Hall | US | Madisonville | 2011-06-09 / 20110135401 - GROUTED PILE SPLICE AND METHOD OF FORMING A GROUTED PILE SPLICE | 2 |
Ezra D.b. Hall | US | Essex Junction | 2011-06-09 / 20110134910 - REAL-TIME VOIP COMMUNICATIONS USING N-WAY SELECTIVE LANGUAGE PROCESSING | 1 |
James Stroman Hall | US | Kissimmee | 2015-06-11 / 20150160169 - METHOD AND SYSTEM FOR MULTI-PATH ACTIVE DEFECT DETECTION, LOCALIZATION AND CHARACTERIZATION WITH ULTRASONIC GUIDED WAVES | 1 |
Christopher J. Hall | US | Boston | 2009-05-21 / 20090132850 - ERROR HANDLING SCHEME FOR TIME-CRITICAL PROCESSING ENVIRONMENTS | 1 |
William C. Hall | US | Denver | 2009-10-01 / 20090242360 - END PROTECTOR LINK FOR CONVEYOR CHAIN | 1 |
Kelly S. Hall | US | Charlotte | 2009-01-15 / 20090018939 - AUTOMATED FLOAT PRICING FOR GEOGRAPHICALLY DISTRIBUTED DEPOSITS AND ACCOUNTS | 1 |
Lindsey Hall | US | Cary | 2010-05-06 / 20100108165 - CAPACITIVE SENSING APPARATUS AND METHOD FOR FAUCETS | 1 |
Marnie A. Hall | US | Mason | 2011-06-09 / 20110135651 - METHOD AND COMPOSITION FOR ENHANCING HEMATOPOIETIC STEM CELL MOBILIZATION | 1 |
Kevin M. Hall | US | 2009-11-19 / 20090287517 - AUTOMATED METHOD AND SYSTEM FOR OPPORTUNITY ANALYSIS USING MANAGEMENT QUALIFICATION TOOL | 1 | |
Diana Hall | US | Pueblo | 2016-03-17 / 20160074203 - CUSTOMIZABLE FITTED APPARATUS | 1 |
Radawan Hall | US | Granite Falls | 2012-10-18 / 20120263423 - SPLICING CONNECTORS ALONG WITH ADAPTERS THEREFOR | 11 |
Richard Dwayne Hall | US | Nebo | 2010-12-23 / 20100322757 - VARIABLE GEOMETRY TURBOCHARGER WITH STAND-OFF MEMBERS | 2 |
Roger Dale Hall | US | Frederick | 2010-09-09 / 20100227519 - POLYMER-FILM-LAMINATED ACTIVATED-CARBON PAPER | 1 |
John M. Hall | US | Chelsea | 2015-10-08 / 20150285858 - Test Mode Entry Interlock | 4 |
Daniel A. Hall | US | West Newbury | 2014-12-18 / 20140369793 - LOAD PORT MODULE | 3 |
Natalya O. Hall | US | Allston | 2010-05-27 / 20100126284 - METHOD, APPARATUS, AND SYSTEM FOR INTEGRATED VAPOR AND PARTICULATE SAMPLING | 1 |
Gregory W. Hall | US | Redwood City | 2016-01-07 / 20160000984 - DIALYSIS IMPLANT AND METHODS OF USE | 17 |
Edward R. Hall | US | Somerville | 2016-02-25 / 20160051255 - DEPLOYING FASTENERS | 5 |
Jonathan B. Hall | US | Belmont | 2010-11-18 / 20100293561 - Methods and apparatus for conversion of content | 1 |
Mark T. Hall | US | Bridgewater | 2016-01-07 / 20160000473 - ROD ATTACHMENT FOR HEAD TO HEAD CROSS CONNECTOR | 14 |
Alan John Hall | GB | Sheffield | 2013-01-31 / 20130025520 - DOOR FRAME SEALS | 1 |
Peter Hall | AE | Dubai | 2015-02-05 / 20150037739 - APPARATUS, METHOD AND SYSTEM FOR A PILOT IGNITION SYSTEM | 1 |
Michael Hall | US | Snohomish | 2015-10-15 / 20150296355 - USING PHYSICAL GESTURES TO INITIATE CALL TRANSFERS BETWEEN DEVICES | 19 |
Yamel Hall | US | Franklin | 2013-06-06 / 20130144809 - IMMEDIATE LICENSE FOR MEDIA FILE | 2 |
Yper Hall | GB | Salisbury | 2013-06-06 / 20130142800 - Mycobacterial Antigen Composition | 1 |
Brett A. Hall | US | Huntersville | 2009-10-01 / 20090242501 - SHELVING UNIT | 1 |
Saundra Hall | US | Asheville | 2008-11-27 / 20080289734 - Purse with removable flap and method | 1 |
Lisa L. Hall | US | Sudbury | 2014-05-08 / 20140127810 - NUCLEIC ACID SILENCING SEQUENCES | 3 |
Bobby Hall | US | N. Wilkesboro | 2008-10-02 / 20080240869 - Reverse tipped router bit | 1 |
Scott E. Hall | US | Issaquah | 2014-10-09 / 20140300039 - NODAL SPRING ASSEMBLY FOR AN ELECTRONIC TOOTHBRUSH | 12 |
Kenneth Hall | US | East Longmeadow | 2014-09-18 / 20140260877 - CIRCULAR SAW BLADE WITH MULTIPLE MOUNTING HOLE PATTERNS | 3 |
Gerard J. Hall | US | Durham | 2011-03-10 / 20110057941 - SYSTEM AND METHOD FOR INSERTING CONTENT INTO AN IMAGE SEQUENCE | 1 |
Warren G. Hall | US | Durham | 2010-08-05 / 20100194013 - CNC MATERIAL PROCESSING SYSTEM WITH WORKPIECE TRAVEL | 1 |
Lance C. Hall | US | Wilkesboro | 2014-12-11 / 20140364262 - V-Ribbed Belt And Method For Manufacturing Same | 4 |
Richard Hall | US | Nebo | 2012-12-06 / 20120308376 - VARIABLE GEOMETRY TURBOCHARGER LOWER VANE RING RETAINING SYSTEM | 3 |
Gregory Hall | US | Winston Salem | 2014-03-27 / 20140084647 - Aircraft Passenger Seat | 3 |
Sarah F. Hall | US | Cary | 2009-06-18 / 20090157818 - METHOD TO IDENTIFY AND DISPLAY CONTRIBUTIONS BY AUTHOR IN AN E-MAIL COMPRISING MULTIPLE AUTHORS | 1 |
David Hall | US | San Antonio | 2015-06-11 / 20150158637 - Reclosable Package or Bag with Audible Reclosure | 1 |
Lyman Leonard Hall | US | Meridian | 2012-12-27 / 20120327857 - SYSTEM AND METHOD FOR IDENTIFYING AN ACCESS POINT | 1 |
Steven E. Hall | US | Chapel Hill | 2015-11-19 / 20150329493 - OMEGA-AMINO ACID DERIVATIVES OF BENZENE, PYRIDINE, AND PYRIDAZINE COMPOUNDS | 2 |
Harold H. Hall | US | San Jose | 2010-11-11 / 20100287554 - PROCESSING SERIALIZED TRANSACTIONS IN PARALLEL WHILE PRESERVING TRANSACTION INTEGRITY | 1 |
John P. Hall | US | Raleigh | 2009-03-12 / 20090068729 - FLUID CONTAINMENT FOR LABORATORY CONTAINERS | 1 |
Anthony C. Hall | US | Austin | 2015-01-22 / 20150025966 - Systems and Methods for Enterprise Branded Application Frameworks for Mobile and Other Environments | 4 |
Candace Hall | US | Kansas City | 2015-10-22 / 20150302153 - REVERSE DOCUMENT QUALITY REVIEW | 1 |
Anthony D. Hall | US | Cary | 2009-01-01 / 20090007060 - UTILIZATION OF WEIGHTS AND VISUALIZATION OF CONCEPTUAL FRAMEWORKS IN UNIFIED MODELING | 1 |
Svetlana Hall | US | Wayland | 2009-09-24 / 20090236299 - Method and apparatus for releasably attaching a towel to a close-ended rod | 1 |
Andrew Douglass Hall | US | Atherton | 2008-09-11 / 20080222255 - Systems and methods for providing high performance and scalable messaging | 1 |
Samuel Hall | GB | Sheffield | 2012-04-26 / 20120098279 - LATCH ASSEMBLY | 1 |
Eric Hall | US | San Diego | 2008-10-02 / 20080240107 - SEQUENCE NUMBERING FOR DISTRIBUTED WIRELESS NETWORKS | 1 |
Richard Hall | US | San Rafael | 2008-10-16 / 20080256233 - SYSTEM AND METHOD FOR TRACKING THE NETWORK VIRAL SPREAD OF A DIGITAL MEDIA CONTENT ITEM | 1 |
Keith Anthony Hall | US | San Jose | 2012-09-20 / 20120238713 - Methods For Preparation of Pyridylamines And Their Use In Oligomerization Reactions | 5 |
Susan A. Hall | US | Putnam Valley | 2011-06-09 / 20110138012 - Method, System, and Software for Transmission of Information | 1 |
Ryan Neil Peter Hall | US | Marlborough | 2009-08-27 / 20090215150 - Sample container and filtration apparatus and method of filtration using the same | 1 |
Lianna Maria Hall | US | Lowell | 2008-12-11 / 20080306835 - SYSTEM AND METHOD FOR CUSTOMIZING AN EMAIL MESSAGE | 1 |
Philip S. Hall | US | Cookeville | 2014-08-07 / 20140217259 - MOUNTING INTERFACE FOR A HOUSING OF A FILTRATION MODULE | 5 |
Mickey A. Hall | US | Costa Mesa | 2008-10-23 / 20080260708 - METHODS AND RELATED SYSTEMS AND FORMULATIONS TO NORMALIZE AND IMPROVE HUMAN BODY CHEMISTRY AND HEALING ABILITY | 1 |
Jeffrey A. Hall | US | San Jose | 2009-11-26 / 20090289348 - SOLUTION FOR PACKAGE CROSSTALK MINIMIZATION | 1 |
Ken Hall | US | East Longmeadow | 2008-10-30 / 20080264231 - SAW BLADE | 1 |
Rudolph A. Hall | US | Madison | 2008-09-11 / 20080219774 - Offshore Structure Support | 1 |
Mark J. Hall | US | Gaylord | 2015-07-30 / 20150209436 - COMPOSITION COMPRISING AN ORGANIC LIQUID DILUENT AND A CELLULOSE ETHER OF VERY LOW VISCOSITY | 3 |
Michael Hall | GB | Edinburgh | 2014-09-18 / 20140271722 - ALLERGEN DOSAGE FORM | 1 |
Jonathan Hall | CH | Zurich | 2012-05-10 / 20120114744 - COMPOSITIONS AND METHODS TO TREAT MUSCULAR & CARDIOVASCULAR DISORDERS | 2 |
John David Hall | AU | Jerrabomberra | 2016-01-07 / 20160001698 - STEERING WHEEL MOUNTED INDICATOR SYSTEM | 1 |
Rosamond U. Hall | US | Newport Beach | 2008-11-06 / 20080273927 - Security barrier | 1 |
James Hall | US | Alta Loma | 2011-12-01 / 20110291664 - Device for testing surface mounted connectors | 2 |
James Gregory Hall | US | Kittery | 2014-02-13 / 20140043985 - SYSTEM AND METHOD FOR LICENSE ENFORCEMENT FOR DATA CENTER MONITORING APPLICATIONS | 1 |
John A. Hall | US | Rohnert Park | 2008-12-25 / 20080318275 - Hepatitis C virus asialoglycoproteins | 1 |
David Hall | GB | Dolton | 2014-02-13 / 20140043033 - APPARATUS AND METHOD FOR INSPECTING PCB-MOUNTED INTEGRATED CIRCUITS | 1 |
David B. Hall | US | New Port Richey | 2016-03-17 / 20160078440 - INTERACTIVE NETWORKING SYSTEMS WITH USER CLASSES | 22 |
Robert H. Hall | US | Clarksville | 2011-01-27 / 20110020834 - HIGH SENSITIVITY MECHANICAL RESONANT SENSOR | 1 |
Charles P. Hall | US | Bainbridge | 2014-03-20 / 20140080369 - INFLATABLE STAND-UP PADDLE BOARD | 3 |
Scott M. Hall | US | Sussex | 2015-09-17 / 20150259148 - CONVEYOR FRAME ASSEMBLY WITH CROSS SUPPORTS | 2 |
Dennis M. Hall | US | Manhattan Beach | 2015-12-17 / 20150364819 - GLOBAL POSITIONING SYSTEM PHASED ARRAY USING ALL-DIGITAL BEAM FORMING AND DIRECT DIGITAL WAVEFORM SYNTHESIS METHODS | 1 |
Timothy Hall | US | Hudson | 2011-05-19 / 20110115645 - LED Light Bar for Optical Traffic Control Systems | 2 |
Charles Alan Hall | US | Crestwood | 2013-03-07 / 20130060060 - Preparation of Organohalosilanes | 2 |
Brian E. Hall | US | Seattle | 2013-09-26 / 20130251234 - METHOD FOR IMAGING AND DIFFERENTIAL ANALYSIS OF CELLS | 9 |
Radawan Hall | US | Granite Falls | 2012-10-18 / 20120263423 - SPLICING CONNECTORS ALONG WITH ADAPTERS THEREFOR | 11 |
Jonathan Louis Hall | US | San Mateo | 2010-12-02 / 20100302051 - Battery thermal event detection system using a thermally interruptible electrical conductor | 2 |
Kristian Hall | GB | Hessle | 2013-04-18 / 20130096518 - WOUND FILLING APPARATUSES AND METHODS | 1 |
Timothy Ryan Hall | US | San Diego | 2015-01-15 / 20150015399 - Providing information related to the posture mode of a user appplying pressure to a seat component | 2 |
Karen Hall | US | Provo | 2015-12-17 / 20150363844 - APPARATUS AND METHOD FOR FACILITATING TRANSACTIONS BETWEEN A NETWORK OF BUSINESSES | 1 |
Lincoln Hall | US | Los Angeles | 2012-10-04 / 20120248419 - ORGANIC PHOTOSENSITIVE DEVICES COMPRISING ARYL SQUARAINES AND METHODS OF MAKING THE SAME | 1 |
Daniel Hall | US | Bridgewater | 2011-10-20 / 20110257213 - SUBSTITUTED PIPERIDINE SPIRO PYRROLIDINONE AND PIPERIDINONE, PREPARATION AND THERAPEUTIC USE THEREOF | 1 |
Israel Hall | US | South Lyon | 2015-02-05 / 20150039877 - SYSTEM AND METHODS FOR AN IN-VEHICLE COMPUTING SYSTEM | 1 |
David R. Hall | US | Provo | 2016-03-03 / 20160059506 - Individual Resistance Heating for High-Pressure High-Temperature Cell | 317 |
Michael J. Hall | US | South San Francisco | 2009-03-05 / 20090057339 - Child-Resistant Closure for Bag-in-Box Dispenser | 1 |
Geoff Hall | GB | Solihull | 2013-06-13 / 20130150138 - BLACKJACK VARIATION WITH OPPORTUNITY FOR GRATUITOUS BETS | 9 |
Eric Hall | US | Santa Barbara | 2009-03-12 / 20090066965 - High power broadband superluminescent diode | 1 |
Lauren Deborah Hall | AU | Tallai | 2015-06-04 / 20150154514 - EVENT ORGANISING METHOD AND APPARATUS | 1 |
Matthew Hall | US | San Jose | 2009-10-08 / 20090254410 - METHOD AND SYSTEM FOR CONSTRUCTING AND DELIVERING SPONSORED SEARCH FUTURES CONTRACTS | 1 |
John Hall | US | Emeryville | 2009-04-16 / 20090099343 - Isolation of pathogenic prions | 1 |
Michael J. Hall | US | Glenside | 2015-07-09 / 20150191797 - SYSTEMS AND METHODS FOR DIAGNOSING A PREDISPOSITION TO COLON CANCER | 2 |
Tania Hall | US | Bethlehem | 2016-05-19 / 20160137821 - MODELING COMPOUNDS AND METHODS OF MAKING AND USING THE SAME | 2 |
Kim Hall | US | South Milwaukee | 2014-09-25 / 20140287879 - TRAMPOLINE ASSEMBLY AND RELATED METHODS | 1 |
Greg Hall | US | Redwood City | 2009-05-07 / 20090118758 - PYLORIC VALVE OBSTRUCTING DEVICES AND METHODS | 2 |
Tyson J. Hall | US | Baton Rouge | 2013-11-07 / 20130295001 - PROCESS FOR PRODUCING SULFUR DIOXIDE AND SULFUR TRIOXIDE | 8 |
Jeffrey Hall | US | San Jose | 2012-01-26 / 20120021599 - Methods for Avoiding Parasitic Capacitance in an Integrated Circuit Package | 2 |
Graeme Hall | GB | Yorkshire | 2012-12-20 / 20120323083 - Lighting System | 1 |
Darrell Lee Hall | US | Winston-Salem | 2014-10-23 / 20140315665 - Mobile Football Blocking Trainer | 1 |
Belinda S. Hall | GB | Kingston Upon Thames | 2012-12-20 / 20120322768 - COMPOUNDS, COMPOSITIONS AND METHODS FOR TREATING PROTOZOAN INFECTIONS | 1 |
Keith A. Hall | US | San Jose | 2008-11-27 / 20080293899 - Methods For Oligomerizing Olefins | 5 |
William E. Hall | US | Clinton | 2015-03-05 / 20150067355 - SECURE MEMORY CONTROL PARAMETERS IN TABLE LOOK ASIDE BUFFER DATA FIELDS AND SUPPORT MEMORY ARRAY | 12 |
Harry T. Hall | US | Downingtown | 2016-01-07 / 20160000480 - Bone Fixation System | 1 |
Eric M. Hall | US | San Diego | 2014-09-18 / 20140266698 - SYSTEMS AND METHODS FOR MONITORING A PROXIMITY OF A PERSONAL ITEM AND AUTOMATICALLY ASSIGNING SAFE AND UNSAFE ZONES | 1 |
Mark Hall | US | Murrieta | 2009-06-11 / 20090145929 - LIQUID DISPENSER | 1 |
Lyman Leonard Hall | US | Nampa | 2015-01-08 / 20150012675 - METHODS AND APPARATUS FOR INTERFACING A HOST DEVICE TO A PERIPHERAL DEVICE IN ORDER TO INCREASE CONSUMPTION OF CONSUMABLE PRODUCTS BY THE PERIPHERAL DEVICE | 3 |
Colin John Hall | GB | Poole Dorset | 2013-02-14 / 20130041333 - FOOT MANIFOLDS, APPARATUSES, SYSTEMS, AND METHODS FOR APPLYING REDUCED PRESSURE TO A TISSUE SITE ON A FOOT | 1 |
Darryl Hall | US | Lake In The Hills | 2012-02-23 / 20120043433 - Bag Support Funnel | 1 |
Jonathan L. Hall | US | San Mateo | 2013-01-31 / 20130029185 - Electrochemical System Having a System for Determining a State of Charge | 3 |
Shelia Hall | US | Ashland | 2013-08-29 / 20130225232 - AIRCARD BOOSTER DEVICE | 1 |
Christopher Stephen Hall | US | Kirkland | 2016-05-19 / 20160136455 - REAL-TIME QUANTIFICATION OF SKIN BURNS IN EXTERNAL BEAM RADIATION THERAPY | 13 |
Richard J. Hall | US | San Mateo | 2009-06-18 / 20090158425 - USER DEFINABLE POLICY FOR GRADUATED AUTHENTICATION BASED ON THE PARTIAL ORDERINGS OF PRINCIPALS | 1 |
Nathan Hall | US | Liberty | 2012-10-04 / 20120252716 - FABRIC CARE COMPOSITIONS COMPRISING FRONT-END STABILITY AGENTS | 1 |
Jonathan Cannon Hall | US | Hillsboro | 2014-05-29 / 20140149724 - VECTOR FRIENDLY INSTRUCTION FORMAT AND EXECUTION THEREOF | 3 |
Jack P. Hall | US | Del Mar | 2009-01-01 / 20090001230 - Plastic bag stand | 1 |
Colin James Hall | AU | Brighton | 2016-03-03 / 20160059773 - Coated Polymeric Substrates | 2 |
James Hall | US | Scarborough | 2014-08-21 / 20140231952 - PRODUCTION OF HIGH-PERFORMANCE PASSIVE DEVICES USING EXISTING OPERATIONS OF A SEMICONDUCTOR PROCESS | 3 |
Keith Hall | US | San Jose | 2015-07-30 / 20150210809 - Samarium Containing Complex and Condensation Reaction Catalysts, Methods for Preparing the Catalysts, and Compositions Containing the Catalysts | 9 |
Bradley Hall | US | Palo Alto | 2015-11-05 / 20150317377 - AUTOMATIC CREATION OF RULES FOR IDENTIFYING EVENT BOUNDARIES IN MACHINE DATA | 12 |
John Renest Hall | US | Napa | 2013-08-01 / 20130193285 - Leveling device for portable stove | 1 |
Thomas Hall | US | Oceanside | / - | 1 |
Dennis D. Hall | US | Minneapolis | 2014-10-23 / 20140314528 - CAR DUMPER DUST COLLECTION METHOD AND APPARATUS | 1 |
Travis Hall | US | Blacksburg | 2010-12-02 / 20100303427 - METHOD OF DEPLOYMENT FOR REAL TIME CASING IMAGING | 1 |
Mark D. Hall | US | Springville | 2015-12-17 / 20150363740 - APPARATUS AND METHOD FOR AUTOMATICALLY ALLOCATING THE TIME OF INDIVIDUALS | 3 |
Gregory Hall | US | Redwood City | 2012-05-10 / 20120116487 - Automated Therapy System and Method | 5 |
Larisa Hall | US | La Jolla | 2012-08-30 / 20120216331 - DANCE EQUIPMENT FOR HANDS | 1 |
Geoff Hall | GB | Shirley | 2016-03-31 / 20160093168 - METHODS OF ADMINISTERING LAMMER-BASED WAGERS | 11 |
Shelly Hall | US | Los Angeles | 2012-07-05 / 20120170737 - SANITARY PHONE PATCH SYSTEM | 1 |
Kennith C. Hall | US | Torrance | 2009-10-29 / 20090267035 - Apparatus having a selectively engagable fastener set | 1 |
David Hall | US | Manti | 2014-03-27 / 20140087341 - EXERCISE TRAINING SYSTEM | 4 |
Randolph L. Hall | US | Newbury Park | 2009-11-26 / 20090290207 - METHOD AND APPARATUS FOR SWITCHING OPTICAL FILTERS | 1 |
David Hall | US | Ruston | 2013-01-03 / 20130000987 - STEERABLE GROUND PIERCING TOOLS | 2 |
David Hall | US | Salt Lake City | 2014-04-10 / 20140097206 - SYSTEMS, METHODS, AND DEVICES FOR STORING, HEATING, AND DISPENSING FLUID | 2 |
David Hall | US | Amite | 2010-09-16 / 20100229776 - Boat docking apparatus | 2 |
David Hall | US | Hickory | 2010-04-29 / 20100104278 - NETWORK INTERFACE UNIT FOR MODULAR FURNITURE | 1 |
David Hall | US | Louisville | 2009-07-30 / 20090189312 - DEVICE AND METHOD FOR REMOVING INVESTMENT FROM A DENTAL APPLIANCE | 1 |
David Hall | US | Mcdonough | 2009-07-16 / 20090180421 - MULTIBAND MOBILE SATCOM TERMINAL | 1 |
David Hall | GB | Staffordshire | 2014-06-05 / 20140151157 - Stairlift | 2 |
Michael Hall | US | Provo | 2015-10-22 / 20150298706 - DETERMINING HAUL WEIGHT | 8 |
Per Hall | SE | Stockholm | 2012-02-23 / 20120045760 - Single Nucleotide Polymorphism Within An Intronic P53 Binding Motif of the Prkag2 Gene | 1 |
Judith Hall | GB | Cardiff | 2014-08-07 / 20140216456 - DISPERSION ANAESTHETIC DEVICE | 2 |
Gavin John Hall | GB | Berkshire | 2014-05-01 / 20140121106 - HERBICIDAL COMPOSITIONS | 3 |
Katie Hall | US | Charlottesville | 2011-02-10 / 20110033922 - MICROCHIP-BASED ACOUSTIC TRAPPING OR CAPTURE OF CELLS FOR FORENSIC ANALYSIS AND RELATED METHOD THEREOF | 1 |
Jim Hall | US | Newport Beach | 2011-10-13 / 20110251018 - VEHICLE ASSEMBLY WITH INDEPENDENT ELECTRIC WHEEL MOTORS FOR ELECTRIC HYBRID VEHICLES | 2 |
James Hall | CA | Burlington | 2008-10-23 / 20080258367 - PEENING METHOD FOR VEHICLE SUSPENSION SPRING | 1 |
Trevor Hall | CA | Ottawa | 2012-12-27 / 20120327771 - COMPACT LOAD BALANCED SWITCHING STRUCTURES FOR PACKET BASED COMMUNICATION NETWORKS | 3 |
Noel Hall | CA | Victoria | 2011-12-15 / 20110306608 - COMPOSITIONS AND METHODS FOR TREATING VASCULAR, AUTOIMMUNE AND INFLAMMATORY DISEASES | 4 |
Richard Hall | CA | North Vancouver | 2009-01-29 / 20090026201 - COATED LIFT-TAB FOR RESEALING POP-TOP CONTAINERS | 1 |
Charles B. Hall | CA | Calgary | 2009-03-05 / 20090064094 - LOCK RESERVATION USING COOPERATIVE MULTITHREADING AND LIGHTWEIGHT SINGLE READER RESERVED LOCKS | 1 |
Kevin Hall | CA | Edmonton | 2009-03-12 / 20090070088 - Method and Apparatus fo Computer Modeling Diabetes | 1 |
Kevin R. Hall | CA | Kingston | 2014-10-02 / 20140295403 - DETECTION OF BIOLOGICAL MOLECULES BY DIFFERENTIAL PARTITIONING OF ENZYME SUBSTRATES AND PRODUCTS | 3 |
Kimberley C. Hall | CA | Halifax | 2009-06-11 / 20090146233 - NON-MAGNETIC SEMICONDUCTOR SPIN TRANSISTOR | 1 |
Steve Hall | CA | Calgary | 2009-08-13 / 20090201970 - METHOD AND SYSTEM FOR MONITORING GAS MIXTURE QUALITY | 1 |
Charles Brian Hall | CA | Calgary | 2009-11-26 / 20090292884 - SYSTEM ENABLING TRANSACTIONAL MEMORY AND PREDICTION-BASED TRANSACTION EXECUTION METHOD | 1 |
Grant Hall | CA | Kanata | 2011-09-22 / 20110228776 - METHOD AND APPARATUS FOR FORWARDING PACKETS | 2 |
Kevin Hall | CA | Saskatoon | 2014-06-12 / 20140158385 - SEEDING IMPLEMENT DEPTH ADJUSTMENT MECHANISM | 10 |
C. Brian Hall | CA | Calgary | 2012-06-28 / 20120166747 - DYNAMIC NEST LEVEL DETERMINATION FOR NESTED TRANSACTIONAL MEMORY ROLLBACK | 4 |
Richard Stephen Hall | CA | Port Moody | 2010-10-14 / 20100261583 - PORTABLE FOOT AND ANKLE EXERCISE APPARATUS AND ASSOCIATED METHODS | 2 |
Anthony Hall | CA | Halifax | 2011-03-31 / 20110072778 - METHOD FOR PRESSING A STUD | 1 |
Anthony D. Hall | CA | Halifax | 2015-04-30 / 20150120585 - METHOD FOR RISK MANAGEMENT OF MARINE MOORING SYSTEMS | 3 |
Dennis Hall | CA | Edmonton | 2014-05-22 / 20140142322 - Boronic Acid Catalysts and Methods of Use Thereof for Activation and Transformation of Carboxylic Acids | 2 |
David Hall | US | Toney | 2015-06-25 / 20150178647 - METHOD AND SYSTEM FOR PROJECT RISK IDENTIFICATION AND ASSESSMENT | 2 |
Craig T. Hall | US | Holland | 2008-11-13 / 20080281719 - Methods and apparatus for connecting shippers and carriers in the third party logistics environment via the internet | 1 |
Christopher L. Hall | US | Ypsilanti | 2008-11-27 / 20080293053 - shRNA Materials and Methods of Using Same for Inhibition of DKK-1 | 1 |
Everett Hall | US | Farmington Hills | 2008-12-18 / 20080309031 - DAMPER ACTUATED ACTIVE ROLL CONTROL | 2 |
Ian Hall | US | Ann Arbor | 2009-02-12 / 20090039629 - AIRBAG SYSTEM FOR OUT-OF-POSITION OCCUPANT PROTECTION AND ADAPTIVE VENTING | 3 |
Martin L. Hall | US | Rochester Hills | 2009-08-06 / 20090198457 - ALGORITHM TO DIAGNOSE LEAKS OR BLOCKAGES DOWNSTREAM OF THE SECONDARY AIR INJECTION REACTION (SAIR) PRESSURE SENSOR | 1 |
Eric M. Hall | US | Rochester Hills | 2014-09-18 / 20140278013 - FAULT DIAGNOSTIC SYSTEMS AND METHODS USING OXYGEN SENSOR IMPEDANCE | 6 |
Christopher Donald Hall | US | Algonac | / - | 1 |
Mark J. Hall | US | Midland | 2011-09-29 / 20110236666 - MELT-EXTRUDED FILM | 5 |
Philip B. Hall | US | Hudsonville | 2015-09-03 / 20150246634 - HEADLIGHT LEVEL CONTROL WITH RESIDENTIAL DETECTION MODE | 2 |
Kevin E. Hall | US | Allen Park | 2008-12-18 / 20080310917 - HIGHWAY MARKING SPHERE DISPENSING APPARATUS | 1 |
Michael B. Hall | US | Holland | 2012-02-02 / 20120025980 - REAL-TIME METHOD AND SYSTEM FOR DETERMINING AND VALIDATING LOCATION OF A RELOCATED MOBILE OBJECT OR PERSON IN A TRACKING ENVIRONMENT | 3 |
James R. Hall | US | Traverse City | 2009-09-17 / 20090229913 - Dual Mode Exhaust Muffler | 1 |
Kenneth J. Hall | US | Jackson | 2010-01-28 / 20100018590 - DRAIN SYSTEM | 2 |
Carrie Ellen Hall | US | Jackson | 2010-01-28 / 20100018590 - DRAIN SYSTEM | 2 |
Mark T. Hall | US | Troy | 2013-05-02 / 20130105046 - SYSTEM AND METHOD FOR GENERATING A WELDED ASSEMBLY | 7 |
Everett A. Hall | US | Farmington Hills | 2013-10-10 / 20130264158 - SHOCK ABSORBER HAVING UNIDIRECTIONAL FLUID FLOW | 3 |
Anthony Hall | US | Norvell | 2011-03-03 / 20110054989 - Methods for Providing Network Marketing and Revenue Sharing to Participants of an Electronic Marketplace System | 1 |
Timothy L. Hall | US | Ann Arbor | 2015-01-08 / 20150011916 - HISTOTRIPSY EXCITATION SEQUENCES OPTIMIZED FOR BUBBLE CLOUD FORMATION USING SHOCK SCATTERING | 10 |
David L. Hall | US | Luther | 2011-03-31 / 20110072882 - DETECTOR HOUSING | 6 |
Michael Hall | US | Sparks | 2015-02-12 / 20150045103 - BALL DELIVERY DEVICE, SYSTEM, AND METHOD | 1 |
Jan Hall | SE | Goteborg | 2015-01-29 / 20150026942 - IMPLANT, AND METHOD AND SYSTEM FOR PRODUCING SUCH AN IMPLANT | 12 |
Adam R. Hall | US | Clemmons | 2016-03-17 / 20160077078 - REDUCING BACKGROUND FLUORESCENCE IN MEMS MATERIALS BY LOW ENERGY ION BEAM TREATMENT | 2 |
Eric M. Hall | US | 2012-11-15 / 20120288974 - Polarization Direction of Optical Devices Using Selected Spatial Configurations | 1 | |
David Hall | US | Madison | 2013-12-12 / 20130330237 - AFTERTREATMENT MANIFOLD DEVICE | 1 |
Christopher D. Hall | US | Algonac | 2014-01-16 / 20140014759 - SEAT BELT RETRACTOR | 1 |
Kyle Hall | US | Blue Point | 2011-05-19 / 20110113935 - Vice grip improvement | 1 |
David L. Hall | US | Pontiac | 2013-10-17 / 20130269410 - Detector Housing | 2 |
Melanie Hall | AT | Graz | 2011-10-13 / 20110247609 - METHODS OF TREATING A BIOMASS FOR ENZYMATIC HYDROLYSIS | 1 |
Mark Hall | US | Springville | 2016-05-05 / 20160125357 - SYSTEM AND METHOD FOR LINKING AN EVENT TO VIDEO DOCUMENTING THE EVENT | 12 |
Jeffrey A. Hall | US | Grand Rapids | 2015-07-30 / 20150208820 - Chair Assembly | 6 |
David Hall | GB | Filton, Bristol | 2015-12-24 / 20150372373 - PORTABLE ANTENNA | 2 |
Drew A. Hall | US | Stanford | 2010-09-16 / 20100231203 - Temperature and drift compensation in magnetoresistive sensors | 1 |
Michael Hall | US | Valencia | 2012-01-05 / 20120004959 - SYSTEMS AND METHODS FOR MEASURING CONSUMER AFFINITY AND PREDICTING BUSINESS OUTCOMES USING SOCIAL NETWORK ACTIVITY | 2 |
Stewart E. Hall | US | Wellington | 2015-11-12 / 20150327081 - END NODE PERSONAL DEFINITION AND MANAGEMENT | 29 |
Ezra D. Hall | US | Richmond | 2014-05-29 / 20140145747 - LIGHT ACTIVATED TEST CONNECTIONS | 2 |
David M. Hall | US | Brush Prairie | 2014-04-24 / 20140115446 - Content Control Tools for a Document Authoring Application | 4 |
John Addison Hall | US | Albuquerque | 2008-11-13 / 20080277766 - Polymer membranes for microcalorimeter devices | 1 |
Jefferson W. Hall | US | Chandler | 2015-11-12 / 20150325567 - SEMICONDUCTOR DIODE AND METHOD OF MANUFACTURE | 12 |
Gidget A. Hall | US | Chesterfield | 2012-08-02 / 20120197788 - TRANSACTION PROCESSING ENGINE FOR BILL PAYMENT TRANSACTIONS AND THE LIKE | 1 |
Harry Vem Hall | US | Boise | 2008-12-25 / 20080317657 - Systems and methods for capturing, isolating and sequestering carbon from CO2 in the atmosphere in the form of char produced from biomass feedstock | 1 |
Frank Hall | US | Boise | 2009-01-08 / 20090011544 - METHOD OF FORMING MOLDED STANDOFF STRUCTURES ON INTEGRATED CIRCUIT DEVICES | 1 |
Ezra D.b. Hall | US | Richmond | 2015-08-13 / 20150228357 - STRESS BALANCING OF CIRCUITS | 5 |
Craig Allan Hall | US | Albuquerque | 2013-05-16 / 20130124409 - RELOADABLE PREPAID PLATFORM | 2 |
Melanie Hall | AU | Graz | 2011-10-06 / 20110244499 - METHODS OF ENZYMATIC HYDROLYSIS | 1 |
David S. Hall | US | Los Altos Hill | 2010-01-28 / 20100020306 - HIGH DEFINITION LIDAR SYSTEM | 1 |
Clifford Lee Hall | US | Jonesborough | 2012-07-26 / 20120192105 - DYNAMIC LEVEL OF DETAIL | 4 |
Donald Kennedy Hall | US | Mooresville | 2015-11-19 / 20150333830 - MULTIMODE OPTICAL TRANSMISSION SYSTEM EMPLOYING MODAL-CONDITIONING FIBER | 2 |
Jeffrey M. Hall | US | Carlsbad | 2010-05-06 / 20100108577 - METHODS AND APPARATUS FOR USE OF OPTICAL FORCES FOR IDENTIFICATION, CHARACTERIZATION AND/OR SORTING OF PARTICLES | 1 |
John T. Hall | US | Woodland Hills | 2010-03-11 / 20100060404 - DC-DC CONVERTER FOR FUEL CELL APPLICATION USING HYBRID INDUCTOR CORE MATERIAL | 1 |
Ashley Woodman Hall | US | Menlo Park | 2016-03-31 / 20160092511 - INTERACTIVE CONSTRUCTION OF QUERIES | 13 |
Aaron Thomas Joseph Hall | CA | North Vancouver | 2011-10-06 / 20110243035 - Method and System for Communicating DisplayPort Information | 1 |
Stephen H. Hall | US | Pasco | 2016-03-24 / 20160084759 - APPARATUS FOR MEASURING HEXAVALENT CHROMIUM IN WATER | 2 |
Bruce Hall | US | Salisbury | 2010-06-17 / 20100147142 - SHRAPNEL AND PROJECTILE CONTAINMENT SYSTEMS AND EQUIPMENT AND METHODS FOR PRODUCING SAME | 1 |
Drew Hall | US | Stanford | 2011-02-03 / 20110027901 - METHODS AND DEVICES FOR DETECTING THE PRESENCE OF AN ANALYTE IN A SAMPLE | 1 |
Neil Hall | US | Carlsbad | 2011-12-22 / 20110312438 - GOLF CLUB HEAD WITH VARIABLE FACE THICKNESS | 2 |
Andre Hall | US | Fremont | 2014-09-04 / 20140250272 - SYSTEM AND METHOD FOR FETCHING DATA DURING READS IN A DATA STORAGE DEVICE | 2 |
Bernard Joseph Hall | US | Elizabeth | 2010-12-02 / 20100304762 - INDOOR TRACKING SYSTEM | 1 |
David W. Hall | US | Morro Bay | 2010-09-09 / 20100224721 - VTOL AERIAL VEHICLE | 1 |
Vicki Jo Hall | US | Lexington | 2009-06-18 / 20090157501 - Integrated Exchange for 24 hour real time trading of fungibles & continuous cash T+0 settlement | 1 |
Erin Hall | US | Fort Mitchell | 2012-11-08 / 20120283282 - Photoproducts of Tryptophan, Their Synthesis and Uses Thereof | 1 |
Kevin Hall | GB | Essex | 2014-03-20 / 20140080721 - METHODS FOR REDUCING NUCLEIC ACID DAMAGE | 2 |
Clifford D. Hall | US | Orangevale | 2011-06-02 / 20110131363 - MECHANISM FOR REMAPPING POST VIRTUAL MACHINE MEMORY PAGES | 2 |
Melanie Hall | FR | Plouarzel | 2010-12-02 / 20100304448 - METHOD FOR THE ENZYMATIC REDUCTION OF ALPHA- AND BETA-DEHYDROAMINO ACIDS USING ENOATE REDUCTASES | 2 |
Clifford D. Hall | US | Orangeville | 2014-03-13 / 20140075129 - SYSTEMS AND METHODS EXCHANGING DATA BETWEEN PROCESSORS THROUGH CONCURRENT SHARED MEMORY | 2 |
Christopher Hall | US | East Lansing | 2015-07-23 / 20150204787 - PLANT PHENOMETRICS SYSTEMS AND METHODS AND DEVICES RELATED THERETO | 2 |
W. Dale Hall | US | Oakland | 2015-10-22 / 20150302576 - Retraction Based Three-Dimensional Tracking of Object Movements | 6 |
Neale Hall | GB | West Yorkshire | 2015-01-15 / 20150020097 - LOCATION BASED TARGETED ADVERTISING | 2 |
Clive E. Hall | GB | Middlesex | 2010-12-16 / 20100315645 - HIGH POWER ACOUSTIC RESONATOR WITH INTEGRATED OPTICAL INTERFACIAL ELEMENTS | 2 |
Michael Andrew Hall | GB | Middlesbrough | 2014-09-11 / 20140257414 - ORIENTATED POLYMERIC DEVICES | 3 |
Caroline Alexandra Hall | GB | Wirral | 2011-10-13 / 20110251161 - PERSONAL CARE COMPOSITION | 4 |
Nicholas Ashton Hall | GB | Walton-On-Thames | 2015-11-19 / 20150334458 - Audience Segmentation Using Machine-Learning | 6 |
Neale Hall | GB | Keighley | 2014-09-11 / 20140259079 - TRICK PLAY TECHNIQUES FOR A PICTURE-IN-PICTURE WINDOW RENDERED BY A VIDEO SERVICES RECEIVER | 3 |
Alexander Richard Congreve Hall | GB | Shropshire | 2010-07-29 / 20100186292 - Edging for Beds, lawns, or the like | 1 |
Geoff Hall | GB | Solihull | 2013-06-13 / 20130150138 - BLACKJACK VARIATION WITH OPPORTUNITY FOR GRATUITOUS BETS | 9 |
J. Mark Hall | GB | London | 2010-07-01 / 20100169464 - SYSTEM AND METHOD FOR PROVIDING INTEGRATED MEDIA | 1 |
Fred Hall | GB | Gwynedd | 2010-06-10 / 20100139056 - KARABINERS | 1 |
Colin Hall | GB | Poole | 2014-07-31 / 20140213994 - System and Method for Mechanical Closure of Wounds | 2 |
Travis S. Hall | US | Blacksburg | 2008-09-25 / 20080232425 - LOCATION DEPENDENT CALIBRATION FOR DISTRIBUTED TEMPERATURE SENSOR MEASUREMENTS | 1 |
Carrie Hall | US | Chicago | 2015-11-19 / 20150330326 - NONLINEAR MODEL-BASED CONTROLLER FOR PREMIXED CHARGE COMPRESSION IGNITION COMBUSTION TIMING IN DIESEL ENGINES | 1 |
Jason Hall | GB | Buckland | 2010-05-13 / 20100116374 - METHOD OF ASSEMBLING AN EASY OPEN CONTAINER | 1 |
Spencer E. Hall | US | Tucson | 2010-11-25 / 20100299127 - SYSTEM AND METHODS FOR PREDICTING TRANSMEMBRANE DOMAINS IN MEMBRANE PROTEINS AND MINING THE GENOME FOR RECOGNIZING G-PROTEIN COUPLED RECEPTORS | 1 |
Gavin John Hall | GB | Bracknell | 2012-08-02 / 20120196748 - PESTICIDAL COMPOSITION | 3 |
Scott Hall | GB | Aberdeen | 2010-05-06 / 20100108321 - APPARATUS FOR VENTING AN ANNULAR SPACE BETWEEN A LINER AND A PIPELINE OF A SUBSEA RISER | 1 |
Kristian David Hall | GB | East Yorkshire | 2010-04-29 / 20100106114 - DRESSING | 1 |
Andrew Hall | GB | Cheltenham | 2010-04-15 / 20100090868 - AIRCRAFT DISPLAYS AND DISPLAY ARRANGEMENTS | 1 |
Nigel Hall | GB | Lancashire | 2010-03-25 / 20100071589 - DISPERSE DYES MIXTURES | 1 |
Stuart Hall | GB | Sale | 2010-03-25 / 20100071960 - System, Method and Apparatus for Composite Seal Gland Insert in Roller Cone Rock Bit | 1 |
Ron Hall | US | Cookeville | 2012-10-18 / 20120264529 - PIERCE NUT MANUFACTURING METHOD AND APPARATUS | 2 |
Nigel Hall | GB | Bury | 2010-03-25 / 20100076182 - DISPERSION DYE, THE PRODUCTION AND USE THEREOF | 1 |
Michele Lamercie Hall | US | Kennett Square | 2011-10-06 / 20110240577 - Apparatus configured to support surgical instruments | 1 |
Willie Martin Hall | US | Taylorsville | 2012-05-24 / 20120124944 - Wrapping Apparatus And Method Including Metered Pre-Stretch Film Delivery Assembly | 3 |
Robert A. Hall | US | Plantersville | 2010-07-15 / 20100175187 - MATTRESS FOUNDATION AND KIT FOR SAME | 1 |
Beth Hall | GB | Whittlesford | 2010-02-04 / 20100029479 - PESTICIDAL COMBINATIONS | 1 |
Liam Hall | GB | Basildon | 2011-05-12 / 20110110195 - METHOD AND APPARATUS FOR DETECTING A LAUNCH POSITION OF A PROJECTILE | 1 |
Robert Hall | US | Reno | 2010-09-09 / 20100228689 - Eyeglasses, eyecups, and methods of use and doing business | 1 |
Margaret Hall | GB | Little Kingshill | 2011-05-05 / 20110104067 - METHODS FOR IN VIVO EVALUATION OF PULMONARY PHYSIOLOGY AND/OR FUNCTION USING NMR SIGNALS OF POLARIZED 129XE | 1 |
James Hall | GB | Chorley | 2011-03-24 / 20110067339 - SLATE RETAINER AND METHOD OF REPLACING BROKEN SLATES | 1 |
Patrick Hall | US | Durham | 2016-02-18 / 20160048756 - NEURAL NETWORK BASED CLUSTER VISUALIZATION | 6 |
Steven Raymond Hall | GB | Harlow | 2013-05-09 / 20130113658 - Inverse Beamformer for Inverting the Action of Existing Beamformer in Communication System | 6 |
Andrew J. Hall | GB | Kent | 2011-01-20 / 20110015373 - IMPRINTED POLYMERS WITH AFFINITY FOR PHOSPHORYLATED PEPTIDES AND PROTEINS | 1 |
David R. Hall | US | Kernersville | 2015-09-17 / 20150257437 - Smoking Article Inspection System and Associated Method | 1 |
Tony James Hall | US | Lakewood | 2014-09-18 / 20140260201 - METHOD, SYSTEM, AND APPARATUS FOR DIAGNOSING AN EXHAUST AFTERTREATMENT COMPONENT | 1 |
Clive Edward Hall | GB | Middlesex | 2011-01-13 / 20110006012 - Method for Decomposing Oil and Other Organic Insoluble and Semi-Soluble Liquids in an Aqueous Medium | 1 |
David E. Hall | US | Rock Island | 2011-10-06 / 20110239361 - Self-contained exercise pool | 1 |
Michael Andrew Hall | GB | Linthorpe | 2010-12-16 / 20100318085 - INTERNAL FIXATION DEVICES | 1 |
Peter Hall | GB | Cambridgeshire | 2013-06-06 / 20130141793 - LIGHT GUIDING DEVICE | 3 |
Katherine L. Hall | US | Arlington | 2016-03-24 / 20160087687 - Communication in a wireless power transmission system | 48 |
Peter Hall | SE | Linkoping | 2014-01-09 / 20140012535 - TIRE PRESSURE CLASSIFICATION BASED TIRE PRESSURE MONITORING | 5 |
Peter Hall | US | Andover | 2012-02-23 / 20120043016 - MEDICAL DEVICE HAVING A GLASS COATING AND METHOD THEREFOR | 4 |
Kenneth Hall | GB | Wiltshire | 2008-12-25 / 20080314654 - Position Transducer | 1 |
Simon John Hall | GB | London | 2015-11-12 / 20150324224 - APPARATUS AND METHOD OF DATA CAPTURE | 3 |
Trevor G. R. Hall | GB | Twickenham | 2010-11-18 / 20100289622 - CONDUCTIVE SILICONE WRISTBAND FOR WIRELESS COMMUNICATIONS | 1 |
Jonathan Hall | GB | Northampton | 2010-04-29 / 20100101515 - CAM DRIVE | 1 |
Graeme Hall | GB | Leeds | 2014-05-22 / 20140140058 - ILLUMINATION ASSEMBLY | 4 |
Gerard Anthony Hall | GB | Cheshire | 2010-09-16 / 20100229992 - HOSE | 2 |
Elizabeth Ann Howlett Hall | GB | Hampshire | 2010-07-22 / 20100184123 - Gas Exchange Detection Method and Device | 1 |
Stephen David Hall | GB | Burgess Hill | 2012-05-03 / 20120106295 - SEISMIC DATA VISUALIZATIONS | 2 |
Jesse David Hall | US | Santa Clara | 2016-03-10 / 20160070549 - OFFLINE SHADER COMPILATION | 14 |
Robert Hall | US | Berkeley Heights | 2016-04-07 / 20160100346 - FACILITATION OF GEOGRAPHICALLY ADDRESSED DATA STREAMING | 5 |
Arthur Hall | GB | Doncaster | 2010-06-24 / 20100154236 - ALIGNMENT APPARATUS | 1 |
Richard Herman Hall | US | Minden | 2010-04-08 / 20100085205 - Systems and Methods for Sensor-Level Machine Monitoring | 1 |
Richard E. Hall | US | Covington | 2011-11-17 / 20110278871 - DETACHABLE EARS ROPE THIMBLE | 3 |
Mike Hall | GB | Middlesbordugh | 2010-06-03 / 20100137491 - FIBER REINFORCED COMPOSITE MATERIAL | 1 |
Craig Hall | US | Alhambra | 2010-11-04 / 20100279562 - Hybrid Water Sport Apparatus | 2 |
Roderick Lindsay Hall | GB | London | 2010-04-15 / 20100092402 - TREATMENT OF RESPIRATORY DISEASE | 1 |
John William Hall | US | North Salt Lake | 2015-12-10 / 20150352826 - ROTATIONAL SPUN MATERIAL COVERED MEDICAL APPLIANCES AND METHODS OF MANUFACTURE | 16 |
Giles T. Hall | GB | Evesham | 2010-04-01 / 20100082315 - INTERFACE BETWEEN A VERIFICATION ENVIRONMENT AND A HARDWARE ACCELERATION ENGINE | 1 |
Gerard Anthong Hall | GB | Cheshire | 2010-03-11 / 20100059133 - AXIALLY REINFORCED HOSE | 1 |
Christopher H. Hall | US | Shaker Heights | 2015-12-03 / 20150342967 - METHODS | 2 |
Lance Hall | US | Wilkesboro | 2015-05-14 / 20150133250 - TWO-COMPONENT CORD AND METHOD FOR MOLDED POWER TRANSMISSION BELTS | 1 |
Naomi Hall | AU | Lane Cove | 2014-02-06 / 20140039653 - INTERACTIVE FEATURE GAME | 2 |
Kristian David Hall | GB | Hull | 2013-01-17 / 20130018338 - AUXILIARY POWERED NEGATIVE PRESSURE WOUND THERAPY APPARATUSES AND METHODS | 2 |
Diana Hall | GB | Stockport | 2010-02-04 / 20100029170 - Infant accomodation apparatus | 1 |
Philip Hall | GB | Kent | 2011-08-04 / 20110185624 - Apparatus and Method for Treating Waste | 2 |
Harold Hall | GB | Liverpool | 2011-12-08 / 20110297603 - MAGNETIC FILTER DEVICE | 2 |
Kim Thomas Hall | GB | Kent | 2009-12-17 / 20090312371 - SUBSTITUTED ARYLPYRAZOLES | 1 |
Richard Hall | US | Minden | 2009-03-05 / 20090056456 - Orientation aware sensor | 1 |
Edward Hall | GB | Newbury | 2012-06-28 / 20120166667 - Streaming media | 2 |
Brendan Hall | IE | Leixlip | 2014-07-31 / 20140211241 - AUTHENTICATION SYSTEMS AND METHODS | 1 |
Timothy David Hall | GB | Bristol | 2009-10-29 / 20090269132 - Drill guide | 1 |
Nigel John Hall | GB | Oxfordshire | 2009-10-22 / 20090260182 - Anti-movement device for closure member | 1 |
Renee G. Hall | US | Benton | 2008-10-23 / 20080257776 - Medicine container organizer | 1 |
Malcolm Hall | GB | Glasgow | 2013-09-12 / 20130234970 - USER INPUT USING PROXIMITY SENSING | 4 |
Barrett Hall | US | Lake Orion | 2011-09-29 / 20110235463 - MIXING APPARATUS | 1 |
Kim Thomas Hall | GB | Sandwich | 2009-07-23 / 20090186924 - SUBSTITUTED ARYLPYRAZOLES | 2 |
Chuck Hall | US | Tallahassee | 2011-09-29 / 20110234598 - SYSTEM AND METHOD TO MANAGE HAND HYGIENE | 1 |
Geoff Hall | GB | Shirley | 2016-03-31 / 20160093168 - METHODS OF ADMINISTERING LAMMER-BASED WAGERS | 11 |
James Timothy Hall | US | Bainbridge | 2013-06-27 / 20130163637 - THERMOCOUPLE | 1 |
Pam R. Hall | US | Albuquerque | 2014-09-04 / 20140249042 - DETECTION OF BIOAGENTS USING A SHEAR HORIZONTAL SURFACE ACOUSTIC WAVE BIOSENSOR | 2 |
Michael W. Hall | US | Middletown | 2010-12-16 / 20100316375 - Communicating with Components in Optical Communication Systems Using Voltage Signal Detection and Signature Analysis | 1 |
Jeffrey Hall | US | Bellevue | 2016-02-11 / 20160044715 - METHOD AND SYSTEM FOR ALLOWING INCOMING EMERGENCY COMMUNICATIONS ON A DISABLED DEVICE | 2 |
Lisa Hall | US | Sudbury | 2014-07-31 / 20140213475 - METHODS OF DIAGNOSING CANCER USING EPIGENETIC BIOMARKERS | 1 |
John Ernest Hall | US | Napa | 2014-11-06 / 20140326230 - LEVELING DEVICE FOR PORTABLE STOVE | 1 |
Heidi Hall | CH | Cheyres | 2014-07-31 / 20140213965 - BIT AND SYSTEM FOR FEEDING A VISCOUS AND/OR LIQUID SUBSTANCE INTO THE MOUTH OF AN ANIMAL | 1 |
David Malcolm Hall | AU | Mawson Lakes | 2013-10-31 / 20130285857 - ANTENNA ARRANGEMENT | 2 |
Michael J. Hall | US | Manhattan | 2010-06-03 / 20100132838 - SELECTIVE FUEL NOZZLE INHIBITING SYSTEM | 1 |
Geoffrey Frank Hall | GB | Ross-Shire | 2012-05-31 / 20120135509 - SENSOR DEVICES | 1 |
Loftus Richard Hall | GB | Malmesbury | 2009-06-04 / 20090139409 - TOASTING APPLIANCE | 1 |
Matthew Hall | US | 2010-05-27 / 20100126248 - RIGID PARTICULATE MATTER SENSOR | 2 | |
David S. Hall | US | Los Altos | 2010-12-02 / 20100302528 - COLOR LIDAR SCANNER | 1 |
Mark D. Hall | US | Derby | 2009-11-05 / 20090274137 - Method And System For Establishing A System Time Within A Mobile Ad Hoc Network | 1 |
Elizabeth Hall | GB | Whittlesford | 2009-06-04 / 20090143231 - Method For Enhancing the Quality of Green Leaf Vegetables | 1 |
Jason John Hall | GB | Oxfordshire | 2011-12-22 / 20110308202 - CAN END | 2 |
Christopher A. Hall | US | Cypress | 2015-07-09 / 20150192001 - High-Rate Injection Screen Assembly with Checkable Ports | 12 |
Daniel Arthur Hall | GB | Cambridge | 2009-05-14 / 20090120312 - Contrifugal Printing Apparatus And A Method Of Printing | 1 |
Nevil Peter Hall | GB | Yorkshire | 2015-06-11 / 20150158639 - FLUID DISPENSER | 2 |
Robert Sinclair Hall | GB | Hampshire | 2009-05-07 / 20090114552 - Packaging Tray | 1 |
Caroline Alexandra Hall | GB | Merseyside | 2009-04-23 / 20090104139 - Hair Treatment Compositions Comprising Particles and Hydrophobic Oil | 1 |
Julian Hall | GB | Cambridge | 2015-06-25 / 20150177861 - Touch Sensing Systems | 4 |
Kenneth Hall | GB | Swindon | 2009-03-26 / 20090079386 - ELECTRICAL RECHARGER UNIT | 1 |
Nigel Hall | GB | Bury Lancashire | 2009-03-12 / 20090064426 - DISPERSE AZO DYESTUFFS | 1 |
Charles David Hall | GB | Hampshire | 2009-02-26 / 20090051587 - Synthetic aperture radar | 1 |
Peter Scott Hall | GB | West Midlands | 2009-02-19 / 20090046025 - Antenna Arrays | 1 |
Brenda Hall | GB | Farnham | 2012-10-25 / 20120269722 - CHEMOEMBOLISATION | 2 |
Letisha Hall | US | Flippin | 2010-12-02 / 20100301503 - FIBER OPTIC CONNECTOR TOOLING DEVICE | 1 |
Robert Ian Hall | GB | Bristol | 2015-05-21 / 20150138093 - MOUSE USER INTERFACE WITH CONFIGURABLE COMPONENTS | 2 |
Denis Roderick Hall | GB | Scotland | 2008-11-27 / 20080290563 - High Resolution Cold Processing Of Ceramics | 1 |
Tim Hall | GB | Saint Albans | 2008-11-27 / 20080291995 - Adaptive video encoding apparatus and methods | 1 |
John H. Hall | US | Alexandria | 2015-10-08 / 20150289109 - METHOD FOR REQUESTING TRANSPORTATION SERVICES | 3 |
David Robert Hall | GB | Kent | 2008-11-13 / 20080279810 - Pheromones | 1 |
Khaesha Hall | US | Stanford | 2010-12-02 / 20100300563 - Modular device and method for moving fluids to and from a sample delivery element | 1 |
Robert J. Hall | US | Berkeley Heights | 2016-03-31 / 20160094891 - METHOD AND APPARATUS FOR DISTRIBUTING CONTENT LOCALLY | 20 |
Max Hall | US | Corona | 2015-06-11 / 20150157200 - OBJECTIVE QUALITY METRIC FOR OCULAR WAVEFRONT MEASUREMENTS | 4 |
Katherine L. Hall | US | Westford | 2014-03-27 / 20140084859 - Vehicle Charger Safety System and Method | 85 |
Kenneth Hall | GB | Stype | 2008-11-13 / 20080281272 - Syringe Drivers | 1 |
Christopher J. Hall | GB | Cheshire | 2008-11-06 / 20080272307 - Radiation Detector | 1 |
Christopher John Hall | GB | Chester | 2008-10-23 / 20080261842 - Cleaning composition | 1 |
Geoffrey Hall | GB | Nottinghamshire | 2008-10-16 / 20080250727 - Raising and Lowering of Columns | 1 |
Drew Hall | US | Santa Clara | 2014-01-02 / 20140001055 - HIGH THROUGHPUT BIOCHEMICAL DETECTION USING SINGLE MOLECULE FINGERPRINTING ARRAYS | 1 |
Jeremy A. Hall | US | San Jose | 2014-01-30 / 20140032547 - Automatic asset versioning | 1 |
Jonathan Hall | GB | Slough | 2008-10-09 / 20080246198 - GAS SPRING ASSEMBLY AND METHOD | 2 |
Adrian Hall | GB | Harlow | 2008-10-09 / 20080249138 - Pyridine Compounds For The Treatment Of Prostaglandin Mediated Diseases | 1 |
Raymond Hall | GB | Cumbria | 2008-09-25 / 20080234529 - Treatment of Elemental Mercury | 1 |
James Graham Hall | GB | Troon | 2008-09-11 / 20080216681 - Frame Unit for Tensioning a Printing Screen and a Jig for Fitting a Printing Screen to or Removing a Printing Screen From a Frame Unit | 1 |
Peter Alexander Hall | GB | South Yorkshire | 2008-09-04 / 20080210725 - Roof Rail | 1 |
Brad Hall | US | Burlingame | 2011-08-25 / 20110208743 - MACHINE DATA WEB | 2 |
Jeffery Hall | US | Warren | 2015-05-14 / 20150129685 - ERGONOMIC HANDLE FOR A FLUID APPLICATOR SPRAY GUN | 1 |
Melanie Hall | US | Atlanta | 2012-12-13 / 20120315674 - METHODS OF ENZYMATIC HYDROLYSIS | 1 |
Sacha C. Hall | US | Aventura | 2015-11-19 / 20150327923 - CATHETER ELECTRODE ASSEMBLIES AND METHODS OF CONSTRUCTION THEREOF | 2 |
Michael Hall | GB | Linthorpe | 2015-06-04 / 20150151023 - MULTI-MODAL SHAPE MEMORY POLYMERS | 3 |
Paul Hall | US | Dublin | 2011-09-22 / 20110231344 - Continuous Gift Card | 1 |
William C. Hall | US | Cedar Park | 2015-11-12 / 20150324433 - COMBINING INTERNAL AND EXTERNAL SEARCH RESULTS | 2 |
William Hall | GB | London | 2016-02-18 / 20160048385 - METHOD AND APPARATUS FOR CONFIGURING A SOFTWARE APPLICATION | 1 |
Tony John Hall | GB | London | 2015-12-03 / 20150342195 - ANTI-MICROBIAL COMPOSITIONS, PREPARATIONS, METHODS, AND USES | 1 |
Simon Richard Geoffrey Hall | GB | London | 2015-10-22 / 20150305099 - Apparatus and Method for Monitoring LED Efficiency | 3 |
Julian Hall | GB | London | 2015-09-10 / 20150254851 - SKIN IMAGE ANALYSIS | 1 |
John Hall | GB | London | 2016-03-24 / 20160081507 - A CLOTHES HANGER | 2 |
Danielle Lyon Hall | US | Sarasota | 2013-09-19 / 20130239808 - COLLECTING DEVICE FOR GASES AND AEROSOL, METHODS OF MAKING, AND METHODS OF USE | 2 |
Douglas B. Hall | US | Newtown | 2009-02-12 / 20090043719 - METHOD FOR SIMULATION OF HUMAN RESPONSE TO STIMULUS | 1 |
Susan E. Hall | US | Wadsworth | 2009-02-26 / 20090051906 - Optical tracking device employing a three-axis gimbal | 1 |
John D. Hall | US | Mayfield Heights | 2015-02-12 / 20150045852 - METHOD AND DEVICE FOR DISPLAYING PREDICTED VOLUME OF INFLUENCE | 5 |
Travis D. Hall | US | Marysville | 2012-01-05 / 20120003373 - BEVERAGE WHIPPER | 3 |
Angela Michelle Hall | US | Whitehouse | 2010-03-11 / 20100058975 - MULTI-PAGE BOOKMARK | 1 |
Kenwood Henry Hall | US | Hudson | 2010-04-01 / 20100082130 - MODULAR OBJECT PUBLICATION AND DISCOVERY | 4 |
Justin E. Hall | US | Avon | 2010-07-15 / 20100175617 - MATERIAL APPLICATION APPARATUS AND METHODS | 2 |
Christine Hall | US | Cincinnati | 2010-07-29 / 20100189709 - ELASTASE INHIBITOR | 1 |
Douglas J. Hall | US | Westerville | 2011-12-29 / 20110319117 - METHOD AND APPARATUS FOR DYNAMICALLY ADDING PARTICIPANTS INTO AN EXISTING TALK GROUP | 2 |
Timothy Robert Hall | US | Streetsboro | 2010-08-05 / 20100192989 - GLASS WASHING MACHINE WITH BROKEN GLASS REMOVAL SYSTEM | 2 |
Michael A. Hall | US | Bexley | 2010-08-19 / 20100211427 - METHODS AND SYSTEMS FOR BROKERING UNDER-UTILIZED AMENITIES AND ASSETS OF PRIVATE CLUBS | 1 |
Fred D. Hall | US | Cincinnati | 2010-09-30 / 20100242635 - Apparatus And Method For Sampling Of Airborne Asbestos And Other Particles Released From A Surface | 2 |
Kenwood Hall | US | Hudson | 2015-07-30 / 20150213369 - SYSTEM AND METHODOLOGY PROVIDING AUTOMATION SECURITY ANALYSIS AND NETWORK INTRUSION PROTECTION IN AN INDUSTRIAL ENVIRONMENT | 16 |
Christine Hall | US | Akron | 2008-08-28 / 20080207767 - Foamable Alcoholic Composition | 1 |
Russell G. Hall | US | Sylvania | 2011-06-30 / 20110155729 - REUSABLE CONTAINER KIT | 3 |
J. Randall Hall | US | Wadsworth | 2012-03-29 / 20120076600 - MILLING HEAD AND METHODS FOR TUBE END PREPARATION | 5 |
William S. Hall | US | Lexington | 2010-09-30 / 20100243410 - Method and apparatus for cleaning and sanitizing conveyor belts | 1 |
Kevin Hall | US | Westerville | 2012-12-06 / 20120306651 - MOBILE PERIMETER ACCESS SECURITY SYSTEM | 3 |
Michael W. Hall | US | Sidney | 2011-03-31 / 20110075509 - MIXING BOWL COOLING JACKET WITH TURBULENCE INDUCING STRUCTURES | 3 |
James E. Hall | US | Mogadore | 2013-02-14 / 20130041105 - METHOD OF PREPARING RUBBER COMPRISING POLYMERIC COMPOSITIONS AND ISOPRENE COMPRISING INTERPOLYMERS | 6 |
James Hall | US | Mogadore | 2012-05-03 / 20120108755 - BRANCHED POLYMERS AND METHODS FOR THEIR SYNTHESIS AND USE | 4 |
John Hall | US | Cincinnati | 2012-08-30 / 20120216603 - Adaptive real-time contaminant detection and early warning for drinking water distribution systems | 1 |
John Edward Hall | US | Charlottesville | 2012-06-21 / 20120158074 - Fitted garment comprising heart monitoring with defibrillation capability | 1 |
Christopher Hall | US | Rancho Santa Margarita | 2012-03-08 / 20120059748 - System and method for adaptive billing | 1 |
Peter Hall | DE | Munich | 2012-10-11 / 20120257750 - ENCRYPTION TOPOLOGY FOR TRANSPORTING MULTIPLE AV STREAMS OVER A UNIFIED MEDIUM | 1 |
Neal A. Hall | US | Austin | 2014-09-04 / 20140247954 - Entrained Microphones | 3 |
Travis S. Hall | US | Cypress | 2015-12-03 / 20150346370 - METHOD OF CALIBRATION FOR DOWNHOLE FIBER OPTIC DISTRIBUTED ACOUSTIC SENSING | 1 |
Colin John Hall | GB | Poole | 2015-11-05 / 20150313762 - Reduced-Pressure Dressings, Systems, And Methods For Use With Linear Wounds | 28 |
Richard Alec Hall | US | Littleton | 2013-05-23 / 20130132275 - RISK ANALYSIS OF MONEY TRANSFER TRANSACTIONS | 1 |
Charlie Hall | US | Bartow | 2014-11-13 / 20140331843 - SYSTEM OF INDEXABLE CUTTING PORTIONS FOR A SHEAR | 2 |
Benjamin Hall | US | Smithtown | 2014-11-06 / 20140330185 - Orthopedic Device For Use With An Orthopedic Cast | 1 |
Jonathan G. Hall | US | Omaha | 2008-10-09 / 20080250242 - Method for Passing Selective Encrypted Attributes of Specific Versions of Objects in a Distributed System | 1 |
Matthew M. Hall | US | Alfred | 2013-09-19 / 20130241404 - ENCAPSULANT COMPOSITIONS AND METHODS FOR LIGHTING DEVICES | 1 |
Tyson J. Hall | US | Magnolia | 2008-12-25 / 20080318829 - Processes For Production and Purification of Normal Propyl Bromide | 1 |
Tyson J. Hall | US | Baton Rouge | 2013-11-07 / 20130295001 - PROCESS FOR PRODUCING SULFUR DIOXIDE AND SULFUR TRIOXIDE | 8 |
Edward R. Hall | CA | Montreal | 2015-09-03 / 20150245682 - BALLISTIC AND IMPACT PROTECTIVE SYSTEM FOR MILITARY HELMET ASSEMBLY | 5 |
William Carleton Hall | US | Gastonia | 2015-08-13 / 20150224565 - TOOL FOR CONNECTING AND DISCONNECTING CONVEYING CHAIN AND METHOD | 5 |
Angela Hall | US | St. Louis | 2012-05-31 / 20120132081 - PORTABLE INFUSED BEVERAGE STORAGE CONTAINER | 1 |
Timothy L. Hall | US | Ann Arbor | 2015-01-08 / 20150011916 - HISTOTRIPSY EXCITATION SEQUENCES OPTIMIZED FOR BUBBLE CLOUD FORMATION USING SHOCK SCATTERING | 10 |
Jesse David Hall | US | Santa Clara | 2016-03-10 / 20160070549 - OFFLINE SHADER COMPILATION | 14 |
David A. Hall | US | South Pasadena | 2011-04-21 / 20110091859 - Method for Online Learning | 1 |
Gregory S. Hall | US | Sunset | 2015-05-07 / 20150127389 - SYSTEM, METHOD, AND PROGRAM PRODUCT FOR CALCULATING PREMIUMS FOR EMPLOYER-BASED SUPPLEMENTAL UNEMPLOYMENT INSURANCE | 1 |
Amber Laverne Hall | US | Oak Park | 2016-05-12 / 20160129920 - LANE DEPARTURE FEEDBACK SYSTEM | 4 |
Ed Hall | US | 2013-08-01 / 20130191974 - HELMET EARPIECE | 1 | |
Daniel Hall | US | Hillsborough | 2014-10-23 / 20140315923 - SUBSTITUTED N-HETEROARYL SPIROLACTAM BIPYRROLIDINES, PREPARATION AND THERAPEUTIC USE THEREOF | 5 |
Ed Hall | US | Fairview | 2012-10-11 / 20120259531 - SYSTEM, METHOD, AND COMPUTER SOFTWARE CODE FOR IMPROVED FUEL EFFICIENCY EMISSION OUTPUT, AND MISSION PERFORMANCE OF A POWERED SYSTEM | 1 |
Gregory W. Hall | US | Sunnyvale | 2010-09-23 / 20100241039 - CPR Compression Device and Method | 1 |
Faye Dai Hall | US | Santa Cruz | 2011-04-28 / 20110099430 - METHOD AND SYSTEM TO MONITOR A DIVERSE HETEROGENEOUS APPLICATION ENVIRONMENT | 2 |
Sacha C. Hall | US | Doral | 2014-05-29 / 20140148674 - Bendable catheter arms having varied flexibility | 1 |
Stephen Hall | US | Carlsbad | 2013-10-17 / 20130275001 - SYSTEMS AND METHODS FOR VIRTUAL IGNITION DETECTION | 2 |
Jeffrey Hall | US | Normal | 2014-12-11 / 20140366190 - SOYBEAN VARIETY 01050428 | 19 |
Michael Bernard Hall | CA | London | 2014-11-06 / 20140327551 - OIL PORT POSITION SENSING DEVICE | 1 |
Kristopher C. Hall | US | Maryville | 2016-03-24 / 20160081294 - DAIRY MILKING LINER | 4 |
Steven Hall | US | Palo Alto | 2013-10-03 / 20130262673 - SYSTEM AND METHOD OF MULTIPLE LOGIN OVERLAY FROM A SINGLE BROWSER INTERFACE | 1 |
Mike Hall | US | Bellevue | 2011-09-15 / 20110225068 - SHOPPING ASSISTANT | 1 |
Matthew D. Hall | US | Washington | 2010-12-16 / 20100316655 - COMPOUNDS WITH MDR1-INVERSE ACTIVITY | 1 |
John D. Hall | US | Winston-Salem | 2014-09-18 / 20140272917 - GLASS NON-GLARE MARKER BOARD | 1 |
Robert Christopher Hall | US | Apex | 2014-09-18 / 20140275765 - PROBE ASSEMBLY AND DISPOSABLE COVER PARTICULARLY FOR USE IN ENDOSCOPE APPLICATIONS OF LOW COHERENCE INTERFEROMETRY | 1 |
Richard Andrew Hall | GB | Sharnbrook | 2011-06-23 / 20110151065 - EDIBLE RECEPTACLES FOR FROZEN CONFECTIONS | 1 |
Rudolph A. Hall | US | Mandeville | 2015-11-26 / 20150337517 - OFFSHORE SUPPORT STRUCTURE | 2 |
John J. Hall | US | Boulder | 2015-08-20 / 20150233566 - LIGHT EMITTING DIODE REPLACEMENT LAMP | 2 |
Daniel Lee Hall | US | Williston | 2016-02-18 / 20160046004 - ROD BOX INSTALLATION TOOL DEVICE, AND METHODS OF MAKING AND USING SAME | 1 |
Michael Hall | US | Santa Cruz | 2008-09-04 / 20080216179 - Computer chassis for improved security and connectivity of secured items | 1 |
Michael Hall | GB | Linthrope | 2008-09-25 / 20080234730 - Fixation Devices and Method of Repair | 1 |
Michael Hall | US | Bellville | 2012-02-09 / 20120030868 - Toilet bed cage support apparatus | 2 |
Michael Hall | DE | Nuernberg | 2009-07-30 / 20090190819 - X-RAY ACQUISITION METHOD AND DEVICE FOR STEREOTACTIC BIOPSY | 1 |
Michael Hall | US | Wildwood | 2009-11-05 / 20090275741 - PLANTS AND SEEDS OF CORN VARIETY CV678981 | 1 |
Michael Hall | DE | Nurnberg | 2010-04-29 / 20100104166 - METHOD FOR PRODUCING A STEREOTACTIC IMAGE IN A MAMMOGRAPHY DEVICE | 1 |
Michael Hall | GB | Middlesbrough | 2014-02-20 / 20140052133 - SYSTEMS AND METHODS FOR INSTALLING AND REMOVING AN EXPANDABLE POLYMER | 4 |
Michael Hall | US | Albany | 2016-02-11 / 20160038507 - Calmangafodipir, a New Chemical Entity, and Other Mixed Metal Complexes, Methods of Preparation, Compositions, and Methods of Treatment | 7 |
Michael Hall | US | Carmel | 2013-02-21 / 20130043717 - BICYCLE RIM WITH INTEGRAL IMPACT RESISTANT STRUCTURE AND METHODS OF MAKING | 5 |
Kenneth W. Hall | US | Sarasota | 2014-08-07 / 20140221204 - Eco-Plant Aid | 1 |
Charles J. Hall | US | Tallahassee | 2014-08-07 / 20140222451 - PROGRAM OPTIMIZATION SYSTEM | 1 |
Robert Ian Hall | GB | Longweel Green | 2012-12-13 / 20120315989 - VIDEO GAME CONTROLLER | 1 |
Andrew J. Hall | GB | Hampshire | 2011-06-23 / 20110153689 - CONFIRMING THE SENSITIVITY OF A DATA OBJECT IN A MANAGED OBJECT HEAP | 1 |
Brandon T. Hall | US | Walla Walla | 2013-10-24 / 20130277284 - Sorting Apparatus | 2 |
Tim Hall | US | Cupertino | 2010-05-20 / 20100125618 - INTEGRATED SOA DEPLOYMENT AND MANAGEMENT SYSTEM AND METHOD FOR SOFTWARE SERVICES | 1 |
David Hall | US | Auburn | 2015-05-07 / 20150121628 - NOVEL IGNITION RESISTANT COTTON FIBER, ARTICLES MADE FROM IGNITION RESISTANT COTTON FIBERS, AND METHODS OF MANUFACTURE | 1 |
Scott E. Hall | US | Issaquah | 2014-10-09 / 20140300039 - NODAL SPRING ASSEMBLY FOR AN ELECTRONIC TOOTHBRUSH | 12 |
Kent A. Hall | US | Tacoma | 2009-05-14 / 20090125143 - METHOD AND APPARATUS FOR GENERATION OF DATAMATRIX BARCODES UTILIZING NUMERICAL CONTROL DRILLING PATTERNS | 1 |
David W. Hall | US | Seattle | 2008-10-16 / 20080250985 - Multifunction Furniture | 1 |
Gregory S. Hall | US | Bothell | 2013-11-14 / 20130303068 - FRESH AIR SYSTEM FOR HEAVY DUTY VEHICLE CAB/SLEEPER COMBINATION | 3 |
Bruce A. Hall | US | Pullman | 2011-04-14 / 20110085272 - Systems and Methods for Generator Ground Fault Protection | 1 |
Nelson Hall | US | Kent | 2015-06-11 / 20150160283 - ON-LINE TIME DOMAIN REFLECTOMETER SYSTEM | 6 |
John Walter Hall | US | Covington | 2011-01-27 / 20110018182 - Electromagnetic Clamping System for Manufacturing Large Structures | 1 |
Amy Hall | US | Gig Harbor | 2012-07-26 / 20120189721 - METHODS AND COMPOSITIONS FOR HEAVY METAL DETOXIFICATION | 3 |
Gabriel J. Hall | US | Woodinville | 2016-02-25 / 20160057033 - CLIENT APPLICATION INTEGRATION FOR WORKFLOWS | 3 |
Matthew Hall | US | Seattle | 2013-03-14 / 20130067360 - APPLICATION SCREEN DESIGN ALLOWING INTERACTION | 4 |
Mark Lee Hall | US | Redmond | 2010-07-22 / 20100185669 - EFFICIENT INCREMENTAL PARSING OF CONTEXT SENSITIVE PROGRAMMING LANGUAGES | 1 |
Jeffrey Hall | US | Renten | 2010-02-04 / 20100029244 - METHOD AND SYSTEM FOR ALLOWING INCOMING EMERGENCY COMMUNICATIONS ON A DISABLED DEVICE | 1 |
Gary Hall | US | Kirkland | 2009-12-31 / 20090325711 - SCHEDULED PROGRAMMATIC GAME CONTENT | 1 |
Gary Hall | US | Redmond | 2009-12-10 / 20090305788 - MODIFYING ELECTRONIC GAMES BASED ON PREVIOUS GAME PLAY | 3 |
Gary W. Hall | US | Redmond | 2015-08-13 / 20150230192 - USER-INPUT SCHEDULING OF SYNCHRONIZATION OPERATION ON A MOBILE DEVICE BASED ON USER ACTIVITY | 8 |
Simon J. Hall | US | Seattle | 2011-02-24 / 20110047536 - RUNTIME ACTIVATION AND VERSION SELECTION | 5 |
Andrew Brian Hall | US | Kirkland | 2010-12-09 / 20100313186 - DEVELOPER-MANAGED DEBUGGER DATA RECORDS | 1 |
William B. Hall | US | Seattle | 2015-12-03 / 20150342302 - SINGLE-REEL ZONAL LACING SYSTEM FOR WINTER SPORTS BOOTS | 3 |
Jonathan Hall | US | San Mateo | 2015-10-15 / 20150295442 - SERIES-CONNECTED STORAGE INTERFACE CONVERTER | 13 |
Martin Hall | US | Sammamish | 2010-11-18 / 20100293607 - LINKING WEB IDENTITY AND ACCESS TO DEVICES | 3 |
Sherri Jane Hall | US | Baltimore | 2015-04-02 / 20150094669 - IMPLANTABLE THREE-WAY DIAPHRAGM VALVE | 4 |
Martin H. Hall | US | Sammamish | 2012-01-05 / 20120005721 - PROCESSING UNIT ENCLOSED OPERATING SYSTEM | 6 |
Stephen G. Hall | US | Bainbridge Island | 2012-08-30 / 20120221596 - Method and System for Automated Search for, and Retrieval and Distribution of, Information | 6 |
Michael G. Hall | US | West Richland | 2012-05-17 / 20120118855 - METHOD FOR EDGE SEALING BARRIER FILMS | 2 |
Gary William Hall | US | Kirkland | 2010-06-24 / 20100160047 - Scalable Game Primitives / Distributed Real-Time Aggregation Of Player Data | 1 |
John Michael Hall | US | Amherst | 2010-05-27 / 20100128355 - FLAT FIELD EYEPIECE | 1 |
Lori Hall | US | Fall City | 2010-04-22 / 20100095585 - FLOWER ARRANGING APPARATUS AND METHOD | 1 |
Martin Harm Hall | US | Sammamish | 2010-04-08 / 20100088359 - NETWORK BASED JIT ON A PRIORI KNOWLEDGE OF A SET OF DISPARATE CLIENTS | 1 |
Amy J. Hall | US | Gig Harbor | 2013-03-14 / 20130064913 - ANTI-INFLAMMATORY BOTANICAL PRODUCTS FOR THE TREATMENT OF METABOLIC SYNDROME AND DIABETES | 4 |
Scott Hall | US | Issaquah | 2010-03-11 / 20100058548 - TOOTHBRUSH BRUSHHEAD FOR A POWER TOOTHBRUSH WITH ANGLED BRISTLES | 2 |
David M. Hall | US | Camas | 2009-12-03 / 20090299818 - METHODS FOR MERCHANDISING DIGITAL CONTENT | 1 |
Michael G. Hall | US | Richland | 2009-08-20 / 20090208754 - METHOD FOR EDGE SEALING BARRIER FILMS | 2 |
Brian E. Hall | US | Seattle | 2013-09-26 / 20130251234 - METHOD FOR IMAGING AND DIFFERENTIAL ANALYSIS OF CELLS | 9 |
Thomas E. Hall | US | Kennewick | 2013-09-05 / 20130229302 - PASSIVE MILLIMETER WAVE DIFFERENTIAL INTERFERENCE CONTRAST POLARIMETRY | 3 |
Neil Hall | US | Vancouver | 2009-04-16 / 20090096152 - Imaging device | 1 |
Michael J. Hall | US | Seattle | 2009-03-19 / 20090070933 - COMFORTER AND SHEET BEDDING SYSTEM | 1 |
Kurt Hall | US | Olympia | 2008-08-21 / 20080196960 - SWINGARM ASSEMBLY | 1 |
Andy B. Hall | US | Meridian | 2012-10-18 / 20120261280 - Memory Box | 1 |
Theodore W. Hall | US | Berlin | 2016-05-12 / 20160130963 - GAS TURBINE ENGINE AND SEAL ASSEMBLY THEREFORE | 7 |
Phillip J. Hall | US | Corinth | 2014-09-18 / 20140265422 - ENCAPSULATED WINDSHIELD MOLDING | 1 |
Scott Jeffrey Hall | US | Louisville | 2015-04-30 / 20150116877 - POWER DISTRIBUTION SYSTEMS AND METHODS OF TESTING A POWER DISTRIBUTION SYSTEM | 9 |
William Jordan Hall | US | Atlanta | 2012-02-16 / 20120038888 - METHODS FOR IMAGING OCULAR DEVICES USING OPTICAL COHERENCE TOMOGRAPHY | 2 |
Edward R. Hall | GB | Bristol | 2013-07-18 / 20130182628 - RECEPTION REPORT AGGREGATION | 1 |
Jake Hall | US | Highland | 2016-04-07 / 20160096044 - Harness and Load Bearing Systems | 3 |
Richard Hall | US | Mcdonough | 2008-09-18 / 20080223590 - Landscape edging system and device and methods of installation and use thereof | 1 |
Jordan Hall | US | Atlanta | 2011-04-21 / 20110089586 - Process For Manufacturing An Ophthalmic Lens | 1 |
Allen L. Hall | US | Toccoa | 2011-03-03 / 20110054864 - ON-SITE ANALYSIS SYSTEM WITH CENTRAL PROCESSOR AND METHOD OF ANALYZING | 1 |
Mischelle Hall | US | Alpharetta | 2009-12-24 / 20090317487 - KITS FOR PREVENTION AND TREATMENT OF RHINITIS | 1 |
Wilton Hall | US | Fort Oglethorpe | 2009-09-03 / 20090220728 - SYSTEM AND METHOD FOR CONTROL OF THE BACKING FEED FOR A TUFTING MACHINE | 1 |
Jeffrey Lynn Hall | US | Marietta | 2009-03-12 / 20090070407 - SYSTEMS AND METHODS FOR SCHEDULING, PRODUCING, AND DISTRIBUTING A PRODUCTION OF AN EVENT | 2 |
Rhoderick Dwight Hall | US | Temple | 2008-09-18 / 20080224844 - Mobile safety light | 1 |
Martressa Nicholette Hall | US | Douglassville | 2008-09-18 / 20080224844 - Mobile safety light | 1 |
Paul W. Hall | US | Woodinville | 2015-10-15 / 20150296510 - RF COLLABORATION METHOD TO REDUCE RF INTERFERENCE WITH WIRELESS ADAPTER | 1 |
Katherine L. Hall | US | Elkins | 2014-01-02 / 20140004505 - CARTRIDGE BASED SYSTEM AND METHOD FOR DETECTING AN ANALYTE IN A SAMPLE | 1 |
Benjamin Hall | US | Broomfield | 2015-12-24 / 20150366232 - Compositions And Methods For Producing A High Protein Curd Composition | 1 |
James William Hall | NL | Rijswijk | 2010-06-10 / 20100139388 - Monitoring fluid pressure in a well and retrievable pressure sensor assembly for use in the method | 1 |
Michael D. Hall | US | Cooyers | 2010-08-26 / 20100212671 - Airway Devices, Tube Securing Devices, and Methods of Making and Using the Same | 1 |
Andrea Hall | US | Acworth | 2010-07-22 / 20100183623 - MONOCLONAL ANTIBODIES RECOGNIZING A COAGULASE-NEGATIVE STAPHYLOCOCCAL PROTEIN | 2 |
Benjamin Hall | US | State College | 2015-11-12 / 20150323431 - 3D LASER ABLATION TOMOGRAPHY AND SPECTROGRAPHIC ANALYSIS | 1 |
Wilton Hall | US | Ringgold | 2016-02-04 / 20160032510 - METHOD AND APPARATUS FOR FORMING VARIABLE CUT AND/OR LOOP PILE TUFTS OVER LEVEL CUT LOOP TUFTS | 15 |
Edward R. Hall | US | Starksboro | 2015-12-24 / 20150369567 - WEARABLE ARMOR PLATE ASSEMBLY | 2 |
Randall B. Hall | US | La Habra Heights | 2011-05-05 / 20110106320 - METHOD AND APPARATUS FOR GENERATING AN IRRIGATION SCHEDULE | 1 |
Steven Hall | GB | Falkirk | 2015-02-19 / 20150051922 - PATIENT TEST DATA PROCESSING SYSTEM AND METHOD | 1 |
Shannon Hall | US | Upatoi | 2009-08-27 / 20090216638 - System and method for providing consumer directed payment card | 1 |
Christian Hall | US | Canton | 2009-04-30 / 20090112753 - APPLICATION PROCESSING AND DECISION SYSTEMS AND PROCESSES | 2 |
Michael D. Hall | US | Conyers | 2013-04-25 / 20130098368 - AIRWAY DEVICES, TUBE SECURING DEVICES, AND METHODS OF MAKING AND USING THE SAME | 3 |
Larry Hall | US | Atlanta | 2012-08-09 / 20120203072 - Apical Instrument Port | 2 |
Randy K. Hall | US | Dublin | 2008-09-25 / 20080230058 - Dry powder dispersing apparatus and methods for their use | 1 |
James Graham Hall | GB | Ayrshire | 2015-03-26 / 20150083005 - PRINTING SCREENS, FRAMES THEREFOR AND PRINTING SCREEN UNITS | 3 |
Scott A. Hall | US | Rochester Hills | 2011-09-15 / 20110219831 - AUTOMOTIVE KEY FOB HAVING AN ANTI KEY RATTLE SPRING | 1 |
James Carter Hall | US | Georgetown | 2008-08-28 / 20080206036 - Magnetic media processing tool with storage bays and multi-axis robot arms | 12 |
Kenneth M. Hall | US | Santa Cruz | 2013-04-04 / 20130086439 - Systems and Methods for Parameter Selection Using Reliability Information | 2 |
Stewart Griffin Hall | US | San Diego | 2016-02-18 / 20160048374 - EMULATION OF FUSED MULTIPLY-ADD OPERATIONS | 1 |
Adrian Hall | GB | Essex | 2010-06-03 / 20100137378 - Pyridine Compounds For The Treatment Of Prostaglandin Mediated Diseases | 14 |
John B. Hall | US | Charlotte | 2016-03-03 / 20160062848 - METHODS AND APPARATUS FOR DATA RECOVERY FOLLOWING A SERVICE INTERRUPTION AT A CENTRAL PROCESSING STATION | 10 |
Steven Hall | GB | Harlow | 2013-01-10 / 20130012209 - HANDOVER FOR CELLULAR RADIO SYSTEMS | 6 |
Steven G. Hall | US | Baton Rouge | 2010-05-27 / 20100126425 - ARTIFICIAL MATERIAL CONDUCIVE TO ATTRACT AND GROW OYSTERS, MOLLUSKS OR OTHER PRODUCTIVE AND/OR STABLIZING ORGANISMS | 1 |
Jonathan C. Hall | US | Hillsboro | 2016-04-21 / 20160110196 - COALESCING ADJACENT GATHER/SCATTER OPERATIONS | 10 |
Neil Robert Hall | GB | Aberdeen | 2012-03-15 / 20120061098 - DOWNHOLE DEVICE | 1 |
Ethan Hall | US | Chicago | 2014-07-03 / 20140185072 - METHOD AND APPARATUS FOR PRINTING HTML CONTENT | 1 |
Jef Hall | US | Boise | 2010-12-16 / 20100316793 - Methods Of Forming Capacitors Having Dielectric Regions That Include Multiple Metal Oxide-Comprising Materials | 1 |
Steven Hall | US | Olivenhain | 2015-10-22 / 20150305031 - TIME DOMAIN COEXISTENCE OF RF SIGNALS | 10 |
Jake Hall | US | Draper | 2014-09-18 / 20140262611 - Systems for Assisted Braking Belay with a Cam-Clutch Mechanism | 2 |
Tony J. Hall | US | Columbus | 2014-11-13 / 20140331752 - EXHAUST AFTERTREATMENT SYSTEM DIAGNOSTIC AND CONDITIONING | 1 |
Dana Hall | US | St. Louis | 2012-09-13 / 20120230870 - STERILIZATION APPARATUS AND PROCESS | 3 |
Kimberly Dawn Hall | US | Tucker | 2012-03-15 / 20120061430 - Wrist cover/support for bracelet/watch | 1 |
Gregory Vorce Hall | US | La Mesa | 2012-03-15 / 20120061457 - Carton With Angled Corner Panels | 2 |
David S. Hall | US | San Jose | 2013-08-22 / 20130213288 - BOAT WITH ACTIVE SUSPENSION SYSTEM | 2 |
J. Neil Hall | US | Carlsbad | 2014-07-31 / 20140213388 - GOLF CLUB HEAD WITH VARIABLE FACE THICKNESS | 4 |
Ben Hall | US | Fairfield | 2016-05-05 / 20160127455 - SHARING AN APPLICATION CONFIGURATION AMONG SOCIAL NETWORKS | 8 |
Drew Hall | US | Owasso | 2011-06-23 / 20110154420 - Data Feed Resource Reservation System | 1 |
Joseph B. Hall | US | Durham | 2012-07-26 / 20120192091 - Automatically Reconfiguring an Input Interface | 2 |
Stephen Donald Hall | US | Providence | 2016-01-07 / 20160006837 - METHODS, DEVICES, AND SYSTEMS FOR IMPLEMENTING CENTRALIZED HYBRID WIRELESS SELF-ORGANIZING NETWORKS | 1 |
Jason Christopher Hall | US | Seattle | 2014-09-04 / 20140250208 - GROUPING PERSONAL PLAYLISTS INTO BUDDY LIST USED TO MODIFY A MEDIA STREAM | 5 |
Jason Hall | US | Holland | 2012-03-15 / 20120062006 - Tension mechanism for a weight-responsive chair | 1 |
Edyta Hall | US | St. Petersburg | 2016-02-25 / 20160051402 - POST-SURGICAL ARTICLES FOR REDUCTION OF SWELLING, EDEMA, AND BRUISING | 1 |
Jonathan James Hall | US | Gilmanton | 2015-10-15 / 20150292774 - System and method for geothermal heat harvesting | 1 |
Anne Lindsay Hall | US | New Berlin | 2011-09-08 / 20110218438 - ULTRASOUND APPARATUS AND METHOD OF MANUFACTURING SAME | 9 |
Phillip David Hall | NZ | Masterton | 2013-09-19 / 20130242250 - EYEWEAR HAVING A MESH EYE SHIELD | 1 |
Christopher Andrew Hall | US | Cypress | 2012-08-02 / 20120193087 - Shear Blade Geometry and Method | 1 |
Patrick H. Hall | US | Ames | 2015-10-15 / 20150291892 - LOW TEMPERATURE, LOW PRESSURE UPGRADING AND STABILIZATION OF BIO-OIL OR BIO-OIL FRACTIONS | 1 |
Michael Aaron Hall | US | Valencia | 2015-05-07 / 20150127464 - SYSTEMS AND METHODS FOR AUTOMATICALLY GENERATING CAMPAIGNS USING ADVERTISING TARGETING INFORMATION BASED UPON AFFINITY INFORMATION OBTAINED FROM AN ONLINE SOCIAL NETWORK | 12 |
Michael Anthony Hall | CA | Alberta | 2015-04-23 / 20150112600 - Spectrum Splitting | 1 |
Charles Hall | US | Crestwood | 2013-11-21 / 20130310534 - Method of Preparing a Diorganodihalosilane | 2 |
Allen Hall | US | Thousand Oaks | 2009-02-26 / 20090055833 - System and method for performance monitoring | 1 |
Jeffrey S. Hall | US | Winnebago | 2009-12-31 / 20090321226 - SAFETY SWITCH | 3 |
Jeffrey S. Hall | US | Overland Park | 2008-11-20 / 20080284637 - DIGITAL TAS TRANSMITTER AND RECEIVER SYSTEMS AND METHODS | 1 |
Jeffrey S. Hall | US | Shelton | 2008-09-04 / 20080211175 - Method and apparatus for feeding multi-sheet documents | 1 |
Carl Randlett Hall | US | Boston | 2013-02-14 / 20130041726 - Methods and Systems for Identifying Demand for, and Negotiating Discounted Prices of, Goods and Services | 1 |
Bobby Hall | US | Columbia | 2013-07-25 / 20130189059 - TRANSFORMING TRAILER | 1 |
Katherine L. Hall | US | Arlington | 2016-03-24 / 20160087687 - Communication in a wireless power transmission system | 48 |
Drew A. Hall | US | La Jolla | 2016-02-25 / 20160054397 - Correlated double sampling for noise reduction in magnetoresistive sensors and sensor arrays | 1 |
Jerry S. Hall | US | Prosperity | 2013-07-25 / 20130189059 - TRANSFORMING TRAILER | 1 |
Ryan F. Hall | US | Charlotte | 2013-07-25 / 20130189044 - STEP DRILL FOR WOOD | 1 |
Anita J Hall | US | Bloomington | 2016-04-14 / 20160100598 - Continuous Process to Produce Firmer Processed Vegetables | 1 |
Clarence V. Hall | US | Plainfield | 2015-09-24 / 20150265898 - Multi-headed, multi-abdomen, multi-armed apparatus for use with a slip and counter fight simulation/workout machine or stand alone device for fight simulation | 3 |
Andrew J. Hall | US | Wilmington | 2013-12-05 / 20130325488 - METHODS AND SYSTEMS FOR PROVIDING COST INFORMATION FOR HEALTH CARE SERVICES | 2 |
Tony James Hall | US | Bemus Point | 2015-11-05 / 20150315952 - METHOD, SYSTEM, AND APPARATUS FOR DIAGNOSING AN EXHAUST AFTERTREATMENT COMPONENT | 1 |
David B. Hall | US | La Crescenta | 2015-12-24 / 20150369837 - DAMPED FIBER OPTIC ACCELEROMETERS, SENSORS, AND SENSOR ASSEMBLIES, AND METHODS OF ASSEMBLING THE SAME | 6 |
David B. Hall | US | New Port Richey | 2016-03-17 / 20160078440 - INTERACTIVE NETWORKING SYSTEMS WITH USER CLASSES | 22 |
David B. Hall | US | La Crescents | 2009-01-29 / 20090028569 - Optimum DC bias for an electro-optic switch | 2 |
Mark D. Hall | US | Austin | 2016-04-21 / 20160109506 - SEMICONDUCTOR DEVICE WITH UPSET EVENT DETECTION AND METHOD OF MAKING | 42 |
Ronald P. Hall | US | Cedar Park | 2016-03-24 / 20160085550 - IMMEDIATE BRANCH RECODE THAT HANDLES ALIASING | 12 |
James G. Hall | US | Woodbury | 2008-11-27 / 20080292779 - COATING OF IMPLANTS WITH HYALURONIC ACID SOLUTION | 1 |
Constance Hall | US | Lester Prairie | 2009-03-19 / 20090076836 - SYSTEMS, METHODS, SOFTWARE AND INTERFACES FOR REPORTING RESULTS DERIVED FROM JURY VERDICT SUMMARY CONTENT, COURT DOCUMENTS AND OTHER ANALYTICAL AND LITIGATION MATERIALS | 1 |
Goran Hall | US | Minneapolis | 2013-08-22 / 20130216079 - HEARING AID | 2 |
Sacha Hall | US | Minneapolis | 2009-07-09 / 20090177193 - IRRIGATED ABLATION ELECTRODE HAVING SMOOTH EDGES TO MINIMIZE TISSUE CHAR | 1 |
Melanie L. Hall | US | Minneapolis | 2010-09-02 / 20100222737 - High flow rate infusion unit and heat exchanger | 2 |
Dennis Hall | US | Minneapolis | 2011-10-20 / 20110255942 - CAR DUMPER DUST COLLECTION METHOD AND APPARATUS | 2 |
Susan Hall | US | New Hope | 2010-12-09 / 20100310732 - PRESSURE PACKAGED DOUGH PRODUCTS | 3 |
Jeffrey D. Hall | US | Albert Lea | 2010-11-04 / 20100278666 - HIGH SOLIDS MATERIAL MOVING APPARATUS | 1 |
Peter C. Hall | US | Andover | 2014-03-13 / 20140073194 - TERMINAL CONNECTOR ASSEMBLY FOR A MEDICAL ELECTRICAL LEAD | 7 |
Sacha C. Hall | US | Minneapolis | 2014-10-16 / 20140309513 - Apparatus and Methods for Contactless Electrophysiology Studies | 5 |
Peter B. Hall | US | Minneapolis | 2011-02-10 / 20110034624 - INTER-CROSSLINKED POLYMERIC MICROPARTICLES | 1 |
John R.b. Hall | US | Burnsville | 2014-07-17 / 20140196420 - FINE FIBER MEDIA LAYER | 6 |
Stephen Hall | US | Wyoming | 2010-05-06 / 20100114214 - IMPLANTABLE CARDIOVERTER DEFIBRILLATOR CAPACITOR ASSEMBLY WITH FLEX CIRCUIT | 1 |
Douglas J. Hall | US | St. Paul | 2010-01-14 / 20100007954 - RETROREFLECTIVE ARTICLES COMPRISING OLEFINIC SEAL FILMS | 1 |
Gregory W. Hall | US | Los Gatos | 2014-10-09 / 20140303531 - SAFETY MECHANISMS FOR BELT CARTRIDGE USED WITH CHEST COMPRESSION DEVICES | 7 |
Robert J. Hall | GB | Surrey | 2011-02-03 / 20110029456 - System and Method for a Risk Management Framework for Hedging Mortality Risk in Portfolios Having Mortality-Based Exposure | 1 |
Louise Hall | US | Sunnyvale | 2015-12-31 / 20150379218 - COMPUTER-BASED OPERATING ROOM SUPPORT SYSTEM | 1 |
Duane O. Hall | US | Sandy | 2016-01-28 / 20160024832 - METHODS FOR SPEED CONTROL OF A MOVABLE PARTITION | 9 |
Robert J. Hall | US | Berkeley Heig | 2015-11-12 / 20150324852 - GEOGRAPHIC ADVERTISING USING A SCALABLE WIRELESS GEOCAST PROTOCOL | 9 |
Ian B. Hall | US | Kensington | 2012-05-24 / 20120126597 - LATCH ANCHOR INERTIAL LOCK AND PRETENSIONER | 2 |
David M. Hall | US | Muskegon | 2013-09-26 / 20130251533 - COMPRESSOR WHEEL | 1 |
Ian Hall | CA | Oakville | 2016-02-25 / 20160055641 - SYSTEM AND METHOD FOR SPACE FILLING REGIONS OF AN IMAGE | 1 |
Michael John Hall | GB | Newcastle Upon Tyne | 2013-02-28 / 20130052250 - COMPOUNDS AND METHODS FOR BIOFILM DISRUPTION AND PREVENTION | 1 |
Jacob Hall | US | Draper | 2013-12-19 / 20130334385 - Camming Device Stem | 8 |
Robert J. Hall | US | Nutley | 2009-11-26 / 20090288941 - PHOTOCATALYTIC AIR PURIFIER FOR A FAN COIL UNIT | 1 |
Robert J. Hall | US | Berkeley Heights | 2016-03-31 / 20160094891 - METHOD AND APPARATUS FOR DISTRIBUTING CONTENT LOCALLY | 20 |
Blair Christian Hall | AU | Seaford | 2013-04-04 / 20130085008 - SWING FEEDBACK DEVICE | 1 |
Benjamin Hall | US | Fort Wayne | 2010-08-19 / 20100207331 - BIODEGRADABLE TARGET DISC AND METHODS OF MAKING THE SAME | 1 |
Stephen Allen Hall | US | Plainfield | 2010-01-28 / 20100021257 - Method and Apparatus for Transferring Freight | 1 |
Charles A. Hall | US | Fort Wayne | 2015-06-04 / 20150155899 - WIDEBAND ACTIVE RADIO FREQUENCY INTERFERENCE CANCELLATION SYSTEM | 4 |
Maleata Hall | US | Warsaw | 2015-09-17 / 20150257890 - FEMORAL AUGMENTS FOR USE WITH KNEE JOINT PROSTHESIS | 3 |
Edward Allen Hall | US | Fort Wayne | 2011-05-05 / 20110103495 - COMMUNICATIONS LINK REDUNDANCY INCLUDING MULTIPLE INPUT, MULTIPLE OUTPUT ARCHITECTURE | 2 |
Estill Thone Hall | US | Fishers | 2011-04-28 / 20110096266 - SYSTEM AND METHOD FOR PROVIDING BACKLIGHTING IN LIQUID CRYSTAL DISPLAY (LCD) DEVICES | 1 |
Paul J. Hall | US | Lafayette | 2011-03-31 / 20110076305 - EXTRACELLULAR MATRIX MATERIALS AS VACCINE ADJUVANTS FOR DISEASES ASSOCIATED WITH INFECTIOUS PATHOGENS OR TOXINS | 4 |
Jeptha Roy Hall | US | Nappanee | 2009-10-29 / 20090271001 - BACnet Protocol MS/TP Automatic MAC Addressing | 1 |
Brett A. Hall | US | Kokomo | 2008-12-25 / 20080319697 - SYSTEM AND METHOD FOR DETERMINING AN AMOUNT OF PRESSURE APPLIED BY AN OCCUPANT ON A VEHICLE SEAT | 1 |
Douglas Hall | US | South Bend | 2008-11-20 / 20080285610 - MONOLITHICALLY-PUMPED ERBIUM-DOPED WAVEGUIDE AMPLIFIERS AND LASERS | 3 |
Brian M. Hall | US | Hull | 2016-05-19 / 20160140884 - MOUNTING BOARD WITH NET MOUNTING MEANS | 1 |
Jefferson Waring Hall | US | Chandler | 2012-10-25 / 20120267283 - Method of forming a tackle storage assembly and structure therefor | 1 |
Lee J. Hall | US | Woodlands | 2015-12-10 / 20150354337 - METHOD AND APPARATUS FOR GENERATING SEISMIC PULSES TO MAP SUBTERRANEAN FRACTURES | 3 |
Deborah Jean Hall | US | Hatfield | 2013-02-14 / 20130038008 - Apparatus to Facilitate the Commencement and Execution of Off-Loom Bead Weaving Stitches and Method(s) of Using Same | 1 |
Edward Hall | US | Toano | 2013-02-14 / 20130037685 - WINDOW CANDLE HOLDER | 1 |
Kenwood Hall | US | Hudson | 2015-07-30 / 20150213369 - SYSTEM AND METHODOLOGY PROVIDING AUTOMATION SECURITY ANALYSIS AND NETWORK INTRUSION PROTECTION IN AN INDUSTRIAL ENVIRONMENT | 16 |
Ken Hall | US | Manitowoc | 2014-05-01 / 20140117675 - REMOVABLE ENCLOSURE FOR A TOWABLE GENERATOR | 1 |
Anders Hall | SE | Malmo | 2014-10-16 / 20140309989 - METHOD AND SYSTEM FOR ANALYZING TEXT | 2 |
Lee J. Hall | US | Porter | 2014-04-24 / 20140110102 - PASSIVE DOWNHOLE CHEMICAL RELEASE PACKAGES | 3 |
Brenda Hall | GB | Surrey | 2016-03-31 / 20160089389 - CHEMOEMBOLISATION | 2 |
Lindsey Hall | US | Pleasant Valley | 2013-09-19 / 20130241070 - OVERLAPPING CONTACTS FOR SEMICONDUCTOR DEVICE | 2 |
Sacha C. Hall | US | Westborough | 2015-04-23 / 20150107766 - Sensor assembly tethered within catheter wall | 1 |
Kathryn T. Hall | US | Jamaica Plains | 2015-11-05 / 20150315651 - METHODS AND KITS FOR DETERMINING A PLACEBO PROFILE IN SUBJECTS FOR CLINICAL TRIALS AND FOR TREATMENT OF PATIENTS | 2 |
Christina Hall | DE | Unterhof | 2015-04-23 / 20150112752 - DETERMINING THE USER-SPECIFIC RELEVANCE OF APPLICATIONS | 1 |
John Wesley Hall | US | Harrisburg | 2016-05-12 / 20160134032 - CONNECTOR ASSEMBLY | 19 |
Brendan Hall | US | Eden Prairie | 2014-02-06 / 20140036735 - DISJOINT DATA PATH ROUTING FOR INTEGRITY AND AVAILABILITY AUGMENTATION | 23 |
Ronald Hall | US | Cedar Park | 2014-05-22 / 20140143521 - INSTRUCTION SWAP FOR PATCHING PROBLEMATIC INSTRUCTIONS IN A MICROPROCESSOR | 7 |
Ronald Hall | CA | Woodstock | 2015-04-02 / 20150090466 - VIBRATORY RIPPER HAVING PRESSURE SENSOR FOR SELECTIVELY CONTROLLING ACTIVATION OF VIBRATION MECHANISM | 7 |
Matthew S. Hall | US | Midlothian | 2012-03-22 / 20120071271 - PHASE TRANSITION GOLF BALL AND METHOD OF USE | 1 |
Brett Alan Hall | US | Troutman | 2015-11-05 / 20150316051 - PUMP/COMPRESSOR VALVE SEAT | 1 |
Charles W. Hall | US | New York | 2008-09-11 / 20080217207 - Carrier for beverage and/or food | 1 |
Arthur W. Hall | US | New York | 2008-09-18 / 20080228643 - System and method for payment of consumer purchases via vendor escrow accounts | 1 |
James Albert Hall | US | Tannersville | 2011-08-25 / 20110203781 - MULTIPLE-RING HEAT EXCHANGER | 1 |
Leif Hall | SE | Norsborg | 2015-03-05 / 20150059092 - SURGICAL TABLE | 3 |
John Hall | US | New York | 2014-01-30 / 20140030258 - THERAPEUTIC TREATMENTS BASED ON ADMINISTRATION OF SMALL RNA FRAGMENTS | 2 |
James Stroman Hall | US | Orlando | 2015-11-26 / 20150341110 - BEAMSPACE-FREQUENCY ADAPTIVE PROCESSING FOR SATELLITE COMMUNICATIONS | 2 |
Thomas M. Hall | US | New Windsor | 2008-11-27 / 20080294496 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR AUTOMATING SUPPLY CHAIN PLANNING PROCESSES | 1 |
John M. Hall | US | Stafford | 2015-09-10 / 20150256743 - Imager Device for Fusing an Image from Multiple Sources | 5 |
Göran Hall | SE | Molndal | 2012-12-06 / 20120307732 - Methods and Apparatus For Use in a Communications Network | 3 |
Shawn Hall | US | Pleasantville | 2009-01-01 / 20090006808 - ULTRASCALABLE PETAFLOP PARALLEL SUPERCOMPUTER | 1 |
Matthew Hall | US | Alfred | 2009-04-30 / 20090111677 - OPTICAL GLASS | 1 |
Michael L. Hall | US | Albany | 2009-07-23 / 20090187040 - FENOFIBRIC ACID POLYMORPHS; METHODS OF MAKING; AND METHODS OF USE THEREOF | 1 |
Kevin M. Hall | US | Rush | 2009-11-12 / 20090279143 - SECURING PRINTED OUTPUT | 1 |
Spencer T. Hall | US | Provo | 2014-12-18 / 20140372955 - VISUAL SELECTION OF AN ANATOMICAL ELEMENT FOR REQUESTING INFORMATION ABOUT A MEDICAL CONDITION | 1 |
Greg A. Hall | US | Forney | 2016-01-07 / 20160002919 - METHOD AND SYSTEM FOR IMPROVED CURTAIN WALL SEALING | 2 |
Steven G. Hall | US | Cincinnati | 2016-03-17 / 20160074040 - METHODS FOR FORMING TISSUE THICKNESS COMPENSATOR ARRANGEMENTS FOR SURGICAL STAPLERS | 69 |
Neale Hall | GB | Silsden | 2013-07-18 / 20130184932 - VIDEO VEHICLE ENTERTAINMENT DEVICE WITH DRIVER SAFETY MODE | 3 |
Shawn A. Hall | US | Pleasantville | 2015-10-08 / 20150289406 - HIGH-DENSITY, FAIL-IN-PLACE SWITCHES FOR COMPUTER AND DATA NETWORKS | 9 |
Thomas Hall | US | 2011-08-18 / 20110201911 - RECEIVERS FOR ANALYZING AND DISPLAYING SENSOR DATA | 1 | |
Kyle T. Hall | US | Harrisonburg | 2016-02-25 / 20160056650 - Mobile Device Charger Bracelet | 1 |
Jesse D. Hall | US | Santa Clara | 2011-06-30 / 20110157207 - SPARSE TEXTURE SYSTEMS AND METHODS | 2 |
David Robison Hall | US | Rochester | 2015-06-11 / 20150160882 - MIXED MODE HARD DRIVE WITH IDLE TIME & EXPANDABLE I-REGION / E-REGION | 12 |
Peter Hall | GB | Liverpool | 2016-03-24 / 20160082350 - VIDEOGAME SYSTEM AND METHOD THAT ENABLES CHARACTERS TO EARN VIRTUAL FANS BY COMPLETING SECONDARY OBJECTIVES | 2 |
Richard J. Hall | US | Durham | 2011-08-18 / 20110201059 - COMPOSITIONS AND METHODS FOR PRODUCING FERMENTABLE CARBOHYDRATES | 1 |
Christopher E. Hall | US | Sewickley | 2013-05-09 / 20130112695 - Insulating Shipping System | 1 |
Michael J. Hall | US | Camillus | 2014-10-23 / 20140311830 - Ultra Lightweight Segmented Ladder/Bridge System | 9 |
Michael Hall | US | Indianapolis | 2014-01-16 / 20140015307 - BICYCLE RIM WITH BRAKE TRACK | 1 |
John B. Hall | US | Charlotte | 2016-03-03 / 20160062848 - METHODS AND APPARATUS FOR DATA RECOVERY FOLLOWING A SERVICE INTERRUPTION AT A CENTRAL PROCESSING STATION | 10 |
Todd R. Hall | US | Longmont | 2013-08-29 / 20130220437 - AUTOMATIC IRRIGATION SYSTEM PURGING | 1 |
Lee Hall | US | Hockessin | 2010-09-30 / 20100248218 - Conjugates, and use thereof in detection methods | 1 |
Shawn A. Hall | US | Yorktown Heights | 2013-04-25 / 20130098598 - METHOD AND APPARATUS FOR SINGLE-LOOP TEMPERATURE CONTROL OF A COOLING METHOD | 3 |
Linda Hall | SE | Oxie | 2008-12-18 / 20080308564 - Package Container, Packaging Laminate and the Use of a Colour Print | 1 |
Frederick A. Hall | US | Riviera Beach | 2010-07-29 / 20100186235 - PORTABLE BATTERY OPERATED PIPE CUTTER | 2 |
Christopher Stephen Hall | US | Hopewell Junction | 2014-05-29 / 20140147027 - INTRA-OPERATIVE IMAGE CORRECTION FOR IMAGE-GUIDED INTERVENTIONS | 13 |
Ian Christopher Hall | US | Cedar Falls | 2015-12-31 / 20150379783 - EMBEDDED HOIST HUMAN-MACHINE INTERFACE | 1 |
David V. Hall | US | Cookeville | 2014-05-08 / 20140129026 - Multi-Zone Conveyor System Having LAN Based Control | 2 |
Steven Lee Hall | US | Porter | 2015-12-31 / 20150375932 - Temperature Controlled Container For Storing And Transporting Core Samples | 1 |
Christopher S. Hall | US | Hopewell Junction | 2011-06-02 / 20110130663 - SYMMETRIC AND PREFERIENTIALLY STEERED RANDOM ARRAYS FOR ULTRASOUND THERAPY | 7 |
Richard R. Hall | US | Baldwinsville | 2011-09-29 / 20110234464 - PIVOT RADAR | 3 |
Ashley Hall | US | Menlo Park | 2015-07-16 / 20150199435 - USER INTERFACE FOR NAVIGATING A KEYWORD SPACE | 19 |
Kenneth Hall | US | Levittown | 2009-02-12 / 20090038765 - Interchangeable two-part curtain hook | 1 |
Heidi Hall | US | Webster | 2009-04-09 / 20090093700 - APPARATUS AND METHOD FOR MULTI-MODAL IMAGING USING NANOPARTICLE MULTI-MODAL IMAGING PROBES | 2 |
James W. Hall | US | Ontario | 2009-05-14 / 20090121389 - MOLD DEVICE AND METHOD FOR FORMING A LOCK BORE | 2 |
Chad Hall | US | Walton | 2015-01-29 / 20150029639 - METHODS AND APPARATUS RELATED TO A PURGE VALVE FOR A CAPACITOR | 4 |
John Hall | US | Napa | 2011-08-18 / 20110198177 - ELECTRIC CORD REEL | 1 |
Shawn Anthony Hall | US | Pleasantville | 2016-02-25 / 20160051426 - Apparatus for Lifting a Chair | 6 |
Roger Hall | US | Marysville | 2011-08-18 / 20110197854 - FUEL CONTROL APPARATUS | 1 |
Christoper S. Hall | US | Hopewell Junction | 2010-07-22 / 20100185097 - ACOUSTIC OFFSET FOR TRANDUCER | 1 |
Ola Hall | SE | Stockholm | 2013-12-19 / 20130333380 - ARRANGEMENT FOR CONVERTING THERMAL ENERGY TO MECHANICAL ENERGY IN A VEHICLE | 7 |
Larry Hall | US | East Aurora | 2012-11-22 / 20120291251 - Electronic Device Used In A Health Care Setting | 2 |
Richard Andrew Hall | GB | Wellingborough | 2015-02-26 / 20150056350 - APPARATUS AND PROCESS FOR THE MANUFACTURE OF A COMPOSITE FROZEN PRODUCT | 1 |
Cindy Hall | US | Follansbee | 2010-10-14 / 20100257985 - APPARATUS FOR MEASURING AND METHODS OF USING APPARATUS FOR MEASURING | 1 |
John H. Hall | US | Rochester | 2010-09-16 / 20100232575 - TRAY FOR PORTABLE DIGITAL RADIOGRAPHY CASSETTE | 1 |
Christopher Stephen Hall | US | Hopewelljunction | 2010-10-14 / 20100259832 - ADJUSTABLE LENS SYSTEM FOR REAL-TIME APPLICATIONS | 1 |
David Duane Hall | US | New York | 2011-04-14 / 20110087649 - SYSTEMS AND METHODS FOR IDENTIFYING PREMIUM CONTENT ITEMS IN A RESULT SET | 1 |
Ryan Hall | US | Charlotte | 2015-04-16 / 20150101177 - DRILLING APPARATUS AND METHOD | 1 |
Laurie Smith Hall | US | Stafford | 2015-10-01 / 20150272408 - PORTABLE TOILET SEAT FOR CHILDREN | 1 |
Arnold M. Hall | US | Normandy Park | 2014-01-16 / 20140013613 - Garment Band | 1 |
John L. Hall | US | New York | 2009-08-27 / 20090215853 - Flavopereirine and alstonine combinations in the treatment and prevention of prostate cancer | 1 |
Lachlan Everett Hall | AU | Balmain | 2014-04-03 / 20140094601 - Sulfonated dye salts having improved stability | 17 |
Michael Hall | US | Springville | 2016-03-03 / 20160059506 - Individual Resistance Heating for High-Pressure High-Temperature Cell | 2 |
Raymond William Hall | US | Riverside | 2014-02-27 / 20140055680 - METHOD AND APPARATUS FOR AUTOMATIC COMPENSATION OF SIGNAL LOSSES FROM TRANSMISSION OVER CONDUCTORS | 5 |
Kevin Hall | US | Charlotte | 2011-07-07 / 20110162281 - ASTRAGAL ASSEMBLY FOR USE ON EXTERIOR DOORS | 1 |
David Bruce Hall | US | Ballston Lake | 2013-06-06 / 20130143992 - MIXTURES RESULTING FROM METHODS FOR PURIFICATION OF POLYETHER POLYMERS | 12 |
Eric Martin Hall | US | San Diego | 2015-09-17 / 20150262061 - CONTEXTUAL REAL-TIME FEEDBACK FOR NEUROMORPHIC MODEL DEVELOPMENT | 2 |
Douglas Warren Hall | US | Corning | 2011-01-27 / 20110017287 - SUBSTRATES FOR PHOTOVOLTAICS | 2 |
Dustin Michael Hall | US | Novi | 2012-10-18 / 20120261950 - VEHICLE ROOF SUPPORT ASSEMBLY | 2 |
John Howard Hall | US | Cedar Falls | 2015-05-21 / 20150139792 - Internal Gear Pump | 2 |
Stephan E. Hall | US | Birmingham | 2011-08-11 / 20110196799 - SYSTEM AND METHOD FOR SYNCHRONIZING OBJECTS BETWEEN DATA COLLECTIONS | 1 |
Kim Thomas Hall | GB | Dover | 2011-08-11 / 20110196011 - SUBSTITUTED ARYLPYRAZOLES | 1 |
Sherri Hall | US | Cary | 2014-04-03 / 20140093896 - Point-Of-Care, Medical Condition Screening Kit | 1 |
Nathan Hall | US | Apex | 2015-03-05 / 20150063891 - OVERLOADED TYPING APPARATUSES, AND RELATED DEVICES, SYSTEMS, AND METHODS | 2 |
Juergen Hall | DE | Friedenweiler | 2008-10-02 / 20080238445 - Measuring Device For Measuring the State of Oils or Fats | 1 |
Johnathan Hall | DE | Northamptonshire | 2010-03-18 / 20100064998 - ADJUSTABLE CAMSHAFT WITH A PLANETARY GEAR | 1 |
Juergen Hall | DE | Roetenbach | 2010-07-08 / 20100172391 - Method for Testing a Frying Oil Tester | 2 |
Jurgen Hall | DE | Rotenbach | 2011-02-10 / 20110030486 - DEVICE FOR GAUGING THE STATUS OF A MATERIAL ESPECIALLY OF OILS OR FATS | 2 |
Juergen Hall | DE | Rotenbach | 2010-02-25 / 20100045308 - METHOD FOR THE EARLY DETECTION OF DAMAGE TO A CAPACITIVE SENSOR, AND CAPACITIVE SENSOR FEATURING A DIAGNOSTIC FUNCTION | 1 |
Thomas Hall | DE | Wildberg | 2011-03-24 / 20110069734 - UNSTABLE OPTICAL RESONATOR DEVICE | 3 |
Michael Anthony Hall | US | Bellevue | 2016-04-28 / 20160119611 - TIME OF FLIGHT DEPTH CAMERA | 4 |
Stacy W. Hall | US | West Jordan | 2010-01-07 / 20100003823 - Method for Forming Trenches with Wide Upper Portion and Narrow Lower Portion | 1 |
Huntington Tracy Hall | US | Provo | 2012-01-05 / 20120001621 - Method of Determining Distance to a Ferrous Material | 1 |
David R. Hall | US | Proco | 2009-07-23 / 20090183919 - Downhole Percussive Tool with Alternating Pressure Differentials | 1 |
Bob Hall | US | Murray | 2009-06-25 / 20090165038 - Network media insertion system | 1 |
Neal Allen Hall | US | Austin | 2015-10-15 / 20150293243 - CLOSED LOOP CONTROL TECHNIQUES FOR DISPLACEMENT SENSORS WITH OPTICAL READOUT | 3 |
David Hall | US | Grand Junction | 2015-10-01 / 20150272321 - Extendable Storage Device | 2 |
Jenny L. Hall | US | Grand Junction | 2015-10-01 / 20150272321 - Extendable Storage Device | 2 |
Michael Andrew Hall | GB | York | 2014-08-21 / 20140236226 - TAILORED POLYMERS | 1 |
Quirin Hall | DE | Dusseldorf | 2013-01-24 / 20130020182 - MEDIUM-VOLTAGE SWITCHGEAR ASSEMBLY HAVING A SHORT-CIRCUITING UNIT | 1 |
Joshua Carl Hall | US | Houston | 2015-04-09 / 20150096952 - CABLE MANAGEMENT SYSTEM | 1 |
Jonathan Hall | CH | Dornach | 2009-07-30 / 20090192113 - Interfering RNA Duplex Having Blunt-Ends and 3`-Modifications | 1 |
Eric Darnell Hall | US | Garden Grove | 2014-09-18 / 20140274784 - AUTOMATED IMMUNOANALYZER SYSTEM FOR PERFORMING DIAGNOSTIC ASSAYS FOR ALLERGIES AND AUTOIMMUNE DISEASES | 1 |
Edward Hall | US | Sandy | 2010-05-13 / 20100119653 - NUTRITIONAL SUPPLEMENTS AND THEIR METHODS OF FORMULATION | 1 |
Gary S. Hall | US | St. Johns | 2013-04-04 / 20130085460 - METHOD AND APPARATUS FOR LIQUID DISPENSING | 3 |
Timothy Robert Hall | US | Greenbrae | 2009-12-24 / 20090315408 - Energy Efficient power saver | 1 |
Duane O. Hall | US | Sandy | 2016-01-28 / 20160024832 - METHODS FOR SPEED CONTROL OF A MOVABLE PARTITION | 9 |
Nathan D. Hall | US | Brigham City | 2010-03-25 / 20100072868 - CABINET DOOR SYSTEM | 1 |
Jacob Randy Hall | US | Draper | 2014-09-18 / 20140276258 - CRYOTHERAPY COMPRESSION SYSTEM | 4 |
Jacob Hall | US | Draper | 2013-12-19 / 20130334385 - Camming Device Stem | 8 |
Jeff Hall | US | Winnebago | 2015-04-30 / 20150114812 - SWITCH SYSTEM WITH HIGH TEMPERATURE OPERATING PLUNGER | 2 |
Josh Hall | US | Provo | 2008-11-20 / 20080288358 - Funds collection tools and techniques | 1 |
Eric Darnell Hall | US | Huntington Beach | 2015-06-25 / 20150177146 - DEVICE AND ASSOCIATED METHODS FOR PERFORMING LUMINESCENCE AND FLUORESCENCE MEASUREMENTS OF A SAMPLE | 3 |
Gavin John Hall | GB | Bracknell, Berkshire | 2015-10-29 / 20150305328 - METHODS OF SAFENING SUGAR CANE PLANTS WITH N-ACYLSULFAMOYLPHENYLUREAS | 2 |
Danielle Renee Hall | KR | Seoul | 2012-04-19 / 20120092425 - Solid Ink Loader with Pull-Out Drawer for Insertion Access | 1 |
Roger D. Hall | US | Sandy | 2011-07-07 / 20110163172 - METHOD OF INJECTING SOLUTION INTO A MISTING LINE | 1 |
Lianna M. Hall | US | Lowell | 2013-01-17 / 20130018273 - SYSTEMS AND METHODS FOR ANALYSIS OF FETAL HEART RATE DATA | 1 |
Derrard Hall | AU | Victoria | 2015-10-01 / 20150275027 - WATERBORNE ACRYLIC COATING COMPOSITIONS | 1 |
Naeemah Hall | US | Kalarnazoo | 2014-01-09 / 20140010768 - FLAVORING INGREDIENT IN ORAL COMPOSITIONS | 1 |
John W. Hall | US | North Salt Lake | 2015-10-15 / 20150290431 - Medical Component Insertion Device Including a Retractable Needle | 6 |
Patrick Haskell Hall | US | Charleston | 2013-05-23 / 20130128491 - Collapsible light modifier for portable flash | 1 |
Keith Hall | US | Brooklyn | 2012-12-13 / 20120316862 - AUGMENTING STATISTICAL MACHINE TRANSLATION WITH LINGUISTIC KNOWLEDGE | 1 |
Mark Hall | US | Springville | 2016-05-05 / 20160125357 - SYSTEM AND METHOD FOR LINKING AN EVENT TO VIDEO DOCUMENTING THE EVENT | 12 |
Stephen Addison Hall | GB | Tunbridge Wells | 2012-07-12 / 20120179064 - SURGICAL INSTRUMENT | 1 |
David Hall | AU | Toowoomba | 2015-10-01 / 20150275467 - EXCAVATOR BUCKET | 1 |
Kimbal Hall | US | Princeton | 2015-04-02 / 20150093295 - GAS DISTRIBUTORS FOR CIRCULATING FLUIDIZED BED REACTORS | 1 |
Jonathan Leslie Charles Hall | GB | Packington | 2012-07-26 / 20120186536 - Predation Deterrence | 1 |
Adrian Hall | GB | Hatfield | 2014-05-22 / 20140142091 - FUSED AMINODIHYDROTHIAZINE DERIVATIVES | 5 |
Martin J. Hall | US | Seattle | 2014-12-04 / 20140359519 - Determination of Intended Navigation Destination Based on User-Generated and Analysis-Based Information | 9 |
Angelia D. Hall | US | Powder Springs | 2012-07-26 / 20120186603 - ORTHODONTIA FLOSSER | 1 |
John W. Hall | US | Covington | 2014-06-12 / 20140157588 - HIGH RATE PULSING WING ASSEMBLY LINE | 1 |
Jason C. Hall | US | Seattle | 2014-12-04 / 20140358938 - FILE UPLOAD BASED ON HASH VALUE COMPARISON | 1 |
David George Hall | US | Encinitas | 2013-09-19 / 20130240618 - EXCHANGE OF DYNAMICALLY UPDATED DATA USING MATRIX BAR CODES | 1 |
Christopher Stephen Hall | US | Hopewell Junction | 2014-05-29 / 20140147027 - INTRA-OPERATIVE IMAGE CORRECTION FOR IMAGE-GUIDED INTERVENTIONS | 13 |
Shawn Hall | US | Armonk | 2015-04-02 / 20150092352 - Thermal Interface Solution With Reduced Adhesion Force | 1 |
Neale Hall | GB | Keighly | 2014-06-26 / 20140181866 - TELEVISION RECEIVER CLOUD SERVICE AUGMENTATION | 1 |
Roger D. Hall | US | Henderson | 2014-04-03 / 20140091157 - METHOD OF INJECTING SOLUTION INTO A MISTING LINE | 1 |
Barbara L. Hall | US | Palmer Lake | 2012-05-17 / 20120124204 - APPLICATION INFRASTRUCTURE PLATFORM (AIP) | 1 |
Mark John Hall | GB | Newport | 2015-10-08 / 20150287434 - METHOD OF CAPTURING AND STRUCTURING INFORMATION FROM A MEETING | 1 |
Michael Hall | US | Provo | 2015-10-22 / 20150298706 - DETERMINING HAUL WEIGHT | 8 |
Gregory T. Hall | US | Winston-Salem | 2015-11-19 / 20150330007 - Process for Preparing Sorptive Substrates, and Integrated Processing System | 3 |
Edward Robert Hall | GB | Bristol | 2016-05-05 / 20160127439 - INTERFACING MULTIMEDIA PUBLIC WARNING SYSTEM ALERTS | 3 |
Susan L. Hall | US | San Bernardino | 2014-09-11 / 20140256917 - COMPOSITIONS AND METHODS FOR OSTEOGENIC GENE THERAPY | 3 |
John William Hall | US | North Salt Lake | 2015-12-10 / 20150352826 - ROTATIONAL SPUN MATERIAL COVERED MEDICAL APPLIANCES AND METHODS OF MANUFACTURE | 16 |
David E. Hall | US | Madison | 2013-05-16 / 20130118000 - MODULAR VEHICLE AND ASSOCIATED METHOD OF CONSTRUCTION | 1 |
Christopher Hall | US | Portland | 2015-09-17 / 20150264136 - HARDWARE ASSISTED MEDIA PLAYBACK AND CAPTURE SYNCHRONIZATION | 2 |
Christopher Hall | US | Knoxville | 2015-04-02 / 20150095255 - CONTAINER VERIFICATION THROUGH AN ELECTRICAL RECEPTACLE AND PLUG ASSOCIATED WITH A CONTAINER AND A TRANSPORT VEHICLE OF AN INTERMODAL FREIGHT TRANSPORT SYSTEM | 1 |
Richard Hall | US | Athens | 2014-04-03 / 20140090232 - Hoisting Systems and Methods | 1 |
Gina Mae Hall | US | San Antonio | 2012-03-29 / 20120078937 - MEDIA CONTENT RECOMMENDATIONS BASED ON PREFERENCES FOR DIFFERENT TYPES OF MEDIA CONTENT | 1 |
Lloyd Thomas Hall | US | Doswell | 2011-07-07 / 20110165237 - Protein Hydrolysate Excipients | 1 |
Benjamin D. Hall | US | Glastonbury | 2014-12-04 / 20140358500 - Probalistic High Cycle Fatigue (HCF) Design Optimization Process | 2 |
Blake Hall | US | Washington | 2016-03-17 / 20160080381 - SYSTEMS AND METHODS FOR ONLINE THIRD-PARTY AUTHENTICATION OF CREDENTIALS | 2 |
Kenneth C. Hall | US | Lafayett Hill | 2015-12-24 / 20150370028 - SWITCH RACK SYSTEM | 1 |
Heidi Hall | US | Robertsdale | 2015-03-05 / 20150060376 - BIRTHDAY CARD DISPLAY | 1 |
Mark Hall | GB | Cardiff | 2014-04-10 / 20140101088 - Collaborative Decision Making | 1 |
Carrie Michele Hall | US | Lafayette | 2013-01-24 / 20130024094 - METHODS FOR CONTROLLING COMBUSTION OF BLENDED BIOFUELS | 1 |
Thomas A. Hall | US | Oceanside | 2015-10-08 / 20150284778 - Methods For Rapid Identification Of Pathogens In Humans And Animals | 48 |
Willard A. Hall | US | Dryden | 2012-04-05 / 20120081004 - Light emitting diode system | 1 |
John E. Hall | US | Atlanta | 2015-12-24 / 20150371348 - TOTAL RELATIVE VALUE ANALYSIS PLATFORM | 1 |
Michelene Hall | US | Alfred | 2014-08-28 / 20140243193 - REJUVENABLE CERAMIC EXHIBITING INTRAGRANULAR POROSITY | 2 |
Stuart Hall | GB | Cheshire | 2015-05-28 / 20150144406 - Composite Metallic Elastomeric Sealing Components for Roller Cone Drill Bits | 2 |
Matthew S. Hall | US | Auburn | 2015-03-26 / 20150083289 - Wallet With Rolling O-Ring Hinge | 1 |
J. Christopher Hall | CA | Guelph | 2015-04-23 / 20150110812 - Production of HER Receptor Antibodies in Plant | 6 |
Nicole Hall | US | Lithonia | 2013-11-28 / 20130318415 - Test System Having a Sub-System to Sub-System Bridge | 1 |
Cynthia Hall | US | Norfolk | 2014-09-25 / 20140283390 - Device for Maintaining Dreadlocks | 1 |
Kenwood H. Hall | US | Hudson | 2016-01-28 / 20160026165 - User Configurable Terminals For An Input Module Of An Industrial Controller | 62 |
Matthew Scott Hall | US | Midlothian | 2014-07-03 / 20140182664 - ARTICLES COMPRISING HIGH MELT FLOW IONOMERIC COMPOSITIONS | 3 |
Ryan S. Hall | US | Keizer | 2015-08-27 / 20150243019 - ALIGNMENT SYSTEM ARCHITECTURE | 2 |
Iain Stuart Hall | US | Midlothian | 2015-03-26 / 20150082983 - APPARATUS AND METHODS FOR LARGE PARTICLE ASH SEPARATION FROM FLUE GAS USING SCREENS HAVING SEMI-ELLIPTICAL CYLINDER SURFACES | 2 |
Richard M. Hall | US | Orlando | 2014-12-04 / 20140358474 - MECHANISMS FOR DERIVING AN ACCURATE TIMING SIGNAL FROM A NOISY WAVEFORM | 1 |
Eric M. Hall | US | Fremont | 2012-07-26 / 20120187371 - White Light Devices Using Non-polar or Semipolar Gallium Containing Materials and Phosphors | 1 |
John Hall | US | Broomfield | 2015-03-05 / 20150062593 - POLARIZATION BASED INTERFEROMETRIC DETECTOR | 2 |
Lain Stuart Hall | US | Midlothian | 2015-03-19 / 20150075378 - APPARATUS AND METHODS FOR LARGE PARTICLE ASH SEPARATION FROM FLUE GAS USING SCREENS HAVING SEMI-ELLIPTICAL CYLINDER SURFACES | 1 |
Josh Hall | US | Salt Lake City | 2014-07-31 / 20140214638 - Funds Collection Tools and Techniques | 1 |
Merrill C. Hall | US | Culpeper | 2015-04-30 / 20150114740 - DRIVE TRAIN AND SYSTEMS FOR A THREE-WHEELED VEHICLE | 4 |
Robert Hall | US | Roanoke | 2014-01-30 / 20140028966 - Electronic Eyeglasses and Methods of Manufacturing | 1 |
Alec Hall | US | Littleton | 2013-12-26 / 20130346287 - RISK ANALYSIS OF MONEY TRANSFER TRANSACTIONS | 1 |
Goran Hall | SE | Molndal | 2015-11-12 / 20150327065 - System for Handling Access by Wireless Devices in Wi-Fi Network | 9 |
Andrew E. Hall | CA | Vancouver | 2015-10-01 / 20150279218 - AIRCRAFT FUEL OPTIMIZATION ANALYTICS | 1 |
Craig Hall | US | Allen | 2008-10-09 / 20080248640 - Method for reducing polysilicon gate defects in semiconductor devices | 1 |
Bradley David Hall | US | Ft. Worth | 2008-10-09 / 20080245450 - Explosive Compositions Containing Glycerin | 1 |
Roger Graham Hall | CH | Stein | 2016-02-25 / 20160050924 - PESTICIDALLY ACTIVE SUBSTITUTED PYRIDYL CARBOXAMIDES | 14 |
Stanley Dean Hall | US | Colleyville | 2009-05-07 / 20090118570 - Apparatus and method for minimally invasive implantation of heart assist device | 1 |
Lee J. Hall | US | Irving | 2013-11-14 / 20130302900 - CRYSTALLIZED DIACETYLENIC INDICATOR COMPOUNDS AND METHODS OF PREPARING THE COMPOUNDS | 3 |
Ian G. Hall | US | San Francisco | 2010-06-10 / 20100146613 - SYSTEM AND METHOD FOR PROVIDING SILENT SIGN ON ACROSS DISTRIBUTED APPLICATIONS | 1 |
Steven Hall | US | Woodland Hills | 2012-06-21 / 20120159342 - ASSIGNMENT OF A LOCAL FUNCTION TO A REMOTE USER CONTROL IN A MEDIA PRODUCTION SYSTEM | 2 |
Baron Hall | US | Friendswood | 2009-05-21 / 20090127398 - Modular spacecraft | 1 |
Phillip Bryan Hall | US | Jonesborough | 2016-05-19 / 20160137877 - THERMOSETTING COMPOSITIONS BASED ON PHENOLIC RESINS AND CURABLE POLEYESTER RESINS MADE WITH DIKETENE OR BETA-KETOACETATE CONTAINING COMPOUNDS | 8 |
Jonathan W. Hall | GB | Reading | 2016-03-24 / 20160085856 - GENERATION OF SUPPORT DATA RECORDS USING NATURAL LANGUAGE PROCESSING | 1 |
Terry J. Hall | GB | Derby | 2012-11-15 / 20120285175 - STEAM INJECTED GAS TURBINE ENGINE | 1 |
Brooks Hall | US | Audubon | 2014-09-11 / 20140258174 - Method and System for Stock Valuation and Positional Risk Analysis of Company Stock | 1 |
Donna Hall | US | Verbena | 2013-01-24 / 20130023688 - PHOSPHORUS-CONTAINING COMPOUNDS WITH POLYMERIC CHAINS, AND METHODS OF MAKING AND USING THE SAME | 3 |
John C. Hall | US | Hoover | 2012-08-09 / 20120199426 - Brake Drum with Vent Hole and Cooling Scoop | 2 |
Jacob A. Hall | US | Forth Worth | 2009-07-09 / 20090175727 - Dimensional restoration of stationary shroud segments | 1 |
Jeffrey A. Hall | US | Clanton | 2013-01-10 / 20130013021 - RECORDABLE MACROS FOR PACEMAKER FOLLOW-UP | 3 |
William J. Hall | US | Prattville | 2010-08-05 / 20100192873 - Burner Flashback Detection and System Shutdown Apparatus | 2 |
J. Chandler Hall | US | Huntsville | 2009-11-19 / 20090288158 - INTELLIGENT FIREWALL | 1 |
John C. Hall | US | West Hoover | 2008-09-04 / 20080210504 - Composite Brake Drum with Cgi Cast Liner and a Method for Manufacturing | 1 |
Derek Scott Hall | US | Dubuque | 2012-08-30 / 20120216877 - ELECTRO-HYDRAULIC SENSOR FAIL SAFE | 2 |
Dennis R. Hall | US | Muscatine | 2009-12-10 / 20090307031 - SYSTEM AND METHOD FOR DATA COLLECTION, REPORTING, AND ANALYSIS OF FLEET VEHICLE INFORMATION | 1 |
Troy Hall | US | Des Moines | 2009-12-17 / 20090308957 - APPARATUS FOR DISCOURAGING FUEL FROM ENTERING THE HEAT SHIELD AIR CAVITY OF A FUEL INJECTOR | 1 |
Keith A. Hall | US | Bettendorf | 2013-12-12 / 20130328464 - METHOD OF ATTACHING A CABINET ASSEMBLY TO A HOT TUB | 3 |
Jerry Bob Hall | US | Johnston | 2010-07-29 / 20100186606 - Cotton Harvester for Producing Modules which can be Automatically Identified and Oriented | 4 |
Troy Hall | US | Prole | 2013-12-26 / 20130341430 - ACTIVE PURGE MECHANISM WITH BACKLOW PREVENTER FOR GAS TURBINE FUEL INJECTORS | 2 |
Howard L. Hall | US | Knoxville | 2016-01-28 / 20160024617 - METHODS FOR GAS-PHASE THERMOCHROMATOGRAPHIC SEPARATIONS OF FISSION AND ACTIVATION PRODUCTS | 2 |
James Stephen Hall | US | Houston | / - | 1 |
Edward Robert Hall | CA | Montreal | 2013-01-03 / 20130000016 - Helmet Retention System | 2 |
William Thomas Hall | US | Hockessin | 2010-02-04 / 20100024682 - BLACK INKJET INK | 1 |
William Thomas Hall | US | Kallua | 2009-11-26 / 20090288262 - Compact Portable Toothbrush | 1 |
Ronald P. Hall | US | Cedar Park | 2016-03-24 / 20160085550 - IMMEDIATE BRANCH RECODE THAT HANDLES ALIASING | 12 |
Kenneth R. Hall | US | College Station | 2012-08-02 / 20120193103 - Method and apparatus for recovering methane from hydrate near the sea floor | 4 |
Christopher Hall | US | New Hudson | 2014-02-27 / 20140053798 - BOLTED JOINT INNER THREAD COATING AND METHODS OF MANUFACTURING | 2 |
Peter Hall | GB | Surrey | 2015-03-19 / 20150077601 - METHODS FOR TRIGGERING FOR MULTI-CAMERA SYSTEM | 1 |
Christopher Hall | US | Hopewell Junction | 2010-09-02 / 20100221190 - METHOD FOR PRODUCING A PARTICLE COMPRISING A GAS CORE AND A SHELL AND PARTICLES THUS OBTAINED | 7 |
Christopher Hall | US | Indianapolis | 2010-08-19 / 20100209252 - DISK FOR TURBINE ENGINE | 1 |
Christopher Hall | US | Ann Arbor | 2012-11-08 / 20120284156 - Method And System For Financing Acquisition of Vehicles | 2 |
Christopher Hall | US | Dululth | 2010-02-25 / 20100043725 - CANINE PROTECTIVE SUIT AND METHOD OF USE THEREOF | 1 |
Ralph Hall | US | King Of Prussia | 2011-02-24 / 20110046109 - 2,4,8-TRISUBSTITUTED-8H-PYRIDO[2,3-D]PYRIMIDIN-7-ONE COMPOUNDS AND COMPOSITIONS FOR USE IN THERAPY | 3 |
Larry Kent Hall | US | Easton | 2012-01-19 / 20120015986 - SALTS OF DEHYDROACETIC ACID AS A PYRITHIONE STABILIZER IN PLASTICS | 7 |
Larry K. Hall | US | Easton | 2015-11-05 / 20150315711 - METHOD OF INHIBITING CORROSION USING A COMPOSITION OF QUATERNARY AMMONIUM SALTS CONTAINING NON-HALOGEN ANIONS | 4 |
Edward Joseph Hall | US | Fairview | 2013-05-02 / 20130104526 - SYSTEM AND METHOD FOR REDUCING MONO-NITROGEN OXIDE EMISSIONS | 3 |
Harry Thomas Hall | US | Chester Spring | 2011-02-03 / 20110029082 - NESTED EXPANDABLE SLEEVE IMPLANT | 1 |
Richard Melvin Hall | US | Butler | 2008-10-02 / 20080237108 - Acid mine water demineralizer | 1 |
Philip R. Hall | US | Ottsville | 2016-05-05 / 20160124469 - DIGITAL BUSINESS CARD | 3 |
Roger E. Hall | US | Elizabethtown | 2008-12-11 / 20080305897 - Double First Base System | 1 |
Ralph F. Hall | US | Villanova | 2009-02-19 / 20090048442 - Novel compounds | 1 |
Derrick U. Hall | US | Graterford | 2009-04-30 / 20090109016 - WIRELESS SMOKE AND FIRE DETECTION SYSTEM AND METHOD | 1 |
Matthew Scott Hall | US | Landenberg | 2009-05-14 / 20090123613 - HIGH MODULUS IONOMERS FOR PACKAGING | 3 |
Ralph F. Hall | US | King Of Prussia | 2009-09-24 / 20090239897 - NOVEL COMPOUNDS | 1 |
Kenneth Cameron Hall | US | Lafayette | 2009-10-29 / 20090266607 - CABLE MANAGEMENT SYSTEM | 1 |
Robert Hall | US | Pittsburgh | 2010-08-26 / 20100217648 - METHOD AND SYSTEM FOR QUANTIFYING USER INTERACTIONS WITH WEB ADVERTISEMENTS | 1 |
Matt Hall | US | West Chester | 2014-06-12 / 20140157817 - METHOD AND APPARATUS FOR RAPIDLY COOLING A GEM, INCLUDING TWO STAGE COOLING | 4 |
Randy K. Hall | US | Boulder | 2013-04-18 / 20130097376 - METHODS AND APPARATUS FOR IMPROVED RAID PARITY COMPUTATION IN A STORAGE CONTROLLER | 4 |
Ashley Hall | US | Escondido | 2016-03-10 / 20160066843 - SYSTEMS AND METHODS FOR LEVERAGING SMARTPHONE FEATURES IN CONTINUOUS GLUCOSE MONITORING | 5 |
John Hall | US | Boulder | 2013-08-22 / 20130214685 - LIGHT EMITTING DIODE REPLACEMENT LAMP | 2 |
Andrew Hall | US | San Francisco | 2015-03-05 / 20150066175 - AUDIO PROCESSING IN MULTIPLE LATENCY DOMAINS | 1 |
Bobby E. Hall | US | Thomton | 2010-11-11 / 20100285999 - Treatment fluids for reduction of water blocks, Oil blocks, and/or gas condensates and associated methods | 1 |
Cheri L. Hall | US | Littleton | 2010-09-23 / 20100235964 - RIGHT-AND-LEFT-SEAM OPENING MEDICAL-PATIENT SHORTS AND METHODS OF USE | 1 |
Bradley Hall | US | San Francisco | 2016-05-19 / 20160140238 - Normalization of Time Stamps for Event Data | 5 |
Isaac Hall | US | San Francisco | 2016-05-12 / 20160132526 - SYNCHRONIZED FILE MANAGEMENT ACROSS MULTIPLE DISPARATE ENDPOINTS | 1 |
Weldon Hall | US | San Francisco | 2016-01-28 / 20160022187 - ON-BODY MICROSENSOR FOR BIOMONITORING | 3 |
Shane Hall | US | San Francisco | 2016-05-05 / 20160127455 - SHARING AN APPLICATION CONFIGURATION AMONG SOCIAL NETWORKS | 7 |
Jesse Hall | US | Santa Clara | 2013-06-27 / 20130162661 - SYSTEM AND METHOD FOR LONG RUNNING COMPUTE USING BUFFERS AS TIMESLICES | 1 |
John K. Hall | US | Boulder | 2010-09-09 / 20100226898 - COMPOSITIONS AND METHODS FOR ENGRAFTMENT AND INCREASING SURVIVAL OF ADULT MUSCLE STEM CELLS | 1 |
Wallace E. Hall | US | Centennial | 2009-08-06 / 20090195955 - Buoyant grounding terminal electrode apparatus for lightning protection | 1 |
Lisa Marie Hall | US | Pine | 2008-12-04 / 20080296851 - Hands-free towing apparatus for strollers, pet carriers and other carriages | 1 |
Catherine N. Hall | US | Castlerock | 2011-02-24 / 20110041253 - Individual Crib Rail Bumper | 1 |
Ralph Michael Hall | US | Houston | 2012-04-05 / 20120084218 - System and a Method for Managing and Tracking the Overnight Accommodation of Traveling Personnel Away from Home Base | 1 |
Jonathan E. Hall | US | Golden | 2010-10-14 / 20100260024 - DISK-DRIVE SYSTEMS THAT MOVES DATA TO SPARE DRIVES FROM DRIVES ABOUT TO FAIL AND METHOD | 1 |
Danielle Renee Hall | US | Wilsonville | 2011-07-21 / 20110176150 - METHOD AND APPARATUS FOR CONVERTING LARGER-SIZED DOCUMENTS TO SMALLER-SIZED DOCUMENTS IN AN IMAGE PRODUCTION DEVICE | 1 |
Rosa Hall | US | Houston | 2012-03-22 / 20120071317 - TOLUENE DISPROPORTIONATION USING Nb/MORDENITE CATALYST | 4 |
Randy Kent Hall | US | Parker | 2010-09-23 / 20100241088 - HAZARDOUS DRUG HANDLING SYSTEM, APPARATUS AND METHOD | 2 |
Randy Kay Hall | US | Boulder | 2009-11-12 / 20090282171 - Generating an identifier for a SATA disk | 1 |
Raymond F. Hall | US | Westlake Village | 2015-10-08 / 20150282647 - Pillow for Side Sleeping | 1 |
Matthew Charles Everard Hall | US | Littleton | 2009-10-08 / 20090250929 - MODULAR ROTARY CONNECTION SYSTEM WITH A SEPARATE LOCKING PIECE | 2 |
Garrett L. Hall | US | Tucson | 2016-01-28 / 20160025468 - LOW-COLLATERAL DAMAGE DIRECTED FRAGMENTATION MUNITION | 4 |
William Y. Hall | US | Dallas | 2016-05-12 / 20160132283 - Modular Multi-Panel Display System using Integrated Data and Power Cables | 7 |
William Y. Hall | US | Frisco | 2015-08-27 / 20150243196 - Preassembled Display Systems and Methods of Installation Thereof | 9 |
Gregory K. Hall | US | Humble | 2016-03-31 / 20160090452 - Process of Making Crosslinked Polyolefin Polymer Blends and Compositions Prepared Thereof | 4 |
Gregory W. Hall | US | San Jose | 2014-07-03 / 20140188016 - Compression Belt System for Use with Chest Compression Devices | 1 |
Matthew James Hall | AU | Balmain | 2014-06-26 / 20140174881 - Parking Meter | 1 |
Robert Joseph Hall | US | Berkeley Heights | 2012-04-19 / 20120094770 - GEOGAME FOR MOBILE DEVICE | 1 |
Bruce Hall | US | Katy | 2010-05-27 / 20100126216 - METHOD OF BULK TRANSPORT AND STORAGE OF GAS IN A LIQUID MEDIUM | 2 |
Ben Hall | US | Fairfield | 2016-05-05 / 20160127455 - SHARING AN APPLICATION CONFIGURATION AMONG SOCIAL NETWORKS | 8 |
Kylene Jo Hall | US | Austin | 2008-09-18 / 20080229423 - PROBABILISTIC MECHANISM TO DETERMINE LEVEL OF SECURITY FOR A SOFTWARE PACKAGE | 1 |
Clifford L. Hall | US | Austin | 2008-09-25 / 20080235200 - System and Method for Identifying Content | 1 |
Lindsey Hall | US | Plano | 2008-09-25 / 20080230815 - Mitigation of gate to contact capacitance in CMOS flow | 1 |
Craig Hall | US | Lucas | 2008-11-20 / 20080283935 - TRENCH ISOLATION STRUCTURE AND METHOD OF MANUFACTURE THEREFOR | 1 |
Richard Lee Hall | US | Austin | 2009-02-26 / 20090049845 - MEDICAL TRAVEL PACK WITH COOLING SYSTEM | 1 |
Barry Arthur Hall | US | Bowie | 2009-05-14 / 20090122144 - Method for detecting events at a secured location | 3 |
Craig Lawrence Hall | US | Allen | 2009-06-25 / 20090159937 - Simple Scatterometry Structure for Si Recess Etch Control | 1 |
James Norman Hall | US | Parker | 2009-09-17 / 20090231932 - Semiconductor Device and Method Comprising a High Voltage Reset Driver and an Isolated Memory Array | 2 |
Grant David Hall | AU | Mont Albert North | 2011-07-14 / 20110168362 - COOLING SYSTEM WITH MICROCHANNEL HEAT EXCHANGER | 2 |
Lindsey H. Hall | US | Plano | 2015-02-12 / 20150044869 - METHOD TO IMPROVE SEMICONDUCTOR SURFACES AND POLISHING | 3 |
Cullen Evan Hall | US | Savage | 2016-02-25 / 20160053696 - SYSTEM AND METHOD FOR PREVENTING UNAUTHORIZED MODIFICATION TO ENGINE CONTROL SOFTWARE OR AN ENGINE CONTROL SYSTEM | 3 |
Jeffrey Alan Hall | US | Fort Wayne | 2015-03-05 / 20150061441 - ELECTRIC MACHINE AND ASSOCIATED METHOD | 1 |
Ashley Woodman Hall | US | Menlo Park | 2016-03-31 / 20160092511 - INTERACTIVE CONSTRUCTION OF QUERIES | 13 |
Craig Hall | US | Katy | 2009-11-05 / 20090272186 - ENHANCED PROCESS FOR PREPARING CORE SAMPLE THIN SECTIONS | 1 |
Bruce Hall | US | Bellaire | 2012-07-19 / 20120180502 - METHODS FOR STORAGE AND TRANSPORTATION OF NATURAL GAS IN LIQUID SOLVENTS | 2 |
Eric S. Hall | US | Plymouth | 2013-04-11 / 20130087073 - STABILIZED LEVULINIC ESTER KETALS | 1 |
Mark D. Hall | US | Austin | 2016-04-21 / 20160109506 - SEMICONDUCTOR DEVICE WITH UPSET EVENT DETECTION AND METHOD OF MAKING | 42 |
James N. Hall | US | Parker | 2015-03-12 / 20150070749 - MICROMIRROR APPARATUS AND METHODS | 6 |
David C. Hall | US | Murphy | 2010-04-29 / 20100104975 - Use of Blended Solvents in Defectivity Prevention | 2 |
Larry M. Hall | US | Kingwood | 2011-10-20 / 20110253452 - CORING APPARATUS AND METHODS | 3 |
L. D. Hall | US | Liberty | 2010-09-23 / 20100236787 - Well Release System and Method | 1 |
Andrew Jeffrey Hall | US | Round Rock | 2015-08-06 / 20150222972 - Method and Apparatus for Transporting Deterministic Traffic in a Gigabit Passive Optical Network | 3 |
Josef Hall | US | Cedar Park | 2010-12-02 / 20100304862 - COLLECTABLE CARD-BASED GAME IN A MASSIVELY MULTIPLAYER ROLE-PLAYING GAME THAT PRESENTS REAL-TIME STATE INFORMATION | 4 |
John A. Hall | US | Kingwood | 2010-12-16 / 20100318371 - COMPREHENSIVE HAZARD EVALUATION SYSTEM AND METHOD FOR CHEMICALS AND PRODUCTS | 1 |
David R. Hall | US | Rochester | 2015-09-10 / 20150254674 - RETURN MERCHANDISE AUTHORIZATION FOR DIGITAL STORAGE DEVICES | 2 |
Gregory Keith Hall | US | Humble | 2010-12-16 / 20100316808 - Polyolefin Compositions for Coating Applications | 1 |
A. Daniel Hall | US | Friendswood | 2010-05-13 / 20100121591 - METHOD AND APPARATUS THAT DETECTS STATE OF CHARGE (SOC) OF A BATTERY | 1 |
Joe Hall | US | Bloomington | 2013-05-16 / 20130121131 - SYSTEMS AND METHOD FOR ORTHOGONAL FREQUENCY DIVISIONAL MULTIPLEXING | 16 |
Jacob A. Hall | US | Fort Worth | 2013-06-06 / 20130142629 - Preforms and Related Methods for Repairing Abradable Seals of Gas Turbine Engines | 2 |
Andrew Jeffery Hall | US | Round Rock | 2016-03-10 / 20160073321 - SYSTEMS, METHODS, AND ARTICLES OF MANUFACTURE TO DETERMINE CONNECTIVITY | 2 |
Lee Jeremy Hall | US | The Woodlands | 2014-10-02 / 20140290958 - Use of Nanoparticles in Cleaning Well Bores | 2 |
Thomas A. Hall | US | Lexington | 2014-07-03 / 20140188002 - INFUSION AND BLOOD COLLECTION DEVICE AND METHOD | 1 |
Wilton Hall | US | Ringgold | 2016-02-04 / 20160032510 - METHOD AND APPARATUS FOR FORMING VARIABLE CUT AND/OR LOOP PILE TUFTS OVER LEVEL CUT LOOP TUFTS | 15 |
Eric E. Halla | US | Peoria | 2015-11-05 / 20150316366 - SYSTEM FOR DETERMINING DIAMETER OF A SEAL | 2 |
Mark F. Halla | US | Glen Ellyn | 2010-10-07 / 20100254556 - Receiver Assemblies | 1 |
Brian L. Halla | US | Saratoga | 2013-05-23 / 20130129123 - Plurality of Mobile Communication Devices for Performing Locally Collaborative Operations | 2 |
Jorma Halla | FI | Valkeakoski | 2012-05-03 / 20120104132 - Refiner | 3 |
Tero Halla-Aho | FI | Oulu | 2010-12-23 / 20100322264 - METHOD AND APPARATUS FOR MESSAGE ROUTING TO SERVICES | 2 |
Bassem Hallac | US | Jerusalem | 2014-06-19 / 20140171379 - LIGNIN COMPOSITIONS, METHODS OF PRODUCING THE COMPOSITIONS, METHODS OF USING LIGNIN COMPOSITIONS, AND PRODUCTS PRODUCED THEREBY | 1 |
Peter Hallac | US | Milwaukee | 2016-03-24 / 20160087272 - Lithium Secondary Batteries with Positive Electrode Compositions and Their Methods of Manufacturing | 2 |
Peter B. Hallac | US | Franklin | 2013-12-19 / 20130337342 - Electrolyte Formulation for High Voltage and Wide Temperature Lithium-Ion Cells | 1 |
Abdulkadir Hallac | US | Plano | 2010-08-12 / 20100200573 - WIDE WAVEGUIDE APPLICATOR | 1 |
Peter B. Hallac | US | Milwaukee | 2013-05-09 / 20130115515 - CATHODE ACTIVE MATERIAL FOR OVERCHARGE PROTECTION IN SECONDARY LITHIUM BATTERIES | 1 |
Boutros Hallac | US | Milwaukee | 2013-10-03 / 20130260209 - POLYSULFONE COATING FOR HIGH VOLTAGE LITHIUM-ION CELLS | 1 |
Peter Hallac | US | Fremont | 2010-05-06 / 20100112443 - Lithium Secondary Batteries with Positive Electrode Compositions and Their Methods of Manufacturing | 1 |
Bassem Hallac | IL | Jerusalem | 2016-05-12 / 20160130408 - METHODS FOR TREATING LIGNOCELLULOSIC MATERIALS | 5 |
Boutros Hallac | US | Franklin | 2015-08-06 / 20150221977 - ELECTROLYTES FOR LOW IMPEDANCE, WIDE OPERATING TEMPERATURE RANGE LITHIUM-ION BATTERY MODULE | 3 |
Abdulkadir Hallac | US | Morrisville | 2010-07-01 / 20100163555 - Microwave T-Junction Applicator | 1 |
Craig A. Hallacher | US | Holly Springs | 2014-10-02 / 20140294499 - CARTRIDGE DRIVE SHAFT GEAR | 2 |
Markus Hallack | DE | Schermbeck | 2016-04-21 / 20160108280 - CROSSLINKING OF IPMS ADDUCTS WITH AMINOSILANES | 6 |
Bassam Hallack | AU | Newport | 2012-07-12 / 20120177307 - Resealable Laminate for Heat Sealed Packaging | 1 |
Michael Hallack | US | Carlsbad | 2010-10-28 / 20100273571 - GOLF CLUB HEAD | 6 |
Jason D. Hallack | US | Allendale | 2015-08-13 / 20150224928 - SPRING SECURED MOUNTING SYSTEM | 1 |
James Halladay | US | Erie | 2009-05-21 / 20090130469 - POWDER ADHESIVES FOR BONDING ELASTOMERS | 1 |
Jayson Halladay | US | Brooklyn | 2012-09-20 / 20120239569 - METHOD AND SYSTEM FOR IMPULSE SAVINGS | 3 |
James R. Halladay | US | Erie | 2016-01-28 / 20160025172 - FABRIC-REINFORCED BEARINGS AND METHODS | 6 |
Henry E. Halladay | US | Bellevue | 2009-11-26 / 20090289851 - REFERENCE BEACON METHODS AND APPARATUS FOR TDOA/FDOA GEOLOCATION | 1 |
Robert B. Halladay | US | Red Bluff | 2011-12-29 / 20110316211 - Work Table | 1 |
Ryan Hallahan | US | Concord | 2015-10-01 / 20150282025 - FACILITATING INTELLIGENT RADIO ACCESS CONTROL | 2 |
Dennis F. Hallahan | US | Old Lyme | 2011-12-01 / 20110293371 - Leaching chamber having pillars | 4 |
Dennis E. Hallahan | US | St. Louis | 2014-12-18 / 20140369929 - LIGANDS TO RADIATION-INDUCED MOLECULES | 6 |
David L. Hallahan | US | Wilmington | 2015-09-10 / 20150252286 - METHOD FOR ISOLATION OF CATMINT OIL | 6 |
Dennis E. Hallahan | US | Nashville | 2012-04-12 / 20120089017 - LIGANDS TO RADIATION-INDUCED MOLECULES | 6 |
Ryan Hallahan | US | Walnut Creek | 2014-06-12 / 20140162645 - Network-Assisted Device-Based Intelligent Radio Access Control | 1 |
Beverly Hallahan | US | Wilmington | 2013-07-18 / 20130180173 - PLANT ARTIFICIAL SEEDS HAVING MULTILAYERS AND METHODS FOR THE PRODUCTION THEREOF | 2 |
Stephen C. Hallaian | US | Long Beach | 2012-01-26 / 20120021811 - SEQUENCE MEMORY GAMES | 2 |
Ibrahim M. Hallaj | US | Waltham | 2014-11-27 / 20140348962 - Method for Providing an Ingestible Nutritional Supplement to a Woman | 4 |
Ibrahim M. Hallaj | US | Newton | 2011-11-24 / 20110288818 - Method and Apparatus for Dimensional Measurement | 2 |
Khosrow Hallaji | US | Elon | 2013-01-17 / 20130015604 - Process of Producing PCR Pellets | 1 |
Jaime Eduardo Cecilio Hallak | BR | Ribeirao Preto, Sp | 2015-12-03 / 20150344403 - FLUORINATED CBD COMPOUNDS, COMPOSITIONS AND USES THEREOF | 1 |
Renen Hallak | IL | Beit-Yehoshua | 2012-05-17 / 20120124282 - SCALABLE BLOCK DATA STORAGE USING CONTENT ADDRESSING | 1 |
Jalal Hallak | AT | Wein | 2010-08-12 / 20100202176 - Method for operating an electronically controlled inverter | 1 |
Hussein Hallak | IL | East Jerusalem | 2015-02-05 / 20150037263 - TREATMENT OF MULTIPLE SCLEROSIS WITH COMBINATION OF LAQUINIMOD AND FINGOLIMOD | 3 |
Rennen Hallak | IL | Omer | 2008-10-23 / 20080263130 - APPARATUS, SYSTEM AND METHOD OF DIGITAL CONTENT DISTRIBUTION | 1 |
Bassam Hallak | AU | Newport | 2013-03-07 / 20130056470 - Tamper Evident Container | 4 |
John Claude Hallak | US | Basking Ridge | 2015-03-19 / 20150081340 - ITEMIZATION SYSTEM WITH AUTOMATED PHOTOGRAPHY | 1 |
Bassam Hallak | AU | Victoria | 2015-08-27 / 20150239619 - Resealable Laminate for Heat Sealed Packaging | 1 |
Assaf Joseph Hallak | IL | Tel Aviv | 2015-09-17 / 20150262205 - System Identification Framework | 1 |
Hussein Hallak | IL | Jerusalem | 2014-03-06 / 20140065126 - BChE ALBUMIN FUSIONS FOR THE TREATMENT OF COCAINE ABUSE | 4 |
Louay K Hallak | US | Columbus | 2013-01-10 / 20130011879 - UNRESTRICTED MUTAGENESIS AND CLONING METHOD | 2 |
Renen Hallak | IL | Tel-Aviv | 2013-11-14 / 20130305002 - SNAPSHOT MECHANISM | 4 |
Assaf Hallak | IL | Tel Aviv | 2015-05-14 / 20150134443 - TESTING A MARKETING STRATEGY OFFLINE USING AN APPROXIMATE SIMULATOR | 1 |
Mordechi Hallak | IL | Ra'Anana | 2010-07-01 / 20100168648 - System and method for transfetal (amnion-chorion) membranes transport | 1 |
Jalal Hallak | AT | Wien | 2013-11-21 / 20130307508 - Method for Regulating a Buck/Boost Converter | 11 |
Sophie Hallakou-Bozec | FR | Montrouge | 2013-05-23 / 20130131066 - TREATMENT OF TYPE 1 DIABETES | 11 |
Bassam Hallal | IT | Bologna | 2014-09-04 / 20140247503 - LIQUID LENS IMAGE CAPTURE DEVICE | 2 |
Bassam Hallal | CH | Thalwil | 2016-01-28 / 20160025855 - PROXIMITY SENSOR MODULE INCLUDING TIME-OF-FLIGHT SENSOR | 1 |
Sanjeev Hallale | IN | Pune | 2011-08-11 / 20110192129 - HEIGHT OF CUT ADJUSTER ASSEMBLY FOR REEL MOWER CUTTING UNIT WITH REMOVABLE ATTACHMENT | 2 |
James Alexander Hallam | GB | London | 2012-09-06 / 20120223093 - Compressed Tissue Carton with Tear Strip | 2 |
Peter A. Hallam | US | Seattle | 2011-10-06 / 20110246973 - COMPILER SUPPORTING PROGRAMS AS DATA OBJECTS | 6 |
Evan A. Hallam | US | Evansville | 2015-10-29 / 20150312494 - Systems For Processing Event Timing Images | 1 |
Robert L. Hallam | US | Elk Grove Village | 2010-05-06 / 20100110461 - Systems and Methods for Proof/Press Substrate Difference Adjustments | 1 |
James Hallam | AU | Bunbury | 2011-11-24 / 20110284549 - Bin Liner Dispenser | 1 |
Jonathan Mark Hallam | CA | Vancouver | 2014-11-13 / 20140336960 - Method and System for Forecasting Power Requirements Using Granular Metrics | 1 |
John Hallam | DK | Odense Sv | 2012-05-03 / 20120109645 - DSP-BASED DEVICE FOR AUDITORY SEGREGATION OF MULTIPLE SOUND INPUTS | 2 |
Chris Hallam | GB | Yorkshire | 2011-06-30 / 20110155797 - IMPROVEMENTS IN AND RELATING TO BOXES | 1 |
Chris Hallam | GB | Bradford | 2014-04-10 / 20140097236 - BOXES | 4 |
David Luke Hallam | US | Newark | 2016-03-10 / 20160072960 - DISASTER RELIEF EVENT CALL FLAGGING | 4 |
James M. Hallam | US | Oswego | 2014-05-01 / 20140118164 - Method and Apparatus for Collecting Data from Automatic Meter Reading for Smart Power Grid | 1 |
Brett Hallam | GB | Whitley | 2014-03-20 / 20140080673 - VEHICLE AND METHOD OF CONTROLLING A VEHICLE | 1 |
Matthew Hallam | GB | Leicestershire | 2009-01-22 / 20090023746 - COMPOUNDS AND THEIR USES 707 | 1 |
Robert T. Hallam | US | Rancho Santa Fe | 2009-07-23 / 20090185953 - WATER TREATMENT SYSTEM | 1 |
Henry Morgan Hallam | US | Santa Cruz | 2012-06-07 / 20120139783 - Position Determining System Incorporating One or More Global Navigation Satellite System (GNSS) Antennas | 2 |
John W. Hallam | GB | Beverley | 2015-12-31 / 20150376096 - METHOD FOR PRODUCING BUTANOL USING EXTRACTIVE FERMENTATION | 1 |
Chris Hallam | GB | Liverpool | 2011-08-18 / 20110200768 - CORRUGATE BOARD | 1 |
John W. Hallam | US | Bear | 2015-07-30 / 20150211026 - PROCESSES AND SYSTEMS FOR THE PRODUCTION OF FERMENTATION PRODUCTS | 5 |
Benny Hallam | GB | Truro Cornwall | 2015-01-29 / 20150030869 - PIGMENT COMPOSITIONS | 1 |
John W. Hallam | US | Wilmington | 2015-09-24 / 20150267225 - PROCESSES AND SYSTEMS FOR THE PRODUCTION OF FERMENTATION PRODUCTS | 2 |
Thomas M. Hallam | US | Astoria | 2012-08-02 / 20120196898 - PHOSPHODIESTERASE 4 INHIBITORS FOR COGNITIVE AND MOTOR REHABILITATION | 2 |
Brett Jason Hallam | AU | Bexley | 2015-05-14 / 20150132881 - ADVANCED HYDROGENATION OF SILICON SOLAR CELLS | 3 |
Thomas Hallam | US | New York City | 2015-10-01 / 20150272914 - Compositions for the Treatment of Autodigestion | 1 |
Cory Hallam | US | San Antonio | 2014-08-21 / 20140230574 - IMPACT-RESISTANT SURFACE-MOUNTED ROOF SENSORS | 2 |
Christopher Richard Hallam | GB | Derby | 2015-05-21 / 20150136935 - GAS TURBINE ENGINE STAND | 2 |
Brett Hallam | GB | Moreton In Marsh | 2016-02-11 / 20160039284 - VEHICLE AND METHOD OF CONTROLLING A VEHICLE | 3 |
James Michael Hallaman | US | Sugar Land | 2014-05-22 / 20140142858 - LOW-POWER SATELLITE-TIMED SEISMIC DATA ACQUISITION SYSTEM | 2 |
Phillip Martin Hallam-Baker | US | Medford | 2015-10-22 / 20150304259 - CONTROL AND MANAGEMENT OF ELECTRONIC MESSAGING | 8 |
Phillip Martin Hallam-Baker | US | Medford | 2015-10-22 / 20150304259 - CONTROL AND MANAGEMENT OF ELECTRONIC MESSAGING | 8 |
Elizabeth A. Hallan | US | East Lansing | 2015-12-03 / 20150342376 - Bed Covering Systems With Multiple Warmth Characteristics | 1 |
Michael Dean Hallan | US | Walla Walla | 2013-09-19 / 20130239458 - Counter Rotating Fishing Lure | 1 |
Vipin Hallan | IN | Palampur | 2009-06-04 / 20090142747 - Development of Diagnostic Kit for the Detection of Chrysanthemum Virus B | 1 |
Nis Halland | DE | Frankfurt Am Main | 2016-01-28 / 20160024097 - N-(4-(AZAINDAZOL-6-YL)-PHENYL)-SULFONAMIDES AND THEIR USE AS PHARMACEUTICALS | 4 |
Nis Halland | DE | Frankfurt | 2009-08-13 / 20090203912 - Regioselective palladium catalyzed synthesis of benzimidazoles and azabenzimidazoles | 1 |
Nis Halland | DE | Bad Soden | 2010-10-14 / 20100261910 - Process for the palladium-catalyzed coupling of terminal alkynes with aryl tosylates | 6 |
Bruce R. Halland | US | West Fargo | 2008-09-11 / 20080219817 - Particle Spreader System | 1 |
Ronald Halland | US | Circle Pines | 2009-03-05 / 20090059714 - GRAVITY FLOW PROCESSOR FOR PARTICULATE MATERIALS | 1 |
Nis Halland | DK | Bad Soden | 2010-07-01 / 20100168429 - Transition metal catalyzed synthesis of N-aminoindoles | 1 |
Robin Halland | US | West Fargo | 2011-01-06 / 20110003542 - Roof Ventilation System | 1 |
Jørgen Hallandbæk | DK | Graested | 2014-11-13 / 20140332232 - ANNULAR BARRIER WITH AN EXPANSION DETECTION DEVICE | 1 |
Per Hallander | SE | Linkoping | 2015-10-15 / 20150292074 - A POROUS COATING APPLIED ONTO AN AERIAL ARTICLE | 15 |
Per Hallander | SE | Linkoeping | 2014-09-25 / 20140284431 - AIRCRAFT STRUCTURE WITH STRUCTURAL NON-FIBER REINFORCING BONDING RESIN LAYER | 2 |
Sara Hallander | SE | Alvsjo | 2015-02-05 / 20150038821 - MEDICAL IMPLANTABLE LEAD WITH FIXATION DETECTION | 4 |
Per Hallander | SE | Linkoping | 2015-10-15 / 20150292074 - A POROUS COATING APPLIED ONTO AN AERIAL ARTICLE | 15 |
Fredrik Hallander | SE | Alvsjo | 2015-12-17 / 20150359288 - PROTECTION DEVICE FOR HELMET | 4 |
Sara Hallander | SE | Stockholm | 2008-12-11 / 20080306578 - Attachment of Tubing in a Cardiac Lead | 1 |
Antti Olli Hallapuro | FI | Tampere | 2012-10-04 / 20120250763 - METHOD AND APPARATUS FOR PROVIDING COMPLEXITY BALANCED ENTROPY CODING | 9 |
Antti Hallapuro | FI | Tampere | 2012-07-26 / 20120189057 - Motion Prediction in Video Coding | 3 |
Antti Olli Hallapuro | FI | Tampere | 2012-10-04 / 20120250763 - METHOD AND APPARATUS FOR PROVIDING COMPLEXITY BALANCED ENTROPY CODING | 9 |
Oystein Hallaraker | NO | Oslo | 2009-04-23 / 20090106207 - Method for restricting access to search results and a search engine supporting the method | 1 |
John Christopher Hallard | US | Houston | 2013-03-28 / 20130080770 - System and Apparatus for Facilitating Transactions Between Two or More Parties | 5 |
Amador Hallare | US | Newark | 2014-09-18 / 20140263561 - SYSTEM FOR CONTROLLING A TISSUE-STAPLING OPERATION | 1 |
Hassan Ibrahim Hallas | US | Mclean | 2014-05-29 / 20140146899 - METHOD AND APPARATUS FOR DATA COMMUNICATIONS OVER POWER LINES | 2 |
James F. Hallas | US | Allen | 2010-04-22 / 20100097699 - SCREEN FILM FOR LIGHT PROJECTION BRIGHTNESS ENHANCEMENT | 1 |
Justin Matthew Hallas | US | San Diego | 2011-09-22 / 20110226332 - SYSTEM AND METHOD FOR SOLAR ENERGY CAPTURE AND RELATED METHOD OF MANUFACTURING | 1 |
Maria E. Hallas | US | Potomac | 2011-09-01 / 20110213549 - LOCATION BASED VIRTUAL TOUR | 1 |
Justin Hallas | US | San Diego | 2014-10-30 / 20140318596 - DEVICES, SYSTEMS AND METHODS FOR ELECTROMAGNETIC ENERGY COLLECTION | 1 |
Greg Hallas | US | Suwanee | 2014-10-30 / 20140318723 - METHOD FOR USING WASHER PRESS WITH MULTIPLE NIPS AND MULTIPLE DISPLACEMENT WASH ZONES | 2 |
James Hallas | US | Plano | 2010-06-10 / 20100141902 - SHORT THROW PROJECTION LENS WITH A DOME | 1 |
Patrick Hallas | DE | Ludwigsburg | 2014-03-06 / 20140060472 - STARTING DEVICE FOR AN INTERNAL COMBUSTION ENGINE | 2 |
Justin R. Hallbach | US | Clarence | 2014-09-11 / 20140250987 - DIRECT LINE SAMPLING AND DILUTION SYSTEM | 1 |
Bjorn Hallback | FI | Jungsund | 2008-08-21 / 20080196407 - Method and an Arrangement in Connection with a Turbocharged Piston Engine | 1 |
Magnus Hallbäck | SE | Danderyd | 2012-12-13 / 20120312302 - BREATHING APPARATUS WITH MONITORED DELIVERY DEVICE | 1 |
Jonas Hallbäck | SE | Goteborg | 2010-05-13 / 20100118136 - SURFACE INSPECTION DEVICE AND AN ARRANGEMENT FOR INSPECTING A SURFACE | 1 |
Jonas Hallbäck | SE | Goteborg | 2010-05-13 / 20100118136 - SURFACE INSPECTION DEVICE AND AN ARRANGEMENT FOR INSPECTING A SURFACE | 1 |
Mark Hallbauer | DE | Dresden | 2010-11-11 / 20100283798 - PRESENTATION SYSTEM | 3 |
Alina Hallbauer | DE | Wolfsburg | 2014-10-09 / 20140300177 - RIM, VEHICLE WHEEL, AND VEHICLE WITH SUCH A RIM | 1 |
Holm Hallbauer | DE | Dresden | 2010-11-11 / 20100283798 - PRESENTATION SYSTEM | 3 |
Karsten Hallbauer | DE | Staig | 2011-11-03 / 20110266782 - VEHICLE OCCUPANT RESTRAINT SYSTEM COMPRISING AN INFLATABLE GAS BAG | 1 |
Charles Hallberg | US | Naples | 2008-09-25 / 20080235050 - Tricare payment process | 1 |
Tomas Hallberg | SE | Linkoping | 2010-01-21 / 20100012904 - Low-Emissive Paint | 1 |
Anders Rudolf Hallberg | SE | Stockholm | 2009-12-31 / 20090326026 - Tricyclic Compounds Useful as Angiotensin II Agonists | 1 |
Rolf O. Hallberg | SE | Tyreso | 2015-08-20 / 20150232361 - METHOD AND APPARATUS FOR DECREASING THE CONTENT OF IMPURITIES IN RAW WATER | 1 |
Josef Hallberg | SE | Lulea | 2011-09-01 / 20110213785 - Social Data Ranking and Processing | 1 |
Lars Jesper Hallberg | SE | Stockholm | 2008-09-11 / 20080221210 - Thyroid Receptor Agonists | 1 |
Christer Hallberg | CA | Vancouver | 2012-11-15 / 20120289685 - CONTINUOUS COUNTER-CURRENT ORGANOSOLV PROCESSING OF LIGNOCELLULOSIC FEEDSTOCKS | 7 |
Anders Hallberg | SE | Huddinge | 2008-10-09 / 20080249102 - Hiv Protease Inhibitors | 1 |
Per-Olov Hallberg | SE | Munkfors | 2015-05-28 / 20150143971 - Bread-Knife Blade and a Method for Its Manufacture | 1 |
Alan D. Hallberg | US | North Plains | 2014-06-26 / 20140181546 - METHOD AND APPARATUS FOR POWER RESOURCE PROTECTION | 1 |
Joakim Hallberg | SE | Goteborg | 2015-03-19 / 20150078957 - BEARING STEEL | 1 |
Tor-Bjorn Hallberg | SE | Solna | 2008-10-16 / 20080252453 - Monitoring Device, Carrier Device and Method Involving Monitoring Device and a Carrier Device | 1 |
Robert Tommy Hallberg | SE | Lund | 2016-05-12 / 20160131769 - SEMICONDUCTOR SCINTILLATION DETECTOR | 1 |
Helene Hallberg | SE | Sodertalje | 2012-11-15 / 20120289224 - Method and a Radio Base Station for Handling of Data Traffic | 1 |
Anders Hallberg | SE | Vreta Kloster | 2015-04-16 / 20150103759 - Method for Increasing the Address Space for Mobile Terminals in a Wireless Network | 1 |
Jonas Tesch Hallberg | DK | Copenhagen N | 2012-07-26 / 20120192105 - DYNAMIC LEVEL OF DETAIL | 3 |
Michael Hallberg | SE | Trollhattan | 2012-12-20 / 20120320364 - DEVICE AND A METHOD FOR DETERMINING A DISTANCE TO A SURFACE OF A WORKPIECE AND AN ARRANGEMENT AND A METHOD FOR EFFECTING A WORKPIECE | 1 |
Lars Hallberg | DE | Frankfurt Am | 2012-04-26 / 20120099953 - SHUTTLE FOR AUTOMATED WAREHOUSE | 1 |
Jonah Tesch Hallberg | DK | Copenhagen N | 2010-12-02 / 20100306696 - DYNAMIC NETWORK BROWSER | 1 |
Joakim Hallberg | SE | Vastra Frolunda | 2014-07-10 / 20140192674 - Method and Node For Measuring Processing Power in a Node in a Communications Network | 2 |
Christopher Hallberg | US | Wauwatosa | 2011-05-19 / 20110114647 - Thermal Beverage Container with Secure Account Identifier | 1 |
Eric Hallberg | US | Annapolis | 2010-12-30 / 20100327115 - AIRCRAFT POD STORE SEPARATION CHARACTERISTICS | 1 |
John Hallberg | US | Andover | 2010-07-08 / 20100171382 - MAGNETIC TRANSMISSION DEVICE | 1 |
Donald L. Hallberg | US | Davis | 2010-07-01 / 20100169078 - Style-checking method and apparatus for business writing | 2 |
Eric Hallberg | US | Santiago | 2010-03-11 / 20100063571 - PRE-CRIMP BALLOON INFLATION | 1 |
Bryan Severt Hallberg | US | Vancouver | 2016-05-05 / 20160120479 - Respiration Monitoring Method and Device with Context-Aware Event Classification | 16 |
Alan Hallberg | US | North Plains | 2016-03-31 / 20160092393 - SERIAL BUS ELECTRICAL TERMINATION CONTROL | 1 |
Bryan Severt Hallberg | US | Vancouver | 2016-05-05 / 20160120479 - Respiration Monitoring Method and Device with Context-Aware Event Classification | 16 |
Thomas A. Hallberg | US | Goshen | 2016-04-14 / 20160101694 - Vehicle Pedal Assembly with Plastic Pedal Shaft Structure | 1 |
Steven Hallberg | US | Elgin | 2014-09-11 / 20140256868 - HIGH BLOCK, TACK AND SCRUB RESISTANT POLYMER | 1 |
Curt Hallberg | SE | Trelleborg | 2014-03-13 / 20140069510 - VORTEX GENERATOR | 3 |
Anders Hallberg | SE | Uppsala | 2009-08-27 / 20090215847 - Tricyclic Angiotensin II Agonists | 4 |
Paul Hallbjörner | SE | Goteborg | 2009-12-03 / 20090298421 - MULTIBEAM REFECT ARRAY | 1 |
Paul Hallbjörner | SE | Molndal | 2010-12-30 / 20100329222 - MIMO BASED WIRELESS TELECOMMUNICATIONS METHOD AND SYSTEM | 1 |
Paul Hallbjörner | SE | Goteborg | 2009-12-03 / 20090298421 - MULTIBEAM REFECT ARRAY | 2 |
Paul Hallbjörner | SE | Molndal | 2010-12-30 / 20100329222 - MIMO BASED WIRELESS TELECOMMUNICATIONS METHOD AND SYSTEM | 1 |
Mattias Hallbrink | SE | Stockholm | 2014-05-22 / 20140140929 - CHEMICALLY MODIFIED CELL-PENETRATING PEPTIDES FOR IMPROVED DELIVERY OF GENE MODULATING COMPOUNDS | 3 |
Michael B. Hall (deceased) | US | Holland | 2012-02-02 / 20120025973 - REAL-TIME METHOD AND SYSTEM FOR LOCATING A MOBILE OBJECT OR PERSON IN A TRACKING ENVIRONMENT | 1 |
Michael Paul Hallden-Abberton | US | Maple Glen | 2012-09-27 / 20120240953 - HAIR STYLING COMPOSITION | 2 |
Peter Halldin | SE | Huddinge | 2010-05-13 / 20100115686 - APPARATUS AT A PROTECTIVE HELMET | 1 |
Anders Halldin | US | 2012-10-18 / 20120264083 - FIXTURE AND A FIXTURE SET AND A METHOD | 1 | |
Anders Halldin | SE | Molndal | 2016-04-28 / 20160113740 - SET OF DENTAL COMPONENTS | 7 |
Anders Halldin | SE | Uddevalla | 2009-11-26 / 20090291412 - Dental implant, abutment structure and method for implanting a dental implant | 1 |
Peter Halldin | SE | Enskede | 2016-04-28 / 20160113347 - HELMET WITH SLIDING FACILITATOR ARRANGED AT ENERGY ABSORBING LAYER | 4 |
Christer Halldin | JP | Chiba | 2009-09-17 / 20090234162 - Radioactive Halogen-Labeled Phenyloxyaniline Derivatives | 1 |
Christer Halldin | SE | Stockholm | 2015-11-05 / 20150313884 - USE OF ALPHA 7 NICOTINIC ACETYLCHOLINE RECEPTOR AGONISTS | 7 |
Mattias Halldin | SE | Solna | 2015-02-26 / 20150057063 - MOBILE GAMING SYSTEM AND METHOD FOR TOUCH SCREEN GAME OPERATION | 1 |
Peter Halldin | SE | Stockholm | 2016-03-17 / 20160073723 - CONNECTING ARRANGEMENT AND HELMET COMPRISING SUCH A CONNECTING ARRANGEMENT | 1 |
Olafur Freyr Halldorsson | IS | Reykjavik | 2016-03-10 / 20160067063 - VACUUM ASSISTED SUSPENSION SYSTEM | 12 |
Olafur Freyr Halldorsson | IS | Mosfellsbaer | 2013-12-12 / 20130331952 - SUSPENSION LINER HAVING MULTIPLE COMPONENT SYSTEM | 2 |
Olafur Halldorsson | IS | Reykjavik | 2012-04-19 / 20120095571 - SUSPENSION LINER SYSTEM WITH DISTENSIBLE SEAL | 1 |
Gisli Hreinn Halldorsson | IS | Reykjavik | 2012-04-19 / 20120093389 - TEMPORAL OXIMETER | 1 |
Jon Mar Halldorsson | IS | Kopavogur | 2012-02-16 / 20120038221 - ELECTRONIC CORRECTION UNIT | 1 |
Olafur Freyr Halldorsson | IS | Reykjavik | 2016-03-10 / 20160067063 - VACUUM ASSISTED SUSPENSION SYSTEM | 12 |
Olafur Halldorsson | IS | Mosfellsbaer | 2013-02-07 / 20130035770 - LINER FOR PROSTHETIC AND ORTHOPEDIC SYSTEMS | 2 |
Thorsteinn Halldorsson | DE | Poing | 2009-12-17 / 20090310118 - Method And Lidar System For Measuring Air Turbulences On Board Aircraft And For Airports And Wind Farms | 1 |
Johann Halldorsson | IS | Gardabaer | 2013-03-07 / 20130060650 - METHOD AND A COMPUTER SYSTEM TO FACILIATE A PURCHASE OF AN ITEM ON A NETWORK-BASED MARKETPLACE | 1 |
Brett Reed Halle | US | Pleasanton | 2016-05-12 / 20160132182 - Digital Cursor Display Linked to a Smart Pen | 12 |
John Halle | US | 2011-11-03 / 20110266862 - CLIP AND A WEIGHT FOR A WHEEL BALANCE ASSEMBLY AND A METHOD OF MANUFACTURE OF A WHEEL BALANCE ASSEMBLY | 1 | |
John Halle | US | Ladera Ranch | 2014-01-23 / 20140021646 - Window Trim Apparatus and Methods | 1 |
Mathilde Halle | DE | Konstanz | 2014-03-27 / 20140087025 - THICKENED DAIRY OR DAIRY-LIKE PRODUCTS AND METHODS FOR PRODUCING SAME | 2 |
Brett Reed Halle | US | Pleasanton | 2016-05-12 / 20160132182 - Digital Cursor Display Linked to a Smart Pen | 12 |
John William Charles Halle | GB | Shrewsbury | 2011-08-25 / 20110204704 - METHOD OF MAKING A WHEEL BALANCE ASSEMBLY | 1 |
Annett Halle | DE | Berlin | 2010-06-17 / 20100150938 - METHODS AND COMPOSITIONS FOR REDUCING INFLAMMATION AND TREATING INFLAMMATORY DISORDERS | 1 |
Richard W. Halle | US | Houston | 2013-10-10 / 20130266786 - Polymers, Polymer Blends, and Articles Made Therefrom | 1 |
Maxime Halle | CA | Laval | 2013-08-22 / 20130216614 - IMMUNOGENIC COMPOSITIONS AND METHODS FOR TREATING NUEROLOGIC DISORDERS | 1 |
John Halle | GB | Powys | 2010-05-13 / 20100117442 - WHEEL BALANCE CLIP | 1 |
John P Halle | US | Ladera Ranch | 2011-03-03 / 20110047911 - WINDOW TRIM APPARATUS AND METHODS FOR MAKING AND USING SAME | 1 |
Olaf Halle | DE | Köln | 2009-06-25 / 20090158896 - Monodisperse, Macroporous Chelating Resins in Metal Winning | 3 |
David Halle | FR | Toulon | 2012-07-05 / 20120173482 - METHOD FOR ENRICHMENT AN ELECTRONIC PHONE BOOK PROVOKED BY A CHANGE IN THE ASSOCIATED TERMINAL, AND ASSOCIATED DEVICE | 2 |
Jean-Luc Halle | FR | Le Mesnil St. Denis | 2011-05-19 / 20110118902 - METHOD AND COMMUNICATION SYSTEM FOR SAFE ROUTE CONTROL | 1 |
Scott D. Halle | US | Hopewell Junction | 2013-01-17 / 20130017486 - PROCESS OF MAKING A LITHOGRAPHIC STRUCTURE USING ANTIREFLECTIVE MATERIALS | 10 |
David Halle | IL | Efrat | 2014-07-10 / 20140193817 - METHODS AND KITS USEFUL FOR DETECTING AN ALTERATION IN A LOCUS COPY NUMBER | 3 |
Scott David Halle | US | Hopewell Junction | 2009-08-27 / 20090214981 - PHOTORESISTS AND METHODS FOR OPTICAL PROXIMITY CORRECTION | 1 |
Michel Halle | FR | Les Clayes Sous Bois | 2008-09-11 / 20080222228 - BANK OF CASCADABLE DIGITAL FILTERS, AND RECEPTION CIRCUIT INCLUDING SUCH A BANK OF CASCADED FILTERS | 1 |
Scott D. Halle | US | Slingerlands | 2015-02-19 / 20150050755 - METROLOGY MARKS FOR BIDIRECTIONAL GRATING SUPERPOSITION PATTERNING PROCESSES | 5 |
Olaf Halle | DE | Köln | 2009-06-25 / 20090158896 - Monodisperse, Macroporous Chelating Resins in Metal Winning | 1 |
Christine Halle | DE | Ebersbach Fils | 2008-10-30 / 20080264388 - Method for operating an internal combustion engine | 1 |
Nathaniel R. Halle | US | Minneapolis | 2008-11-13 / 20080277433 - MODULAR PERSONAL HYDRATION AND STORAGE SYSTEM | 1 |
Michael D. Halleck | US | Brighton | 2015-10-08 / 20150283013 - AUTOLEVELING LOW PROFILE PATIENT SUPPORT APPARATUS | 2 |
Michael Halleck | US | Sunnyvale | 2008-10-02 / 20080240057 - Accessing hardware initialization data of WLAN module using die identifier | 1 |
Robert Halleck | US | Lake Hopatcong | 2009-02-12 / 20090043341 - DYNAMIC EXTENSION PLATE FOR ANTERIOR CERVICAL FUSION AND METHOD OF INSTALLATION | 1 |
Teresa A. Halleck | US | Sacramento | 2010-02-18 / 20100042491 - INCENTIVE BASED SYSTEM AND METHODS FOR AUTO FINANCING | 1 |
Brad Halleck | US | Salem | 2010-05-13 / 20100116207 - REACTION CHAMBER | 1 |
Michael D. Halleck | US | Frederick | 2014-11-27 / 20140350426 - SYSTEM AND METHOD FOR ANTICIPATING THE ONSET OF AN OBSTRUCTIVE SLEEP APNEA EVENT | 3 |
Bradley Leonard Halleck | US | Phoenix | 2015-09-24 / 20150267299 - GAS DISTRIBUTION SYSTEM, REACTOR INCLUDING THE SYSTEM, AND METHODS OF USING THE SAME | 1 |
Nathaniel R. Hallee | US | Minneapolis | 2012-04-12 / 20120089018 - FLUID CONNECTION ASSEMBLY WITH LOCKING MECHANISM | 3 |
Nathaniel Hallee | US | Minneapolis | 2015-11-05 / 20150314932 - COMBINATION CAP AND WORK SUPPORT SYSTEM | 3 |
Nathanial R. Hallee | US | Minneapolis | 2009-06-11 / 20090145893 - BUCKY WARMER WITH HOLDER | 1 |
Jussi Halleen | FI | Turku | 2011-05-05 / 20110105349 - USE OF A MONOPHOSPHATE ESTER OF A PHTHALEIN COMPOUND AS A SUBSTRATE FOR TARTRATE-RESISTANT ACID PHOSPHATASE B5 (TRAP 5B) | 1 |
Michael Hallek | DE | Beilstein | 2014-09-04 / 20140247161 - DRIVER ASSISTANCE DEVICE HAVING A PLURALITY OF ULTRASOUND SENSORS AND VEHICLE HAVING SUCH A DRIVER ASSISTANCE DEVICE AND METHOD FOR OPERATING A DRIVER ASSISTANCE DEVICE | 2 |
Michael Hallek | DE | Cologne | 2013-10-31 / 20130288921 - MUTATED PARVOVIRUS STRUCTURAL PROTEINS AS VACCINES | 2 |
Michael Hallek | DE | Koeln | 2010-08-12 / 20100203083 - MUTATED STRUCTURAL PROTEIN OF A PARVOVIRUS | 6 |
Michael Hallek | DE | Schondorf | 2011-06-02 / 20110129852 - ORGANIC COMPOUNDS | 4 |
Michael Hallek | DE | Koln | / - | 1 |
Gideon Hallel | IL | Sitriya | 2015-05-07 / 20150125818 - SUB-PERIOSTEAL EXTENSION FOR A DENTAL IMPLANT | 1 |
Golan Hallel | IL | Maayan Baruch | 2012-06-14 / 20120151464 - Running Injected Code Prior to Execution of an Application | 1 |
Richard T. Hallen | US | Richland | 2013-01-17 / 20130018213 - DEOXYGENATION OF FATTY ACIDS FOR PREPARATION OF HYDROCARBONS | 7 |
Mats Hallen | SE | Virsbo | 2015-10-01 / 20150276100 - CONNECTION, FITTING AND PRODUCTION METHOD | 2 |
Dan Hallen | SE | Vallingby | 2009-04-09 / 20090092169 - Device, Method and Vessel Assembly for the Measurement of Heat Flow at Least One Sample | 1 |
Juergen Hallen | DE | Aachen | 2014-07-31 / 20140213400 - BELT DRIVE SYSTEM | 6 |
Paul R. Hallen | US | Colleyville | 2010-08-19 / 20100211044 - BATTERY OPERATED SURGICAL HAND PIECE WITH DISPOSABLE END | 1 |
Paul Hallen | US | Ft. Worth | 2012-04-19 / 20120095278 - Beta Radiotherapy Emitting Surgical Device And Methods of Use Thereof | 1 |
Paul Hallen | US | Colleyville | 2011-11-24 / 20110288525 - OCULAR SURGICAL PROCEDURE | 3 |
Heather E. Hallen | US | East Lansing | 2010-10-21 / 20100267019 - Identification And Use Of Genes Encoding Amatoxin And Phallotoxin | 1 |
Paul L. Hallenbeck | US | Chester Springs | 2014-11-27 / 20140348798 - SENECA VALLEY VIRUS BASED COMPOSITIONS AND METHODS FOR TREATING DISEASE | 3 |
Paul Hallenbeck | US | Gaithersburg | 2009-04-16 / 20090098599 - Adenovirus vectors, packaging cell lines, compositions, and methods for preparation and use | 1 |
Donald Hallenbeck | US | West Lafayette | 2010-04-15 / 20100092576 - PHARMACEUTICAL COMPOSITIONS CONTAINING LANTHANUM HYDROXYCARBONATE | 1 |
Paul L. Hallenbeck | US | Gaithersburg | 2008-09-04 / 20080213884 - Vectors for tissue-specific replication | 1 |
John M. Hallenbeck | US | Kensington | 2011-03-03 / 20110053843 - E-SELECTIN COMPOSITIONS AND USE THEREOF FOR INDUCING E-SELECTIN TOLERANCE | 3 |
John R. Hallenbeck | US | Tucson | 2009-05-14 / 20090120414 - INTERNAL COMBUSTION ENGINE USING COMBUSTIBLE GASES PRODUCED BY THE ELECTROLYSIS OF WATER, AND VEHICLE COMPRISING SAME | 1 |
Chris Hallenbeck | US | Palo Alto | 2012-01-05 / 20120004769 - AUTOMATED RETAIL SHELF UNITS AND SYSTEMS | 1 |
Kevin Hallenbeck | US | Metamora | 2014-11-13 / 20140333481 - METHOD FOR LOCATING THE POSITION OF A VEHICLE | 1 |
Robert Hallenbeck | US | Houston | 2014-10-16 / 20140306037 - System and Method for Separation of Fiber and Plastics in Municipal Solid Waste | 2 |
Jeremey Hallenbeck | US | Highland | / - | 1 |
Peter G. Hallenberg | US | Chicago | 2013-02-21 / 20130044078 - SYSTEM AND METHOD FOR COMMUNICATING THROUGH A CAPACITIVE TOUCH SENSOR | 1 |
Kaspar Hallenberger | DE | Leverkusen | 2016-01-07 / 20160002143 - METHOD FOR PRODUCING DIARYL CARBONATE | 8 |
Kaspar Hallenberger | DE | Leverkusen | 2016-01-07 / 20160002143 - METHOD FOR PRODUCING DIARYL CARBONATE | 8 |
Nicklas Hallenborg | SE | Eslov | 2014-01-30 / 20140031974 - Apparatus, Method, Software & Graphical Interface for Flexible Dispensing of Coins in a Coin Handling Apparatus | 1 |
Magnus Hallenstål | SE | Taby | 2013-03-21 / 20130070691 - Non-Guaranteed Bit Rate Bearer Control in a Mobile Communication Network | 22 |
Magnus Hallenstål | SE | Taby | 2016-03-10 / 20160072852 - Network Initiated CS Services During IMS Call | 44 |
Merete Hallenstvet | NO | Tonsberg | 2010-09-23 / 20100236543 - Method for the production of an absorber plate for solar collectors | 1 |
Merete Hallenstvet | NO | Notteroy | 2013-03-14 / 20130064981 - METHOD FOR MAKING AN ABSORBER COATING FOR SOLAR HEATING, THE COATING AS SUCH AND ITS APPLICATION | 1 |
Brian Robert Haller | GB | Market Resan | 2011-05-26 / 20110123313 - AXIAL FLOW STEAM TURBINE | 1 |
Jürg Paul Haller | ES | Madrid | 2012-04-26 / 20120096810 - Apparatus and Method for Producing Printed Mailings | 2 |
Stephan Haller | DE | Karlsruhe | 2009-06-11 / 20090146832 - CONTEXT-AWARE AND REAL-TIME ITEM TRACKING SYSTEM ARCHITECTURE AND SCENARIOS | 2 |
Volker Haller | DE | Holzgerlingen | 2011-12-22 / 20110308304 - LIQUID SENSOR | 2 |
Daniel Haller | DE | Landsberg Am Lech | 2015-06-25 / 20150177741 - FLUIDIC ACTUATOR | 2 |
Matthias Haller | DE | Frankfurt | 2009-10-15 / 20090255594 - APPARATUS FOR THE APPLICATION OF A CURABLE COMPOSITION TO A FASTENER AND CURABLE COMPOSITIONS SUITABLE FOR APPLICATION TO A FASTENER | 1 |
Oliver Haller | DE | Friedrichshafen | 2010-02-04 / 20100030410 - METHOD FOR CONTROLLING A SHIP PROPULSION SYSTEM COMPRISING A SURFACE PROPELLER | 1 |
Heinz Haller | DE | Plochingen | 2015-11-26 / 20150338553 - METHOD FOR PRODUCING A COAT SYSTEM, COAT SYSTEM AND USE THEREOF | 5 |
Christopher J. Haller | US | Rochester | 2012-04-26 / 20120100485 - COLOR MOTION PICTURE PRINT FILMS | 2 |
Kurt Haller | AT | Vorchdorf | 2013-11-14 / 20130298627 - Method And Apparatus For Applying A Lubricant While Rolling Metallic Rolled Stock | 1 |
Dirk Haller | DE | Goppingen | 2008-10-30 / 20080264274 - Transfer press with non-uniform station spacing | 1 |
Jochen Haller | DE | Karlsruhe | 2008-09-04 / 20080215706 - MOBILE EXCHANGE INFRASTRUCTURE | 1 |
Wilhelm Haller | DE | Remshalden | 2015-06-11 / 20150160293 - Integrated Circuit Chip and a Method for Testing the Same | 6 |
Marco Haller | DE | Regensburg | 2013-06-13 / 20130146026 - Damping element for an Arrangement of a Cylinder Head of an Internal Combustion Engine and an Injection Valve | 1 |
Jan Haller | DE | Leverkusen | 2010-08-05 / 20100197969 - USE OF PHOSPHONIUM SALTS IN COUPLING REACTIONS AND PROCESS FOR THEIR MANUFACTURE | 1 |
Roselyne Haller | FR | Brie Et Angonnes | 2011-05-19 / 20110116773 - METHOD AND DEVICE FOR CONTROLLING PLAYING SPEED OF A COMPRESSED DIGITAL VIDEO SEQUENCE (TRICKMODE) | 1 |
William R. Haller | US | Bethlehem | 2015-05-07 / 20150127223 - APPARATUS, SYSTEMS, AND METHODS FOR DETERMINING THE LOCATION OF A ROADWAY MARK OR PORTION THEREOF NOT MEETING STANDARDS | 4 |
Stephan Haller | CH | Hombrechtikon | 2012-05-24 / 20120131561 - Model-based Programming, Configuration, and Integration of Networked Enbedded Devices | 1 |
Hermann Haller | DE | Hannover | 2010-09-30 / 20100247452 - USE OF ERYTHROPOIETIN | 4 |
Zach Haller | US | Chicago | 2013-08-22 / 20130219019 - Method and apparatus for disseminating and receiving information | 1 |
Dagmar Haller | DE | Balingen | 2011-01-20 / 20110016174 - Weighing System | 1 |
Erwin Haller | DE | Birgland | 2016-02-18 / 20160046222 - VEHICLE SEAT WITH ADJUSTABLE BACKREST | 35 |
Michael Haller | AT | Pasching | 2015-11-26 / 20150339001 - PRINTED PIEZOELECTRIC PRESSURE SENSING FOIL | 1 |
Wilhelm Haller | DE | Boeblingen | 2014-03-27 / 20140089880 - METHOD AND SYSTEM TO FIX EARLY MODE SLACKS IN A CIRCUIT DESIGN | 4 |
Thomas Haller | DE | Waldburg | 2011-02-10 / 20110030408 - ROTATING VALVE AND HEAT PUMP | 1 |
Markus C. Haller | CH | Gland | 2014-05-01 / 20140121452 - Abutment Attachment Systems, Mechanisms, Devices, Components and Methods for Bone Conduction Hearing Aids | 6 |
Markus Haller | DE | Zeitlarn | 2016-05-19 / 20160136868 - METHOD FOR STARTING UP A BLOW MOULDING MACHINE, AND SYSTEM INCLUDING A BLOW MOULDING MACHINE | 2 |
Michael Haller | CA | Kitchener | 2015-01-15 / 20150017332 - RECLAIM SEALER APPLICATION APPARATUS AND METHOD | 1 |
Nathaniel Haller | US | South Lyon | 2014-05-22 / 20140138343 - HEAT SET CONTAINER WITH LABEL BOUNDARY PANEL | 1 |
Gerd Haller | DE | Grenzach-Wyhlen | 2011-03-17 / 20110065203 - UV-DOSE INDICATOR | 1 |
Sylvia Haller | DE | Mannheim | 2013-12-26 / 20130343881 - TURBINE HOUSING OF AN EXHAUST TURBOCHARGER | 1 |
Matthias Haller | AT | Schoerfling A. Attersee | 2012-09-06 / 20120224913 - PUSH/PULL ROD | 1 |
Andreas Haller | AT | Graz | 2011-12-22 / 20110309916 - Circuit Arrangement and Method for Controlling Communication Between a Control Circuit and a Transmitter/Receiver Unit via a Supply Line | 1 |
Herbert Haller | DE | Fichtenhof | 2011-06-09 / 20110134573 - SAFETY SWITCHING ARRANGEMENT FOR OUTPUTTING A SWITCHING SIGNAL | 1 |
Haim Hagay Haller | IL | Haifa | 2016-03-10 / 20160072492 - DELAY CIRCUITS AND RELATED SYSTEMS AND METHODS | 1 |
Markus C. Haller | LB | Beirut | 2016-04-07 / 20160100260 - SYSTEMS, DEVICES, COMPONENTS AND METHODS FOR PROVIDING ACOUSTIC ISOLATION BETWEEN MICROPHONES AND TRANSDUCERS IN BONE CONDUCTION MAGNETIC HEARING AIDS | 1 |
Mitchell E. Haller | US | Marlboro | 2013-06-20 / 20130155512 - OPTICAL PROCESSING DEVICE EMPLOYING A DIGITAL MICROMIRROR DEVICE (DMD) AND HAVING REDUCED WAVELENGTH DEPENDENT LOSS | 2 |
John F. Haller | US | Loundonville | 2012-04-19 / 20120095874 - METHOD AND SYSTEM TO FACILITATE TRANSACTIONS BETWEEN ORGANIZATION REGISTRANTS AND MERCHANDISE SUPPLIERS | 1 |
Matthew I. Haller | US | Valley Village | 2016-04-07 / 20160096013 - Steerable Stylet | 18 |
Brian Robert Haller | GB | Market Rasen | 2012-07-26 / 20120189441 - AXIAL FLOW TURBINE | 3 |
Gordon A. Haller | US | Boise | 2016-05-12 / 20160133640 - ALUMINUM OXIDE LANDING LAYER FOR CONDUCTIVE CHANNELS FOR A THREE DIMENSIONAL CIRCUIT DEVICE | 15 |
Susan Haller | US | Raleigh | 2016-02-18 / 20160048756 - NEURAL NETWORK BASED CLUSTER VISUALIZATION | 6 |
Philippe Haller | FR | Saint-Avold | 2012-11-29 / 20120302797 - PROCESS FOR MANUFACTURING ACROLEIN AND/OR ACRYLIC ACID FROM GLYCEROL | 1 |
Markus Haller | DE | Weiterstadt | 2015-09-17 / 20150261435 - Measured Value Transducer with Internal Data Memory | 1 |
Francesca Haller | US | Newtown | 2015-02-26 / 20150053578 - FLEXIBLE JEWELRY COVER | 1 |
Andreas Haller | DE | Oestrich-Winkel | 2012-01-19 / 20120011734 - METHOD AND DEVICE FOR MEASURING THE PROTRUSION OF BEARING SHELLS | 1 |
Thomas Ralf Haller | CH | Niederweningen | 2016-04-21 / 20160110558 - CLIENT IDENTIFYING DATA (CID) TARGET-STATE-COMPLIANT COMPUTER-EXECUTABLE APPLICATIONS | 1 |
Wilhelm E. Haller | DE | Remshalden | 2009-04-30 / 20090112960 - System and Method for Providing a Double Adder for Decimal Floating Point Operations | 1 |
James E. Haller | US | Haverhill | 2014-07-24 / 20140202757 - Electrical Shielding Material Composed of Metallized Stainless Steel Monofilament Yarn | 4 |
Michael E. Haller | US | Niwot | 2014-06-19 / 20140168691 - Multiple Pass Pipe/Filter Mechanism | 2 |
Larry Haller | US | Pleasanton | 2009-06-04 / 20090144097 - REAL ESTATE MANAGEMENT SYSTEM AND METHOD | 1 |
Thomas P. Haller | US | Amelia | 2012-04-19 / 20120095602 - CONTROLLER FOR WATER TREATMENT | 1 |
Steven Fredrick Haller | US | Highland | 2009-07-02 / 20090165542 - CAMSHAFT AND CRANKSHAFT POSITION CORRELATION SIMULATION METHODS AND SYSTEMS | 1 |
Aurelia Haller | US | Redwood City | 2010-11-25 / 20100297730 - Recombinant parainfluenza virus expression systems and vaccines comprising heterologous antigens derived from metapneumovirus | 1 |
Leslie Allen Haller | US | Georgetown | 2011-06-23 / 20110146859 - TIRE WITH COMPONENT CONTAINING CARBON NANOTUBES | 1 |
Aurelia Haller | US | Boulder | 2014-10-02 / 20140295409 - METAPNEUMOVIRUS STRAINS AND THEIR USE IN VACCINE FORMULATIONS AND AS VECTORS FOR EXPRESSION OF ANTIGENIC SEQUENCES | 10 |
Thomas Haller | DE | Ursensollen | 2012-04-19 / 20120091771 - Foldable Vehicle Seat | 1 |
Markus Haller | US | 2009-12-10 / 20090306744 - IMPLANTABLE COCHLEAR ACCESS DEVICE | 2 | |
Eugene E. Haller | US | Berkeley | 2012-03-08 / 20120057392 - High Density Non-Volatile Information StorageHigh Density Non-Volatile information Storage | 1 |
Jeffrey T. Haller | US | Redwood City | 2011-09-29 / 20110234545 - Bezel-less Acoustic Touch Apparatus | 1 |
Aurelia Haller | CA | Redwood City | 2010-06-10 / 20100143407 - Metapneumovirus strains and their use in vaccine formulations and as vectors for expression of antigenic sequences | 1 |
Andrea Haller | AT | Innsbruck | 2012-11-01 / 20120276646 - METHODS AND REAGENTS FOR ANALYZING RIBOSWITCHES USING FRET | 1 |
Nick Haller | US | Middletown | 2009-12-31 / 20090323872 - Interface between a switched diversity antenna system and digital radio receiver | 1 |
Sarah Haller | CH | Basel | 2010-06-10 / 20100144655 - ORGANIC COMPOUNDS | 1 |
Markus Haller | CH | Yens | 2015-09-03 / 20150245906 - IMPLANTABLE ACTUATOR FOR HEARING AID APPLICATION | 5 |
Jozsef Haller | HU | Budapest | 2009-11-19 / 20090285907 - USE OF ECHINACEA OR PREPARATIONS THEREOF IN COMPOSITIONS FOR THE TREATMENT OF ANXIETY | 1 |
Brian Haller | GB | Lincolnshire | 2010-05-13 / 20100119357 - Gas Turbine | 2 |
Régine Haller | FR | Boissy Sans Avoir | 2014-12-25 / 20140374060 - DEVICE FOR AIR CONDITIONING A DRIVE TRAIN AND A PASSENGER COMPARTMENT OF A VEHICLE | 8 |
Fabian Haller | CH | Basel | 2015-07-02 / 20150182345 - INTERVERTEBRAL IMPLANT WITH KEEL | 2 |
Jürg Paul Haller | ES | Madrid | 2010-04-15 / 20100093509 - Apparatus for Manipulating Flat Articles, Such as Sheets of Paper, Plastic, Cardboard and the Like | 2 |
Urs Haller | CH | Schonbuhl | 2010-03-11 / 20100062482 - Fermentation Device Comprising a Coupled Substrate and Sediment Transport Mechanism and Method for Operating the Fermentation Device | 1 |
Michael Haller | AT | Linz | 2013-08-29 / 20130227433 - COLLABORATION SYSTEM | 3 |
Motti Haller | IL | Haifa | 2009-12-31 / 20090322437 - SYSTEM, METHOD AND APPARATUS EMPLOYING CRYSTAL OSCILLATOR | 1 |
Martin Haller | CH | Friedlisberg | 2008-12-18 / 20080309922 - ATTENUATED TOTAL REFLECTION SENSOR | 1 |
John J. Haller | US | Boonton | 2015-10-29 / 20150310974 - SOLENOID COIL FOR HAZARDOUS LOCATIONS | 11 |
Stephen M. Haller | US | Magazine | 2010-01-07 / 20100003087 - System for Distributing Poultry Litter Below the Soil Surface | 1 |
David K. Haller | US | Adrian | 2010-01-28 / 20100021330 - BAFFLE MEMBER FOR SCROLL COMPRESSORS | 3 |
Susan Edwards Haller | US | Raleigh | 2010-04-01 / 20100082469 - Constrained Optimized Binning For Scorecards | 1 |
Christian Haller | US | Alexandria | 2011-12-08 / 20110298628 - METHODS FOR PRESSURE DETECTION | 4 |
Melissa D. Haller | US | Orchard Park | 2012-11-29 / 20120301582 - Systems and Methods for Selecting Flour | 3 |
John J. Haller | US | Boonton | 2015-10-29 / 20150310974 - SOLENOID COIL FOR HAZARDOUS LOCATIONS | 11 |
Roxanne Haller | US | Saginaw | 2010-12-02 / 20100303743 - Personal Care Compositions Containing Hydrophobic Silicone-Organic Gel Blends | 1 |
Thomas Haller | US | Longwood | 2012-08-16 / 20120209758 - Routing of Orders in Equity Options by Means of a Parameterized Rules-Based Routing Table | 6 |
Nikolaus Haller | DE | Ammerthal | 2012-01-12 / 20120007293 - SEAT SUSPENSION DEVICE FOR A VEHICLE SEAT | 1 |
Mark Haller | US | Canton | 2011-06-23 / 20110153156 - Method for Correction of Dynamic Output Signals of Inertial Sensors Having Mounting Offsets | 3 |
Christian L. Haller | US | Alexandria | 2014-08-21 / 20140236230 - LATCHING ANCHOR DEVICE | 2 |
Mark E. Haller | US | River Falls | 2013-02-14 / 20130038123 - WAVEFORM DISTORTION MITIGATION IN POWER SYSTEMS | 1 |
Gordon Haller | US | Boise | 2015-10-01 / 20150279851 - METHODS OF TUNNEL OXIDE LAYER FORMATION IN 3D NAND MEMORY STRUCTURES AND ASSOCIATED DEVICES | 16 |
Gordon A. Haller | US | Boise | 2016-05-12 / 20160133640 - ALUMINUM OXIDE LANDING LAYER FOR CONDUCTIVE CHANNELS FOR A THREE DIMENSIONAL CIRCUIT DEVICE | 15 |
Jeffrey T. Haller | US | Redwood | 2014-06-26 / 20140176508 - Bezel-Less Acoustic Touch Apparatus | 1 |
Carolyn Haller | US | Wellesley | 2015-10-08 / 20150284477 - SORTASE-CATALYZED IMMOBILIZATION, RELEASE, AND REPLACEMENT OF FUNCTIONAL MOLECULES ON SOLID SURFACES | 1 |
Erna Haller | DE | Edelbeuren | 2009-04-23 / 20090102342 - System of refrigeration and/or freezing appliances | 2 |
Thomas F. Haller | US | Longwood | 2015-08-06 / 20150221033 - DIRECTED ORDER | 9 |
Gary L. Haller | US | Hamden | 2013-08-22 / 20130216581 - Carbon Nanotube Compositions and Methods of Use Thereof | 3 |
Kurt Haller | US | Pleasanton | 2014-05-08 / 20140125978 - Film Thickness, Refractive Index, and Extinction Coefficient Determination for Film Curve Creation and Defect Sizing in Real Time | 1 |
Gordon Haller | US | Boise | 2015-10-01 / 20150279851 - METHODS OF TUNNEL OXIDE LAYER FORMATION IN 3D NAND MEMORY STRUCTURES AND ASSOCIATED DEVICES | 16 |
Michael Haller | DE | Bad Kissingen | 2012-12-13 / 20120315402 - CONTROL ELEMENT | 2 |
Thomas Haller | CH | Samstagern | 2012-09-13 / 20120228455 - FASTENING ELEMENT FOR FASTENING FRAME ELEMENT TO MOUNTING PLATE, FRAME ELEMENT AND INSTALLATION FACILITY | 1 |
Friedrich Michael Haller | US | Norman | 2016-05-05 / 20160120992 - COAGULATION FACTOR VII POLYPEPTIDES | 5 |
Jeffrey Haller | US | Redwood City | 2016-01-07 / 20160005020 - MULTI-MODE POINT-OF-SALE DEVICE | 3 |
Clayton F. Haller | US | Concord | 2014-03-20 / 20140080369 - INFLATABLE STAND-UP PADDLE BOARD | 4 |
Judith Haller | DE | Bruchsal | 2015-07-16 / 20150200405 - ELECTRICALLY CONDUCTIVE SHEET MATERIAL | 1 |
John L. Haller | US | San Diego | 2016-05-19 / 20160135556 - UMBRELLA WIRE FRAME | 1 |
Clay Haller | US | Concord | 2013-05-30 / 20130137319 - INFLATABLE STAND UP PADDLEBOARD | 4 |
Michael F. Haller | US | San Diego | 2009-05-14 / 20090123367 - Soluble Glycosaminoglycanases and Methods of Preparing and Using Soluble Glycosaminoglycanases | 1 |
Kurt L. Haller | US | Pleasanton | 2014-11-06 / 20140328043 - Illumination Energy Management in Surface Inspection | 3 |
Amit Haller | US | Belmont | 2008-12-11 / 20080305741 - METHOD FOR AUTOMATICALLY PAIRING BETWEEN MOBILE DEVICE HAVING A HINGE PORTION AND A COUPLEABLE HARDWARE ACCESSORY | 2 |
Melissa Haller | US | Orchard Park | 2011-10-20 / 20110256263 - Method For Producing Frozen Dough | 1 |
Bettina Haller | DE | Ludwigsburg | 2011-06-16 / 20110139015 - Frying pan with a long handle | 1 |
Chris Haller | US | St. Louis | 2013-10-03 / 20130255945 - CERAMIC PROPPANTS | 1 |
Matt I. Haller | US | Valley Village | 2014-11-13 / 20140336726 - FRACTIONALIZED STIMULATION PULSES IN AN IMPLANTABLE STIMULATOR DEVICE | 5 |
Uwe P. Haller | US | San Jose | 2016-02-18 / 20160049323 - METHOD AND APPARATUS OF PROCESSING WAFERS WITH COMPRESSIVE OR TENSILE STRESS AT ELEVATED TEMPERATURES IN A PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION SYSTEM | 2 |
David Haller | CH | Fluringen | 2011-06-30 / 20110155549 - Pushbutton | 1 |
Thomas P. Haller | US | Soquel | 2009-04-09 / 20090090864 - Thermal imager having integrated support assembly | 1 |
Régine Haller | FR | Boissy Sans Avoir | 2014-12-25 / 20140374060 - DEVICE FOR AIR CONDITIONING A DRIVE TRAIN AND A PASSENGER COMPARTMENT OF A VEHICLE | 8 |
Aurelia Haller | US | Boulder | 2014-10-02 / 20140295409 - METAPNEUMOVIRUS STRAINS AND THEIR USE IN VACCINE FORMULATIONS AND AS VECTORS FOR EXPRESSION OF ANTIGENIC SEQUENCES | 10 |
Harold Haller | US | Rocky River | 2014-06-12 / 20140162863 - HIGH VISIBLE TRANSMISSION GLASSES WITH LOW SOLAR TRANSMISSION | 1 |
Markus C. Haller | CH | Nyon | 2014-09-18 / 20140275736 - Sound Acquisition and Analysis Systems, Devices and Components for Magnetic Hearing Aids | 3 |
Kurt Lindsay Haller | US | Pleasanton | 2014-09-18 / 20140268172 - Enhanced Inspection and Metrology Techniques And Systems Using Bright-Field Differential Interference Contrast | 1 |
Erich Haller | US | Buffalo Grove | 2014-08-07 / 20140217048 - POP-UP SHELVING SYSTEM | 1 |
Nikolas Haller | DE | Ammertal | 2016-02-11 / 20160039315 - UTILITY VEHICLE WITH LOCKABLE SLIDE PART | 1 |
John H. Haller | US | Naperville | 2014-06-05 / 20140157368 - SOFTWARE AUTHENTICATION | 1 |
Brian Robert Haller | GB | Lincolnshire | 2014-12-18 / 20140369815 - CONTROL OF LOW VOLUMETRIC FLOW INSTABILITES IN STEAM TURBINES | 1 |
John F. Haller | US | Loudonville | 2014-09-18 / 20140279599 - MANAGING COMPLIANCE FOR PARTICIPATION IN ORGANIZATION ACITIVIES | 1 |
Carolyn A. Haller | US | Wellesley | 2013-02-07 / 20130034552 - TEMPERATURE SENSITIVE CONJUGATE COMPOSITIONS, AND USES RELATED THERETO | 1 |
Dirk Haller | DE | Freising | 2015-12-31 / 20150374763 - USE OF MICROORGANISMS FOR THE PREVENTION AND TREATMENT OF INTESTINAL DISEASES | 2 |
Scott Haller | US | Richmond | 2015-07-23 / 20150203934 - STRIP MATERIAL WITH EXCELLENT CORROSION RESISTANCE AFTER BRAZING | 1 |
Uwe Paul Haller | US | San Jose | 2013-10-17 / 20130270252 - METHODS AND APPARATUS FOR CONTROLLING TEMPERATURE OF A MULTI-ZONE HEATER IN A PROCESS CHAMBER | 2 |
Thomas F. Haller | US | Longwood | 2015-08-06 / 20150221033 - DIRECTED ORDER | 9 |
Daniel Haller | DE | Stuttgart-Vaihingen | 2016-03-03 / 20160066443 - DRIVE UNIT | 1 |
Bjoern Haller | DE | Sindelfingen | 2015-04-09 / 20150096728 - CORRUGATED FIN AND METHOD FOR PRODUCING IT | 1 |
Matthias Haller | DE | Aurich | 2016-01-28 / 20160028199 - SLIP RING TRANSDUCER | 1 |
Kirk Haller | US | Acton | 2012-07-19 / 20120182297 - DIRECT RENDERING OF CAD MODELS ON THE GPU | 1 |
Brian Haller | GB | Panton | 2011-07-21 / 20110176917 - Exhaust Gas Diffuser Wall Contouring | 1 |
Juergen Haller | DE | Sachsenheim | 2014-05-01 / 20140117268 - VALVE DEVICE FOR SWITCHING OR METERING A FLUID | 2 |
Régine Haller | FR | Boissy Sans Avoir | 2011-03-24 / 20110067427 - Air Conditioning Device For Heating, Ventilation and/or Air Conditioning Installation | 2 |
Dale A. Hallerberg | US | Lake Barrington | 2013-11-14 / 20130298748 - Drum Stand Stabilizing Assembly | 3 |
Kristian Haller (formerly Kristian Hallermalm) | SE | Hasselby | 2013-05-16 / 20130123744 - METHOD AND APPARATUS FOR THE DELIVERY OF POLYNUCLEOTIDE CANCER VACCINES TO MAMMALIAN SKIN | 1 |
John Laurence Haller, Jr. | US | Kenilworth | 2015-07-30 / 20150213556 - Systems and Methods of Predicting Vehicle Claim Re-Inspections | 1 |
Mitchell W. Haller, Jr. | US | York | 2013-07-18 / 20130183636 - ROTARY DENTAL TOOL AND METHOD OF MANUFACTURE | 1 |
Paulina Halleröd (nee Ljungberg) | SE | Goteberg | 2010-10-21 / 20100268185 - SHAPED ABSORBENT ARTICLE WITH EDGE ELASTICS | 1 |
Paulina Halleröd (nee Ljungberg) | SE | Goteberg | 2010-10-21 / 20100268185 - SHAPED ABSORBENT ARTICLE WITH EDGE ELASTICS | 1 |
Paulina Halleröd (neé Ljungberg) | SE | Goteborg | 2010-10-14 / 20100262112 - HYGIENIC ARTICLE WITH TEMPORARILY ATTACHED SIDE PANELS | 1 |
Paulina Halleröd (neé Ljungberg) | SE | Goteborg | 2010-10-14 / 20100262112 - HYGIENIC ARTICLE WITH TEMPORARILY ATTACHED SIDE PANELS | 1 |
Robert Dennis Halleron | US | Louisville | 2013-07-04 / 20130167828 - OVEN RACK | 2 |
Svante Magnus Ulfstand HallerstrÖm SjÖstedt | SE | Malmo | 2014-12-04 / 20140359498 - Method and Device for Generating Display Data | 16 |
Svante Magnus Ulfstand HallerstrÖm SjÖstedt | SE | Malmo | 2013-11-14 / 20130300671 - DISPLAY SYSTEM WITH IMAGE SENSOR BASED DISPLAY ORIENTATION | 9 |
Michel Hallet | FR | Mondeville | 2011-07-28 / 20110181107 - SWITCHING ASSEMBLY FOR THE TOP PORTION OF AN AUTOMOBILE STEERING COLUMN, AND CORRESPONDING CONTROL ASSEMBLY AND METHOD | 2 |
John Hallet | US | Reno | 2012-11-01 / 20120272725 - THERMAL PLATE PRECIPITATION MEASUREMENT SYSTEM | 1 |
Gayle E. Hallet | GB | Smethwick | 2016-03-17 / 20160075724 - CETP Inhibitors | 2 |
Luke Terry Hallet | US | Santaquin | 2014-11-13 / 20140338003 - DISTRIBUTED SECURE CONTENT DELIVERY | 1 |
Mark Hallet | US | Bethesda | 2011-11-24 / 20110288365 - TRANSCRANIAL MAGNETIC STIMULATION SYSTEM AND METHODS | 2 |
Michel Hallet | FR | Clinchampssur-Orne | 2013-07-04 / 20130169040 - SWITCHING DEVICE FOR THE TOP-END OF AN AUTOMOBILE VEHICLE STEERING COLUMN | 1 |
Michel Hallet | FR | Clinchamps-Sur-Orne | 2014-10-02 / 20140291129 - ELECTRIC SWITCH WITH RUBBING CONTACT | 2 |
Rêmy Hallet | FR | Strasbourg | 2015-09-10 / 20150252115 - Modulation of Monocytes, or Precursors Thereof, Differentiation | 2 |
Trevor Hallet | GB | Middlesex | 2010-11-04 / 20100275757 - CLAMP | 1 |
Mark B. Hallett | US | Appleton | 2012-07-05 / 20120167283 - STRAP SHOCK ABSORBER | 1 |
David James Hallett | GB | Marlow | 2014-03-13 / 20140073627 - BRADYKININ B1 ANTAGONISTS | 5 |
Timothy G. Hallett | US | Oronoco | 2016-05-05 / 20160124486 - DISTRIBUTED POWER BUDGETING | 11 |
Mark Hallett | US | Bethedsa | 2016-03-03 / 20160059027 - TRANSCRANIAL MAGNETIC STIMULATION SYSTEM AND METHODS | 3 |
Allan Hallett | GB | London | 2008-11-27 / 20080293939 - QUINAZOLINONE DERIVATIVES USEFUL AS ANTI-HYPERALGESIC AGENTS | 1 |
Brian Richard Hallett | GB | Essex | 2011-12-22 / 20110309227 - LOCKING DEVICE FOR LOCKING AN OBJECT ON A SUPPORT STRUCTURE | 2 |
Aaron Hallett | GB | Hampshire | 2010-10-14 / 20100258350 - APPARATUS COMPRISING RACK, COMPONENT, CABLE AND CABLE MANAGEMENT ASSEMBLY | 1 |
Jason Patrick Hallett | GB | London | 2010-08-05 / 20100197892 - ORGANOSILICON-FUNCTIONAL PHASE TRANSFER CATALYSTS | 1 |
Jason Hallett | US | Dublin | 2015-04-23 / 20150109509 - Augmented Image Display Using a Camera and a Position and Orientation Sensor Unit | 1 |
Allan Hallett | GB | Wellwyn Garden City | 2012-07-19 / 20120183537 - 1,2,4-THIAZOLOIDIN-3-ONE DERIVATIVES AND THEIR USE IN THE TREATMENT OF CANCER | 1 |
Richard Lester Hallett | GB | Bristol | 2013-02-21 / 20130045075 - FITTING, CRANE HOOK, AND CRANE HOOK ASSEMBLY | 2 |
David Hallett | GB | Buckinghamshire | 2009-10-29 / 20090270451 - Piperdine Glycine Transporter Inhibitors | 1 |
Bradley Evan Hallett | US | Watauga | 2015-03-05 / 20150063761 - TEST SYSTEM FOR CHECKING A SPLICE CONNECTION BETWEEN A FIBER OPTIC CONNECTOR AND ONE OR MORE OPTICAL FIBERS | 2 |
Jason S. Hallett | US | Long Tree | 2009-09-03 / 20090218985 - Contactless Charging System for Musical Instruments | 1 |
John Hallett | US | Reno | 2012-12-06 / 20120304671 - MIXED-PHASE GENERATOR AND USE THEREOF | 1 |
Michael John Hallett | AU | Jandakot | 2010-04-08 / 20100083431 - TOILET SEAT LIFTING APPARATUS | 1 |
Michael Hallett | CA | Outremont | 2010-04-29 / 20100105564 - Stroma Derived Predictor of Breast Cancer | 1 |
Andrew Jon Hallett | GB | Cardiff | 2016-04-21 / 20160107764 - AIRCRAFT MARKING SYSTEM | 1 |
Jason P. Hallett | GB | London, Greater London | 2016-02-11 / 20160040354 - Treatment | 1 |
Douglas John Hallett | CA | Kingston | 2010-08-12 / 20100199559 - PROCESS FOR THE CONVERSION OF ORGANIC MATERIAL TO METHANE RICH FUEL GAS | 1 |
Brian Hallett | US | Cypress | 2012-05-10 / 20120115758 - METHODS USING FORMATE GELS TO CONDITION A PIPELINE OR PORTION THEREOF | 3 |
James Francis Hallett | CA | Vancouver | 2015-10-22 / 20150304283 - Source Based Anonymity and Segmentation for Visitors | 4 |
Peter Hallett | GB | Bristol | 2014-11-13 / 20140337106 - COMPUTER-IMPLEMENTED METHODS AND SYSTEMS FOR PERFORMANCE TRACKING | 1 |
Jason Hallett | US | Livermore | 2015-12-17 / 20150363936 - Method and System to Identify a Position of a Measurement Pole | 1 |
Brian J. Hallett | US | Oklahoma City | 2014-02-20 / 20140048479 - METHOD FOR ABSORBING FLUIDS FROM DRILL CUTTINGS | 1 |
Bradley Hallett | CA | London | 2010-06-17 / 20100148094 - RADIATION SOURCE CARTRIDGE AND MODULE CONTAINING SAME | 1 |
Michael David Hallett | AU | Pyrmont | 2016-02-25 / 20160051792 - RESPIRATORY VALVE APPARATUS | 3 |
Brian Hallett | US | Houston | 2014-09-25 / 20140283583 - SYSTEM FOR PIPELINE DRYING AND FREEZING POINT SUPPRESSION | 1 |
Timothy G. Hallett | US | Oronoco | 2016-05-05 / 20160124486 - DISTRIBUTED POWER BUDGETING | 11 |
Allan Hallett | GB | West Sussex | 2009-02-19 / 20090048230 - COMPOUNDS AND COMPOSITIONS USEFUL AS CATHEPSIN S INHIBITORS | 1 |
David Andrew Hallett | CH | Bussy-Chardonney | 2014-02-13 / 20140046866 - Systems and Methods for the Distribution of Donations to Charities | 1 |
Richard Guy Hallett | GB | Melksham | 2009-08-13 / 20090201917 - PRAGMATIC APPROACHES TO IMS | 1 |
Michael David Hallett | AU | Sydney | 2009-04-16 / 20090095297 - Automatic Positive Airway Pressure Therapy through the Nose or Mouth for Treatment of Sleep Apnea and Other Respiratory Disorders | 1 |
Martin Hallett | GB | Kent | 2012-01-19 / 20120012055 - Method and Apparatus for the Application of Powder Material to Substrates | 1 |
Jason Hallett | GB | London | 2014-03-13 / 20140073016 - Treatment | 1 |
Robin Hallett | CA | Hamilton | 2015-02-05 / 20150038359 - METHOD OF PREDICTING OUTCOME IN CANCER PATIENTS | 1 |
Brian J. Hallett | US | San Antonio | 2009-08-13 / 20090203553 - Sand aggregating reagents, modified sands, and methods for making and using same | 1 |
Bradley E. Hallett | US | Watauga | 2012-05-24 / 20120125166 - CLEAVERS FOR CLEAVING OPTICAL FIBERS, AND RELATED BLADES, COMPONENTS, AND METHODS | 1 |
Allan Hallett | GB | Welwyn Garden City | 2016-05-12 / 20160128993 - 1,2,4-THIAZOLIDIN-3-ONE DERIVATIVES AND THEIR USE IN THE TREATMENT OF CANCER | 1 |
Michael Raymond Hallett | GB | Sunderland | 2009-10-29 / 20090270617 - PHTHALAZINONE DERIVATIVE | 1 |
David Hallett | GB | Hertfordshire | 2010-12-16 / 20100317692 - Quinolone M1 Receptor Positive Allosteric Modulators | 1 |
Paul Hallett | CN | Beijing | 2008-10-02 / 20080243793 - Contact Information Capture and Link Redirection | 2 |
Jamie Hallett | CA | Clairmont | 2011-10-20 / 20110254273 - TURBINE FOR A FLUID STREAM | 1 |
Timothy Glen Hallett | US | Oronoco | 2014-01-23 / 20140025208 - Distributed Thermal Management System for Servers | 1 |
Brian Hallett | US | San Anstonio | 2012-03-08 / 20120055575 - METHOD FOR PIPELINE CONDITIONING | 3 |
Mark Hallett | US | Bethesda | 2015-01-15 / 20150018667 - PROMOTING TRANSCRANIAL DIRECT CURRENT STIMULATION OR TRANSCRANIAL MAGNETIC STIMULATION USING TEMPERATURE-INDUCED SYNAPTIC MODULATION | 4 |
Lucien Halleux | BE | Spa | 2010-12-02 / 20100299971 - METHOD AND SYSTEM FOR OPTIMIZING DREDGING | 2 |
Christine Halleux | CH | Basel | 2010-02-04 / 20100028335 - Compositions and Methods to Treat Bone Related Disorders | 1 |
Christine Halleux | CH | Dornach | 2013-06-27 / 20130164284 - COMPOSITIONS AND METHODS TO TREAT BONE RELATED DISORDERS | 5 |
Jonathan De Halleux | US | Seattle | 2012-06-21 / 20120159452 - GRAPHICAL USER INTERFACE FOR EXPLORING SOURCE CODE EXECUTION BEHAVIOR | 1 |
Niclas Hallevall | SE | Sodra Sandby | 2008-10-30 / 20080264832 - Rider Bar for Screening Element or Wear-Resistant Lining | 1 |
Peter Halley | AU | Fairfield | 2010-07-01 / 20100166968 - Method for Treating a Paper Product | 1 |
Franck Halley | FR | Sevres | 2008-10-23 / 20080261997 - USE OF AMINOINDAZOLE DERIVATIVES FOR THE INHIBITION OF TAU PHOSPHORYLATION | 1 |
Kenneth Mark Halley | US | Suwanee | 2014-11-27 / 20140346836 - AUTO-INFLATABLE CUSHION TO ASSIST MOVEMENT | 1 |
Robert Halley | US | Atlanta | 2015-07-09 / 20150190244 - Breast Prosthesis with Pocket | 2 |
Berani A.c. Halley | US | Knoxville | 2015-08-20 / 20150231560 - EMISSION CONTROL SYSTEM | 3 |
Jack Ryan Halley | US | Suwanee | 2014-11-27 / 20140346836 - AUTO-INFLATABLE CUSHION TO ASSIST MOVEMENT | 1 |
Grace Lauren Halley | US | Suwanee | 2014-11-27 / 20140346836 - AUTO-INFLATABLE CUSHION TO ASSIST MOVEMENT | 1 |
Craig Halley | US | Cedar Park | 2011-05-12 / 20110112873 - System and Method for Electronically Monitoring, Alerting, and Evaluating Changes in a Health Care Payor Policy | 1 |
Evan Halley | US | Cary | 2015-05-07 / 20150128106 - Software Builder | 1 |
Boyd Halley | GB | Glasgow-Lanarkshire | 2014-11-13 / 20140332349 - INTRODUCTION OR WITHDRAWAL OF AN ELONGATE MEMBER TO OR FROM A FREE BODY | 1 |
Robert Thomas Halley | US | Redwood City | 2016-03-10 / 20160072847 - INTERNET MEDIATION | 3 |
Robert Thomas Halley | GB | Aberdeen | 2012-10-04 / 20120254996 - DNS RESOLUTION, POLICIES, AND VIEWS FOR LARGE VOLUME SYSTEMS | 1 |
Robert James Halley | US | Decatur | 2009-11-05 / 20090276043 - TWO-LAYER EXTERNAL BREAST PROSTHESIS WITH SELF-SHAPING FEATURE AND PROCESS FOR MANUFACTURE THEREOF | 1 |
Donald R. Halley | US | Rochester | 2009-06-18 / 20090157765 - System Restoration Apparatus and Method for Management of Dependencies, Ordering Sensitivities, and Database Index Rebuilds | 1 |
Frank Halley | FR | Chaville | 2014-10-09 / 20140303156 - NOVEL (6-OXO-1,6-DIHYDROPYRIMIDIN-2-YL)AMIDE DERIVATIVES, PREPARATION THEREOF AND PHARMACEUTICAL USE THEREOF AS AKT(PKB) PHOSPHORYLATION INHIBITORS | 8 |
Douglas R. Halley | US | Westlake | 2009-03-19 / 20090073693 - LED LIGHTING SYSTEM FOR A CABINET SIGN | 2 |
Frank Halley | FR | Chaville | 2014-10-09 / 20140303156 - NOVEL (6-OXO-1,6-DIHYDROPYRIMIDIN-2-YL)AMIDE DERIVATIVES, PREPARATION THEREOF AND PHARMACEUTICAL USE THEREOF AS AKT(PKB) PHOSPHORYLATION INHIBITORS | 8 |
Dave Halley | US | Los Osos | 2015-12-03 / 20150342112 - INDEPENDENTLY AUTOMATED MECHANICAL TRANSPLANTER | 2 |
Doug Halley | US | Westlake | 2012-04-05 / 20120083394 - Exercise Apparatus | 1 |
Lane B. Halley | US | New York | 2012-04-12 / 20120088561 - Systems and Methods for Scoring Familiarity | 1 |
Bonita A. Halley-Schamp Halley | US | Merrit Island | 2009-01-01 / 20090000011 - Adjustable fashion headband and scarf combination | 1 |
Tammy S. Halley | US | Moore | 2008-10-30 / 20080264689 - ANTI-SURGE/REVERSE THRUSTER | 1 |
Frank Halley | FR | Paris | 2013-10-17 / 20130274253 - NOVEL PYRIMIDINE DERIVATIVES, PREPARATION THEREOF, AND PHARMACEUTICAL USE THEREOF AS AKT(PKB) PHOSPHORYLATION INHIBITORS | 4 |
Ricky Lee Halley | US | Kingston | 2014-10-02 / 20140291371 - TRUCK MOUNTED FIREFIGHTING PLATFORM ASSEMBLY | 1 |
Véronique Hall-Goulle | CH | Dornach | 2015-05-28 / 20150147493 - NIR-INERT SUBSTRATES COMPRISING BIS-OXODIHYDROINDOLYLEN-BENZODIFURANONES | 6 |
Véronique Hall-Goulle | CH | Dornach | 2012-04-26 / 20120100395 - INDICATOR SYSTEM FOR MONITORING A STERILIZATION PROCESS | 4 |
Veronique Hall-Goulle | DE | Dornach | 2010-11-25 / 20100295287 - SECURITY ELEMENT | 1 |
Véronique Hall-Goulle | CH | Dornach | 2012-04-26 / 20120100395 - INDICATOR SYSTEM FOR MONITORING A STERILIZATION PROCESS | 4 |
Véronique Hall-Goulle | CH | Dornach | 2015-05-28 / 20150147493 - NIR-INERT SUBSTRATES COMPRISING BIS-OXODIHYDROINDOLYLEN-BENZODIFURANONES | 6 |
Emanuel Hallgren | SE | Karlskoga | 2009-07-30 / 20090188382 - Missile launcher | 2 |
Fredrik Hallgren | SE | Kolmarden | 2015-12-31 / 20150382408 - METHODS OF CONTROLLING COOLING IN A MICROWAVE HEATING APPARATUS AND APPARATUS THEREOF | 13 |
Leif Hallgren | SE | Hollviken | 2011-04-14 / 20110083833 - Heat Exchanger | 1 |
Fredrik Hallgren | SE | Kolmarden | 2015-12-31 / 20150382408 - METHODS OF CONTROLLING COOLING IN A MICROWAVE HEATING APPARATUS AND APPARATUS THEREOF | 13 |
Fredrik Hallgren | SE | Norrkoping | 2013-07-25 / 20130186887 - MICROWAVE HEATING APPARATUS | 1 |
Anders Hallgren | SE | Gustavsberg | 2009-08-20 / 20090205796 - APPARATUS AND METHOD FOR CORRECTING BASIS WEIGHT MEASUREMENTS USING SURFACE TOPOLOGY MEASUREMENT DATA | 1 |
Agneta Hallgren | SE | Molndal | 2013-02-07 / 20130034606 - IMMEDIATE RELEASE TABLET FORMULATIONS | 1 |
Fredrick Hallgren | SE | Kolmarden | 2008-09-11 / 20080217329 - MICROWAVE OVEN AND VENTILATION HOOD COMBINATION SYSTEM | 1 |
Lars-Goran Hallgren | SE | Malmo | 2009-01-29 / 20090030533 - Products, Device, and System for Controlling | 2 |
Elisabeth Hallgren | SE | Uppsala | 2012-10-25 / 20120267299 - METHOD FOR DRY PACKING CHROMATOGRAPHY COLUMNS | 2 |
Fredrik B. Hallgren | SE | Norrkoping | 2013-12-19 / 20130334215 - MICROWAVE HEATING APPARATUS WITH MULTI-FEEDING POINTS | 1 |
Gert Hallgren | SE | Hagersten | 2010-03-25 / 20100074676 - Device for interconnecting a first element and a second element as well as a pump comprising such a device | 1 |
Ingvar Hallgren | SE | Tumba | 2009-01-29 / 20090025562 - CENTRIFUGAL SEPARATOR FOR CLEANING OF GAS | 2 |
Stina Elisabeth Hallgren | SE | Uppsala | 2011-06-16 / 20110139717 - MEMBRANES AND ASSOCIATED METHODS FOR PURIFICATION OF ANTIBODIES | 1 |
Ingvar Hallgren | SE | Stockholm | 2013-08-08 / 20130199137 - DUST COLLECTOR WITH A CONSTANT SUCTION FORCE | 1 |
Bjarki Hallgrimson | CA | Ottawa | 2010-01-14 / 20100008079 - LED INSPECTION LAMP AND LED SPOTLIGHT | 1 |
James Halliburton | GB | Crewe | 2012-06-14 / 20120148765 - INFLATABLE, NON-LATEX BALLOON WITH SELF SEALING VALVE | 1 |
James Halliburton | GB | Cheshire | 2014-06-19 / 20140168960 - ILLUMINATION DEVICE FOR A BALLOON | 2 |
Kirk A. Halliburton | US | Charlotte | 2016-04-21 / 20160110668 - Digital Records Management | 2 |
Ronald Halliburton | US | Del Ray | 2015-02-19 / 20150051026 - Arcade Basketball Game with Illuminated Rim | 1 |
Donovan Halliburton | US | Walnut Creek | 2014-07-03 / 20140183106 - System and Method of Monitoring Blood Leaks During Hemodialysis Therapy Employing Wireless | 1 |
Ronald D. Halliburton | US | Delray Beach | 2009-05-28 / 20090137303 - Amusement game using vertical rotating wheel | 1 |
Ronald Halliburton | US | Delray | 2013-06-13 / 20130147112 - TRAP DOOR AMUSEMENT GAME | 1 |
Sandra S. Halliburton | US | Shaker Heights | 2012-07-19 / 20120183118 - AUTOMATED PARAMETER SELECTION FOR A TOMOGRAPHIC IMAGING DEVICE | 1 |
Kevin W. Halliburton | US | Tomball | 2014-04-17 / 20140102696 - Supported Connection Assembly | 1 |
James Halliburton | GB | Crew Cheshire | 2012-12-13 / 20120314406 - ATTACHMENT DEVICE FOR ATTACHMENT TO A MEMBRANE, E.G. OF A BALLOON, WITHOUT PUNCTURING THE MEMBRANE | 1 |
Halliburton Energy Services, Inc | US | Houston | 2013-08-29 / 20130220600 - WELL DRILLING SYSTEMS AND METHODS WITH PUMP DRAWING FLUID FROM ANNULUS | 70 |
Halliburton Energy Services, Inc. | US | 2014-10-23 / 20140310940 - METHODS OF APPLYING A PROTECTIVE BARRIER TO THE LINER OF AN EXPLOSIVE CHARGE | 32 | |
Daryl Colin Halliday | CA | Toronto | 2008-10-23 / 20080262915 - Loyalty rewards management and processing system and method | 1 |
Krista Elaine Halliday | CA | Ancaster | 2013-03-14 / 20130064479 - FEEDING BAG SYSTEM WITH INTERCHANGEABLE ATTACHMENTS | 1 |
Donald R. Halliday | US | Powell | 2014-01-16 / 20140013936 - SYSTEM AND METHOD FOR ARMORING VEHICLES USING A HULL HAVING A BLAST VENT | 5 |
David Fraser Halliday | GB | Cherry Hinton | 2015-04-30 / 20150117149 - METHODS AND SYSTEMS FOR LAND SEISMIC SURVEYING | 10 |
Andrew Robert Halliday | US | Soquel | 2015-07-02 / 20150188873 - Systems and Methods to Control the Lifetime of Online Posts | 2 |
Drew Duncan Halliday | US | Kirkwood | 2015-07-02 / 20150188873 - Systems and Methods to Control the Lifetime of Online Posts | 2 |
Pieter Stephanus Jacobus Halliday | ZA | Randburg | 2015-12-24 / 20150369573 - Detonation of Explosives | 7 |
Fiona M. Halliday | GB | Derby | 2012-12-06 / 20120309274 - APPARATUS AND A METHOD OF SHAPING AN EDGE OF AN AEROFOIL | 1 |
David J. Halliday | GB | Leicestershire | 2014-12-18 / 20140369365 - Header Compression for Wireless Backhaul Systems | 1 |
Andrew Halliday | GB | Hook Norton | 2015-08-27 / 20150238044 - Machine For The Preparation Of Beverages | 4 |
David Halliday | GB | Nottinghamshire | 2015-10-08 / 20150287261 - AUTHENTICATION DEVICE | 1 |
Jonathan Halliday | GB | New Castle Upon Tyne | 2015-10-08 / 20150286496 - SYSTEMS AND METHODS FOR ENLISTING SINGLE PHASE COMMIT RESOURCES IN A TWO PHASE COMMIT TRANSACTION | 1 |
Caroline Halliday | GB | Derby | 2008-10-23 / 20080260536 - BLADE ARRANGEMENT | 1 |
Marie Clare Halliday | GB | Blackhill | 2009-01-15 / 20090017315 - HEAT RESISTANT COATING | 1 |
David Halliday | GB | Kings Barns | 2009-04-16 / 20090097357 - Seismic Data Acquisition and Source-Side Derivatives Generation and Application | 1 |
Andrew Halliday | GB | Chipping Norton Oxfordshire | 2010-04-01 / 20100078446 - Cartridge And Method For The Preparation Of Beverages | 1 |
Janet Anne Halliday | GB | West Lothian | 2014-04-17 / 20140107195 - WATER-SWELLABLE POLYMERS | 3 |
William Halliday | GB | Edinburgh | 2012-08-02 / 20120194479 - INPUT DEVICE AND ASSOCIATED METHOD | 5 |
David Halliday | GB | Glasgow | / - | 1 |
Janet A. Halliday | GB | West Lothian | 2012-11-29 / 20120302635 - Water-Swellable Polymers | 4 |
Derek Halliday | US | San Francisco | 2014-10-30 / 20140325586 - METHOD AND SYSTEM FOR EVALUATING SECURITY FOR AN INTERACTIVE SERVICE OPERATION BY A MOBILE DEVICE | 1 |
Christopher I. Halliday | US | Phoenixville | 2015-08-20 / 20150231443 - PULMONARY SYSTEM RESISTANCE TRAINING APPARATUS AND METHODS | 5 |
Scott Brian Halliday | GB | Edinburgh | 2011-04-28 / 20110098474 - PROCESS FOR THE SYNTHESIS OF MORPHINANE COMPOUNDS AND INTERMEDIATES THEREOF | 1 |
David Halliday | GB | Fife | 2011-12-22 / 20110310700 - Seismic Data Acquisition and Source-Side Derivatives Generation and Application | 2 |
David Fraser Halliday | GB | Edinburgh | 2010-03-25 / 20100074051 - REMOVING NON-PHYSICAL WAVEFIELDS FROM INTERFEROMETRIC GREEN'S FUNCTIONS | 1 |
Andrew Michael Halliday | GB | Chipping Norton Oxfordshire | 2015-10-01 / 20150272381 - Beverage Preparation Machines | 2 |
William S. Halliday | US | Evergreen | 2009-10-15 / 20090258800 - METHODS FOR MINIMIZING THE AMOUNT OF GRAPHITE PARTICLES USED DURING DRILLING OPERATIONS | 1 |
Richard Halliday | US | San Jose | / - | 1 |
Matthew Halliday | US | Redwood Shores | 2009-04-30 / 20090113411 - UPGRADE TRACKING SYSTEM | 3 |
Brian L. Halliday | US | Hickory | 2008-10-30 / 20080263919 - Composite label and method of labeling | 1 |
David J. Halliday | CA | Maple Ridge | 2015-05-21 / 20150135983 - ZIPLINE BRAKING SYSTEM | 2 |
William Halliday | UK | Edinburgh | 2013-10-17 / 20130271649 - CAMERA MODULE, METHOD OF ASSEMBLY AND IMAGING DEVICE | 1 |
David Fraser Halliday | GB | Kingsbarns | 2012-04-05 / 20120081999 - Interferometric Seismic Data Processing for a Towed Marine Survey | 4 |
Judy Halliday | AU | Chappel Hill | 2008-11-13 / 20080280837 - Biologically Active Compounds with Anti-Angiogenic Properties | 1 |
David Fraser Halliday | GB | Cherry Hinton | 2015-04-30 / 20150117149 - METHODS AND SYSTEMS FOR LAND SEISMIC SURVEYING | 10 |
David Halliday | CA | Maple Ridge | 2015-10-01 / 20150273348 - FLYING THEATRE | 3 |
Caroline Halliday | GB | West Kilbride | 2012-11-08 / 20120280090 - CONNECTING DEVICE PARTICULARLY ADAPTED FOR THE CONNECTION BETWEEN AN AIR INTAKE AND AN ENGINE OF AN AIRCRAFT NACELLE | 1 |
Thomas Stanley Halliday | US | Needham | 2013-11-28 / 20130312189 - POSITIONING DEVICE FOR USE IN SURGICAL PROCEDURES | 1 |
Andrew Halliday | GB | Banbury | 2016-03-24 / 20160083174 - A BEVERAGE PREPARATION SYSTEM, A CAPSULE AND A METHOD FOR FORMING A BEVERAGE | 6 |
Michael V. Halliday | US | Salem | 2014-10-09 / 20140300157 - BACKREST MEMBER INCLUDING AN ADJUSTABLE PLATFORM FOR USE WITH A CHAIR | 3 |
Richard Meech Halliday | US | San Jose | 2014-03-27 / 20140084901 - Hand tool having a pivot grip for sensing measurements behind a target surface | 1 |
William S. Halliday | US | Cypress | 2014-06-12 / 20140158360 - DRAG REDUCING AGENTS FOR OIL- AND SYNTHETIC-BASED FLUIDS | 1 |
Derek Joseph Halliday | US | San Francisco | 2013-12-05 / 20130326477 - EXPRESSING INTENT TO CONTROL BEHAVIOR OF APPLICATION COMPONENTS | 2 |
David Halliday | GB | Kingsbarn | 2013-04-25 / 20130100763 - Seismic Data Acquisition and Source-Side Derivatives Generation and Application | 1 |
Judy Halliday | AU | Chappl Hill | 2011-07-07 / 20110165700 - CLASSES OF COMPOUNDS THAT INTERACT WITH INTEGRINS | 1 |
Nigel Halliday | GB | Nottingham | 2016-05-12 / 20160131648 - ALKYL QUINOLONES AS BIOMARKERS OF PSEUDOMONAS AERUGINOSA INFECTION AND USES THEREOF | 1 |
Ian William James Halliday | US | Seattle | 2012-10-18 / 20120266144 - STEPPING OUT OF AN ASYNCHRONOUS METHOD INTO ITS CONTINUATION IN A DEBUGGER | 1 |
Andrew Halliday | US | Soquel | 2014-10-09 / 20140304623 - GRAPHICAL USER INTERFACES FOR SUPPORTING COLLABORATIVE GENERATION OF LIFE STORIES | 4 |
Aileen Halliday | GB | Penicuik | 2013-03-14 / 20130064853 - PARASITE VACCINE | 1 |
Steven R. Halliday | US | Salem | 2014-10-09 / 20140300157 - BACKREST MEMBER INCLUDING AN ADJUSTABLE PLATFORM FOR USE WITH A CHAIR | 2 |
Ian W. J. Halliday | US | Seattle | 2012-09-27 / 20120246624 - DEBUGGER-SET IDENTIFYING BREAKPOINTS AFTER COROUTINE YIELD POINTS | 1 |
Beatrice Hallig | US | Santa Barbara | 2009-03-05 / 20090061405 - DOLL WITH REVOLVING ABDOMINAL CHAMBER TO SIMULATE PREGNANCY | 1 |
Joseph Halligan | US | Sacramento | 2014-01-23 / 20140020571 - Rotary Machine for Separation of a Hard Plant Component from a Connected Soft Matter Component | 1 |
Christopher John Cornelius Halligan | US | Weddington | / - | 1 |
Matt Halligan | GB | Enniskillen | 2012-02-23 / 20120044807 - METHOD AND SYSTEM FOR ENFORCING TRAFFIC POLICIES AT A POLICY ENFORCEMENT POINT IN A WIRELESS COMMUNICATIONS NETWORK | 2 |
Matt Halligan | GB | Belfast | 2016-05-05 / 20160127238 - CONGESTION MONITORING | 1 |
Sriram Hallihole | IN | Bangalore | 2013-01-31 / 20130030554 - INTEGRATED LINEAR/NON-LINEAR HYBRID PROCESS CONTROLLER | 4 |
Eric K. Hall, Ii | US | Seal Beach | 2011-03-17 / 20110063178 - HEPTAGONAL ANTENNA ARRAY | 2 |
Terry L. Hall, Ii | US | Hilton Head | 2014-09-18 / 20140263113 - FISHING ROD HOLDER | 1 |
John Edward Hall, Iii | US | The Colony | 2008-10-23 / 20080256969 - HIGH PRESSURE MISTER FAN COOLING SYSTEM | 1 |
Clifford L. Hall, Iii | US | Austin | 2009-05-07 / 20090119322 - SYSTEM AND METHOD FOR MANAGING CONTENT | 2 |
Arlest Bryon Hall, Iii | US | Farmington | 2011-06-23 / 20110153419 - SYSTEM AND METHOD FOR INTELLIGENT MODELING FOR INSURANCE MARKETING | 1 |
Walter Randall Hall, Iii | US | Richmond | 2016-02-04 / 20160032492 - PROCESS FOR MAKING A YARN HAVING IMPROVED STRENGTH RETENTION AND YARN MADE THEREBY | 5 |
Aaro Hallikainen | FI | Espoo | 2014-12-11 / 20140362397 - Messaging Service System And Method To Be Performed In Such A System | 1 |
Harold Hallikainen | US | San Luis Obispo | 2008-11-06 / 20080274436 - Optically regulated dental light unit | 1 |
Harold Mark Hallikainen | US | Santa Maria | 2014-01-02 / 20140006271 - CROSS-NETWORK ELECTRONIC PAYMENT PROCESSING SYSTEM AND METHOD | 2 |
Pekka Hallikainen | FI | Hyvinkaa | 2016-03-17 / 20160075536 - DRIVE MACHINE FOR AN ELEVATOR AND AN ELEVATOR | 2 |
Harri Hallila | FI | Helsinki | 2015-12-31 / 20150377222 - ACTUATOR AND METHOD FOR IMPROVING AN ACTUATOR | 3 |
Karen E. Halliley | US | Marion | 2010-05-27 / 20100129487 - MASS-LESS BELT MANDREL | 2 |
Jessica Halliley | US | Marion | 2010-09-02 / 20100221755 - USE OF ANTIBODY SECRETING CELL ELISPOT TO ASSESS ANTIBODY RESPONSES FOLLOWING ANTIGEN EXPOSURE | 1 |
Jessica L. Halliley | US | Rochester | 2011-09-22 / 20110229914 - Use of Antibody Secreting Cell Elispot To Assess Antibody Responses Following Antigen Exposure | 1 |
Thomas G. Hallin | US | Erie | 2009-07-02 / 20090168985 - METHOD AND APPARATUS FOR AN INTERNET PROTOCOL MULTIMEDIA SUBSYSTEM-BASED THREE-WAY CALL | 2 |
Cristian Hallin | SE | Halmstad | / - | 1 |
Rolf G. Hallin | GB | London | 2010-08-26 / 20100212155 - MULTIELECTRODE | 1 |
Magnus Hallin | SE | Linkoping | 2012-11-29 / 20120304093 - METHOD AND APPARATUS FOR PROVIDING GRAPHICAL INTERFACES FOR DECLARATIVE SPECIFICATIONS | 1 |
Björn Hallin | SE | Malmo | 2011-12-22 / 20110310294 - Camera Housing | 1 |
Ingrid Hallin | SE | Lund | 2012-11-08 / 20120283326 - ANTHRANILIC ACID DERIVATIVES | 2 |
Peter Fischer Hallin | DK | Holte | 2012-10-04 / 20120252086 - Compositions Comprising Boosting Polypeptide And Starch Degrading Enzyme And Uses Thereof | 1 |
Peter F. Hallin | DK | Holte | 2013-01-17 / 20130017571 - Pullulanase Variants and Uses Thereof | 1 |
Philip J. Hallin | US | Redmond | 2013-08-15 / 20130212383 - Revocation Information for Revocable Items | 2 |
Ingemar Hallin | SE | Lidingo | 2011-12-08 / 20110296863 - ICE MAKER AND METHOD OF PRODUCING PIECES OF ICE | 2 |
Christer Hallin | US | Chapel Hill | 2014-08-28 / 20140239308 - MIX DOPING OF A SEMI-INSULATING GROUP III NITRIDE | 2 |
Philip Hallin | US | Port Townsend | 2016-02-04 / 20160036593 - ADVISING CLIENTS ABOUT CERTIFICATE AUTHORITY TRUST | 3 |
Philip J. Hallin | US | Port Townsend | 2014-12-11 / 20140366108 - Digital Identity Management | 2 |
Christer Hallin | SE | Linkoping | 2009-09-17 / 20090230406 - HOMOEPITAXIAL GROWTH OF SIC ON LOW OFF-AXIS SIC WAFERS | 1 |
David S. Hallin | US | Cary | 2013-03-28 / 20130080293 - MANUFACTURING SUPPLY CHAIN MANAGEMENT | 1 |
Karl-Eliv J. Hallin | US | Santa Clara | 2015-02-05 / 20150040221 - SERVER WITH MECHANISM FOR CHANGING TREATMENT OF CLIENT CONNECTIONS DETERMINED TO BE RELATED TO ATTACKS | 2 |
Peter Hallin | SE | Habo | 2015-12-24 / 20150366137 - A Robotic Work Tool System and Method Comprising a Charging Station | 2 |
Erik Hallin | SE | Storvreta | 2015-02-12 / 20150046134 - SIMULATION OF A CHROMATOGRAPHIC RUN | 2 |
Noel C. Hallinan | US | Loveland | 2016-03-24 / 20160083324 - CATALYST STABILITY AND CORROSION PREVENTION IN ACETIC ACID PRODUCTION PROCESS | 17 |
Noel C. Hallinan | US | Loveland | 2016-03-24 / 20160083324 - CATALYST STABILITY AND CORROSION PREVENTION IN ACETIC ACID PRODUCTION PROCESS | 17 |
Noel Hallinan | US | Loveland | 2014-02-13 / 20140046092 - REMOVING HYDROCARBON IMPURITIES FROM ACETIC ACID PRODUCTION INTERMEDIATE | 8 |
Michael Rourk Hallinan | US | Davis | 2014-09-11 / 20140258305 - SYSTEMS AND METHODS FOR PROVIDING CONTEXTUAL TRUST SCORES | 1 |
N. Pat Hallinan | US | Pocatello | 2009-05-14 / 20090120995 - FRICTION STIR WELD TOOLS, METHODS OF MANUFACTURING SUCH TOOLS, AND METHODS OF THIN SHEET BONDING USING SUCH TOOLS | 1 |
Noel Hallinan | US | Loveland | 2014-02-13 / 20140046092 - REMOVING HYDROCARBON IMPURITIES FROM ACETIC ACID PRODUCTION INTERMEDIATE | 8 |
Paul M. Hallinan | US | San Carlos | 2013-05-02 / 20130107707 - EMULATING NETWORK TRAFFIC SHAPING | 1 |
Daniel T. Hallinan, Jr. | US | Walnut Creek | 2012-10-18 / 20120264880 - Block Copolymer with Simultaneous Electric and Ionic Conduction for Use in Lithium ION Batteries | 1 |
Brian Halling | US | Riverton | 2010-02-25 / 20100043801 - Minimally invasive nasal cannula | 1 |
Kevin C. Halling | US | Rochester | 2015-11-26 / 20150337391 - METHOD AND PROBE SET FOR DETECTING CANCER | 10 |
Dale B. Halling | US | Colorado Springs | 2009-11-26 / 20090288956 - System For Recycling Printed Circuit Boards | 1 |
Kevin Halling | US | Rochester | 2013-07-04 / 20130171639 - MATERIALS AND METHODS FOR DIAGNOSIS, PROGNOSIS, MONITORING OF RECURRENCE, AND ASSESSMENT OF THERAPEUTIC/PROPHYLACTIC TREATMENT OF PANCREATOBILIARY CANCER | 2 |
Horace P. Halling | US | Durham | 2013-12-19 / 20130334772 - SEAL | 7 |
Kevin C. Halling | US | Rochester | 2015-11-26 / 20150337391 - METHOD AND PROBE SET FOR DETECTING CANCER | 10 |
Dale Brian Halling | US | Colorado Springs | 2013-06-20 / 20130155893 - MULTIPLE CHANNEL SYSTEM FOR A TWISTED PAIR TELEPHONE WIRE LOCAL LOOP SYSTEM | 4 |
Jordan Halling | US | Riverton | 2010-02-25 / 20100043801 - Minimally invasive nasal cannula | 1 |
Gregory J. Hallingstad | US | Madison | 2013-02-14 / 20130039510 - SYSTEM WITH WIRELESS EARPHONES | 4 |
Ania Halliop | CA | Mississauga | 2014-07-24 / 20140207946 - METHOD AND SYSTEM FOR MANAGING A VPN CONNECTION | 3 |
Calvin Springer Hall, Iv | US | Costa Mesa | 2009-07-02 / 20090172206 - DETECTION AND CONFIGURATION OF SAS/SATA CONNECTION | 1 |
Calvin Springer Hall, Iv | CA | Costa Mesa | 2009-07-30 / 20090190476 - FLAGGING OF PORT CONDITIONS IN HIGH SPEED NETWORKS | 1 |
Harry T. Hall, Iv | US | Downingtown | 2012-03-15 / 20120065548 - Orthopaedic Implant With Sensors | 1 |
Juha Samuel Hallivuori | FI | Tampere | 2014-12-11 / 20140361936 - Apparatus for Wireless Communication | 4 |
Ville Hallivuori | FI | Espoo | 2015-09-17 / 20150263888 - NETWORK ELEMENT OF A SOFTWARE-DEFINED NETWORK | 16 |
Matti Hallivuori | FI | Espoo | 2009-12-17 / 20090313501 - METHOD AND ARRANGEMENT FOR PROCESSING TRANSACTIONS IN A FLASH TYPE MEMORY DEVICE | 2 |
Juha Hallivuori | FI | Tampere | 2016-05-19 / 20160141755 - METHOD AND APPARATUS FOR A COMMUNICATION DEVICE | 5 |
Ville Hallivuori | FI | Espoo | 2015-09-17 / 20150263888 - NETWORK ELEMENT OF A SOFTWARE-DEFINED NETWORK | 16 |
Jennifer Helen Halliwell | GB | Menai Bridge | 2014-12-11 / 20140363894 - SENSORS | 1 |
Martin Charles Halliwell | GB | Wheatley | 2014-10-16 / 20140306468 - Double Latch Assembly For A Motor Vehicle | 3 |
Martin C. Halliwell | GB | Wheatley | 2011-01-20 / 20110011005 - Reinforced door frame construction for a vehicle | 1 |
Martin Halliwell | GB | Oxfordshire | / - | 1 |
Joe Halliwell | GB | Edinburgh | 2011-03-31 / 20110078584 - System for organising social media content to support analysis, workflow and automation | 1 |
Luke Halliwell | US | Glendale | 2015-12-17 / 20150363959 - SEAMLESS REPRESENTATION OF VIDEO AND GEOMETRY | 1 |
Martin Charles Halliwell | GB | Whitley | 2013-09-19 / 20130241215 - RETRACTABLE HANDLE FOR A DOOR OR THE LIKE | 1 |
Martin Halliwell | GB | Whitley, Coventry, Warwickshire | 2015-10-08 / 20150283956 - TRIM PANEL | 2 |
Luke Joseph Halliwell | GB | Dundee | 2012-04-19 / 20120095945 - METHOD OF CREATING A COMPUTER MODEL OF THE PHYSICAL WORLD | 1 |
Steve Halliwell | US | Warrenville | 2014-05-22 / 20140142365 - Method and apparatus for identification, stabilization and safe removal of radioactive waste and non hazardous waste contained in buried objects | 1 |
Brian Halliwell | US | Pico Rivera | 2015-11-26 / 20150338071 - TWIST AND LOCK MOUNTING BRACKET | 3 |
Chris Halliwell | US | Huntington Beach | 2009-03-12 / 20090068631 - Web based educational system for collaborative learning | 1 |
Denise Halliwell | GB | Wiltshire | 2009-02-12 / 20090041807 - Stable Compositions Containing Omvs | 1 |
Arvind Halliyal | US | Cupertino | 2012-02-16 / 20120038051 - BURIED SILICIDE LOCAL INTERCONNECT WITH SIDEWALL SPACERS AND METHOD FOR MAKING THE SAME | 1 |
H. Tracy Hall, Jr. | US | Provo | 2010-12-30 / 20100326740 - Bonded Assembly Having Low Residual Stress | 2 |
James A. Hall, Jr. | US | Boise | 2013-01-10 / 20130010320 - USER INTERFACE FEEDBACK USING SCANNER LIGHT SOURCE | 4 |
Charles William Hall, Jr. | US | Hilliard | 2015-12-24 / 20150367858 - SYSTEM AND METHOD FOR DETERMINING THE INFORMATION TRANSFER RATE BETWEEN A DRIVER AND VEHICLE | 3 |
Thomas Glenn Hall, Jr. | US | Richardson | 2014-01-16 / 20140016646 - INTELLIGENT POLICY SERVER SYSTEM AND METHOD FOR BANDWIDTH CONTROL IN AN ATM NETWORK | 2 |
Floyd Steven Hall, Jr. | US | Sacramento | 2016-04-14 / 20160103322 - METHOD AND SYSTEM FOR PRESS-ON DISPLAYS FOR FASHIONABLE EYEWEAR AND NOSEWEAR | 1 |
Michael Lee Hall, Jr. | US | Austin | 2010-06-10 / 20100142533 - TRANSPARENT NETWORK SERVICE ENHANCEMENT | 2 |
Jerry F. Hall, Jr. | US | Oakmont | 2012-07-12 / 20120175078 - HEAT EXCHANGER TUBE CLEANING APPARATUS AND METHOD OF REMOVING A BLOCKAGE FROM A TUBE | 1 |
Jerry F. Hall, Jr. | US | 2013-08-01 / 20130192049 - SYSTEM FOR PLUGGING HEAT EXCHANGING TUBES | 1 | |
Robert Albion Hall, Jr. | US | Kenner | 2010-03-04 / 20100050667 - Cooling With Refrigerant Feedback | 1 |
Estill Thone Hall, Jr. | US | Fishers | 2011-10-27 / 20110261292 - SYSTEM AND METHOD FOR DECREASING THE POWER REQUIREMENTS OF A BACKLIGHT FOR A LIQUID CRYSTAL DISPLAY | 14 |
George H. Hall, Jr. | US | Toledo | 2013-05-02 / 20130107249 - Container Inspection Apparatus and Method | 2 |
David N. Hall, Jr. | US | Rancho Santa Margarita | 2009-01-08 / 20090013055 - System and method of controlling terminal services availability remotely | 1 |
James Hamilton Hall, Jr. | US | Centennial | 2012-11-29 / 20120304205 - Monitoring and Activity Reporting of Enhanced Media Content | 1 |
Ron Hall, Jr. | US | Prospect Heights | 2014-02-27 / 20140053452 - Insect Trap Apparatuses and Methods of Using the Same | 1 |
Edwin L. Hall, Jr. | US | Amelia Island | 2014-12-11 / 20140361660 - Transducing apparatus | 4 |
Clarence F. Hall, Jr. | US | Claremore | 2009-02-19 / 20090047156 - INSULATED BEARINGS FOR DOWNHOLE MOTORS | 1 |
Hugo Hallman | SE | Djursholm | 2011-01-27 / 20110022949 - CONTROL OF CONCENTRATION OF FEED ITEMS IN AN AGGREGATED FEED DOCUMENT | 1 |
Gene Hallman | US | San Francisco | 2015-10-22 / 20150304366 - PARTICIPATION QUEUE SYSTEM AND METHOD FOR ONLINE VIDEO CONFERENCING | 1 |
John Michael Hallman | GB | Cambridge | 2011-09-08 / 20110219376 - Method, apparatus and trace module for generating timestamps | 1 |
Jason Hallman | US | Cary | 2012-11-22 / 20120295915 - AZABENZIMIDAZOLES AS FATTY ACID SYNTHASE INHIBITORS | 2 |
Michael Edward Hallman | US | Harrisburg | 2015-11-26 / 20150340827 - TERMINAL CRIMPING SYSTEM WITH WIRE ALIGNMENT AID | 1 |
Kevin Hallman | US | Woodbury | 2015-09-10 / 20150254431 - PATIENT INFORMATION DELIVERY AND COLLECTION SYSTEM | 1 |
Jason J. Hallman | US | Saline | 2015-08-06 / 20150217720 - SEAT BELT ASSEMBLY | 1 |
Darren Hallman | US | Scotia | 2013-10-17 / 20130269479 - GEARBOX AND SUPPORT APPARATUS FOR GEARBOX CARRIER | 1 |
Darren Lee Hallman | US | Scotia | 2015-04-16 / 20150104124 - HERMETICALLY SEALED DAMPER ASSEMBLY AND METHODS OF ASSEMBLING SAME | 11 |
Kimberly D.a. Hallman | US | Bellevue | 2015-12-24 / 20150371715 - SYSTEMS AND METHODS FOR ACOUSTIC WAVE ENABLED DATA STORAGE | 20 |
Jeffrey Allen Hallman | US | Ramona | 2008-11-27 / 20080289566 - Bookmark and method of employment for product advertising, reading encouragement, and contests | 1 |
Lawrence M. Hallman | US | Sterling Heights | 2009-04-09 / 20090093932 - Vehicular Seatbelt Monitoring System and Process | 1 |
Dawn Hallman | US | Baker | 2014-02-27 / 20140054195 - Tag-Along-Pack | 1 |
John H. Hallman | US | New Waverly | 2009-07-23 / 20090184286 - HEAT EXCHANGE FLUIDS | 1 |
Kimberly D. A. Hallman | US | Bellevue | 2015-05-07 / 20150127300 - Sensor Selection Based on Context and Policy | 1 |
David M. Hallman | US | Maple Grove | 2009-12-10 / 20090301183 - FLAT BELT ROADWAY SIMULATOR WITH STEER AND/OR CAMBER ADJUSTMENT AND METHOD FOR ASCERTAINING ROLLING LOSS | 1 |
Darren Lee Hallman | US | Scotia | 2015-04-16 / 20150104124 - HERMETICALLY SEALED DAMPER ASSEMBLY AND METHODS OF ASSEMBLING SAME | 11 |
Darren Lee Hallman | US | Clifton Park | 2008-11-13 / 20080278151 - SYSTEM AND METHODS FOR INSPECTING INTERNAL CRACKS | 1 |
Kenneth B. Hallman | US | Arlington Heights | 2010-12-02 / 20100303232 - Wireless Digital Personal Communications System Having Voice/Data/Image Two-Way Calling and Intercell Hand-Off Provided Through Distributed Logic Resident in Portable Handset Terminals, Fixed Terminals, Radio Cell Base Stations and Switched Telephone Network | 1 |
Marie A. Hallman | US | Walled Lake | 2009-02-19 / 20090044450 - INTEGRATED SAFETY GATE | 1 |
Russell L. Hallman | US | Knoxville | 2014-07-31 / 20140212636 - Toughened and Corrosion- and Wear-Resistant Composite Structures and Fabrication Methods Thereof | 4 |
George Hallman | US | Washington | 2014-01-30 / 20140027693 - SCISSOR LIFT APPARATUS | 2 |
Kimberly Denise Auyang Hallman | US | Bellevue | 2014-05-08 / 20140129866 - AGGREGATION FRAMEWORK USING LOW-POWER ALERT SENSOR | 3 |
James Hallman | US | Raleigh | 2015-02-12 / 20150046614 - CENTRALIZED PERIPHERAL ACCESS PROTECTION | 1 |
Paul E. Hallman | US | Lakewood | 2014-05-08 / 20140124539 - LOCKABLE DISPENSING PACKAGE AND ACTUATOR | 2 |
Russell L. Hallman, Jr. | US | Knoxville | 2014-09-18 / 20140268124 - ENVIRONMENTAL CELL ASSEMBLY FOR USE IN FOR USE IN SPECTROSCOPY AND MICROSCOPY APPLICATIONS | 2 |
Mike Hallmann | DE | Schwerin | 2011-01-13 / 20110005076 - METHOD FOR CONNECTING AT LEAST TWO HEAT EXCHANGER PLATES | 1 |
Mike Hallmann | DE | Reinbeck | 2011-06-16 / 20110139400 - CONVERSION SET FOR A TUBE BUNDLE HEAT EXCHANGER | 1 |
Michael Heuer Hallmann | DE | Ostercappeln | 2009-11-12 / 20090279944 - RADIAL JOINT AND PROCESS FOR MANUFACTURING SUCH A RADIAL JOINT FOR A MOTOR VEHICLE | 1 |
Joerg Harry Hallmann | US | Sammamish | 2012-05-24 / 20120131022 - METHODS AND SYSTEMS FOR MERGING DATA SETS | 2 |
Göran Hallmans | SE | Umea | 2009-11-19 / 20090285794 - Novel non-antibiotic strategy against OGIP infections based on an activated cereal product or ferric quinate | 1 |
Göran Hallmans | SE | Umea | 2009-11-19 / 20090285794 - Novel non-antibiotic strategy against OGIP infections based on an activated cereal product or ferric quinate | 1 |
Göran Hallmans | SE | Umea | 2009-11-19 / 20090285794 - Novel non-antibiotic strategy against OGIP infections based on an activated cereal product or ferric quinate | 1 |
Göran Hallmans | SE | Umea | 2009-11-19 / 20090285794 - Novel non-antibiotic strategy against OGIP infections based on an activated cereal product or ferric quinate | 1 |
Jerald Hallmark | US | Sugar Hill | 2015-12-03 / 20150349372 - STACKED ELECTROCHEMICAL CELL WITH INCREASED ENERGY DENSITY | 1 |
Christopher Hallmark | US | Joplin | 2012-11-01 / 20120276435 - METHOD OF FORMING ENCAPSULATED SOLID ELECTROCHEMICAL COMPONENT | 1 |
Jerald A. Hallmark | US | Sugar Hill | 2014-12-18 / 20140370345 - SEGMENTED ENERGY STORAGE ASSEMBLY | 5 |
Jerry Hallmark | US | Gilbert | 2009-04-02 / 20090085512 - APPARATUS FOR CHARGING A BATTERY OF A PORTABLE ELECTRONIC DEVICE | 1 |
Gary Hallmark | US | Portland | 2013-11-28 / 20130318029 - DISTRIBUTED ORDER ORCHESTRATION SYSTEM WITH EXTENSIBLE FLEX FIELD SUPPORT | 1 |
Bart Hallmark | GB | Cambridgeshire | 2011-09-08 / 20110217428 - CONFECTIONERY AND METHODS OF PRODUCTION THEREOF | 1 |
Timothy M. Hallmark | US | Gonzalez | 2014-07-17 / 20140200122 - Mobile Training Device | 1 |
Jason P. Hallmark | US | Tarrant City | 2016-03-17 / 20160074684 - Safety Harness For Climbing Tree Stand | 1 |
Bart Hallmark | GB | Grundisburgh | 2011-01-27 / 20110020574 - EXTRUDED MATERIALS HAVING CAPILLARY CHANNELS | 3 |
Ola Hallmarker | SE | Hagersten | 2014-11-27 / 20140351389 - MODIFICATION OF LIVE STREAMS | 8 |
Ola Hallmarker | SE | Hagersten | 2014-11-27 / 20140351389 - MODIFICATION OF LIVE STREAMS | 8 |
Ola Hallmarker | SE | Segeltorp | 2013-08-01 / 20130198405 - NETWORK OPTIMIZED DISTRIBUTION | 6 |
Sammy Hallmi | US | Carrollton | 2012-10-11 / 20120259951 - Systems and Methods for Delivering Content to Vehicles | 1 |
Charles F. Hallmon | US | Lynn Haven | 2009-04-23 / 20090100742 - Apparatus for and method of maximizing attraction of mosquitoes | 1 |
Erik G. Hallnor | US | Beaverton | 2015-04-02 / 20150095580 - SCALABLY MECHANISM TO IMPLEMENT AN INSTRUCTION THAT MONITORS FOR WRITES TO AN ADDRESS | 5 |
Joseph W. Hallock | US | Renton | 2013-01-17 / 20130019251 - Value Provider Subscriptions for Sparsely Populated Data ObjectsAANM Kumar; DileepAACI RedmondAAST WAAACO USAAGP Kumar; Dileep Redmond WA USAANM Nowak; MichalAACI BellevueAAST WAAACO USAAGP Nowak; Michal Bellevue WA USAANM Hallock; Joseph W.AACI RentonAAST WAAACO USAAGP Hallock; Joseph W. Renton WA USAANM Modi; KeeronAACI RedmondAAST WAAACO USAAGP Modi; Keeron Redmond WA US | 5 |
Zachariah Hallock | US | Hillsborough | 2009-05-07 / 20090119593 - VIRTUAL TABLE | 2 |
Alexander Hallock | US | Redwood City | 2011-11-03 / 20110266429 - SURFACE ENHANCED RAMAN SPECTROSCOPY DETECTION WITH ION SEPARATION PRE-FILTER | 1 |
Joseph H. Hallock | US | Boones Mill | 2010-08-12 / 20100199431 - SAFETY BED FRAME MOUNTING SYSTEM | 1 |
Trever Hallock | US | Westminster | 2016-02-11 / 20160041993 - APPARATUS AND METHODS FOR LIGHTWEIGHT TRANSCODING | 1 |
Roxanne Belinda Hallock | US | Oshkosh | 2013-01-17 / 20130018345 - Body Adhering Absorbent Aticle | 3 |
William J. Hallock | US | Hazleton | 2010-12-30 / 20100326365 - REUSABLE WATER LINE GROMMET AND DISPOSABLE CAGE BOX | 1 |
Gary A. Hallock | US | Austin | 2011-08-11 / 20110194376 - Free Charge Carrier Diffusion Response Transducer For Sensing Gradients | 1 |
Robert B. Hallock | US | Newton | 2013-10-24 / 20130277843 - FLIP CHIP MOUNTED MONOLITHIC MICROWAVE INTEGRATED CIRCUIT (MMIC) STRUCTURE | 6 |
Donald A. Hallock | US | Poughkeepsie | 2012-08-02 / 20120198390 - AUTOMATIC GRAPHICAL RENDERING OF PROCESSES | 3 |
Alexander J. Hallock | US | Redwood City | 2013-06-13 / 20130150265 - CHEMICAL SYNTHESIS USING UP-CONVERTING PHOSPHOR TECHNOLOGY AND HIGH SPEED FLOW CYTOMETRY | 1 |
Richard H. Hallock | US | Hummelstown | 2010-09-30 / 20100249941 - SURGICALLY IMPLANTABLE KNEE PROSTHESIS WITH CAPTURED KEEL | 2 |
Robert W. Hallock | US | Chicago | 2014-08-07 / 20140217108 - STAND FOR CARBON DIOXIDE HORN AND ACCESSORY | 4 |
Joseph Hallock | US | Renton | 2012-11-15 / 20120290982 - INTERFACE INCLUDING SELECTABLE ITEMS CORRESPONDING TO SINGLE OR MULTIPLE DATA ITEMS | 2 |
Todd J. Hallock | US | Thomaston | 2009-07-30 / 20090190349 - LIGHT GUIDE EXPOSURE DEVICE | 1 |
Todd J. Hallock | US | Thomson | 2011-11-03 / 20110268888 - EXPOSURE DEVICE HAVING AN ARRAY OF LIGHT EMITTING DIODES | 1 |
Dan Hallock | US | Redwood City | 2012-01-12 / 20120010609 - Systems and Methods for Creating an Effect Using Microwave Energy to Specified Tissue | 3 |
Daniel Hallock | US | Redwood City | 2014-06-26 / 20140180271 - SYSTEMS, APPARATUS, METHODS AND PROCEDURES FOR THE NON-INVASIVE TREATMENT OF TISSUE USING MICROWAVE ENERGY | 5 |
Roch Hallopeau | FR | Paris | 2014-10-23 / 20140311584 - METHOD FOR DISCHARGING LIQUID FROM A TANK OF A STRICKEN SHIP | 1 |
Francois-Agathange Hallopeau | FR | Issy Les Moulineaux | 2008-11-27 / 20080290240 - Device for Displaying a Range of Objects | 1 |
Francois-Agathange Hallopeau | FR | Vanves | 2008-12-18 / 20080308513 - Device for Displaying Objects | 1 |
Tobias Hallor | SE | Stockholm | 2012-03-08 / 20120059752 - POST TRADE HANDLING MODULE AND A METHOD THEREIN | 2 |
Tobias Hallor | SE | Saltsjo-Bo | 2012-11-22 / 20120296797 - METHOD AND ARRANGEMENT FOR PRE-MATCH RISK VALIDATION OF ORDERS IN AN AUTOMATED EXCHANGE | 1 |
Mattias Hallor | DE | Markgroeningen | 2014-07-10 / 20140191694 - ELECTRONICALLY COMMUTATED ELECTRIC MOTOR | 5 |
Tobias Hallor | SE | Saltsjo-Boo | 2013-11-14 / 20130304846 - METHODS AND ARRANGEMENTS FOR AN AUTOMATED EXCHANGE SYSTEM | 1 |
Colin T. Halloran | US | Lakeville | 2013-06-13 / 20130151281 - METHODS AND SYSTEMS FOR MANAGING PRESCRIPTION LIABILITY | 1 |
Kevin Halloran | US | Somerset | 2012-05-31 / 20120136038 - Novel Polymorphs Of Azabicyclohexane | 3 |
Owen J. Halloran | US | Philadelphia | 2009-12-10 / 20090306626 - DEVICES AND METHODS FOR NASOENTERIC INTUBATION | 1 |
Zachary J. Halloran | US | Muscatine | 2015-12-10 / 20150353979 - Preparation Of Malto-Oligosaccharides | 3 |
John D. Halloran | US | Maumelle | 2015-08-27 / 20150242390 - APPARATUS, METHOD AND COMPUTER READABLE MEDIUM FOR A MULTIFUNCTIONAL INTERACTIVE DICTIONARY DATABASE FOR REFERENCING POLYSEMOUS SYMBOL | 5 |
John J. Halloran | US | New York | 2012-03-22 / 20120072330 - TRADE EXECUTION METHODS AND SYSTEMS | 1 |
Michael J. Halloran | US | Bedford | 2016-03-17 / 20160075024 - NAVIGATING AUTONOMOUS COVERAGE ROBOTS | 5 |
Joseph P. Halloran | US | Tucson | 2008-08-21 / 20080196319 - CERAMIC ROUND TOOLS FOR THE MACHINING OF COMPOSITE MATERIALS | 1 |
Michael Halloran | US | Waltham | 2015-06-11 / 20150158182 - Mobile Robot System | 12 |
Daniel N. Halloran | US | Saukville | 2016-03-17 / 20160076237 - TOILET INSTALLATION SYSTEM AND METHOD | 13 |
Paul F. Halloran | US | Auburn | 2012-07-12 / 20120178105 - DETECTION OF GLOBOTRIAOSYLCERAMIDE (GLC) IN HUMAN URINE SAMPLES USING AN ANTIBODY SANDWICH | 1 |
Mike Halloran | US | Bedford | 2016-04-14 / 20160100521 - Autonomous Robot Localization | 1 |
Craig Robert Halloran | US | Oxford | 2009-08-27 / 20090211357 - Humidity Sensing Apparatus | 1 |
Daniel N. Halloran | US | Saukville | 2016-03-17 / 20160076237 - TOILET INSTALLATION SYSTEM AND METHOD | 13 |
William R. Halloran | US | Toms River | 2013-02-28 / 20130051252 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR MONITORING NETWORK PERFORMANCE | 1 |
Michael J. Halloran | US | Waltham | 2014-09-04 / 20140249671 - Robot System | 5 |
Cindy C. Halloran | US | Maumelle | 2015-08-27 / 20150242390 - APPARATUS, METHOD AND COMPUTER READABLE MEDIUM FOR A MULTIFUNCTIONAL INTERACTIVE DICTIONARY DATABASE FOR REFERENCING POLYSEMOUS SYMBOL | 5 |
Dan Halloran | US | Broadalbin | 2013-01-03 / 20130001014 - METHOD OF STABILIZING A PORTABLE LADDER | 1 |
John William Halloran | US | Ann Arbor | 2015-12-17 / 20150364753 - CONTROLLED POROSITY IN ELECTRODES | 2 |
Kevin John Halloran | US | Somerset | 2014-11-27 / 20140350284 - STEREOSELECTIVE SYNTHESIS OF METYROSINE | 4 |
Michael Halloran | US | Waltham | 2015-06-11 / 20150158182 - Mobile Robot System | 12 |
Scott D. Halloran | US | Canoga Park | 2013-12-19 / 20130333572 - SEPARATOR APPARATUS FOR PURIFYING A GAS STREAM | 1 |
Timothy Philip Halloran | US | Watertown | 2009-04-30 / 20090108954 - Quasi active MIMIC circulator | 1 |
John Halloran | US | New York | 2014-01-30 / 20140032389 - ELECTRONIC SECURITIES MARKETPLACE HAVING INTEGRATION WITH ORDER MANAGEMENT SYSTEMS | 5 |
Philip F. Halloran | CA | Edmonton | 2012-08-30 / 20120220484 - TISSUE REJECTION | 7 |
Gregory P. Halloran | US | Grafton | 2010-10-07 / 20100252467 - PACKAGING FOR SURGICAL BLADE TIPS | 1 |
Sean Timothy Halloran | US | Denver | 2013-11-21 / 20130306620 - HEATING SYSTEM AND METHODS FOR CONTROLLING THE HEATERS OF A HEATING SYSTEM | 6 |
Daniel Halloran | US | San Francisco | 2013-08-15 / 20130211965 - SYSTEMS AND METHODS FOR ACQUIRING AND GENERATING COMPARISON INFORMATION FOR ALL COURSE BOOKS, IN MULTI-COURSE STUDENT SCHEDULES | 1 |
John W. Halloran | US | Ann Arbor | 2014-04-17 / 20140103581 - SYSTEMS AND METHODS FOR FABRICATING THREE-DIMENSIONAL OBJECTS | 3 |
Gaetane Hallot | BE | Strombeek | 2014-01-02 / 20140005337 - PROPYLENE-ETHYLENE RANDOM COPOLYMER | 1 |
Raymond Hallot | FR | Martigues | 2010-06-10 / 20100143042 - Device for Restoring or for Installing the Thermally Insulating External Jacket of Pipes, Tubes, Hoses, Connection Elements and Other Jacketed Elements | 2 |
Raymond Hallot | FR | Voisins Le Bretonneux | 2015-10-01 / 20150274553 - METHOD AND DEVICE FOR THE UNDERWATER TESTING OF A FILTRATION SYSTEM | 5 |
Gaetane Hallot | BE | Grimbergen | 2015-11-12 / 20150322215 - INJECTION MOULDED POLYPROPYLENE ARTICLES | 1 |
Anthony Ray Halloway, Jr. | US | Boston | 2016-03-10 / 20160067000 - ROBOTIC SYSTEM INCLUDING A CABLE INTERFACE ASSEMBLY | 1 |
Scott Hallowell | US | Arvada | 2012-09-20 / 20120238216 - SYSTEMS AND METHODS FOR MANAGING BLUETOOTH DEVICE PAIRINGS | 2 |
Holly Hallowell | US | Westminster | 2013-03-21 / 20130067748 - APPARATUS FOR SAFELY CLIPPING THE NAILS OF YOUNG CHILDREN AND METHOD OF USE THEREOF | 1 |
Curtis W. Hallowell | US | Chicago | 2009-04-02 / 20090087076 - SYSTEM AND METHOD FOR PROCESSING CURRENCY BILLS AND TICKETS | 1 |
Brooke Hallowell | US | Millfield | 2014-07-03 / 20140186806 - PUPILLOMETRIC ASSESSMENT OF LANGUAGE COMPREHENSION | 2 |
Zachary E. Hallowell | US | San Francisco | 2014-09-11 / 20140258041 - SYSTEM AND METHODS FOR VEHICLE LIFECYCLE MANAGEMENT | 1 |
William C Hallowell | US | Spring | 2015-05-07 / 20150127890 - MEMORY MODULE WITH A DUAL-PORT BUFFER | 7 |
William C. Hallowell | US | Houston | 2015-09-17 / 20150261672 - RUNTIME BACKUP OF DATA IN A MEMORY MODULE | 1 |
Zachary E. Hallowell | US | Portland | 2015-07-30 / 20150213533 - THIRD-PARTY INSPECTION OF VEHICLES IN AN ELECTRONIC MARKET PLACE SYSTEM | 4 |
Jesse Van Hallowell | US | Frederick | 2014-06-05 / 20140154364 - Fruit Paste-Based Food Product Incorporating High Protein Particulates | 2 |
Benjamin Hallowell | US | Athens | 2015-09-17 / 20150259603 - Combined Heat, Power, and Biochar with Ventilator | 1 |
Jeffrey R. Hallowell | US | Woodstock | 2016-04-21 / 20160107120 - Combined Catalytic Converter and Cyclonic Separator for Biofuel-Fired Furnace | 6 |
Curtis Hallowell | US | Palatine | 2015-10-22 / 20150302678 - SYSTEM, METHOD AND APPARATUS FOR REPURPOSING CURRENCY | 2 |
Mark Hallowell | US | Westfield | 2010-12-02 / 20100302759 - ILLUMINATED BOOK MARK | 1 |
Zachary Emerson Hallowell | US | Portland | 2013-08-15 / 20130211941 - VEHICLE CO-LISTING SYSTEMS AND METHODS | 2 |
Jeffrey R. Hallowell | US | 2015-03-19 / 20150081086 - Fuel Feed and Air Feed Controller for Biofuel-Fired Furnace | 1 | |
Zachary E. Hallowell | US | Redwood City | 2015-02-12 / 20150046431 - SEARCHING MULTIPLE DATA SOURCES | 1 |
Curtis W. Hallowell | US | Palatine | 2015-07-02 / 20150183593 - APPARATUS, METHOD, AND SYSTEM FOR LOADING CURRENCY BILLS INTO A CURRENCY PROCESSING DEVICE | 21 |
Patricia A. Hall-Puzio | US | Succasunna | 2013-10-10 / 20130263876 - Cleansing Composition | 1 |
John O. Hallquist | US | Livermore | 2015-12-03 / 20150347650 - Dynamically-Positioned Search Domain Used In Numerical Simulation Of An Impact Event Between Two Objects | 17 |
Aaron T. Hallquist | US | Berkeley | 2013-05-16 / 20130120181 - OBJECT DETECTION WITH A MULTISTATIC ARRAY USING SINGULAR VALUE DECOMPOSITION | 1 |
Allan Hallquist | US | Morning View | 2015-06-11 / 20150160193 - TUMOR CELL ISOLATION/PURIFICATION PROCESS AND METHODS FOR USE THEREOF | 1 |
Aron Edward Hallquist | US | Houston | 2010-02-18 / 20100042458 - METHODS AND SYSTEMS FOR PERFORMING OILFIELD PRODUCTION OPERATIONS | 1 |
Todd E. Hallquist | US | Jamestown | / - | 1 |
John O. Hallquist | US | Livermore | 2015-12-03 / 20150347650 - Dynamically-Positioned Search Domain Used In Numerical Simulation Of An Impact Event Between Two Objects | 17 |
Allan E. Hallquist | US | Morning View | 2014-05-22 / 20140141462 - System and Method for Automated Determination of the Relative Effectiveness of Anti-Cancer Drug Candidates | 3 |
David R. Hallquist | US | River Falls | 2015-04-09 / 20150096832 - Acoustic Panel | 1 |
Aaron Hallquist | US | Hiawatha | 2014-07-31 / 20140212027 - SINGLE IMAGE POSE ESTIMATION OF IMAGE CAPTURE DEVICES | 1 |
Jonathan J. Halls | GB | Cambridge | 2011-06-02 / 20110127504 - Organic Thin Film Transistors | 8 |
Jonathan James Michael Halls | GB | Cambridge | 2011-05-05 / 20110101320 - ORGANIC THIN FILM TRANSISTOR | 1 |
David Halls | GB | Cambridge | 2014-02-20 / 20140052864 - SYSTEMS AND METHODS FOR ESTABLISHING A CLOUD BRIDGE BETWEEN VIRTUAL STORAGE RESOURCES | 1 |
Jonathan Halls | DE | Cambridge | 2011-08-04 / 20110186829 - Surface Treated Substrates for Top Gate Organic Thin Film Transistors | 1 |
Jonathan Halls | GB | Cambridgeshire | 2010-08-12 / 20100203663 - Organic Thin Film Transistors, Organic Light-emissive Devices and Organic Light-emissive Displays | 2 |
Justin Halls | TW | Taipei | 2011-09-22 / 20110228173 - PROJECTION APPARATUS AND IMAGE ADJUSTMENT METHOD | 1 |
Jonathan J. Halls | GB | Cambridge | 2011-06-02 / 20110127504 - Organic Thin Film Transistors | 8 |
Malcolm C. Halls | US | Milton | 2013-03-28 / 20130075420 - Fluid Dispenser with Cleaning/Maintenance Mode | 1 |
Malcolm C. Halls | US | Alpharetta | 2010-06-10 / 20100140300 - ANTI DRIP FLUID DISPENSER | 1 |
Jonathan James Michael Halls | GB | Cambridgeshire | 2012-04-05 / 20120081416 - MULTI-LINE ADDRESSING METHODS AND APPARATUS | 1 |
Alan Halls | US | Lehi | 2016-05-05 / 20160127531 - OVERRIDING VOLUME SETTINGS ON A MOBILE DEVICE | 1 |
Floyd W. Halls | CA | Edmonton | 2009-01-15 / 20090017885 - CROP PROCESSING METHOD AND APPARATUS | 1 |
David Halls | GB | Cambourne | 2011-01-27 / 20110022812 - SYSTEMS AND METHODS FOR ESTABLISHING A CLOUD BRIDGE BETWEEN VIRTUAL STORAGE RESOURCES | 1 |
Joel Halls | US | Faribault | 2015-11-26 / 20150338582 - SYSTEMS AND METHOD FOR PROCESSING OPTICAL CABLE ASSEMBLIES | 1 |
David Halls | GB | Bucks | 2012-05-10 / 20120117634 - SYSTEMS AND METHODS FOR FACILITATING DISTRIBUTED AUTHENTICATION | 2 |
Sally Kyoko Halls | GB | London | 2016-03-17 / 20160076266 - BUSINESS PRODUCTIVITY ROOM | 2 |
Jonathan Halls | GB | Cambridge | 2013-12-19 / 20130333739 - PHOTOVOLTAIC MODULES | 7 |
Brian L. Hallse | US | Orange | 2009-04-30 / 20090109840 - Fault-resistant digital-content-stream AV packet switch | 1 |
Jan Olav Hallset | NO | Hafrsfjord | 2014-04-24 / 20140112803 - PUMP SYSTEM, METHOD AND USES FOR TRANSPORTING INJECTION WATER TO AN UNDERWATER INJECTION WELL | 2 |
Gideon Hallside | IL | Tel Aviv | 2012-08-30 / 20120221716 - Tracking Internet Usage In A Household | 1 |
Nathaniel Hall-Snyder | US | Palo Alto | 2015-08-13 / 20150225081 - SYSTEMS AND METHODS FOR EXECUTION OF RECOVERY ACTIONS ON AN UNMANNED AERIAL VEHICLE | 1 |
Nathaniel Hall-Snyder | US | Park City | 2013-06-27 / 20130164578 - BATTERY MODULE | 1 |
Gary W. Hall, Sr. | US | Tavernier | 2014-01-02 / 20140005004 - Swimming resistance trainer | 1 |
Lars G. Hallstadius | SE | Vasteras | 2010-07-01 / 20100166133 - USE OF ISOTOPICALLY ENRICHED NITROGEN IN ACTINIDE FUEL IN NUCLEAR REACTORS | 1 |
Lars Hallstadius | SE | Vasteras | 2015-03-19 / 20150078505 - SIC MATRIX FUEL CLADDING TUBE WITH SPARK PLASMA SINTERED END PLUGS | 18 |
Brose Fahrzeugteile Gmbh & Co. Kg Hallstadt | US | 2013-10-17 / 20130271058 - PRECISE ASCERTAINMENT OF ACTUATING POSITION FOR A MOTOR-DRIVEN VEHICLE PART | 1 | |
Sascha Hallstein | US | Los Gatos | 2016-05-19 / 20160142150 - DATA COMMUNICATION USING MULTIPLE CHANNELS | 6 |
Casidy Hallsten | US | San Mateo | 2011-10-06 / 20110240037 - Delivery Tools for Sleep Disorders Treatment Implant and Methods of Implantation | 2 |
Jonathan A. Hallsten | US | Barberton | 2014-08-07 / 20140220538 - AUDIENCE RESPONSE SYSTEM DATA COMMUNICATION INCORPORATING NON USER SELECTION SIGNALS | 6 |
Jeffrey A. Hallsten | US | Sacramento | 2009-01-22 / 20090020953 - Fencing floor | 1 |
Erik Henrik Hallsten | SE | Nacka | 2008-10-09 / 20080246255 - SKIING DEVICE | 1 |
Jonathan Andrew Hallsten | US | Barberton | 2015-11-19 / 20150333458 - RELEASABLE PLUG CONNECTOR SYSTEM | 1 |
Anders Hallstrom | SE | Vendelso | 2013-09-26 / 20130247827 - METHOD AND APPARATUS FOR PROTECTING AN OPTICAL DETECTION DEVICE FROM CONTAMINATION | 3 |
Olof A. Hallstrom | US | Tillamook | 2012-06-07 / 20120141240 - Garbage Truck and Self-Contained Loading and Unloading System | 2 |
Carl Hallstrom | US | Federal Way | 2013-09-19 / 20130239670 - Supercooled Large Drop Icing Condition Simulation System | 1 |
Kevin A. Hallstrom | US | Clinton | 2009-11-26 / 20090288402 - Emission Treatment Catalysts, Systems and Methods | 2 |
Bill Hallstrom | US | Rancho Santa Fe | 2015-12-24 / 20150372750 - Profile-Based Bandwidth Scheduler | 2 |
Jonas Hallstrom | SE | Torslanda | 2016-02-25 / 20160056632 - POWER SUPPLY APPARATUS WITH CONTROLLABLE MULTIPLE INPUT RECTIFICATION | 2 |
Anders Hallström | SE | Haninge | 2010-01-21 / 20100012039 - SYSTEM AND METHOD FOR ADJUSTMENT OF A MILKING LOCATION | 1 |
Seth Hallström | AT | Wien | 2014-02-20 / 20140051634 - PHARMACEUTICAL COMBINED PREPARATION CONTAINING A THERAPEUTIC PROTEIN | 1 |
Lars Hallström | SE | Vaxholm | 2016-05-12 / 20160135074 - METHOD FOR ENABLING CONTROL OF DATA PACKET FLOWS BELONGING TO DIFFERENT ACCESS TECHNOLOGIES | 3 |
Anders Hallström | SE | Handen | 2011-04-21 / 20110090336 - APPARATUS AND METHOD FOR RECOGNIZING AND DETERMINING A POSITION | 1 |
Anders Hallström | SE | Handen | 2011-04-21 / 20110090336 - APPARATUS AND METHOD FOR RECOGNIZING AND DETERMINING A POSITION | 2 |
Anders Hallström | SE | Haninge | 2010-01-21 / 20100012039 - SYSTEM AND METHOD FOR ADJUSTMENT OF A MILKING LOCATION | 1 |
Anders Hallström | SE | Haninge | 2010-01-21 / 20100012039 - SYSTEM AND METHOD FOR ADJUSTMENT OF A MILKING LOCATION | 1 |
Anders Hallström | SE | Handen | 2011-04-21 / 20110090336 - APPARATUS AND METHOD FOR RECOGNIZING AND DETERMINING A POSITION | 1 |
Anders Hallström | SE | Handen | 2011-04-21 / 20110090336 - APPARATUS AND METHOD FOR RECOGNIZING AND DETERMINING A POSITION | 2 |
Anders Hallström | SE | Haninge | 2010-01-21 / 20100012039 - SYSTEM AND METHOD FOR ADJUSTMENT OF A MILKING LOCATION | 1 |
Gary W. Hallum | US | Mason | 2015-05-14 / 20150130119 - NON-CONTACT TEMPERATURE MEASUREMENT IN MOLTEN METAL APPLICATIONS | 1 |
Chris W. Hallum | US | Redmond | 2013-06-06 / 20130145174 - INFRASTRUCTURE INDEPENDENT RECOVERY KEY RELEASE | 1 |
Alton V. Hallum | US | Tucson | 2015-07-23 / 20150202036 - LEVATOR FOR REPAIR OF PERINEAL PROLAPSE | 2 |
Ryan Hallum | US | Mountain View | 2014-01-02 / 20140004448 - Methods of Refurbishing Components of a Fuel Cell Stack | 3 |
Jørgen Hallunbæk | DK | Graeted | 2014-04-17 / 20140102719 - ROCK ANCHOR | 1 |
Jørgen Hallunbæk | DK | Græsted | 2014-12-04 / 20140352942 - ANNULAR BARRIER WITH A SELF-ACTUATED DEVICE | 3 |
Jorgen Hallunbæk | DK | Graested | 2013-07-25 / 20130186615 - ANNULAR BARRIER | 1 |
Jorgen Hallundæk | DK | Græsted | 2014-08-07 / 20140216755 - ANNULAR BARRIER WITH PRESSURE AMPLIFICATION | 1 |
Jørgen Hallundæk | DK | Graested | 2013-01-10 / 20130008661 - SUBSEA WELL INTERVENTION MODULE | 1 |
Jørgen Hallundbaek | DK | Graested | 2016-03-10 / 20160069166 - DOWNHOLE TOOL CAPABLE OF WITHSTANDING HIGH TEMPERATURES | 87 |
Jorgen Hallundbaek | US | 2015-10-29 / 20150308232 - DOWNHOLE CLEANING SYSTEM | 1 | |
Jorgen Hallundbaek | DK | Graested | 2014-12-25 / 20140374100 - PUNCHING TOOL | 14 |
Jørgen Hallundbaek | DK | Graested | 2016-03-10 / 20160069166 - DOWNHOLE TOOL CAPABLE OF WITHSTANDING HIGH TEMPERATURES | 87 |
Jorgen Hallundbaek | DK | Graested | 2014-12-25 / 20140374100 - PUNCHING TOOL | 14 |
Jørgen Hallundbæk | DK | Græstead | 2014-10-23 / 20140311757 - PULLING TOOL | 3 |
Jørgen HallundbÆk | DK | Gaested | 2015-03-12 / 20150068774 - ANNULAR BARRIER WITH A SEAL | 2 |
Jørgen Hallundbœk | DK | Graested | 2013-10-31 / 20130285830 - DOWNHOLE WELL-OPERATION SYSTEM | 1 |
Kristjan Hallvardsson | IS | Kopavogur | 2015-06-11 / 20150158676 - CONVEYOR SYSTEM AND A METHOD FOR PRODUCING A SEQUENCE OF DISCRETE FOOD ITEMS FROM A PLURALITY OF INCOMING FOOD OBJECTS | 4 |
Samuel P. Hall, Vi | US | Bellevue | 2013-01-31 / 20130030853 - ENHANCING AND STORING DATA FOR RECALL AND USE | 2 |
Samuel P. Hall, Vi | US | Seattle | 2015-10-15 / 20150294386 - ASSISTED SHOPPING | 1 |
J. Craig Hally | US | Sammamish | 2016-03-24 / 20160088004 - TIERED OBJECT-RELATED TRUST DECISIONS | 11 |
J. Craig Hally | US | Sammamish | 2016-03-24 / 20160088004 - TIERED OBJECT-RELATED TRUST DECISIONS | 11 |
Judson Craig Hally | US | Sammamish | 2014-02-06 / 20140040812 - SYSTEM AND METHOD FOR USER MODIFICATION OF METADATA IN A SHELL BROWSER | 2 |
William Hally | IE | Naas Co. | 2014-05-08 / 20140124137 - CYANOACRYLATE COMPOSITIONS | 1 |
William Hally | IE | Naas | 2012-12-20 / 20120322947 - TOUGHENED CYANOACRYLATE COMPOSITIONS | 2 |
Joseph Patrick Hally | US | Norwood | 2009-12-31 / 20090321695 - CABLE CONTROL APPARATUS | 1 |
Basavaraj Hallyal | US | Fremont | 2010-02-11 / 20100037091 - LOGICAL DRIVE BAD BLOCK MANAGEMENT OF REDUNDANT ARRAY OF INDEPENDENT DISKS | 2 |
David George Halm | US | Haverhill | 2014-05-15 / 20140131608 - VALVE SHAFT APPARATUS FOR USE WITH ROTARY VALVES | 4 |
Markus Halm | DE | Koenigstein | 2014-04-24 / 20140114328 - Epilator | 3 |
David G. Halm | US | Haverhill | 2009-08-20 / 20090205729 - Three-Way Valve With Flow Diverter | 1 |
Jürgen Halm | DE | Dielheim | 2009-08-13 / 20090200703 - METHOD FOR PRODUCING MOULDED BRICKS WITH FIBRES | 1 |
Martin Halm | US | Western Springs | 2010-07-15 / 20100178134 - Apparatus and method for extracting a surface component | 1 |
Jürgen Halm | DE | Dielheim | 2009-08-13 / 20090200703 - METHOD FOR PRODUCING MOULDED BRICKS WITH FIBRES | 1 |
Alexander Halm | DE | Hohenwarthe | 2014-05-15 / 20140130723 - Device and Method for Controlling The Fuel-Air Ratio in the Combustion of Ground Coal in a Firing System of a Coal-Fired Power Station | 2 |
Christian Bernhard Halm | DE | Walldorf | 2010-12-23 / 20100323801 - TORSIONAL VIBRATION DAMPER COMPRISING END BLOCKS AND DRIVE TRAIN COMPRISING SAID TORSIONAL VIBRATION DAMPER | 3 |
Christian Halm | DE | Walldorf | 2008-11-20 / 20080283330 - Torsional-Vibration Damper Connected to Crankshaft and Combination of Torsional-Vibration Damper and Clutch | 1 |
Markus Halm | DE | Baldham | 2013-08-22 / 20130214915 - MULTIFUNCTIONAL STRIP FOR MOTOR VEHICLE | 1 |
Henry Halm | DE | Neustadt | 2014-10-23 / 20140316423 - DEVICE FOR HANDLING A BONE SCREW | 1 |
Christian Halm | DE | Bielefeld | 2015-06-04 / 20150151395 - SPINDLE UNIT FOR TURNING AND MILLING MACHINES | 1 |
Menachem Halmann | US | Milwaukee | 2016-01-07 / 20160000399 - METHOD AND APPARATUS FOR ULTRASOUND NEEDLE GUIDANCE | 9 |
Nahi Halmann | US | Milwaukee | 2012-03-08 / 20120057767 - METHOD AND APPARATUS FOR GENERATING VARIABLE RESOLUTION MEDICAL IMAGES | 3 |
Menachem Halmann | US | Bayside | 2016-05-19 / 20160135782 - FINGER JOINT ULTRASOUND IMAGING | 10 |
Menachem (nahi) Halmann | US | Milwaukee | 2010-03-11 / 20100063398 - ULTRASOUND PROBE FOR GUIDANCE PROCEDURES | 1 |
Menachem Halmann | US | Wauwatosa | 2015-07-23 / 20150201911 - METHOD AND SYSTEM FOR SEQUENTIAL NEEDLE RECALIBRATION | 10 |
Menachem Halmann | US | Milwaukee | 2016-01-07 / 20160000399 - METHOD AND APPARATUS FOR ULTRASOUND NEEDLE GUIDANCE | 9 |
Menachem (nahi) Halmann | US | Bayside | 2012-05-03 / 20120108960 - METHOD AND SYSTEM FOR ORGANIZING STORED ULTRASOUND DATA | 1 |
Menachem Halmann | US | Bayside | 2016-05-19 / 20160135782 - FINGER JOINT ULTRASOUND IMAGING | 10 |
Menachem Halmann | US | Waukesha | 2015-07-30 / 20150209003 - DISTINCT NEEDLE DISPLAY IN ULTRASONIC IMAGE | 1 |
Menachem Halmann | US | Wauwatosa | 2015-07-23 / 20150201911 - METHOD AND SYSTEM FOR SEQUENTIAL NEEDLE RECALIBRATION | 10 |
Jerome R. Halmans | US | Monroe | 2014-01-16 / 20140019610 - Correlated Tracing of Connections through TDS | 3 |
Hans G. Halmberger | US | Milton-Freewater | 2012-06-07 / 20120138512 - Sorting apparatus and method utilizing a mechanical diverter | 1 |
Jaakko Halme | FI | Helsinki | 2014-06-05 / 20140157114 - METHOD AND APPARATUS FOR FORMATTING AN OPTICAL MACHINE READABLE OBJECT FOR DISPLAY AT POINT OF USE OR SALE | 2 |
Matti Samuli Halme | FI | Espoo | 2012-04-26 / 20120101754 - METHOD OF ESTIMATING REMAINING CONSTANT CURRENT/CONSTANT VOLTAGE CHARGING TIME | 1 |
Pekka Halme | FI | Espoo | 2011-06-23 / 20110148718 - Method and apparatus for an antenna | 1 |
Lauri Halme | FI | Tampere | 2009-08-27 / 20090212154 - Aircraft wing, fastening arrangement, and intermediate support | 2 |
Matti Halme | FI | Espoo | 2016-05-12 / 20160134175 - AN AIR-TO-AIR HEAT EXCHANGER | 1 |
Juha Halme | FI | Tampere | 2009-08-27 / 20090212154 - Aircraft wing, fastening arrangement, and intermediate support | 2 |
Daniel Halme | US | Warren | 2010-05-20 / 20100125275 - SURGICAL DEVICE, SYSTEM AND METHOD OF USE THEREOF | 1 |
Otto Halmingh | NL | Odoorn | 2010-06-17 / 20100147086 - Method and apparatus for injecting a liquid sample in an HPLC analyzing device, and valve assembly for use therein | 1 |
Tracy Lea Halmos | US | Morgan Hills | 2009-07-30 / 20090192423 - Therapeutic massaging device | 1 |
Teddy Halmos | CA | Laval | 2014-10-02 / 20140296228 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 12 |
Teddy Halmos | CA | Laval | 2014-10-02 / 20140296228 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 12 |
Nicholas Halmos | US | Santa Cruz | 2014-09-18 / 20140259919 - CONFIGURABLE MODULAR ROOFTOP FARMING SYSTEM | 1 |
Maurice J. Halmos | US | Encino | 2016-02-04 / 20160033646 - TARGET ROTATION DETERMINATION BY SPECKLE MOTION CHARACTERIZATION | 6 |
Nicholas Halmos | US | Santa Crua | 2014-09-18 / 20140259908 - CONFIGURABLE MODULAR HYDROPONICS SYSTEM AND METHOD | 1 |
Bjorn Halmrast | NO | Roklandi | 2008-10-02 / 20080237308 - FORGE WELDING METHOD | 1 |
Bjorn Halmrast | NO | Rokland | 2010-10-21 / 20100264131 - Apparatuses For and Methods of Forge Welding Elongated Articles with Electrodes and an Induction Coil | 1 |
Nader Halmuschi | CN | Nanjing | 2011-10-13 / 20110250784 - Electrical Signal Connector | 1 |
Ishay Halmut | NL | Groningen | 2014-06-26 / 20140173904 - HAIR TRIMMER WITH CUTTING GUIDE | 3 |
Tristan Halna Defretay | FR | Rennes | 2009-05-21 / 20090129389 - METHOD FOR MANAGING FRAMES IN A GLOBAL-AREA COMMUNICATIONS NETWORK, CORRESPONDING COMPUTER-READABLE STORAGE MEDIUM AND TUNNEL ENDPOINT | 1 |
Tristan Halna Du Fretay | FR | Rennes | 2012-08-02 / 20120196527 - METHOD AND SYSTEM FOR MANAGING COMMUNICATIONS IN A WIRELESS COMMUNICATION NETWORK | 3 |
Tristan Halna Du Fretay | FR | Langan | 2015-05-21 / 20150138042 - IMAGE PROCESSING METHODS, AND IMAGE PROCESSING DEVICES AND SYSTEM FOR A SCALABLE MULTI-PROJECTION SYSTEM | 2 |
Timothy D. Halnon | US | Lake Jackson | 2015-06-04 / 20150151240 - AQUEOUS ABSORBENT COMPOSITION FOR ENHANCED REMOVAL OF HYDROGEN SULFIDE FROM GASEOUS MIXTURES AND METHOD FOR USING THE SAME | 2 |
Matti Haloila | FI | Naantali | 2008-09-04 / 20080209862 - Method for fastening a paper product roll entity to a pallet and a paper product roll entity wrapped by plastic foil | 1 |
Pekka Halonen | FI | Tervakoski | 2014-10-23 / 20140311832 - PLATFORM ASSEMBLY AND A METHOD FOR MANUFACTURING AN ELEVATOR CAR AND AN ELEVATOR CAR | 3 |
Kari Halonen | FI | Espoo | 2014-01-16 / 20140014148 - SPORTS OR FITNESS TRAINING POLE | 1 |
Markus Halonen | FI | Tuusula | 2010-07-15 / 20100179861 - SYSTEM AND METHOD FOR ASSESSING AND MANAGING OBJECTS | 1 |
Pasi Halonen | FI | Turku | 2010-08-19 / 20100209985 - NOVEL ESTERASES AND THEIR USE | 1 |
Kaija Halonen | FI | Rusko | 2014-08-07 / 20140220118 - SOLID FORMULATIONS OF OSPEMIFENE | 3 |
Teemu Halonen | FI | Espoo | 2014-10-30 / 20140322762 - Treatment of Cellulosic Material and Enzymes Useful Therein | 4 |
Stephen Halonen | US | Howell | 2008-10-02 / 20080238118 - THERMOFORM HUSH PANEL CLAMSHELL DESIGN | 1 |
Philip D. Halonen | US | Calumet | 2016-05-05 / 20160122952 - ROLLER PLOW ASSEMBLY FOR CONCRETE SCREEDING MACHINE | 8 |
Andrew Halonen | US | Calumet | 2016-03-10 / 20160068934 - METAL MATRIX COMPOSITE | 5 |
Kari Halonen | FI | Helsinki | 2013-05-09 / 20130113533 - TEMPERATURE COMPENSATED FREQUENCY REFERENCE COMPRISING TWO MEMS OSCILLATORS | 1 |
Marko Halonen | FI | Iisalmi | 2015-10-22 / 20150298944 - Crane | 2 |
Mikael Halonen | SE | Vasteras | 2011-12-29 / 20110316493 - Poly-Phase Reactive Power Compensator | 1 |
Jason L. Halonen | US | Racine | 2012-04-19 / 20120091221 - Wick Diffuser | 2 |
Philip D. Halonen | US | Calumet | 2016-05-05 / 20160122952 - ROLLER PLOW ASSEMBLY FOR CONCRETE SCREEDING MACHINE | 8 |
Ryan John Halonen | US | San Diego | 2015-12-10 / 20150357666 - Self-Burying Sediment Energy Harvester | 1 |
Christophe Halope | FR | Rochegade | 2015-08-27 / 20150244727 - METHOD FOR AUTHENTICATING A SECURITY ELEMENT BY SUPERIMPOSING N SHARED COLOUR IMAGES, AND SECURITY ELEMENT IMPLEMENTING SAID METHOD | 1 |
Christophe Halope | FR | Cannes | 2015-04-16 / 20150102105 - ITEM COMPRISING A BARCODE WITH AN ELECTROMAGNETIC SIGNATURE | 3 |
Christophe Halope | US | 2009-05-14 / 20090120564 - RADIO FREQUENCY IDENTIFICATION DEVICE SUPPORT FOR PASSPORT AND ITS MANUFACTURING METHOD | 1 | |
Kamel Halouani | TN | Sfax | 2015-03-05 / 20150065762 - CATALYTIC PYROLYSIS OF OLIVE MILL WASTE | 1 |
Voula Haloulos | CA | Toronto | 2009-07-02 / 20090166246 - Hot pour cosmetic color mixing system and kit | 1 |
Peter Haloulos | CA | Woodstock | 2011-06-30 / 20110155160 - Hot pour cosmetic mixing and Kit | 2 |
Voula Lily Haloulos | CA | Toronto | 2011-06-30 / 20110155160 - Hot pour cosmetic mixing and Kit | 1 |
George M. Halow | US | El Paso | 2014-06-26 / 20140178492 - BOWEL CLEANSING COMPOSITION | 3 |
Nir Halowani | IL | Holon | 2015-12-31 / 20150379068 - TABLE BOUNDARY DETECTION IN DATA BLOCKS FOR COMPRESSION | 19 |
Nir Halowani | IL | Holon | 2015-12-31 / 20150379068 - TABLE BOUNDARY DETECTION IN DATA BLOCKS FOR COMPRESSION | 19 |
Reinhard Halpaap | DE | Odenthal | 2016-02-04 / 20160031834 - METHOD FOR PRODUCING TDI-TRIMERISATES WITH HIGH PURITY | 19 |
Jörg Halpap | DE | Mannheim | 2012-11-29 / 20120302420 - CENTRIFUGAL DROPLET SEPARATOR FOR SEPARATING LIQUID DROPLETS OUT OF A FEED GAS STREAM COMPRISING THEM | 1 |
Joerg Halpap | DE | Mannheim | 2012-11-15 / 20120285322 - APPARATUS FOR SEPARATING LIQUID DROPLETS OUT OF A FEED GAS STREAM COMPRISING THEM WITH A LIQUID LOADING OF > 10 L OF LIQUID/M 3 OF FEED GAS | 2 |
Jörg Halpap | DE | Mannheim | 2012-11-29 / 20120302420 - CENTRIFUGAL DROPLET SEPARATOR FOR SEPARATING LIQUID DROPLETS OUT OF A FEED GAS STREAM COMPRISING THEM | 2 |
Ryan Halper | US | Seattle | 2014-04-17 / 20140108177 - SOCIAL GIFTING AND EFFICIENT GIFT REDEMPTION | 1 |
Matt Halper | US | Southhampton | 2012-10-25 / 20120266508 - LADDER RACK SIGN SYSTEM | 1 |
Steven C. Halper | US | St. Charles | 2013-10-17 / 20130275293 - Automated Loan Risk Assessment System and Method | 2 |
Mark N. Halper | US | Edison | 2015-03-05 / 20150064351 - METHOD AND APPARATUS FOR ADDING DRY COLORANT TO LANDSCAPE MULCH FIBER | 1 |
Sara R. Halper | US | Redondo Beach | 2010-05-06 / 20100108943 - Modified Polyimides and Moisture Interactive Materials and Products Including the Same | 1 |
Louis Halper | US | Long Beach | 2009-05-14 / 20090120510 - LOCKING COVER | 1 |
Marin S. Halper | US | Washington | 2014-07-03 / 20140185864 - PROBABILISTIC IDENTIFICATION OF SOLID MATERIALS IN HYPERSPECTRAL IMAGERY | 1 |
Christian Halper | AT | Olbendorf | 2014-10-16 / 20140310446 - CIRCUIT FOR GENERATING A START SEQUENCE AND METHOD FOR GENERATING A START SEQUENCE | 2 |
Reuben Halper | US | San Francisco | 2009-01-29 / 20090027494 - PROVIDING GRAPHICS IN IMAGES DEPICTING AERODYNAMIC FLOWS AND FORCES | 1 |
Michael Howard Halper | US | Fair Lawn | 2013-03-07 / 20130060776 - DISJOINT PARTIAL-AREA BASED TAXONOMY ABSTRACTION NETWORK | 1 |
Brandon Richard Halper | US | Sheridan | 2016-03-31 / 20160094885 - LOCALLY CONTROLLABLE INTERACTIVE GAME SYSTEM AND METHODS OF CREATING THE SAME | 1 |
Jose Halperin | US | Brookline | 2012-04-12 / 20120088251 - MASS SPECTROMETRIC METHODS AND PRODUCTS | 6 |
José A. Halperin | US | Brookline | 2016-04-21 / 20160106711 - 3-3-Di-Substituted-Oxindoles as Inhibitors of Translation Initiation | 17 |
Scott Cameron Halperin | US | Orange | 2008-11-20 / 20080282880 - Portable Oxygen Concentrator | 2 |
Scott Halperin | US | Orange | 2011-12-15 / 20110303219 - GAS BLENDER WITH AUXILIARY MIXED GAS OUTLET | 2 |
Yaron Halperin | US | Sunnyvale | 2014-07-03 / 20140189816 - EXTENDING SERVER-BASED DESKTOP VIRTUAL MACHINE ARCHITECTURE TO CLIENT MACHINES | 4 |
Henry R. Halperin | US | Baltimore | 2015-05-28 / 20150148717 - AUTOMATED CHEST COMPRESSION APPARATUS | 8 |
Henry R. Halperin | US | Sunnyvale | 2012-09-20 / 20120238884 - ECG Artifact Reduction System | 2 |
Eyal Halperin | IL | Givat Ada | 2009-07-02 / 20090167567 - METHOD FOR AVOIDING COLLISIONS AND A COLLISION AVOIDANCE SYSTEM | 1 |
Eran Halperin | US | Berkeley | 2013-01-10 / 20130013217 - METHODS AND SYSTEMS FOR GENOMIC ANALYSIS USING ANCESTRAL DATA | 4 |
Victor Halperin | IL | Maaleh Adumim | 2009-02-05 / 20090037738 - Digital certificates | 1 |
Guy Halperin | IL | Haifa | 2014-01-02 / 20140003606 - SYSTEMS AND METHODS FOR COMPLYING WITH WIRELESS GUIDELINES BASED ON LOCATION | 1 |
Daniel Halperin | US | Seattle | 2012-12-06 / 20120311127 - Flyway Generation in Data Centers | 1 |
Henry R. Halperin | US | Pikesville | 2015-01-29 / 20150031975 - MRI COMPATIBLE MEDICAL LEADS | 34 |
Avner Halperin | IL | Ramat Gan | 2016-05-05 / 20160120466 - MONITORING A CONDITION OF A SUBJECT | 25 |
Tal Halperin | IL | Rishon Le-Zion | 2010-12-23 / 20100325492 - Identification Of Elements Of Currently-Executing Component Script | 2 |
Henry R. Halperin | US | San Jose | 2014-07-03 / 20140187975 - ECG Artifact Reduction System | 1 |
Alan J. Halperin | US | Palm Beach Gardens | 2015-05-07 / 20150121884 - STRESS RELIEVED RECTILINEAR DUCT | 1 |
Yonit Tova Halperin | IL | Herzelia | 2013-01-10 / 20130011064 - DATA COMPRESSION OF IMAGES USING A SHARED DICTIONARY | 4 |
Gideon Halperin | IL | Har-Adar | 2015-08-06 / 20150216882 - High-Purity Phospholipids | 16 |
Oded Halperin | IL | Givatayim | 2014-06-12 / 20140157502 - TOILET APPARATUS AND METHOD FOR DISPOSING AND STERILIZING WASTE | 4 |
Michael J. Halperin | ZA | Cape Town | 2012-01-19 / 20120012094 - FREESTANDING BARBECUE | 1 |
Avner Halperin | IL | Ramat Gan | 2016-05-05 / 20160120466 - MONITORING A CONDITION OF A SUBJECT | 25 |
Nir Halperin | IL | Hod-Hasharon | 2013-01-17 / 20130018864 - METHODS AND APPARATUS FOR IDENTIFYING AND PROVIDING INFORMATION OF VARIOUS TYPES TO A USERAANM Regan; Marc W.AACI CambridgeAAST MAAACO USAAGP Regan; Marc W. Cambridge MA USAANM Sejnoha; VladimirAACI LexingtonAAST MAAACO USAAGP Sejnoha; Vladimir Lexington MA USAANM Hebert; MatthieuAACI MelochevilleAACO CAAAGP Hebert; Matthieu Melocheville CAAANM Duta; NicolaeAACI WinchesterAAST MAAACO USAAGP Duta; Nicolae Winchester MA USAANM Halperin; NirAACI Hod-HasharonAACO ILAAGP Halperin; Nir Hod-Hasharon ILAANM Brikman; CarmitAACI Kfar-WarbourgAACO ILAAGP Brikman; Carmit Kfar-Warbourg ILAANM Leong; MichaelAACI MontrealAACO CAAAGP Leong; Michael Montreal CA | 1 |
Frederick Halperin | US | Hillsborough | 2012-12-13 / 20120315698 - METHODS FOR STERILIZING MATERIALS CONTAINING BIOLOGICALLY ACTIVE AGENTS | 2 |
José Halperin | US | Brookline | 2010-06-10 / 20100144805 - Regulation of protein synthesis | 1 |
Henry R. Halperin | US | Baltimore | 2015-05-28 / 20150148717 - AUTOMATED CHEST COMPRESSION APPARATUS | 8 |
José A. Halperin | US | Brookline | 2012-05-10 / 20120115915 - N,N'-DIARYLUREA COMPOUNDS AND N,N'-DIARYLTHIOUREA COMPOUNDS AS INHIBITORS OF TRANSLATION INITIATION | 4 |
Scott Halperin | CA | Halifax | 2014-09-04 / 20140248313 - COMBINATION ADJUVANT FORMULATION | 2 |
Claudia Rosa Halperin | BR | Porto Alegre | 2010-12-09 / 20100307945 - DEVICE FOR STORING DIAPERS | 1 |
José A. Halperin | US | Brookline | 2016-04-21 / 20160106711 - 3-3-Di-Substituted-Oxindoles as Inhibitors of Translation Initiation | 17 |
Jose A. Halperin | US | Boston | 2013-08-08 / 20130204643 - Health Care Management System | 1 |
Henry Halperin | US | Baltimore | 2016-03-31 / 20160091581 - Device for Cancellation of Large Magnetic Susceptibility Artifacts in Magnetic Resonance Imaging of Patients with Pacemakers and Implantable Cardiac Defibrillators | 3 |
Scott C. Halperin | US | Orange | 2009-07-02 / 20090167698 - User interface for a portable oxygen concentrator | 1 |
Grigory Halperin | IL | Or-Akiva | 2015-02-05 / 20150037404 - METHODS FOR JOINT LUBRICATION AND CARTILAGE WEAR PREVENTION MAKING USE OF GLYCEROPHOSPHOLIPIDS | 2 |
Mitchell Halperin | US | Princeton | 2011-03-31 / 20110077214 - METHOD FOR TREATING HYPONATREMIA EMPLOYING AN SGLT2 INHIBITOR AND COMPOSITION CONTAINING SAME | 2 |
Steven R. Halperin | US | New York | 2014-08-07 / 20140222715 - Methods and systems for providing preferred income equity replacement securities | 1 |
Gideon Halperin | IL | Har-Adar | 2015-08-06 / 20150216882 - High-Purity Phospholipids | 16 |
Joes A. Halperin | US | Brookline | 2014-01-23 / 20140024056 - SURROGATES OF POST-TRANSLATIONALLY MODIFIED PROTEINS AND USES THEREOF | 1 |
Henry I. Halpern | SA | Dhahran | 2010-03-04 / 20100057409 - METHOD FOR DETERMINING VOLUME OF ORGANIC MATTER IN RESERVOIR ROCK | 1 |
Zamir Halpern | IL | Tel Aviv | 2013-05-23 / 20130133089 - HIGH AFFINITY LEPTINS AND LEPTIN ANTAGONISTS | 1 |
Bernard B. Halpern | US | Hallandale Beach | 2015-01-22 / 20150026296 - INTERACTIVE GIFTWARE SYSTEM AND METHOD | 1 |
Diane F. Halpern | US | Altdena | 2013-01-31 / 20130029308 - METHODS AND COMPUTER-PROGRAM PRODUCTS FOR TEACHING A TOPIC TO A USER | 1 |
Mark Edward Halpern | AU | Victoria | 2012-05-10 / 20120116507 - RETINAL PROSTHESIS | 1 |
Howard J. Halpern | US | Chicago | 2014-09-25 / 20140285198 - HIGH-ISOLATION TRANSMIT/RECEIVE SURFACE COILS AND METHOD FOR EPRI | 1 |
Joseph Halpern | US | New York | 2013-06-27 / 20130166475 - COMPUTERIZED SYSTEM AND METHOD FOR A STRUCTURED FINANCIAL PRODUCT | 2 |
Susan Halpern | US | Basking Ridge | 2015-12-10 / 20150352016 - META-STABLE COSMETIC OR SKIN CARE COMPOSITION | 13 |
Joel Halpern | US | San Jose | 2013-08-22 / 20130215769 - CONTROLLER PLACEMENT FOR FAST FAILOVER IN THE SPLIT ARCHITECTURE | 1 |
Simon Jasen Halpern | US | West Hollywood | 2009-08-27 / 20090216727 - Viewer User Interface | 1 |
Greg Halpern | US | La Jolla | 2014-12-25 / 20140376732 - DEVICE ECHO CANCELLATION | 1 |
Paul Halpern | US | Wynnewood | 2014-03-13 / 20140074687 - ASSESSING CONSUMER PURCHASE BEHAVIOR IN MAKING A FINANCIAL CONTRACT AUTHORIZATION DECISION | 1 |
Susan Halpern | US | Basking Ridge | 2015-12-10 / 20150352016 - META-STABLE COSMETIC OR SKIN CARE COMPOSITION | 13 |
Ian Loren Halpern | US | San Francisco | 2015-11-26 / 20150335883 - NEURAL INTERFACE DEVICE AND INSERTION TOOLS | 4 |
Benjamin Rigler Halpern | US | Santa Cruz | 2014-10-09 / 20140304281 - METHOD AND SYSTEM FOR GRAPHICAL DATA ANALYSIS | 1 |
Joshua I. Halpern | US | Philadelphia | 2016-04-07 / 20160098474 - SYSTEM AND METHOD FOR INTELLIGENT INFORMATION GATHERING AND ANALYSIS | 2 |
Kenneth Michael Halpern | US | Cambridge | 2014-09-18 / 20140280162 - DATABASES AND METHODS OF STORING, RETRIEVING, AND PROCESSING DATA | 1 |
Steven J. Halpern | US | Oviedo | 2008-10-02 / 20080242953 - APPARATUS, METHOD AND SOFTWARE FOR DEVELOPING ELECTRONIC DOCUMENTATION OF IMAGING MODALITIES, OTHER RADIOLOGICAL FINDINGS AND PHYSICAL EXAMINATIONS | 1 |
Richard Halpern | US | White Plains | 2008-10-23 / 20080258448 - Lateral Stabilizer For Motorcycle | 1 |
Elkan F. Halpern | US | Newton | 2009-01-29 / 20090030618 - SYSTEM, METHOD AND SOFTWARE ARRANGEMENT FOR ANALYZING AND CORRELATING MOLECULAR PROFILES ASSOCIATED WITH ANATOMICAL STRUCTURES | 1 |
Joshua I. Halpern | US | Princeton Junction | 2009-03-12 / 20090070377 - System And Method For Intelligent Information Gathering And Analysis | 2 |
Marc E. Halpern | US | Williamstown | 2009-03-12 / 20090069585 - Transesterification Reacton of Triglycerides and Monohydric Alcohols | 1 |
Ian Halpern | US | Washington | 2009-03-12 / 20090067413 - PACKET NETWORK BASED EMERGENCY BACKUP TELEPHONE SYSTEM | 1 |
Joel Halpern | US | Leesburg | 2016-02-18 / 20160050131 - PASSIVE REACHABILITY MEASUREMENT FOR INLINE SERVICE CHAINING | 22 |
Marnie Halpern | US | Pikesville | 2009-05-28 / 20090136428 - High Throughput Genetic Screening Of Lipid And Cholesterol Processing Using Fluorescent Compounds | 1 |
Howard Halpern | US | Chicago | 2015-01-22 / 20150022209 - Method and Apparatus for Resonator Signal Production and Measurement | 4 |
Lisa Halpern | US | Amagnsett | 2009-10-29 / 20090271287 - FINANCIAL LIFESTYLE NAVIGATOR AND BANKING SYSTEM | 1 |
Nicholas Halpern | US | Boston | 2010-03-04 / 20100057574 - BUDGET ENVELOPE CREDIT CARD | 1 |
Jason Halpern | US | Collingswood | 2015-04-02 / 20150091494 - PORTABLE AND MODULAR POWER GENERATION DEVICE | 3 |
Micah Halpern | US | Liberty | 2010-05-27 / 20100129796 - DYE PROBE FLUORESCENCE RESONANCE ENERGY TRANSFER GENOTYPING | 2 |
Daniel A. Halpern | US | St. Louis Park | 2016-04-14 / 20160100910 - IMAGING FIDUCIAL MARKERS AND METHODS | 5 |
Alan Halpern | US | Kalamazoo | 2016-03-31 / 20160089452 - MAGNETIC CELLS FOR LOCALIZING DELIVERY AND TISSUE REPAIR | 2 |
Matthew Jeremy Halpern | US | Baltimore | 2011-01-20 / 20110016057 - ONLINE MUSIC WORKSHOP AND METHOD FOR IMPLEMENTING THE SAME | 1 |
Jeffrey M. Halpern | US | Cleveland Heights | 2011-04-14 / 20110086207 - DIAMOND APPARATUS AND METHOD OF MANUFACTURE | 1 |
John H. Halpern | US | Stow | 2013-09-26 / 20130252996 - METHODS AND KITS FOR TREATING CLUSTER HEADACHE DISORDERS | 2 |
Joel Halpern | US | Leesburg | 2016-02-18 / 20160050131 - PASSIVE REACHABILITY MEASUREMENT FOR INLINE SERVICE CHAINING | 22 |
Ian L. Halpern | US | San Francisco | 2009-10-01 / 20090241959 - MANUAL VENTLIATION OR RESUSCITATION DEVICE | 1 |
Simon Rubin Halpern | US | Los Angeles | 2016-01-21 / 20160018823 - ATMOSPHERIC DATA COLLECTION AND RECOVERY SYSTEMS AND METHODS | 1 |
Mark Edward Halpern | AU | East Malvern | 2014-11-13 / 20140336724 - RETINAL PROSTHESIS | 2 |
Brad M. Halpern | US | Westlake Village | 2012-11-15 / 20120288593 - APPARATUS AND METHOD FOR PREPARING EGG PRODUCTS IN A MICROWAVE OVEN | 1 |
Arieh S. Halpern | US | Beverly Hills | 2012-01-05 / 20120001920 - GRAPHICAL DISPLAY FOR PHYSIOLOGICAL PATIENT DATA | 5 |
Marc E. Halpern | US | Blackwood | 2014-10-09 / 20140299512 - PROCESS FOR REMOVING SULPHUR COMPOUNDS FROM HYDROCARBONS | 1 |
Lise N. Halpern | US | Amherst | 2011-11-17 / 20110281346 - Donated Blood Collection Kit | 1 |
Daniel A. Halpern | US | Saint Louis Park | 2015-01-29 / 20150033363 - CONTROLLED USE MEDICAL APPLICATION | 4 |
Susan Halpern | US | Paramus | 2012-04-26 / 20120100090 - COMPOSITION COMPRISING A POLAR MODIFIED POLYMER | 24 |
Aaron Halpern | US | San Carlos | 2016-04-28 / 20160117444 - METHODS FOR DETERMINING ABSOLUTE GENOME-WIDE COPY NUMBER VARIATIONS OF COMPLEX TUMORS | 5 |
Marc Edward Halpern | US | Blackwood | 2016-01-28 / 20160024399 - PROCESS FOR REMOVING SULPHUR COMPOUNDS FROM HYDROCARBONS | 1 |
Joseph Halpern | US | Santa Clara | 2010-06-24 / 20100162120 - Digital Media Player User Interface | 1 |
Eric M. Halpern | US | San Francisco | 2009-02-05 / 20090037925 - SMART STUB OR ENTERPRISE JAVA BEAN IN A DISTRIBUTED PROCESSING SYSTEM | 4 |
Aaron L. Halpern | US | San Carlos | 2012-08-02 / 20120197533 - IDENTIFYING REARRANGEMENTS IN A SEQUENCED GENOME | 5 |
Ian Halpern | US | San Francisco | 2012-11-01 / 20120272965 - VOLUME-ADJUSTABLE MANUAL VENTILATION DEVICE | 3 |
Jason R. Halpern | US | Collingswood | 2012-03-22 / 20120067166 - SPHERICAL GEAR | 1 |
Avinoam Halpern | US | Escondido | 2012-10-11 / 20120256992 - Inkjet Web Printer And Duplex Web Printing Path | 2 |
Joseph E. Halpern | US | Santa Clara | 2013-06-20 / 20130159707 - Host Device and Method for Super-Distribution of Content Protected with a Localized Content Encryption Key | 4 |
Henry Ira Halpern | PH | Pagsanjan (laguna) | 2014-04-24 / 20140114627 - METHOD FOR RECONSTRUCTING THE TOTAL ORGANIC CARBON CONTENT FROM COMPOSITIONAL MODELING ANALYSIS | 1 |
Joseph Edward Halpern, Iii | US | Santa Clara | 2013-06-20 / 20130159362 - METHOD AND SYSTEM FOR PROVIDING STORAGE DEVICE FILE LOCATION INFORMATION | 2 |
Ziv Halperson | IL | Ramat Gan | 2011-08-18 / 20110201907 - Chair and Ancillary Apparatus with Medical Diagnostic Features in a Remote Health Monitoring System | 1 |
Scott A. Halpert | US | Ann Arbor | 2015-02-05 / 20150039500 - PROCESS FOR INVOICE AGENT COUPLING | 1 |
Andrew J. Halpert | US | Coral Springs | 2010-03-25 / 20100076364 - USER INTERFACE FOR BLOOD TREATMENT DEVICE | 1 |
Andrew V. Halpert | US | Brookline | 2015-09-17 / 20150258277 - FLUID THERAPY METHOD | 3 |
Andrew Halpert | US | Coral Springs | 2016-01-07 / 20160001072 - SYSTEM AND METHOD TO MODULATE PHRENIC NERVE TO PREVENT SLEEP APNEA | 2 |
Jonathan E. Halpert | US | Falls Church | 2011-04-07 / 20110080090 - LIGHT EMITTING DEVICE INCLUDING SEMICONDUCTOR NANOCRYSTALS | 2 |
Eli Halpert | US | Los Angeles | 2014-03-27 / 20140087895 - TRANSFORMING DART | 1 |
Jonathan E. Halpert | US | Cambride | 2011-06-02 / 20110127932 - Blue light emitting semiconductor nanocrystals and devices | 1 |
Andrew V. Halpert | US | Coral Springs | 2014-04-03 / 20140094732 - METHOD AND DEVICE FOR REMOVAL OF RADIOCONTRAST MEDIA FROM BLOOD | 1 |
Jonathan E. Halpert | US | Cambridge | 2014-01-30 / 20140027680 - LIGHT EMITTING MATERIAL | 5 |
Henry Halpert | US | Brooklyn | 2011-10-13 / 20110247742 - Method of making building boards having predetermined size and precise dimensions | 1 |
Mira Halpert | US | Boca Raton | / - | 1 |
Marc Halphen | GB | London | 2015-07-02 / 20150182501 - Compositions | 7 |
Paul D. Halphen | US | Spartanburg | 2014-06-12 / 20140158166 - CLEANING COMPOSITION AND METHOD FOR USING THE SAME | 1 |
Peter J. Halpin | US | Sunnyvale | 2011-09-29 / 20110238867 - Computer Device Output Setting Indicator | 1 |
John D. Halpin | US | Oaklyn | 2013-07-11 / 20130179967 - Method to deter softwear tampering using interlinked sub-processes | 1 |
Thomas William Halpin | US | Irvington | 2013-09-19 / 20130246245 - System And Method For Integrating Trading Operations Including The Generation, Processing And Tracking of Trade Documents | 2 |
Jason Halpin | IE | Dublin | 2014-12-04 / 20140359261 - ENVIRONMENTALIZATION TECHNIQUE FOR PROMOTION OF APPLICATION PROGRAMMING INTERFACE (API) SERVER IN LIFECYCLE SUCCESSION OF DEPLOYMENTS | 1 |
William Halpin | US | Palo Alto | 2014-03-20 / 20140082579 - ARCHITECTURAL PHYSICAL SYNTHESIS | 2 |
Mike Halpin | US | Scottsdale | 2015-07-02 / 20150187568 - PROCESS FEED MANAGEMENT FOR SEMICONDUCTOR SUBSTRATE PROCESSING | 7 |
Richard Halpin | US | Hillsboro | 2014-12-25 / 20140376898 - ABSORBING REFLECTOR FOR SEMICONDUCTOR PROCESSING CHAMBER | 1 |
Michael Halpin | US | Scottsdale | 2015-08-27 / 20150240359 - Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same | 6 |
Chad P. Halpin | US | Troy | 2010-06-24 / 20100155438 - ARMBAND CARRIER FOR A PERSONAL MEDIA PLAYER | 1 |
David D. Halpin | US | Plano | 2013-04-25 / 20130103546 - METHOD AND SYSTEM FOR SECURE ELECTRONIC TRANSACTIONS | 2 |
Peter T. Halpin | US | Great Falls | 2013-03-28 / 20130078166 - METHOD FOR RECOVERING RHENIUM AND OTHER METALS FROM RHENIUM-BEARING MATERIALS | 4 |
Lucy Dolores Halpin | IE | Dublin | 2015-07-23 / 20150201922 - PNEUMOPERITONEUM DEVICE | 3 |
Daniel Edward Halpin | US | Glen Ellyn | 2014-09-18 / 20140260707 - METHOD AND APPARATUS FOR CHARACTERIZING HANDPIECES | 1 |
Jeffrey W. Halpin | US | Harrison Township | 2009-01-08 / 20090008003 - Gas generant | 2 |
Sean P. Halpin | US | Troy | 2011-05-26 / 20110125262 - External Breast Prosthesis and Method of Fabricating Same | 1 |
Peter John Halpin | US | Mequon | 2010-05-20 / 20100123422 - MOTOR CONTROLLER WITH DETERMINISTIC SYNCHRONOUS INTERRUPT HAVING MULTIPLE SERIAL INTERFACE BACKPLANE | 2 |
Michael W. Halpin | US | Scottsdale | 2012-09-20 / 20120234230 - SUBSTRATE TEMPERATURE UNIFORMITY DURING RAPID SUBSTRATE HEATING | 1 |
Timothy William Halpin | DE | Nuremberg | 2012-09-13 / 20120230785 - CUTTING INSERT WITH DISCRETE CUTTING TIP AND CHIP CONTROL STRUCTURE | 1 |
John Michael Halpin | US | Tracy | 2011-11-24 / 20110286122 - Method and System For Dual Resolution Translation Stage | 1 |
Declan Halpin | US | New Bedford | 2016-04-28 / 20160113360 - CLASPS HAVING A RELEASE BUTTON AND JEWELRY ARTICLES EMPLOYING SAME | 2 |
Chris Halpin | US | Boston | 2012-11-29 / 20120300963 - HEARING AID FEEDBACK NOISE ALARMS | 1 |
Charles S.p. Halpin | CA | Toronto | 2008-12-25 / 20080314679 - Variable Sound Muffler System | 1 |
Rayna Halpin | US | Newark | 2014-10-30 / 20140324677 - METHOD AND SYSTEM FOR DETECTING, MONITORING AND INVESTIGATING FIRST PARTY FRAUD | 1 |
Ryan Shawn Halpin | CA | Ottawa | 2011-12-22 / 20110309864 - Apparatus and Method for Generating a Timing Signal | 1 |
Peter J. Halpin | US | Mequon | 2015-12-31 / 20150380912 - SYSTEMS AND METHODS FOR A FUSED MOTOR LOAD GROUND | 1 |
Mark E. Halpin | US | Plattsburgh | 2016-03-10 / 20160066586 - FORCED MOISTURE EVACUATION FOR RAPID BAKING | 1 |
Michael Halpin | US | Soquel | 2011-10-20 / 20110258163 - DYNAMICALLY CREATED TWO-STAGE SELF EXTRACTING ARCHIVES | 1 |
Claire Halpin | GB | Invergowrie | 2015-06-25 / 20150176016 - METHOD FOR MODIFYING LIGNIN BIOSYNTHESIS IN PLANTS | 1 |
William Halpin | US | San Jose | 2013-06-27 / 20130162346 - INTERCONNECTION DEVICE IN A MULTI-LAYER SHIELDING MESH | 9 |
Mark E. Halpin | US | Burlington | 2009-04-09 / 20090090704 - PAGER SYSTEM FOR COOKING DEVICE | 1 |
Sean P. Halpin | US | Rochester Hills | 2015-07-23 / 20150202505 - GOLF PUTTER WITH CONFIGURABLE POWERED ACCESSORIES | 2 |
William Martin Halpin, Jr. | US | Palo Alto | 2014-08-07 / 20140222587 - BID ADJUSTMENT SUGGESTIONS BASED ON DEVICE TYPE | 2 |
James S. Halprin | US | Chicago | 2014-04-17 / 20140106865 - Funding concurrent wagering games | 6 |
Evan J. Halprin | US | Hillsboro | 2015-05-07 / 20150127983 - TEST, VALIDATION, AND DEBUG ARCHITECTURE | 1 |
Kristin Hals | NO | Sarpsborg | 2013-08-22 / 20130217074 - Enzymatic Hydrolysis of Cellulose | 1 |
Anne K. Halsall | US | San Francisco | 2013-06-13 / 20130151974 - OUTLINE VIEW | 1 |
Kevin Thomas Halsall | NZ | Olaki | 2015-01-08 / 20150008051 - POWERED MOBILITY DEVICE | 1 |
Christopher Thomas Halsall | GB | Cheshire | 2011-06-23 / 20110152297 - QUINAZOLINE DERIVATIVES AS TYROSINE KINASE INHIBITORS | 2 |
Scott Halsall | US | Warren | 2011-11-17 / 20110277727 - ENGINE INCLUDING FUEL INJECTOR SPRAY PATTERN | 2 |
Anne Halsall | US | San Francisco | 2012-04-26 / 20120102395 - METHODS FOR SEQUENCING ELECTRONIC MEDIA CONTENT | 1 |
Richard W. Halsall | US | Georgetown | 2014-05-01 / 20140119924 - Laminar flow radial ceiling fan | 1 |
Steven Halsall | GB | Tonbridge Wells | 2013-01-31 / 20130028442 - Loudspeaker system | 1 |
Darnell G. Halsall | US | Miamisburg | 2010-09-09 / 20100223729 - RAPIDLY DEPLOYING EMERGENCY EVACUATION STRETCHER | 1 |
Robert Halsall | US | Washington | 2012-11-29 / 20120298385 - Fuel System Inlet Check Valve with Flame Arresting feature | 6 |
Brian Halsall | GB | Bristol | 2012-02-16 / 20120041572 - CONTROLLER NETWORK AND METHOD OF CONTROLLING A CONTROLLER NETWORK | 2 |
Stephen Halsall | GB | Tonbridge Wells | 2010-03-11 / 20100061574 - AUDIO SYSTEM AND SOUND FIELD CONTROLLER | 1 |
Brian Halsall | GB | Bristal | 2012-03-29 / 20120074844 - SIGNAL GENERATION SYSTEM | 1 |
Christopher Thomas Halsall | GB | Macclesfield | 2011-09-29 / 20110237610 - Quinazoline Derivatives as Antiproliferative Agents | 2 |
Hallen Brian Halsall | US | Cleves | 2009-07-02 / 20090170144 - DETERMINATION OF VIABLE MICROORGANISMS USING COATED PARAMAGNETIC BEADS | 1 |
Michael B. Halsdorff | US | San Marcos | 2012-03-15 / 20120064022 - CYCLOSILOXANE-SUBSTITUTED POLYSILOXANE COMPOUNDS, COMPOSITIONS CONTAINING THE COMPOUNDS AND METHODS OF USE THEREOF | 1 |
Reza Halse | US | Kansas City | 2014-08-21 / 20140235556 - COMPOSITIONS AND METHODS OF TREATING GLIOMAS | 1 |
Helge-Ruben Halse | NO | Kristiansand | 2010-09-02 / 20100218934 - METHOD AND DEVICE FOR POSITIONING A POWER TONG AT A PIPE JOINT | 3 |
Joel Halse | CA | Saint-Andrews | 2016-04-28 / 20160113298 - Constant Diameter Pumping System and Method | 1 |
Jonathan Halse | CA | Manotick | 2009-08-20 / 20090210739 - Apparatus and Method to Support USB Enumeration of a Bus Powered Handheld Device | 1 |
Reza Halse | US | Boston | 2015-01-15 / 20150018360 - COMPOSITIONS AND METHODS FOR TREATING METABOLIC DISORDERS | 1 |
Barbara Halser | DE | Muenchen | 2009-01-29 / 20090026616 - INTEGRATED CIRCUIT HAVING A SEMICONDUCTOR SUBSTRATE WITH A BARRIER LAYER | 1 |
Peter Halser | CH | St. Gallen | 2010-04-15 / 20100089878 - CUTTING DEVICE | 1 |
Paul E. Halser | US | Atlanta | 2010-04-01 / 20100079188 - SYSTEMS AND METHODS FOR PROVIDING PROGRAMMABLE ANALOG CLASSIFIERS | 1 |
Thor Halseth | US | Agoura Hills | 2010-02-04 / 20100024094 - Easy on and off collar for a protection garment | 2 |
Thor Halseth | US | Shingle Springs | 2014-07-17 / 20140198490 - LIGHTED DISPLAY WALL | 1 |
Thor R. Halseth | US | Simi Valley | 2010-07-29 / 20100191146 - FLUID COLLECTION DEVICE WITH CAPTURED RETRACTABLE NEEDLE | 2 |
Thor R. Halseth | US | Agoure Hills | 2010-02-11 / 20100031419 - Protective Garment with a Flexible Collar | 1 |
Thor R. Halseth | US | Ojai | 2014-02-27 / 20140058354 - Huber Needle Safety Enclosure | 3 |
Matt Halseth | US | Hawley | 2015-12-17 / 20150360621 - CARGO MAT SYSTEM | 1 |
Lori Lee Halsey | US | Brookville | 2010-09-02 / 20100222710 - MANAGEMENT PROGRAM FOR THE BENEFIT OF A COMPANION ANIMAL | 2 |
Bryan K. Halsey | US | Phoenix | 2011-10-20 / 20110254327 - Collapsible Video Gaming Chair | 1 |
Byron William Halsey | US | La Mesa | 2014-06-26 / 20140175208 - PORTABLE TUBE COILER | 1 |
James H. Halsey | US | El Dorado | 2012-02-23 / 20120047007 - Method and apparatus for charging fee to customer | 2 |
William F. Halsey | US | Independence | 2012-09-20 / 20120238409 - TREADMILL-STYLE STAIRSTEP EXERCISE MACHINE | 1 |
Kevin P. Halsey | US | Portland | 2010-03-18 / 20100070320 - ACCOUNTING TOOL FOR MEASURING ECOSYSTEM SERVICE FUNCTIONAL PERFORMANCE AT A PARTICULAR SITE | 1 |
James Halsey | US | Ei Dorado | 2013-05-30 / 20130138267 - METHOD AND APPARATUS FOR PROVIDING PROBABLE CAUSE RELATING TO VEHICLE NON-COMPLIANCE | 1 |
John Frederick Halsey | US | Prairie Village | 2011-10-13 / 20110250622 - METHOD FOR DIAGNOSING AUTO-IMMUNE CHRONIC URTICARIA | 2 |
David G. Halsey | US | Rockford | 2010-10-28 / 20100270812 - Power Turbine Speed Control Using Electrical Load Following | 3 |
Virginia Lorraine Halsey | US | San Francisco | 2013-04-04 / 20130085765 - SYSTEM AND METHOD FOR PROVIDING CUSTOMIZED ALERT SETTINGS | 1 |
Michelle Kenna Halsey | US | Portland | 2010-03-18 / 20100070320 - ACCOUNTING TOOL FOR MEASURING ECOSYSTEM SERVICE FUNCTIONAL PERFORMANCE AT A PARTICULAR SITE | 1 |
Richard B. Halsey | US | Houston | 2009-11-12 / 20090281364 - Metathesis process using a moving phase reactor | 5 |
Jay Halsey | US | Norco | 2010-12-23 / 20100323823 - MULTIPURPOSE GOLF DIVOT TOOL | 1 |
Thomas C. Halsey | US | West University Place | 2016-05-05 / 20160124117 - Managing Discontinuities in Geologic Models | 1 |
Mark A. Halsey | US | Indianapolis | 2015-07-23 / 20150202668 - METHODS AND APPARATUSES FOR DIGESTING TISSUE | 2 |
Eugene L. Halsey, Iv | US | Holland | 2009-12-31 / 20090322705 - CAPACITIVE SENSOR AND METHOD FOR MANUFACTURING SAME | 3 |
Soren Halskov | DK | Virum | 2015-09-03 / 20150245999 - PHARMACEUTICAL COMPOSITION | 2 |
Søren Halskov | SE | Virum | 2014-09-25 / 20140288491 - Composition for the Treatment of Fistula | 2 |
Matthew Aaron Halsmer | US | Westfield | 2010-01-14 / 20100006727 - POSITIONING SYSTEM WITH TILTING ARM SUPPORT FOR IMAGING DEVICES | 2 |
Dominic Halsmer | US | Jenks | 2012-09-27 / 20120241362 - SYSTEMS AND METHODS FOR SEPARATING REFUSE | 1 |
Matthew Aaron Halsmer | US | Waukesha | 2009-05-21 / 20090129553 - METHOD AND APPARATUS FOR ROTATING AN IMAGING SYSTEM DETECTOR | 2 |
Eric Halsne | US | Seattle | 2013-08-22 / 20130213840 - CARARYING CASE FOR DEFIBRILLATOR WITH INTEGRATED BUTTON TESTER | 2 |
Eric Grant Halsne | US | Seattle | 2014-01-09 / 20140012360 - DEFIBRILLATOR ELECTRODE PAD WITH TWO PEEL TABS | 1 |
Eric G. Halsne | US | Seattle | 2013-04-25 / 20130102936 - PEDIATRIC PATIENT-SAFE CPR DEVICE | 1 |
Elizabeth Halsne | US | Chicago | 2016-02-11 / 20160038314 - Magnetic Electrical Connector for Assistive Devices | 1 |
Odd Halsnes | NO | Bastad | 2008-12-18 / 20080310775 - System for Handling of Liquids | 1 |
David A. Halsrud | US | Minneapolis | 2014-11-27 / 20140349899 - DRY LUBRICANT FOR CONVEYING CONTAINERS | 8 |
Mark Arthur Buchler Halstead | NZ | Auckland | 2014-07-24 / 20140207588 - METHOD AND APPARATUS TO ALLOCATE AND RECYCLE TELEPHONE NUMBERS IN A CALL-TRACKING SYSTEM | 3 |
Richard Halstead | US | Rohnert Park | 2016-04-21 / 20160111936 - Wind Power Generator and Coupling | 10 |
Mark J. Halstead | US | Holliston | 2012-02-09 / 20120036333 - TRIANGULAR ASYNCHRONOUS REPLICATION | 1 |
Rodd M. Halstead | US | Lincoln | 2011-10-20 / 20110254840 - AUTOMATIC GENERATION OF 3D MODELS FROM PACKAGED GOODS PRODUCT IMAGES | 1 |
Whitfield Halstead | US | Palo Alto | 2012-11-15 / 20120285513 - Shielding of Interior Diode Assemblies from Compression Forces in Thin-Film Photovoltaic Modules | 1 |
Patrick H. Halstead | US | Kirkland | 2013-09-12 / 20130238967 - Correlation, Association, or Correspondence of Electronic Forms | 1 |
Nick V. Halstead | US | Cedar | 2013-03-14 / 20130061833 - GENSET ENGINE USING AN ELECTRONIC FUEL INJECTION SYSTEM INTEGRATING AN ALCOHOL SENSOR | 2 |
Robert C. Halstead | GB | Enfield | 2013-05-23 / 20130126640 - MULTIPLE FUNCTION DISPENSER | 2 |
Kenneth Bruce Halstead | US | East Point | 2013-08-01 / 20130197876 - KBH Vehicle Weight Efficiency Formula | 1 |
Russell L. Halstead | US | Orange | 2014-03-06 / 20140067166 - METHOD OF CONTROLLING AN ELECTRIC PROPULSION SYSTEM | 1 |
Mark Halstead | NZ | Ackland | 2013-01-17 / 20130018712 - METHOD AND APPARATUS TO ALLOCATE AND RECYCLE TELEPHONE NUMBERS IN A CALL-TRACKING SYSTEM | 1 |
Patrick Halstead | US | Kirkland | 2011-10-06 / 20110246868 - CORRELATION, ASSOCIATION, OR CORRESPONDENCE OF ELECTRONIC FORMS | 1 |
Greg Halstead | US | Sunnyvale | 2015-06-11 / 20150157198 - Ophthalmic Illumination System with Micro-Display Overlaid Image Source | 3 |
Cynthia A. Halstead | US | Palo Alto | 2014-01-02 / 20140007154 - SYSTEMS AND METHODS FOR PROVIDING INDIVIDUALIZED CONTROL OF MEDIA ASSETS | 1 |
Mark Halstead | US | Holliston | 2011-12-22 / 20110314237 - VIRTUAL ORDERED WRITES TRANSFER LOG | 1 |
Nick V. Halstead | US | Oak Grove | 2016-03-10 / 20160072363 - CONTROL SYSTEM FOR HYDRAULICALLY POWERED AC GENERATOR | 1 |
Susan Halstead | GB | Glasgow | 2010-06-10 / 20100143343 - METHODS AND COMPOSITIONS FOR THE TREATMENT OF ANTIBODY MEDIATED NEUROPATHIES | 1 |
Mark Halstead | NZ | Auckland | 2014-02-20 / 20140049787 - AUTOMATED LAYOUT AND DESIGN FOR RECORDING TEXT AND IMAGES IN ANY OF DISPARATE THREE-DIMENSIONAL OBJECTS | 3 |
Jonathan Halstead | CH | Basel | 2010-04-15 / 20100092456 - METHODS OF TREATMENT | 1 |
Jeffrey T. Halstead | US | Plano | 2015-08-20 / 20150231455 - IRON-TYPE GOLF CLUB HEAD HAVING A SOLE STRESS REDUCING FEATURE | 3 |
Kevin Halstead | US | Tulsa | 2009-05-28 / 20090136771 - COMPOSITION FOR PREPARING A SURFACE FOR COATING AND METHODS OF MAKING AND USING SAME | 1 |
Whitfield G. Halstead | US | Palo Alto | 2011-05-26 / 20110121441 - DIODE LEADFRAME FOR SOLAR MODULE ASSEMBLY | 2 |
Tom Lloyd Halstead | GB | West Yorkshire | 2011-04-07 / 20110081816 - METHOD OF MANUFACTURING A FIBROUS STRUCTURE AND AN APPARATUS THEREFOR | 1 |
Graham Halstead | GB | Preston | 2009-10-01 / 20090241304 - Connector | 1 |
Nathan Ryan Halstead | US | Kirkland | 2014-06-19 / 20140173359 - Automated Correlation and Analysis of Callstack and Context Data | 1 |
Whitfield Gardner Halstead | US | Palo Alto | 2016-05-12 / 20160133769 - INTEGRATED DIODE ASSEMBLIES FOR PHOTOVOLTAIC MODULES | 3 |
Richard Halstead | US | Rohnert Park | 2016-04-21 / 20160111936 - Wind Power Generator and Coupling | 10 |
David C. Halstead | US | Rochester | 2010-01-21 / 20100012482 - SPUTTERING SYSTEM AND METHOD INCLUDING AN ARC DETECTION | 1 |
Robert Halstead | GB | Wiltshire | 2012-03-29 / 20120078153 - WOUND DRESSINGS | 1 |
Whit Halstead | US | Palo Alto | 2013-05-30 / 20130133723 - Solar Panel with Integrated Mounting Clip/Shipping Support | 1 |
Richard M. Halstead | US | Spanish Fort | 2012-07-12 / 20120177559 - APPARATUS AND METHOD FOR PRODUCING POLYCRYSTALLINE SILICON HAVING A REDUCED AMOUNT OF BORON COMPOUNDS BY FORMING PHOSPHORUS-BORON COMPOUNDS | 1 |
Rick L. Halstead | US | Ashburn | 2009-11-12 / 20090279673 - METHOD AND SYSTEM FOR TEST AUTOMATION AND DYNAMIC TEST ENVIRONMENT CONFIGURATION | 1 |
David Halsted | US | Ann Anbor | 2008-11-27 / 20080294895 - Disaggregation/reassembly method system for information rights management of secure documents | 1 |
Benjamin Halsted | US | San Jose | 2015-09-17 / 20150264423 - METHODS AND SYSTEMS FOR DYNAMIC RUNTIME GENERATION OF CUSTOMIZED APPLICATIONS | 2 |
Kimberly Halsted | US | Kenosha | 2010-12-30 / 20100326207 - METHODS AND APPARATUS TO DETERMINE BELT CONDITION IN EXERCISE EQUIPMENT | 2 |
Marc Douglas Halsted | US | Charlotte | 2015-06-04 / 20150154615 - Entity Identification and Association | 3 |
David Halsted | US | Ann Arbor | 2008-09-11 / 20080222040 - Disaggregation/reassembly method system for information rights management of secure documents | 1 |
Benjamin Grey Halsted | US | San Jose | 2009-04-16 / 20090100145 - METHOD FOR INTERNET-BASED APPLICATIONS TO ENABLE INTERNET SERVICE PROVIDERS TO SPECIFY LOCATION CONTEXT | 1 |
Mark J. Halsted | US | Wyoming | 2009-06-11 / 20090148065 - Real-time summation of images from a plurality of sources | 2 |
Clint Wade Halsted | US | Statesville | 2013-12-26 / 20130342182 - DC-DC CONVERTER WITH EFFICIENCY OUTPUT | 1 |
Yolanda Halston | US | Malibu | 2011-05-12 / 20110110876 - Sprayable beautifying composition | 1 |
Christian K. Halstrick | DE | Heidelberg | 2011-08-11 / 20110196847 - CONFLICT MANAGEMENT IN A VERSIONED FILE SYSTEM | 2 |
Mary B. Halstvedt | US | Billings | 2014-08-21 / 20140235444 - SYNERGISTIC SUPRESSION OF GRASS SEEDHEAD PRODUCTION FROM APPLICATIONS OF AMINOCYCLOPYRACHLOR AND METSULFURON | 2 |
Andreas Engh Halstvedt | NO | Trondheim | 2016-01-07 / 20160005140 - GRAPHICS PROCESSING | 21 |
Christian Halt | DE | Melsungen | 2015-06-25 / 20150177335 - Inverter and Method for Detecting a Phase Failure in an Energy Supply Grid | 1 |
Bernd Ulrich Halt | DE | Oststeinbek | 2012-11-15 / 20120290106 - METHOD FOR THE MANAGEMENT OF INDUSTRIAL TRUCKS AND AN INDUSTRIAL TRUCK | 1 |
Thomas Michael Halt | US | Calumet | 2008-09-04 / 20080210443 - Fire Suppression System and Emergency Annunciation System | 1 |
Megan H. Halt | US | Media | 2015-03-26 / 20150088848 - ELECTRONIC SYSTEM AND METHOD FOR FACILITATING SOUND MEDIA AND ELECTRONIC COMMERCE BY SELECTIVELY UTILIZING ONE OR MORE SONG CLIPS | 1 |
David Halt | US | Pleasanton | 2012-09-20 / 20120234027 - Supersonic Cooling Nozzle with Airfoils | 1 |
David E. Halter | US | Austin | 2009-10-29 / 20090267624 - METHOD AND APPARATUS FOR ELECTRICAL TESTING | 1 |
Jeremy Halter | US | Las Vegas | 2015-03-19 / 20150080117 - SYSTEMS AND METHODS OF LINKING GAMING STATIONS ADMINISTERING DIFFERENT WAGERING GAMES TO THE SAME PROGRESSIVE JACKPOT | 1 |
Michael Halter | DE | Pfullingen | 2014-06-05 / 20140152483 - METHOD AND DEVICE FOR TESTING THE MATERIAL OF A TEST OBJECT IN A NONDESTRUCTIVE MANNER | 1 |
David Halter | NL | Eindhoven | 2012-07-26 / 20120190793 - METHOD FOR THE PRODUCTION OF SCAFFOLDS FOR TISSUE ENGINEERING, COMPRISING THE USEOF AN ANCHORING UNIT, AND SCAFFOLD PRODUCED THEREWITH | 5 |
Roman Halter | DE | Langenhagen | 2011-03-17 / 20110064739 - MEDICAMENT, COMPOSITIONS, AND SUBSTANCES FOR TREATING AND IDENTIFYING ADENOCARCINOMA OF THE LUNG | 1 |
Martin Halter | CH | Uzwil | 2011-01-20 / 20110015309 - THERMOPLASTIC STARCH COMPOUNDS | 1 |
Markus Halter | CH | Diepoldsau | 2015-05-28 / 20150146195 - Test Apparatus For Electro-Optical Printed Circuit Boards | 2 |
Roland Halter | CH | St. Gallen | 2010-06-24 / 20100154690 - METHOD FOR INJECTING A SUBSTANCE INTO A BOILER OF A GARBAGE INCINERATION PLANT | 2 |
Christophe Halter | BE | Selange | 2016-03-17 / 20160075060 - INJECTION MOLDING OF ARTICLES WITH INCORPORATED INSERTS | 18 |
Roland Halter | CH | St.gallen | 2010-03-11 / 20100058962 - METHOD FOR INJECTING A SUBSTANCE INTO A BOILER OF A WASTE INCINERATION PLANT | 1 |
Christophe Halter | BE | Selange | 2016-03-17 / 20160075060 - INJECTION MOLDING OF ARTICLES WITH INCORPORATED INSERTS | 18 |
Steve John Halter | US | San Diego | 2014-01-23 / 20140025325 - Voltage Level-Shifting | 1 |
Dean Edwin Halter | US | West Hartford | 2008-09-11 / 20080220304 - VENTING APPARATUS AND SYSTEM | 1 |
J. Michael Halter | US | Beaverton | 2009-05-07 / 20090114266 - High concentration, spectrum spitting, broad bandwidth, hologram photovoltaic solar collector | 1 |
David Halter | NL | Utrecht | 2011-07-07 / 20110165671 - PROCESS FOR PROVIDING AN ASSEMBLY OF CELL MICROCARRIERS | 1 |
Steve J. Halter | US | San Diego | 2012-06-21 / 20120153994 - Methods and Implementation of Low-Power Power-On Control Circuits | 1 |
Martin Halter | CH | Oberarth | 2016-04-14 / 20160101422 - RACK | 1 |
Steven John Halter | US | San Diego | 2013-11-14 / 20130305342 - HARDWARE ENFORCED OUTPUT SECURITY SETTINGS | 1 |
Richard Halter | US | Mustang | 2015-04-02 / 20150095205 - SYSTEM AND METHOD FOR EXTRACTION AND ACTIONABLE ANALYSIS OF DIGITAL RECEIPTS AND TRANSACTION LOGS | 1 |
Jordan Halter | US | Minneapolis | 2014-12-25 / 20140379718 - RADIOLOGY DATA PROCESSING AND STANDARDIZATION TECHNIQUES | 1 |
Steven L. Halter | US | Rochester | 2010-12-30 / 20100332605 - MESH NETWORK BRIDGE ROUTING | 5 |
Ryan Joseph Halter | US | Orford | 2011-05-05 / 20110105948 - Electrical Impedance Sensing Biopsy Sampling Device And Method | 1 |
Christophe Halter | FR | Selange | 2013-11-07 / 20130295221 - MOLDING MACHINE HAVING AUXILIARY EJECTION-ASSISTANCE ASSEMBLY CONFIGURED TO APPLY ADDITIONAL EJECTION FORCE | 2 |
Cedric Halter | FR | Wasselonne | 2015-04-23 / 20150107211 - COUPLING DEVICE AND AGRICULTURAL MACHINE COMPRISING SUCH A DEVICE | 2 |
Steven J. Halter | US | San Diego | 2010-03-11 / 20100064197 - RANDOM-ACCESS MULTI-DIRECTIONAL CDMA2000 TURBO CODE INTERLEAVER | 1 |
Robert J. Halter | US | Salt Lake City | 2015-12-10 / 20150352108 - AMINO-PYRIMIDINE COMPOUNDS AS INHIBITORS OF TBK1 AND/OR IKK EPSILON | 10 |
Ryan J. Halter | US | Orford | 2010-08-19 / 20100210958 - SYSTEM, METHOD AND DEVICE FOR MONITORING THE CONDITION OF AN INTERNAL ORGAN | 1 |
Theodore John Halter | US | Eagle Lake | 2013-04-11 / 20130087063 - CARTRIDGE AND BULLET | 2 |
Christen Halter | DE | Hanau | 2015-12-24 / 20150367567 - HAND-HELD APPARATUS FOR THE TRANSVERSE WELDING OF A TUBE | 1 |
Christophe Halter | DE | Selange | 2016-03-10 / 20160067897 - WEIGHT COMPENSATED MOLDING MACHINE | 1 |
Beat Halter | CH | Herisau | 2011-09-01 / 20110212515 - CULTURE/EXPOSURE DEVICE, IN PARTICULAR FOR CELL AND/OR BACTERIA CULTURES | 1 |
Robert J. Halter | US | Salt Lake City | 2015-12-10 / 20150352108 - AMINO-PYRIMIDINE COMPOUNDS AS INHIBITORS OF TBK1 AND/OR IKK EPSILON | 10 |
Ryan Halter | US | Orford | 2016-03-24 / 20160081585 - MULTIPLE-ELECTRODE ELECTRICAL IMPEDANCE SENSING BIOPSY SAMPLING DEVICE AND METHOD | 3 |
Sheila C. Halter | US | Pittsburgh | 2011-07-07 / 20110166951 - METHOD, APPARATUS AND SYSTEM FOR ADVANCING A BIDDER TO A SELECTED RANK | 1 |
Marc Halterman | US | Penfield | 2013-09-26 / 20130252334 - METHOD OF USING TET-INDUCIBLE TRANSGENES | 2 |
Keith Halterman | US | Carver | 2015-09-24 / 20150271221 - SELECTION TRIGGERED COLLABORATIVE CAX EDITING | 1 |
Thomas E. Halterman | US | Folsom | 2010-09-30 / 20100245001 - COAXIAL-TO-MICROSTRIP TRANSITIONS | 2 |
Ryan Halterman | US | San Diego | 2013-11-28 / 20130317649 - Nodding Mechanism For A Single-Scan Sensor | 1 |
Michael Halterman | US | 2009-08-20 / 20090205473 - Adjustable guide pads | 1 | |
Carl Halterman | US | East Wenatchee | 2014-07-24 / 20140202849 - MODULAR MANIFOLD FOR AN ELECTROLYZED WATER PROCESSOR | 1 |
Marc W. Halterman | US | Penfield | 2012-09-27 / 20120245952 - CROWDSOURCING MEDICAL EXPERTISE | 1 |
Dennis A. Halterman | US | Middleton | 2009-06-11 / 20090151019 - LATE BLIGHT RESISTANCE GENE FROM WILD POTATO | 1 |
Frank Halterman | DE | Heidenau | 2009-04-16 / 20090094975 - HYDRAULIC SWIVEL MOTOR AND METHOD FOR PRODUCING THE SAME | 1 |
Darrell Halterman | US | Charlottesville | 2013-05-16 / 20130124761 - METHOD AND APPARATUS FOR MAINTAINING NETWORK COMMUNICATION DURING MAINTENANCE | 1 |
Danny R. Halterman, Jr. | US | Navajo Dam | 2009-03-12 / 20090065620 - DRAG SYSTEM FOR A SPINNING REEL | 1 |
Ingrid Halters | NL | Amsterdam | 2009-06-04 / 20090143980 - Navigation Device and Method of Scrolling Map Data Displayed On a Navigation Device | 1 |
Ramprasad Halthore | US | Downingtown | 2016-02-25 / 20160051020 - Contact Lens Package Limiting Absorption of Ketotifen | 1 |
Mukund Halthore | US | Thousand Oaks | 2014-12-25 / 20140378853 - Universal wearable limb band mounting, powering and providing an antenna for, diverse physiological sensors and monitors | 1 |
Karl Jacob Haltiner, Jr. | US | Fairport | 2015-10-22 / 20150303490 - METHOD OF MANUFACTURING A FUEL CELL STACK HAVING AN ELECTRICALLY CONDUCTIVE INTERCONNECT | 8 |
Karl J. Haltiner, Jr. | US | Fairport | 2016-05-12 / 20160130989 - CAMSHAFT PHASER | 24 |
Karl Jacob Haltiner, Jr. | US | Fairport | 2015-10-22 / 20150303490 - METHOD OF MANUFACTURING A FUEL CELL STACK HAVING AN ELECTRICALLY CONDUCTIVE INTERCONNECT | 8 |
Ralph C. Haltiwanger | US | West Chester | 2016-03-10 / 20160068535 - PYRROLOTRIAZINES AS ALK INHIBITORS | 3 |
R. Curtis Haltiwanger | US | West Chester | 2012-08-23 / 20120214998 - Novel forms of a multicyclic compound | 3 |
Bradley A. Haltli | US | Monroe | 2008-11-06 / 20080274959 - Actinomadura Chromoprotein, Apoprotein and Gene Cluster | 1 |
Werner Haltmayer | DE | Dinkelsbuhl | 2014-09-18 / 20140263876 - WATER-BEARING DOMESTIC APPLIANCE, IN PARTICULAR DOMESTIC DISH WASHER | 16 |
Werner Haltmayer | DE | Dinkelsbuehl | 2009-12-24 / 20090314315 - WATER-CONDUCTING DOMESTIC APPLIANCE | 11 |
Ronald E. Haltmeyer | US | Swisher | 2015-07-30 / 20150211785 - UNDER MOUNT ROLLER FOR CRISPER SYSTEM | 5 |
Bryan Haltom | US | Cary | 2008-10-30 / 20080265478 - WIND TURBINE SYSTEMS DAMPERS | 1 |
Jeffrey Allen Haltom | US | Fishers | 2014-06-19 / 20140173712 - NETWORK SECURITY SYSTEM WITH CUSTOMIZABLE RULE-BASED ANALYTICS ENGINE FOR IDENTIFYING APPLICATION LAYER VIOLATIONS | 3 |
Jeffrey Haltom | US | Fishers | 2015-01-29 / 20150029302 - MULTI-ENTERPRISE VIDEO CONFERENCE SERVICE | 2 |
Jeremy Byron Haltom | US | Sachse | 2016-03-03 / 20160062719 - Systems and Methods for Automatic Content Display | 1 |
Jeffrey A. Haltom | US | Fishers | 2015-04-16 / 20150106528 - COMMUNICATION OF DATA OF A WEB REAL-TIME COMMUNICATION VIA A CARRIER-GRADE ENVIRONMENT | 2 |
Mark Keith Halton | IE | County Limerick | 2010-10-07 / 20100254443 - DIGITALLY CONTROLLED ISOLATED POWER CONVERTER | 1 |
James Halton | US | Odessa | 2012-08-23 / 20120215706 - Methods And Systems For Providing A Recognition User Interface For An Enterprise Social Network | 1 |
Mark Keith Halton | IE | Annacotty | 2011-01-20 / 20110012687 - DIGITAL PULSE WIDTH MODULATOR | 1 |
P. Karl Halton | CA | Kelowna | 2012-05-03 / 20120107779 - Object-field-based mathematics system | 1 |
Michael Halton | IE | Longford | 2011-01-27 / 20110020609 - PANEL AND METHOD OF MAKING THE SAME | 1 |
Sean M. Halton | US | Chandler | 2013-10-31 / 20130285545 - THERMAL MANAGEMENT FOR LIGHT-EMITTING DIODES | 1 |
Kiron Haltore | US | San Jose | 2015-05-28 / 20150149635 - METHOD AND SYSTEM FOR DISTRIBUTED LOAD BALANCING | 4 |
Avi Haltovsky | IL | Givat-Shmuel | 2012-08-30 / 20120220355 - ELECTRONIC GAMING PLATFORM HAVING SHARED AND PRIVATE SCREENS | 4 |
Gerald B. Halt, Sr. | US | Newtown Square | 2010-06-17 / 20100150776 - PERSONAL SANITATION DEVICE | 1 |
Tero Halttunen | FI | Kangasala | 2012-08-16 / 20120207621 - Method and Equipment for Controlling Operating Temperature of Air Compressor | 1 |
Lasse Halttunen | FI | Järvenpää | 2011-02-03 / 20110030020 - METHOD OF ORDERING A VIDEO FILM WITH A MOBILE TERMINAL SUCH AS A MOBILE PHONE AND TRANSFERRING IT TO A TV | 1 |
Lasse Halttunen | FI | Järvenpää | 2011-02-03 / 20110030020 - METHOD OF ORDERING A VIDEO FILM WITH A MOBILE TERMINAL SUCH AS A MOBILE PHONE AND TRANSFERRING IT TO A TV | 1 |
Yassir Halty | US | Blue Ash | 2016-04-21 / 20160106294 - KIT HAVING A PACKAGE CONTAINING CLEANING IMPLEMENTS, PACKAGE THEREFOR AND BLANK THEREFOR | 1 |
James Haluck | US | Reno | 2014-07-17 / 20140199001 - STORGE BAG HAVING A LIQUID ABSORBING SPACER | 1 |
David A. Haluck | US | Stuart | 2016-02-04 / 20160032976 - COMPONENT CONFIGURED FROM MARTENSITIC STAINLESS STEEL | 3 |
Randy S. Haluck | US | Litiz | 2014-09-18 / 20140277175 - BONE REPAIR SYSTEM, KIT AND METHOD | 1 |
Randy S. Haluck | US | Lititz | 2014-10-23 / 20140316471 - Bone Repair System and Method | 3 |
Nicholas R. Haluck | US | San Jose | 2013-04-18 / 20130092557 - ELECTROPOLISHING SOLUTION CONTAINING A WATER SEQUESTERING AGENT AND METHODS OF USE THEREOF | 2 |
Stacey L. Halum | US | Indianapolis | 2014-05-01 / 20140120067 - MUSCLE STEM CELL THERAPY FOR TREATMENT OF DYSPHAGIA | 1 |
Patrick Michael Haluptzok | US | Sammamish | 2008-11-27 / 20080294652 - Personalized Identification Of System Resources | 1 |
Edward John Halusic, Iii | US | Albany | / - | 1 |
Keith Haluska | US | Bradenton | 2014-06-19 / 20140172523 - Hand/Surface-Sanitizing Kiosk with Ad Space | 3 |
David G. Haluska | US | Waukesha | 2015-03-12 / 20150068420 - IN-LINE MAGNETIC COATING INTEGRATED WITH A PRINTING PROCESS | 1 |
Frank G. Haluska | US | Wayland | 2014-02-13 / 20140045826 - METHODS AND COMPOSITIONS FOR TREATING NEURODEGENERATIVE DISEASES | 1 |
Paul Haluska | US | Rochester | 2012-07-19 / 20120183966 - DETECTION AND QUANTITATION OF INSULIN RECEPTOR ALPHA ISOFORM OR BETA ISOFORM | 1 |
Jeffrey Allan Haluska | US | Budd Lake | 2015-06-25 / 20150178612 - OPTICAL MACHINE READABLE CODED LABELS | 1 |
Jeffrey A. Haluska | US | Bud Lake | 2009-10-29 / 20090270749 - DEVICE AND METHOD FOR DETECTING ATRIAL FIBRILLATION | 1 |
John Haluska | US | Aberdeen | 2014-07-24 / 20140204799 - METHOD AND SYSTEM FOR VISUALIZING AND ANALYZING A FIELD AREA NETWORK | 3 |
Miloslav Haluza | CZ | Ivancice | 2015-08-13 / 20150224577 - METHOD OF PREPARATION OF MAGNETICALLY CONDUCTIVE POWDERS BY CAVITATION AND DEVICE TO CARRY OUT THE METHOD | 1 |
Charles C. Haluzak | US | Corvallis | 2011-02-03 / 20110025782 - MICROFLUIDIC DEVICE AND A FLUID EJECTION DEVICE INCORPORATING THE SAME | 6 |
Charles C. Haluzak | US | Philomath | 2014-11-13 / 20140333703 - Cantilevered Micro-Valve and Inkjet Printer Using Said Valve | 6 |
Robert E. Halvachs | US | Belleville | 2015-04-09 / 20150099773 - PROCESS FOR THE PREPARATION OF QUATERNARY N-ALKYL MORPHINAN ALKALOID SALTS | 9 |
Seth Halvaksz | US | Falls Church | 2015-12-24 / 20150370802 - SYSTEMS AND METHODS FOR CUSTOMIZED ELECTRONIC CUMMUNICATIONS | 3 |
Per Halvarsson | SE | Vasteras | 2010-01-21 / 20100014337 - ARRANGEMENT AND A METHOD FOR COOLING | 5 |
Anders Halvarsson | SE | SjÖmarken | 2016-01-07 / 20160007115 - WIRELESS SPEAKER ARRANGEMENT | 1 |
Björn Halvarsson | SE | Uppsala | 2016-02-18 / 20160050680 - Selection of Transmission Mode Based on Radio Conditions | 1 |
Stig Halvarsson | SE | Lomma | 2010-07-15 / 20100179978 - FFT-BASED PARALLEL SYSTEM WITH MEMORY REUSE SCHEME | 1 |
Stig Halvarsson | SE | Lund | 2016-01-07 / 20160006848 - DYNAMIC CONTACT SHARING IN A MESH NETWORK | 1 |
Terrence Halver | US | Adams | 2011-06-23 / 20110152036 - SKATING SIMULATOR | 1 |
Paul S. Halverson | US | Byron | 2014-08-28 / 20140245085 - MANAGING ERROR LOGS IN A DISTRIBUTED NETWORK FABRIC | 3 |
Teresa L. Halverson | US | San Clemente | 2014-09-11 / 20140257245 - Embolectomy Catheters And Methods For Treating Stroke And Other Small Vessel Thromboembolic Disorders | 3 |
Michael Halverson | US | Laguna Niguel | 2014-12-11 / 20140361891 - INTERACTIVE WIRELESS LIFE SAFETY COMMUNICATIONS SYSTEM | 2 |
Peter A. Halverson | US | Draper | 2013-05-02 / 20130110170 - COUPLING SYSTEM FOR SURGICAL CONSTRUCT | 1 |
Alan Halverson | US | Sunnyvale | 2009-12-17 / 20090313286 - GENERATING TRAINING DATA FROM CLICK LOGS | 3 |
Howard Gerhard Halverson | US | Mauldin | 2013-09-26 / 20130247377 - PROCESS OF REPAIRING A COMPONENT, A REPAIR TOOL FOR A COMPONENT, AND A COMPONENT | 1 |
Peter G. Halverson | US | Temple City | 2009-11-19 / 20090284249 - Sensor, Method and System of Monitoring Transmission Lines | 2 |
Corey Halverson | US | Cambridge | 2009-07-30 / 20090193475 - Systems and Methods For Enabling Configurable Context-Specific Actions in Streaming Video | 1 |
Peter Halverson | US | Salt Lake City | 2014-04-24 / 20140114357 - SURGICAL CONSTRUCT COUPLING SYSTEM | 1 |
Peter Halverson | US | Draper | 2016-03-17 / 20160074174 - IBD Expandable Ti | 1 |
Loern Alan Halverson | US | Bellevue | 2013-10-10 / 20130266377 - WATERCRAFT LIFT AND AUTOMATIC WATER CRAFT COVER | 1 |
Joshua H. Halverson | US | Oronoco | 2013-04-18 / 20130092150 - SECONDARY ROOM AIR HEAT EXCHANGER | 1 |
Jon Caleb Halverson | US | Des Plaines | 2013-05-30 / 20130134273 - Microphone Mounting Apparatus | 1 |
Alan Dale Halverson | US | Verona | 2015-12-03 / 20150347513 - EXECUTING STORED PROCEDURES AT PARALLEL DATABASES | 8 |
Aileen Halverson | US | Green River | 2013-11-28 / 20130315804 - Fly Ash and Fly Ash Leachate Treatment | 1 |
Alan D. Halverson | US | Verona | 2015-12-31 / 20150379083 - CUSTOM QUERY EXECUTION ENGINE | 3 |
Eric W. Halverson | US | Beaverton | 2014-06-05 / 20140150968 - Method Of Manufacturing An Article Of Apparel With A Coated Graphic | 1 |
Aaron J. Halverson | US | Glendale | / - | 1 |
Aaron Halverson | US | Grapevine | 2014-09-04 / 20140246537 - Single-Gauge Monitoring of Multiple Components | 1 |
Erik R. Halverson | US | Salem | 2008-10-02 / 20080236018 - POP-UP ADJUSTMENT CAP SYSTEM FOR SIGHTING DEVICE | 2 |
Duane S. Halverson | US | Green River | 2010-09-09 / 20100225154 - Method for Simultaneously Mining Vertically Disposed Beds | 1 |
Alan D. Halverson | US | Sunnyvale | 2009-03-19 / 20090073888 - DETERMINING QUALITY OF COMMUNICATION | 1 |
Kurt J. Halverson | US | Saint Paul | 2015-11-26 / 20150337354 - BIOLOGICAL STERILIZATION INDICATOR DEVICES AND METHODS OF USE | 1 |
Loern Halverson | US | Bellevue | 2015-11-12 / 20150321730 - WATERCRAFT LIFT AND AUTOMATIC WATERCRAFT COVER | 1 |
Adam Fraser Halverson | US | Albany | 2014-12-25 / 20140373908 - PHOTOVOLTAIC DEVICES | 3 |
Peter Halverson | US | Alpine | 2010-09-23 / 20100241232 - SPINAL IMPLANT | 1 |
Kevin Halverson | US | Seattle | 2011-09-15 / 20110224939 - INTEGRATED TOOL FOR PERSISTING DEVELOPMENT ENVIRONMENT TEST SCENARIO INFORMATION | 2 |
Ronald R. Halverson | US | Oak Park | 2013-04-25 / 20130103452 - DYNAMIC RESTAURANT POSITIONING SYSTEM AND METHOD | 2 |
Peter A. Halverson | US | Alpine | 2015-12-31 / 20150375372 - TENSION LOCKING TOOL | 4 |
Michael D. Halverson | US | Las Vegas | 2008-12-11 / 20080302026 - MODULAR SHOOTING RANGE | 2 |
Gregory R. Halverson | US | New York | 2013-08-08 / 20130203090 - SYSTEMS AND METHODS FOR DETERMINING ANTIBODY-MEDIATED RISK INDEX | 2 |
Kevin Wagner Halverson | US | Seattle | 2009-12-31 / 20090328012 - COMPILER IN A MANAGED APPLICATION CONTEXT | 1 |
Holly B. Halverson | US | Layton | 2011-12-15 / 20110303486 - Foldable Portable Vehicle Rack Ladder | 1 |
Martin J. Halverson | US | Chisholm | 2013-03-28 / 20130075307 - MAGNETIC SEPARATOR | 2 |
Michael Halverson | US | Greenfield | 2016-02-04 / 20160033336 - THERMAL DETECTION SYSTEMS, METHODS, AND DEVICES | 1 |
Brent Halverson | CA | Vancouver | 2013-03-21 / 20130070276 - METHOD AND SYSTEM FOR EXCHANGING BUSINESS DOCUMENTS | 3 |
Adam Fraser Halverson | US | Niskayuna | 2014-06-19 / 20140170801 - METHODS OF FABRICATING A PHOTOVOLTAIC MODULE, AND RELATED SYSTEM | 1 |
David J. Halverson | US | Greenfield | 2014-07-10 / 20140190368 - METHODS AND COMPOSITIONS FOR COATING ALUMINUM SUBSTRATES | 2 |
Steven G. Halverson | US | Rochester | 2014-12-11 / 20140365427 - SMART POLLING FREQUENCY | 3 |
Kurt J. Halverson | US | Lake Elmo | 2016-03-17 / 20160075988 - CULTURE DEVICE AND METHODS FOR ENUMERATING MOLD COLONIES | 35 |
Kurt J. Halverson | US | Lake Elmo | 2016-03-17 / 20160075988 - CULTURE DEVICE AND METHODS FOR ENUMERATING MOLD COLONIES | 35 |
Zach Halverson | US | Lansing | 2014-09-18 / 20140268307 - APPARATUS FOR FACILITATING MICRO-ROTATIONAL CALIBRATION FOR AN NLO CRYSTAL ENABLED LASER SYSTEM | 1 |
Steven Gene Halverson | US | Rochester | 2012-05-24 / 20120131558 - MANAGING BREAKPOINTS IN A MULTI-THREADED ENVIRONMENT | 3 |
Emery P. Halverson | US | Peoria | 2015-11-26 / 20150338329 - BULK MODULUS MEASUREMENT AND FLUID DEGRADATION ANALYSIS | 1 |
Kyle Halverson | US | Eden Prairie | 2015-06-11 / 20150163606 - VISUAL INDICATORS FOR A HEARING AID | 1 |
Alan Dale Halverson | US | Verona | 2015-12-03 / 20150347513 - EXECUTING STORED PROCEDURES AT PARALLEL DATABASES | 8 |
Eric Wayne Halverson | US | Beaverton | 2010-08-05 / 20100192280 - Article Of Apparel With A Coated Graphic | 1 |
Ward Dean Halverson | US | Cambridge | 2010-07-08 / 20100174245 - System for pretreating the lumen of a catheter | 1 |
David Halverson | US | Moore | 2011-07-14 / 20110170579 - METHOD AND APPARATUS FOR UNIVERSAL xDSL DEMARCATION INTERFACE WITH MULTI-FUNCTIONAL CAPABILITY AND SIGNAL PERFORMANCE ENHANCEMENT | 2 |
Christopher R. Halverson | US | Portland | 2012-02-02 / 20120030704 - Digital Ad Insertion for Video-On-Demand | 1 |
David Halverson | US | Spartanburg | 2010-08-12 / 20100203762 - AC MAINS FILTER AND POWER SUPPLY SYSTEM | 1 |
Matthew C. Halverstadt | US | Arvada | 2015-04-02 / 20150089710 - SKIN-TO-SKIN CARE GARMENT | 2 |
James N. Halvis | US | Severna Park | 2009-12-31 / 20090320913 - LATERAL ULTRA-HIGH EFFICIENCY SOLAR CELL | 1 |
Gunnar Halvorsen | NO | Kristiansand S | 2015-04-30 / 20150118099 - HIGH STRENGTH, OXIDATION AND WEAR RESISTANT TITANIUM-SILICON BASED ALLOY | 1 |
Kenneth Anders Halvorsen | US | Natick | 2014-09-11 / 20140255939 - NUCLEIC ACID-BASED LINKERS FOR DETECTING AND MEASURING INTERACTIONS | 4 |
Hasse V. Halvorsen | NO | Porsgrunn | 2012-11-01 / 20120273358 - METHOD OF TREATMENT OF CELLULOSIC OBJECTS | 1 |
Arild Halvorsen | NO | Averoy | 2010-11-04 / 20100276398 - SHIELDING GAS FLOW CONTROLLER FOR A WELDING APPARATUS | 2 |
Kenneth A. Halvorsen | US | Natick | 2010-06-03 / 20100137120 - Spinning Force Apparatus | 1 |
Yuan-Di C. Halvorsen | US | Branford | 2010-05-27 / 20100129330 - ADIPOCYTIC DIFFERENTIATED ADIPOSE DERIVED ADULT STEM CELLS AND USES THEREOF | 1 |
Bente Halvorsen | NO | Oslo | 2014-12-18 / 20140371089 - METHOD FOR DIGANOSING ATHEROSCLEROTIC PLAQUES BY MEASUREMENT OF CD36 | 3 |
Matthew J. Halvorsen | US | Hopkinton | 2011-03-24 / 20110070285 - METHOD OF MAKING FLEXIBLE BIORESORBABLE HEMOSTATIC PACKING AND STENT HAVING A PRESELECTABLE IN-VIVO RESIDENCE TIME | 1 |
Geir Halvorsen | NO | Stjordal | 2010-10-28 / 20100270139 - METHOD FOR DEWATERING A MIXTURE OF MOSTLY ETHANOL AND WATER | 1 |
Harald Halvorsen | NO | Oslo | 2014-12-18 / 20140371422 - METHODS FOR MANUFACTURING AN ANTIFUNGAL AGENT | 2 |
Mark Stephen Halvorsen | US | Madison | 2010-01-14 / 20100007099 - BONDING OF SILICONE GASKETS AND SYSTEMS CONTAINING BONDED SILICONE GASKETS | 1 |
Yuan-Di Chang Halvorsen | US | Holly Springs | 2013-10-31 / 20130288262 - Multiple Mesodermal Lineage Differentiation Potentials for Adipose Tissue-Derived Stromal Cells and Uses Thereof | 3 |
Patrick M. Halvorsen | US | Andover | 2009-05-28 / 20090138808 - Method and apparatus for providing attributes of a collaboration system in an operating system folder-based file system | 1 |
Per Steinar Halvorsen | NO | Olso | 2008-11-13 / 20080281214 - Method for estimating cardiac pumping capacity | 1 |
Christian W.w. Halvorsen | US | Los Altos | 2012-10-25 / 20120272168 - METHODS, APPARATUS, AND SYSTEMS FOR VISUALLY REPRESENTING A RELATIVE RELEVANCE OF CONTENT ELEMENTS TO AN ATTRACTOR | 1 |
Halvor Halvorsen | NO | Stavanger | 2012-11-29 / 20120297652 - DEVICE AND METHOD FOR ATTACHING AN ID-MARKER TO A PRODUCTION PIPE | 1 |
Sean Halvorsen | CA | Calgary | 2015-10-15 / 20150292223 - Modular Processing Facilities | 3 |
Pat Halvorsen | US | Clyde Hill | 2015-12-24 / 20150373183 - USE OF A DIGITAL ASSISTANT IN COMMUNICATIONS | 2 |
Christian W.w. Halvorsen | US | San Francisco | 2015-05-14 / 20150135048 - METHODS, APPARATUS, AND SYSTEMS FOR VISUALLY REPRESENTING A RELATIVE RELEVANCE OF CONTENT ELEMENTS TO AN ATTRACTOR | 1 |
Yuan-Di C. Halvorsen | US | Holly Springs | 2016-03-10 / 20160068813 - DIFFERENTIATION OF ADIPOSE STROMAL CELLS INTO OSTEOBLASTS AND USES THEREOF | 1 |
Tore Halvorsen | NO | Fevik | 2013-12-26 / 20130341262 - DEVICE FOR COLLECTING POLLUTION ON A WATER SURFACE | 3 |
Pål Halvorsen | NO | Finstadjordet | 2011-09-01 / 20110213827 - DATA SEGMENTATION, REQUEST AND TRANSFER METHOD | 1 |
Pal Halvorsen | NO | Finstadjordet | 2013-11-14 / 20130304875 - DATA SEGMENTATION, REQUEST AND TRANSFER METHOD | 2 |
Knut Halvorsen | NO | Lillesand | 2014-07-03 / 20140186125 - RESTRAINING DEVICE FOR A TENSIONER ASSEMBLY | 2 |
Kenneth A. Halvorsen | US | Glenmont | 2016-05-05 / 20160123862 - ELECTRICAL SYSTEMS,AND SEPARATION SAMPLING MODULES FOR USE WITHIN A BUCKET OF A CENTRIFUGE | 2 |
Ken Halvorsen | US | Lincoln | 2012-02-16 / 20120037641 - Pressure Vessel Shear Resistant Boss and Shell Interface Element | 1 |
Lars R. Halvorsen | US | Charleston | 2012-06-07 / 20120141239 - SYSTEM AND METHOD FOR FACILITATING WELL CONSTRUCTION | 1 |
Yuan-Di C. Halvorsen | US | Brenford | 2015-04-09 / 20150099300 - USE OF ADIPOSE TISSUE-DERIVED STROMAL CELLS FOR CHONDROCYTE DIFFERENTIATION AND CARTILAGE REPAIR | 2 |
Daniel Halvorsen | GB | Sandwich | 2015-12-17 / 20150360431 - BULK BAG CONDITIONER WITH VERTICALLY TRAVELING RAM ASSEMBLIES | 1 |
Gregory Halvorson | US | Santa Clara | 2014-09-18 / 20140268610 - METHOD AND SYSTEM FOR FORMING A MICROVIA IN A PRINTED CIRCUIT BOARD | 1 |
Robbie L. Halvorson | US | Oakdale | 2014-07-24 / 20140207222 - HOUSINGS FOR IMPLANTABLE MEDICAL DEVICES AND METHODS FOR FORMING HOUSINGS | 2 |
Brian Halvorson | US | St. Paul | 2015-01-15 / 20150015287 - TESTING APPARATUS AND METHOD FOR MICROCIRCUIT AND WAFER LEVEL IC TESTING | 2 |
Michael C Halvorson | US | Reno | 2015-10-15 / 20150294528 - System and Method for Bingo Game with Multiplier | 1 |
Chad Halvorson | US | Oakdale | 2014-06-12 / 20140164042 - NETWORK-BASED SCHEDULING APPLICATION | 1 |
Daryl Halvorson | US | Cologne | 2015-07-16 / 20150196179 - Debris Blower | 1 |
Robbie Halvorson | US | Santa Clara | 2011-06-30 / 20110160824 - MULTI-FUNCTION LEAD IMPLANT TOOL | 1 |
Christopher R. Halvorson | US | Carmel | 2014-09-11 / 20140257251 - CUSTOMIZING NAMES OF INSULIN DELIVERY PROFILES FOR IMPROVED PATIENT SAFETY | 2 |
Jacob A. Halvorson | US | Moorhead | 2015-09-24 / 20150269790 - GROUND FLEET OPERATIONS QUALITY MANAGEMENT SYSTEM | 2 |
James O. Halvorson | US | University Place | 2014-01-09 / 20140012555 - VALUATION AND SIMULATION OF REQUIRED NAVIGATION PERFORMANCE | 2 |
Forrest Halvorson | US | Becker | 2015-09-24 / 20150267828 - COMBINATION AIR VALVE | 1 |
Leslie Halvorson | US | Columbus | 2014-10-23 / 20140316437 - EPILATOR | 1 |
Michael Stuart Halvorson | US | Plymouth | 2012-09-27 / 20120243938 - Pavement Crack Router | 2 |
Jacob A. Halvorson | US | Dilworth | 2011-07-14 / 20110171612 - SYNCHRONIZED VIDEO AND SYNTHETIC VISUALIZATION SYSTEM AND METHOD | 1 |
Ryan Halvorson | US | San Diego | 2015-03-05 / 20150061989 - ATTENTION-BASED RENDERING AND FIDELITY | 1 |
Kristofer Halvorson | US | Phoenix | 2009-08-06 / 20090193713 - Collapsible tree stand | 1 |
Michael C. Halvorson | US | Las Vegas | 2012-02-23 / 20120046090 - GAMING SYSTEM, GAMING DEVICE AND METHOD FOR WAGERING GAME HAVING A UNIQUE BONUS ROUND PLAY | 1 |
Eric C. Halvorson, Ii | US | Fishers | 2015-12-17 / 20150360869 - CROSS BELT SLAT SORTER | 2 |
Harold Halvorson, Jr. | US | Beaverton | 2010-08-19 / 20100205742 - PATIENT SUPPORT APPARATUS WITH NECK SUPPORT MEMBER | 1 |
Vivek Halwan | US | South Windsor | 2012-02-16 / 20120041610 - CONTROLLER FOR COMBINED HEAT AND POWER SYSTEM | 2 |
Fouad Halwani | CA | Kirkland | 2013-07-04 / 20130172773 - SPIROMETER BREATHING TUBE WITH COMPOUND MEMBRANE | 1 |
Annika Halwe-Bommelmann | DE | Rahden | 2011-07-21 / 20110175346 - RIGID FOAM ENVELOPMENT OF THE CONNECTIONS OF PIPES | 1 |
Annika Halwe-Bommelmann | DE | Rahden-Varl | 2013-02-28 / 20130048137 - PROCESS FOR PRODUCING INSULATED PIPES HAVING IMPROVED PROPERTIES | 2 |
Dennis Ray Halwes | US | Arlington | 2015-10-29 / 20150306947 - INFINITELY VARIABLE TRANSMISSION | 2 |
Dwight Ham | US | Sunrise | 2009-01-01 / 20090006351 - Device and Method for World Wide Web Organization | 1 |
Hyung Chul Ham | KR | Chuncheon-Si | 2008-10-02 / 20080241620 - SEPARATOR FOR COOLING MCFC, MCFC INCLUDING THE SAME AND METHOD FOR COOLING MCFC USING THE SEPARATOR | 1 |
Jeff Ham | US | Pearland | 2009-09-03 / 20090217733 - Systems and Methods for Calibrating Triaxial Accelerometers | 1 |
Kang Sic Ham | KR | Incheon-Si | 2008-08-28 / 20080209337 - MOBILE COMMUNICATION TERMINAL AND METHOD FOR ACCESSING THE INTERNET USING A MOBILE COMMUNICATION TERMINAL | 1 |
Ho-Wan Ham | KR | Hawaseong | 2015-11-05 / 20150315460 - LUMINESCENT QUANTUM DOT | 1 |
Bob Ham | US | Atlanta | 2009-10-01 / 20090248753 - DATABASE MANAGEMENT SYSTEM RISK ASSESSMENT | 1 |
Min Kyoung Ham | KR | Uiwang-Si | 2015-12-03 / 20150344685 - Thermoplastic Resin Composition and Molded Article Using the Same | 1 |
Hyung Suk Ham | KR | Daejeon | 2013-09-19 / 20130239425 - ALIGNMENT SYSTEM AND METHOD FOR RADAR APPARATUS | 2 |
Suk Jin Ham | KR | Gyunggi-Do | 2014-05-22 / 20140138611 - IN NANOWIRE, DEVICE USING THE SAME AND METHOD OF MANUFACTURING In NANOWIRE | 5 |
Yeon-Sik Ham | KR | Suwon-Si | 2014-10-23 / 20140313447 - LIQUID CRYSTAL DISPLAY DEVICE | 16 |
Jae Eun Ham | KR | Seoul | 2016-05-12 / 20160135063 - METHOD AND APPARATUS FOR CONTROLLING OF DDN MESSAGE, AND COMPUTER READABLE MEDIUM FOR THE SAME | 1 |
Boo-Hyun Ham | KR | Yongin-Si | 2016-02-04 / 20160035617 - OVERLAY MARKS, METHODS OF FORMING THE SAME, AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME | 1 |
Liang-Chuo Ham | TW | Hsinchu City | 2012-12-27 / 20120329278 - DISPENSER FOR CHEMICAL-MECHANICAL POLISHING (CMP) APPARATUS, CMP APPARATUS HAVING THE DISPENSER, AND CMP PROCESS USING THE CMP APPARATUS | 1 |
Gregory Ham | US | Houston | 2009-10-15 / 20090255728 - Wireline System | 1 |
Hyoung Sun Ham | KR | Suwon-Si | 2015-11-05 / 20150318118 - TANTALUM CAPACITOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Erik Leonardus Ham | NL | Steenbergen | 2011-06-02 / 20110126406 - Lithographic Apparatus and Method of Manufacturing an Electrostatic Clamp for a Lithographic Apparatus | 1 |
Chang Ho Ham | KR | Ansan-Si | 2016-05-19 / 20160138538 - FUEL FILTER FOR DIESEL ENGINE | 6 |
Hyun Ju Ham | KR | Icheon-Si Gyeonggi-Do | 2015-06-11 / 20150160665 - PERIOD SIGNAL GENERATION CIRCUITS | 1 |
Dongho Ham | KR | Pyeongtaek-Si | 2015-11-12 / 20150323848 - DISPLAY PANEL AND DISPLAY DEVICE | 3 |
Chang-Woo Ham | KR | Daejeon | 2011-09-01 / 20110209763 - METHOD OF MANUFACTURING THIN-FILM LIGHT-ABSORBING LAYER, AND METHOD OF MANUFACTURING THIN-FILM SOLAR CELL USING THE SAME | 1 |
Seung Jin Ham | KR | Cheongju-Si | 2012-08-30 / 20120218062 - CIRCUIT BREAKER | 1 |
Lae He Ham | KR | Goyang-Si | 2012-08-30 / 20120221123 - ELECTRICAL INSTRUMENT AND CONTROLLING CONTROL METHOD THEREOF | 1 |
Byung Ii Ham | US | Rancho Palos Verdes | 2013-07-25 / 20130188371 - Outdoor LED Lighting Apparatus | 2 |
Jun Sik Ham | KR | Seongnam-Si | 2015-12-31 / 20150380733 - ANODE ACTIVE MATERIAL AND METHOD OF PREPARING THE SAME | 1 |
Demetrius Calvin Ham | US | Dingmans Ferry | 2009-12-03 / 20090295187 - Car door hinge | 2 |
Ronald E. Ham | US | Austin | 2013-08-29 / 20130223259 - SIMULTANEOUS DATA PACKET PROCESSING | 3 |
Nicholas S. Ham | US | Austin | 2015-07-30 / 20150212913 - PERFORMANCE MITIGATION OF LOGICAL UNIT NUMBERS (LUNS) USING SMALL COMPUTER SYSTEM INTERFACE (SCSI) INBAND MANAGEMENT | 8 |
Terry R. Ham | US | Royal Palm Beach | 2010-07-29 / 20100188708 - Method to utilize non-image area in image reproduction | 1 |
Peter G. Ham | US | Houston | 2010-09-30 / 20100243520 - Separation Method and Assembly for Process Streams in Component Separation Units | 3 |
Hyun Ju Ham | KR | Icheon-Si | 2014-08-07 / 20140218101 - PERIOD SIGNAL GENERATION CIRCUITS | 1 |
Junseok Ham | KR | Seoul | 2016-03-03 / 20160062116 - WEARABLE DISPLAY DEVICE AND METHOD OF CONTROLLING THEREFOR | 1 |
Stev B. Ham | US | Lee'S Summit | 2010-11-04 / 20100277931 - VEHICLE LAMP WITH POLYMER CONDUCTORS AND MOUNTING STRUCTURES | 1 |
Young S. Ham | US | Dublin | 2011-02-03 / 20110026661 - DETECTING PIN DIVERSION FROM PRESSURIZED WATER REACTORS SPENT FUEL ASSEMBLIES | 1 |
Donhee Ham | US | Cambridge | 2011-04-21 / 20110091987 - Miniaturized Magnetic Resonance Systems and Methods | 7 |
Jong Wook Ham | KR | Seoul | 2016-03-31 / 20160089440 - POTENCY-EXTENDING AGENT OF BOTULINUM TOXIN TYPE-A PRODUCT | 2 |
Yong-Su Ham | KR | Seoul | 2012-11-29 / 20120300157 - Liquid Crystal Display Panel and Method for Fabricating the Same | 1 |
Christopher V. Ham | US | Las Cruces | 2010-11-11 / 20100282052 - RADIO FREQUENCY JAMMER METHOD | 1 |
Marnie Elizabeth Jean Ham | CA | Port Hope | 2010-10-14 / 20100260569 - Mill bed | 1 |
John Ham | US | San Francisco | 2014-10-30 / 20140324978 - Broadcast Notifications Using Social Networking Systems | 1 |
Ju-Hyeong Ham | KR | Suwon-Si | 2015-09-10 / 20150255607 - SEMICONDUCTOR DEVICE HAVING STRESSOR AND METHOD OF FABRICATING THE SAME | 1 |
Yong-Nam Ham | KR | Suwon-Si | 2009-04-02 / 20090087743 - ELECTRODE, METHOD OF PREPARING THE SAME, AND LITHIUM BATTERY INCLUDING THE ELECTRODE | 1 |
Kevin Ham | CA | Vancouver | 2010-08-12 / 20100205254 - METHOD AND SYSTEM OF TRACKING CONTENT IN A SOCIAL NETWORK | 1 |
Suk Jin Ham | KR | Suwon | 2014-07-03 / 20140185244 - THERMALLY CONDUCTIVE FILM AND CIRCUIT BOARD MODULE | 23 |
Zoran Ham | SI | Trbovlje | 2010-06-17 / 20100152223 - CRYSTAL FORM OF CABERGOLINE | 3 |
Eui Shin Ham | KR | Yongin | 2016-04-28 / 20160113411 - METHOD AND APPARATUS FOR WELDING 3D BELLOWS, AND BELLOWS | 2 |
Peter Gregory Ham | US | Houston | 2016-04-14 / 20160102041 - HETEROGENEOUS CATALYST FOR TRANSESTERIFICATION AND METHOD OF PREPARING SAME | 1 |
Cornelis Leonardus Gerardus Ham | NL | Oirschot | 2013-08-15 / 20130207659 - RF SHIELD FOR MRI COMPRISING CONDUCTIVE COATING AS SHIELDING MATERIAL | 2 |
Edvard Ham | CH | Riehen | 2009-07-02 / 20090165215 - PROCESS FOR THE ENHANCEMENT OF THERMOSTABILITY | 3 |
Woo-Sung Ham | KR | Seoul | 2016-04-28 / 20160113411 - METHOD AND APPARATUS FOR WELDING 3D BELLOWS, AND BELLOWS | 2 |
Won-Kook Ham | KR | Seoul | 2016-04-21 / 20160108390 - COMPOSITION FOR STABILIZING EC-SOD AND METHOD OF STABILIZING EC-SOD USING THE SAME | 1 |
Ronald Edgar Ham | US | Austin | 2013-01-17 / 20130016018 - AUTOMATIC ELECTRONICALLY TUNED ELECTRICALLY SMALL TRANSMITTING ANTENNA SYSTEMAANM Ham; Ronald EdgarAACI AustinAAST TXAACO USAAGP Ham; Ronald Edgar Austin TX US | 1 |
Hyeonggil Ham | KR | Seoul | 2015-10-29 / 20150305474 - COSMETIC CONTAINER | 1 |
Eun Ji Ham | KR | Incheon | 2015-12-31 / 20150380567 - THIN FILM TRANSISTOR OF DISPLAY APPARATUS | 1 |
Eo-Jin Ham | KR | Gyeonggi-Do | 2016-05-19 / 20160142467 - COMMUNICATION METHOD, ELECTRONIC DEVICE AND STORAGE MEDIUM | 1 |
Stephen Alexander Ham | NZ | Papamoa | 2009-05-28 / 20090136628 - Produce shaping, apparatus therefor and uses thereof | 1 |
Seokjun Ham | KR | Seoul | 2015-05-14 / 20150135025 - DRIVING METHOD OF MEMORY CONTROLLER AND NONVOLATILE MEMORY DEVICE CONTROLLED BY MEMORY CONTROLLER | 1 |
Shin-Sang Ham | KR | Daegu | 2009-08-27 / 20090211169 - MOVABLE SPECTATOR'S STAND WITH AUXILIARY SPECTATOR'S STAGE | 1 |
Mason L. Ham | US | Dover | 2014-12-11 / 20140361542 - SYSTEMS AND METHODS FOR STORING AND GENERATING ENERGY | 2 |
Kees Ham | NL | Best | 2009-05-21 / 20090128150 - SILENT AND THIN RF BODY COIL | 1 |
Richard Allen Ham | GB | Guildford | 2014-03-27 / 20140087875 - RESPONSIVE CUT SCENES IN VIDEO GAMES | 3 |
Yong Nam Ham | KR | Ansan-Si | 2014-07-10 / 20140193171 - FIXING UNIT AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 2 |
Jun Ho Ham | KR | Incheon | 2014-03-27 / 20140088849 - VEHICLE SPEED CONTROL APPARATUS, VEHICLE SPEED CONTROL SYSTEM COMPRISING THE SAME AND VEHICLE SPEED CONTROL METHOD | 2 |
Don Oh Ham | KR | Cheonan-Si | 2015-12-31 / 20150376045 - APPARATUS AND METHOD FOR TREATING SLUDGE | 1 |
Jin Hwan Ham | KR | Seoul | 2013-09-12 / 20130237062 - METHOD FOR ACHIEVING SMOOTH SIDE WALLS AFTER BOSCH ETCH PROCESS | 1 |
Dong-Jin Ham | KR | Gyeonggi-Do | 2014-05-29 / 20140144255 - COMPOUND SAMPLE EXTRACTION APPARATUS | 1 |
Kyung Sik Ham | KR | Gwangju | 2016-03-10 / 20160067294 - COMPOSITION COMPRISING SUAEDA JAPONICA FOR PREVENTING OR ALLEVIATING DIABETES | 3 |
Mi Young Ham | KR | Ansan-Si | 2016-05-19 / 20160136697 - HELMET CLEANER | 1 |
Kyounghoon Ham | KR | Seoul | 2014-01-16 / 20140018962 - Portable Terminal and Control Method of Clothes Treatment Apparatus Using the Same | 1 |
Seong-Il Ham | KR | Yongin-Si | 2012-04-19 / 20120092235 - METHOD AND APPARATUS FOR ACCESSING DEVICE BASED ON INTUITIVE SELECTION | 1 |
Wontae Ham | KR | Seoul | 2015-07-16 / 20150200623 - PHOTOVOLTAIC MODULE | 1 |
Jin Su Ham | KR | Daejeon | 2015-11-05 / 20150318573 - ELECTROLYTE FOR LITHIUM SECONDARY BATTERY, AND LITHIUM SECONDARY BATTERY COMPRISING SAME | 5 |
Suk Jin Ham | KR | Suwon | 2014-07-03 / 20140185244 - THERMALLY CONDUCTIVE FILM AND CIRCUIT BOARD MODULE | 23 |
Cornelis Leonardus Gerardus Ham | NL | Eindhoven | 2016-02-18 / 20160047870 - GRADIENT COIL ASSEMBLY WITH OUTER COILS COMPRISIGN ALUMINUM | 15 |
Suk Gyu Ham | KR | Gyungbuk | 2013-06-06 / 20130140532 - PHOTOCROSSLINKABLE POLYIMIDE POLYMER, MANUFACTURING METHODS FOR THE SAME AND MEMORY DEVICES USING THE SAME | 1 |
Manual Ham | US | Downey | 2008-12-25 / 20080320599 - RIGHTS EXPRESSION PROFILE SYSTEM AND METHOD USING TEMPLATES | 1 |
Myungsoo Ham | KR | Seoul | 2015-07-23 / 20150207456 - POWER CONVERSION APPARATUS, PHOTOVOLTAIC MODULE AND COMMUNICATION DEVICE AND PHOTOVOLTAIC SYSTEM INCLUDING THE SAME | 3 |
Ho Hyung Ham | KR | Suwon | 2015-01-15 / 20150014028 - INSULATING FILM FOR PRINTED CIRCUIT BOARD AND PRODUCT MANUFACTURED BY USING THE SAME | 1 |
Sungchul Ham | KR | Seoul | 2012-12-06 / 20120307522 - BACKLIGHT UNIT | 1 |
Hyung-Jin Ham | KR | Yongin-Si | 2016-02-11 / 20160041109 - DETECTOR APPARATUS AND X-RAY IMAGING APPARATUS INCLUDING THE SAME | 1 |
Peter Ham | US | Palo Alto | 2014-04-17 / 20140108287 - METHOD AND SYSTEM FOR ORDER FULFILLMENT IN A DISTRIBUTION CENTER | 4 |
Sang Won Ham | US | Downey | 2011-03-10 / 20110057891 - WIRELESS POWER DISPLAY DEVICE | 2 |
Young Jun Ham | KR | Seoul | 2009-05-14 / 20090123124 - METHOD FOR EDITING DIGITAL MOVING PICTURE FILES IN SET-TOP BOX | 1 |
Young Ho Ham | KR | Yongin-Si | 2015-05-21 / 20150135778 - FULLY AUTOMATIC WASHING MACHINE HAVING A LAUNDRY LIFTING DEVICE | 1 |
Giyul Ham | KR | Seoul | 2014-10-02 / 20140291591 - NANOCOMPOSITE STRUCTURE, ELECTRODE INCLUDING THE NANOCOMPOSITE STRUCTURE, MANUFACTURING METHOD OF THE ELECTRODE, AND ELECTROCHEMICAL DEVICE INCLUDING THE ELECTRODE | 3 |
Chang Ho Ham | KR | Ansan-City | 2014-03-06 / 20140060496 - System and Method for Controlling LPG Pump and Fuel Supplying System of LPI Engine Using Thereof | 2 |
Chun Sik Ham | US | La Mirada | 2008-09-11 / 20080219746 - Pen with bent tip | 1 |
Byung Il Ham | US | Rancho Pales Verdes | 2009-03-05 / 20090058251 - Electrodeless lamp core assembly including coil bobbin and lamp envelope protector | 2 |
Suk Jin Ham | KR | Suwon-Si | 2015-05-21 / 20150136458 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 9 |
Jung Hwan Ham | KR | Suwon-Si | 2016-03-24 / 20160084126 - Device and Method for Reducing Fuel Dilution of Diesel Engine | 1 |
Christopher Charles Willoughby Ham | AU | Brisbane | 2016-03-03 / 20160061582 - SCALE ESTIMATING METHOD USING SMART DEVICE AND GRAVITY DATA | 2 |
Hyun-Ju Ham | KR | Gyeonggi-Do | 2015-08-27 / 20150244356 - POWER-UP SIGNAL GENERATION CIRCUIT | 1 |
Hyeongtek Ham | KR | Daejeon | 2010-05-06 / 20100113729 - ETHYLENE COPOLYMER WITH IMPROVED IMPACT RESISTANCE | 1 |
Young Jin Ham | KR | Seoul | 2013-03-28 / 20130079343 - 1,3,6-Substituted Indole Derivatives Having Inhibitory Activity for Protein Kinase | 8 |
Yoon-Sik Ham | KR | Seoul | 2009-10-22 / 20090261289 - R502, R12 or R22 Substitute Mixed Refrigerant and Refrigeration System Using Thereof | 1 |
Yu-Ji Ham | KR | Paju-Si | 2015-07-02 / 20150187821 - THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Se-Hoon Ham | KR | Ulsan | 2016-04-07 / 20160096243 - PART ASSEMBLING APPARATUS FOR VEHICLE | 2 |
Eui-Shin Ham | KR | Gyeonggi-Do | 2016-04-28 / 20160113411 - METHOD AND APPARATUS FOR WELDING 3D BELLOWS, AND BELLOWS | 1 |
Jun Ho Ham | KR | Yongin-Si | 2015-05-14 / 20150134222 - SYSTEM FOR CONTROLLING SPEED OF VEHICLE ON CURVED ROAD AND METHOD THEREOF | 3 |
Richard Ham | US | Irvine | 2016-03-17 / 20160076755 - Heat Sink for Solid State Lamps | 2 |
Jin Hee Ham | KR | Seoul | 2010-09-02 / 20100221894 - METHOD FOR MANUFACTURING NANOWIRES BY USING A STRESS-INDUCED GROWTH | 2 |
Cheul Hee Ham | KR | Gyeonggi-Do | 2011-05-05 / 20110106535 - Caption presentation method and apparatus using same | 1 |
Brian Huston Ham | US | Huntsville | 2014-11-20 / 20140343801 - Automatic Control Of A Refuse Front End Loader | 1 |
Heon Ham | KR | Siheung-Si | 2009-01-01 / 20090004075 - Apparatus for mass production of carbon nanotubes using high-frequency heating furnace | 1 |
Ji-Hyun Ham | KR | Suwon-Si | 2015-02-26 / 20150053135 - STRAP FOR PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING APPARATUS HAVING THE SAME | 1 |
Ho-Chan Ham | KR | Gwangmyeong-Si Gyeonggi-Do | 2014-05-08 / 20140125374 - METHOD FOR EVALUATING WAFER DEFECTS | 1 |
Seungchul Ham | KR | Gyeonggi-Do | 2011-03-17 / 20110064249 - METHOD FOR GENERATING AND PLAYING OBJECT-BASED AUDIO CONTENTS AND COMPUTER READABLE RECORDING MEDIUM FOR RECORDING DATA HAVING FILE FORMAT STRUCTURE FOR OBJECT-BASED AUDIO SERVICE | 1 |
Sung Sik Ham | KR | Cheongju-Si | 2015-06-04 / 20150156060 - BIDIRECTIONAL PACKET TRANSFER FAIL-OVER SWITCH FOR SERIAL COMMUNICATION | 3 |
John Ham | US | Riverside | 2009-04-16 / 20090100098 - SYSTEM AND METHOD OF DISTRIBUTING MULTIMEDIA CONTENT | 1 |
Arjan Van Ham | US | San Jose | 2010-07-15 / 20100180323 - STATEFUL SERVER BASED SOCIAL NETWORKING USING MOBILE DEVICES | 2 |
Timothy Ham | US | El Cerrito | 2012-11-15 / 20120288905 - POLYNUCLEOTIDES ENCODING ISOPRENOID MODIFYING ENZYMES AND METHODS OF USE THEREOF | 2 |
Jungyeob Ham | KR | Gangneung | 2014-05-01 / 20140120188 - COMPOSITION FOR PREVENTING AND/OR ALLEVIATING HANGOVER COMPRISING EXTRACTS OF SOPHORA FLAVESCENS | 3 |
Ki-Yong Ham | KR | Incheon | 2015-12-03 / 20150342411 - Dual Content Mixing Container | 1 |
Yu-Kyung Ham | KR | Suwon-Si | 2014-04-17 / 20140104517 - LIQUID CRYSTAL SHUTTER AND IMAGE CAPTURING APPARATUS | 1 |
Peter Ham | GB | Harpenden | 2014-03-27 / 20140089138 - ONLINE STORE PRODUCT AVAILABILITY | 1 |
Yun Bum Ham | KR | Gyeonggi-Do | 2010-11-25 / 20100298560 - PROCESS FOR PREPARING MYCOPHENOLATE MOFETIL | 1 |
Hyung-Jin Ham | KR | Yongin-City | 2014-11-27 / 20140348303 - APPARATUS FOR DETECTING X-RAY, METHOD OF MANUFACTURING THE SAME, AND METHOD OF REPAIRING THE SAME | 1 |
Soohyun Ham | US | San Francisco | 2014-06-05 / 20140152538 - View Detection Based Device Operation | 4 |
Sang Yong Ham | KR | Daejeon | 2015-11-05 / 20150316097 - THRUST MAGNETIC BEARING FOR BIAS COMPENSATION | 5 |
Hosang Ham | KR | Daejeon | 2015-01-15 / 20150013454 - METHOD AND APPARATUS FOR DETECTING INTRUSION INTO VEHICLE | 3 |
Jong Seong Ham | KR | Gwangjin-Gu, Seoul | 2014-03-20 / 20140082001 - DIGITAL FORENSIC AUDIT SYSTEM FOR ANALYZING USER'S BEHAVIORS | 1 |
Hyung Kwen Ham | KR | Jecheon-Si | 2014-03-13 / 20140069472 - NOZZLE ASSEMBLY FOR DISHWASHER AND DISHWASHER HAVING THE SAME | 2 |
Jihye Ham | KR | Gyeonggi-Do | 2016-02-25 / 20160054912 - MOBILE TERMINAL AND OPERATION CONTROL METHOD THEREOF | 2 |
Ho Sang Ham | KR | Daejeon | 2011-06-23 / 20110149845 - METHOD AND SYSTEM FOR PERFORMING ONE-TO-ONE AND GROUP COMMUNICATION CONCURRENTLY IN A WIRELESS IP NETWORK | 3 |
Byoung-Kyung Ham | KR | Gyeonggi-Do | 2011-09-08 / 20110218355 - METHOD AND APPARATUS FOR PREPARING ALKYL ESTER FATTY ACID USING FATTY ACID | 1 |
Changho Ham | KR | Ansan-Si | 2015-12-03 / 20150343345 - FUEL FILTER FOR DIESEL ENGINE | 2 |
Hyeong Seung Ham | KR | Anyang-Si | 2013-11-21 / 20130307243 - SUSPENSION ARM DEVICE FOR VEHICLE | 1 |
Edvard Ham | CH | Richen | 2012-07-05 / 20120167315 - Dyeing Auxiliary | 1 |
Seog Heon Ham | KR | Gyeonggi-Do | 2009-10-22 / 20090262229 - IMAGE SENSOR FOR HIGH-SPEED DATA READOUT | 1 |
John Ham | US | Mountain View | 2011-05-26 / 20110125846 - BROADCAST NOTIFICATIONS USING SOCIAL NETWORKING SYSTEMS | 1 |
Nick Ham | GB | Stockport | 2012-10-04 / 20120250200 - OVER-TEMPERATURE PROTECTED TRIAC AND PROTECTION METHOD | 1 |
Yeon-Sik Ham | KR | Suwon-Si | 2014-10-23 / 20140313447 - LIQUID CRYSTAL DISPLAY DEVICE | 16 |
Jeong-Hoon Ham | KR | Changwon-Si | 2016-01-07 / 20160003124 - VIBRATION REDUCTION DEVICE OF MUFFLER TAIL-PIPE FOR CONSTRUCTION EQUIPMENT | 1 |
Hyeon Uk Ham | KR | Daejeon | 2014-08-07 / 20140218942 - COOLING STRUCTURE FOR LED LIGHTING DEVICE AND LIGHT EMITTING MODULE HAVING THE SAME | 1 |
Brandon Ham | US | Chicago | 2014-09-18 / 20140279098 - Bill Splitting and Payment System and Method | 1 |
Seog-Heon Ham | KR | Yongin-Si | 2015-11-26 / 20150340070 - LINE MEMORY DEVICE AND IMAGE SENSOR INCLUDING THE SAME | 2 |
Myung Hun Ham | KR | Incheon | 2013-06-13 / 20130148837 - MULTI-FUNCTIONAL MICROPHONE ASSEMBLY AND METHOD OF MANUFACTURING THE SAME | 1 |
One June Ham | KR | Seoul | 2013-12-12 / 20130332348 - SYSTEM AND METHOD FOR PROXY SHOPPING THROUGH MULTIPLE PAYMENTS | 1 |
Changwoo Ham | KR | Daejeon | 2011-05-26 / 20110120557 - MANUFACTURING METHOD FOR THIN FILM TYPE LIGHT ABSORBING LAYER, MANUFACTURING METHOD FOR THIN FILM SOLAR CELL USING THEREOF AND THIN FILM SOLAR CELL | 1 |
Yeon Jin Ham | KR | Osan-Si | 2015-03-12 / 20150069739 - ASSEMBLY OF AIRBAG MODULE AND STEERING WHEEL | 1 |
Dong Hyeon Ham | KR | Gyeonggi-Do | 2012-01-12 / 20120008397 - MEMORY SYSTEM AND METHOD OF OPERATING THE SAME | 1 |
Suk Jin Ham | KR | Seoul | 2015-06-11 / 20150163924 - METHOD OF BONDING ELECTRONIC COMPONENTS AND ELECTRONIC DEVICE USING THE SAME | 21 |
Jeong-Hoon Ham | KR | Changwon-Si Gyeongsangnam-Do | 2016-01-07 / 20160003124 - VIBRATION REDUCTION DEVICE OF MUFFLER TAIL-PIPE FOR CONSTRUCTION EQUIPMENT | 1 |
Seung Jin Ham | KR | Cheongjoo | 2013-07-04 / 20130169387 - SHORTAGE VOLTAGE TRIP DEVICE OF MOLDED CASE CIRCUIT BREAKER | 1 |
Suk Jin Ham | KR | Gyunngi-Do | 2013-12-19 / 20130334290 - SOLDER PASTE DROPLET EJECTION APPARATUS, PATTERNING SYSTEM HAVING THE SAME, AND CONTROL METHOD THEREOF | 1 |
Jihye Ham | KR | Seongnam | 2013-07-04 / 20130169568 - MOBILE TERMINAL AND OPERATION CONTROL METHOD THEREOF | 1 |
Hyung Chul Ham | KR | Seoul | 2016-01-07 / 20160006040 - DIRECT REFORMING CATALYST FOR MOLTEN CARBONATE FUEL CELLS, METHOD FOR PREPARING THE SAME AND METHOD FOR IMPROVING LONG-TERM STABILITY THEREOF BY WETTABILITY CONTROL ON MOLTEN CARBONATE ELECTROLYTE | 10 |
Seog Heon Ham | KR | Suwon-Si | 2016-03-03 / 20160065867 - DIGITAL DOUBLE SAMPLING METHOD, A RELATED CMOS IMAGE SENSOR, AND A DIGITAL CAMERA COMPRISING THE CMOS IMAGE SENSOR | 40 |
Kwang Suk Ham | KR | Seoul | 2015-04-30 / 20150113705 - Cap with customizable ventilation functions exclusively working for each separate portion of cap | 2 |
Cheul-Hee Ham | KR | Seongnam-Si | 2013-07-04 / 20130169655 - ELECTRONIC SYSTEM, CONTROL METHOD THEREOF, DISPLAY APPARATUS, UPGRADE APPARATUS, AND DATA INPUT/OUTPUT PROCESSING METHOD OF DISPLAY APPARATUS | 1 |
Hyung Kwen Ham | KR | Jecheon | 2013-07-18 / 20130180555 - NOZZLE ASSEMBLY AND DISHWASHER HAVING THE SAME | 1 |
Jung Yeob Ham | KR | Seoul | 2011-07-28 / 20110184186 - PROCESS FOR PREPARING LIGANDS OF PPARDELTA AND THE INTERMEDIATE COMPOUNDS FOR PREPARING THE SAME | 2 |
Manuel Ham | US | Downey | 2015-02-26 / 20150059005 - NETWORKED SERVICES LICENSING SYSTEM AND METHOD | 1 |
Bum Sub Ham | KR | Seoul | 2015-12-03 / 20150348230 - CONTENT RETARGETING METHOD AND APPARATUS | 2 |
Juseok Ham | KR | Seoul | 2014-02-27 / 20140054561 - Electron Transport Material and Organic Electroluminescent Device Using the Same | 1 |
John Ham | US | Agoura Hills | 2016-04-21 / 20160110141 - ETHERNET AND USB POWERED PRINTERS AND METHODS FOR SUPPLYING ETHERNET AND USB POWER TO A PRINTER | 2 |
Yong Hyun Ham | KR | Gyeonggi-Do | 2012-06-28 / 20120161941 - RFID TAG | 1 |
Thomas Richard Allen Ham | US | Seattle | 2013-05-02 / 20130111324 - Theming Engine | 2 |
Neung Ho Ham | KR | Gyeonggi-Do | 2014-09-11 / 20140255091 - STRUCTURE FOR CONNECTING LOWER PART PIPE AND UPPER PART PIPE OF FIXING POLE FOR ASSEMBLY FURNITURE | 2 |
Se Rom Ham | KR | Seoul | 2013-07-25 / 20130191870 - METHOD AND APPARATUS FOR PROVIDING BROADCAST SERVICE | 1 |
Dongho Ham | KR | Seoul | 2015-05-28 / 20150146390 - DISPLAY DEVICE | 1 |
Suk Jin Ham | KR | Suwon-Si | 2015-05-21 / 20150136458 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 9 |
Sung Il Ham | KR | Namyangju-Si | 2013-12-26 / 20130345857 - TOWER CRANE NAVIGATION SYSTEM | 1 |
Jong Gyu Ham | KR | Seoul | 2009-10-08 / 20090254819 - SPELLING CORRECTION SYSTEM AND METHOD FOR MISSPELLED INPUT | 2 |
Jaesang Ham | KR | Seoul | 2009-10-08 / 20090252246 - Receiving apparatus and method for maximum likelihood in a single carrier system | 1 |
Jungyeob Ham | KR | Seoul | 2013-07-11 / 20130178436 - COMPOSITION FOR PREVENTING, IMPROVING, OR TREATING RENAL DISEASE INCLUDING MAILLARD BROWNING REACTION PRODUCTS OF PANAX SPECIES PLANT EXTRACT | 4 |
Hun Ham | KR | Gyeonggi-Do | / - | 1 |
Jung-Hyun Ham | KR | Gyeonggi-Do | 2011-12-15 / 20110304616 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME | 2 |
Seung-Joo Ham | KR | Seoul | 2013-02-21 / 20130045160 - Magnetic Nano-Composite for Contrast Agent, Intelligent Contrast Agent, Drug Delivery Agent for Simultaneous Diagnosis and Treatment, and Separation Agent for Target Substance | 2 |
Hyung Chul Ham | KR | Seoul | 2016-01-07 / 20160006040 - DIRECT REFORMING CATALYST FOR MOLTEN CARBONATE FUEL CELLS, METHOD FOR PREPARING THE SAME AND METHOD FOR IMPROVING LONG-TERM STABILITY THEREOF BY WETTABILITY CONTROL ON MOLTEN CARBONATE ELECTROLYTE | 10 |
Hyung Suk Ham | KR | Gyeonggi-Do | 2013-07-25 / 20130187808 - RADAR APPARATUS AND ANTENNA APPARATUS | 1 |
Yong Nam Ham | KR | Gyeonggi-Do | 2012-07-19 / 20120180859 - Conductive Paste, And Electronic Device And Solar Cell Including An Electrode Formed Using The Same | 1 |
Tae Ho Ham | KR | Pyeongtaek-Si | 2015-08-27 / 20150243490 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 1 |
Jeong Hoon Ham | KR | Seoul | 2012-04-26 / 20120096657 - PHOTOCATALYST TOOTHBRUSH USING ADVANCED OXIDATION PROCESS | 1 |
Yun-Beom Ham | KR | Ansan-Si | 2013-10-03 / 20130261286 - PROCESS FOR PREPARING CASPOFUNGIN AND NOVEL INTERMEDIATES THEREOF | 1 |
Sung Seek Ham | KR | Daejeon | 2015-01-15 / 20150017383 - PYRAMIDAL KAGOME STRUCTURE AND ITS FABRICATING METHOD | 1 |
Hyoung Wook Ham | US | San Ramon | 2014-01-23 / 20140022182 - TECHNIQUES FOR PROGRAMMABLE BUTTON ON BEZEL OF MOBILE TERMINAL | 2 |
Seung Chul Ham | KR | Seoul | 2012-04-26 / 20120101608 - OBJECT-BASED AUDIO SYSTEM, OBJECT-BASED AUDIO PROVIDING METHOD, AND OBJECT-BASED AUDIO PLAYING METHOD USING PRESET FUNCTION | 1 |
Dongjin Ham | KR | Hwaseong-Si | 2016-05-19 / 20160141607 - CATHODE ACTIVE MATERIAL, SECONDARY BATTERY COMPRISING THE SAME, AND METHOD OF MANUFACTURING THE POSITIVE ACTIVE MATERIAL | 3 |
Woong-Sik Ham | KR | Yongin-Si | 2014-12-25 / 20140377451 - ACTIVE MATERIAL-COATING APPARATUS FOR BATTERY AND METHOD OF OPERATING THE SAME | 1 |
Myoung-Soo Ham | KR | Samcheok-Si | 2010-06-17 / 20100148158 - ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE CONTAINING THE SAME | 1 |
Deok Hoon Ham | KR | Daejeon | 2013-10-24 / 20130280596 - CASE OF POUCH TYPE CELL | 1 |
Cheol Ham | KR | Yongin-Si | 2015-05-21 / 20150140347 - COMPOSITION FOR FORMING FILM OF METAL OBJECT, FILM FORMED USING THE SAME, AND METHOD OF FORMING FILM | 7 |
Mi Hyoun Ham | KR | Incheon | 2011-03-17 / 20110064776 - DENTAL COMPOSITION CONTAINING ORGANIC NANOTUBE | 1 |
Jonathan Robert Ham | GB | Sheffield | 2014-07-31 / 20140209572 - CONTACT DEVICE FOR CONTOURED SURFACES | 1 |
Dong-Seok Ham | KR | Gyeonggi-Do | 2009-01-29 / 20090025565 - AIR FILTERING DEVICE AND CLEANING SYSTEM OF SEMICONDUCTOR MANUFACTURING APPARATUS WITH THE SAME | 1 |
Gi Yul Ham | KR | Seoul | 2015-07-23 / 20150207000 - SOLAR CELL AND METHOD OF FABRICATING THE SAME | 1 |
Dong Jin Ham | KR | Anyang-Si | 2015-04-23 / 20150111102 - NEGATIVE ACTIVE MATERIAL, NEGATIVE ELECTRODE INCLUDING THE NEGATIVE ACTIVE MATERIAL, AND LITHIUM SECONDARY BATTERY INCLUDING THE NEGATIVE ELECTRODE | 10 |
Ki Chul Ham | KR | Chungcheongnam-Do | 2015-12-10 / 20150356700 - EDUCATION MANAGEMENT SYSTEM AND METHOD FOR ENABLING CREDITS TO BE ADMITTED BASED ON TALENTS AND CAREERS | 1 |
Chul Young Ham | KR | Guri-Si | 2012-03-29 / 20120074485 - Nonvolatile Memory Device and Manufacturing Method Thereof | 2 |
Myung Hoon Ham | KR | Incheon | 2013-01-10 / 20130010996 - WELDING TYPE CONDENSER MICROPHONE USING CURLING AND METHOD OF ASSEMBLYING THE SAME | 2 |
Brian H. Ham | US | Huntsville | 2014-04-10 / 20140097370 - Externally Controlled Switch Mechanism | 1 |
Jung-Hoon Ham | KR | Seoul | 2015-12-03 / 20150348620 - SEMICONDUCTOR MEMORY DEVICE AND OPERATION METHOD THEREOF | 2 |
Sunjae Ham | KR | Daegu | 2012-06-14 / 20120148148 - METHOD FOR DETECTING FIRE-FLAME USING FUZZY FINITE AUTOMATA | 1 |
Yun-Sik Ham | KR | Gyeonggi-Do | 2008-09-11 / 20080218091 - METHOD OF MANUFACTURING DISPLAY DEVICE | 1 |
Jungyeob Ham | KR | Gangneung-Si | 2015-11-26 / 20150336938 - METHOD FOR PREPARATION OF JUSTICIDIN A DERIVATIVES OF ARYLNAPHTHALENE LIGNAN STRUCTURE | 2 |
Dong Jin Ham | KR | Anyang-Si | 2015-04-23 / 20150111102 - NEGATIVE ACTIVE MATERIAL, NEGATIVE ELECTRODE INCLUDING THE NEGATIVE ACTIVE MATERIAL, AND LITHIUM SECONDARY BATTERY INCLUDING THE NEGATIVE ELECTRODE | 10 |
Jihye Ham | KR | Seoul | 2015-01-15 / 20150020036 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 5 |
Hyeong Taek Ham | KR | Daejeon | 2013-10-24 / 20130280461 - ETHYLENE COPOLYMER WITH IMPROVED HYGIENIC PROPERTY AND PROCESS FOR PREPARING THE SAME | 4 |
Seung Wook Ham | KR | Seoul | 2015-12-24 / 20150368235 - Novel 2-Aminothiazole Derivative and Anti-Cancer Composition Comprising Same as Active Ingredient | 1 |
Byoung-Seung Ham | KR | Incheon | 2015-12-03 / 20150346581 - DELAYED OPTICAL LOGIC GATES FOR BOOLEAN ALGEBRA | 9 |
Suk Jin Ham | KR | Seoul | 2015-06-11 / 20150163924 - METHOD OF BONDING ELECTRONIC COMPONENTS AND ELECTRONIC DEVICE USING THE SAME | 21 |
Kyung-Sun Ham | KR | Yongin-Si | 2015-10-29 / 20150311722 - RESOURCE MANAGEMENT METHOD AND SYSTEM WITH CLUSTERING BASED ON ATTRIBUTES OF DISTRIBUTED ENERGY RESOURCES | 2 |
Dong-Hyun Ham | KR | Gyeonggi-Do | 2010-11-25 / 20100299543 - DISPLAY APPARATUS AND METHOD FOR SAVING POWER THEREOF | 2 |
Yong-Hyun Ham | KR | Bucheon-Si | 2015-07-30 / 20150214016 - APPARATUS AND METHOD OF TREATING A SUBSTRATE | 1 |
In Hye Ham | KR | Seoul | 2012-11-01 / 20120276224 - COMPOUND COMPRISING EXTRACTS OR FRACTIONS OF CHRYSANTHEMUM BOREALE MAKINO HAVING ANTI-INFLAMMATION ACTIVITY | 1 |
Stephanie Lemmo Ham | US | Akron | 2016-03-31 / 20160091487 - ENGINEERING INDIVIDUALLY ADDRESSABLE CELLULAR SPHEROIDS USING AQUEOUS TWO-PHASE SYSTEMS | 1 |
Yong-Nam Ham | KR | Yongin-Si | 2010-10-21 / 20100264379 - POROUS METAL OXIDE AND METHOD OF PREPARING THE SAME | 1 |
Dong Eup Ham | KR | Suwon-Si | 2013-05-09 / 20130117579 - APPARATUS AND METHOD OF PORTABLE TERMINAL FOR APPLICATION DATA PROTECTION | 5 |
Yongnam Ham | KR | Ansan-Si | 2010-10-14 / 20100258761 - ANODE ACTIVE MATERIAL, METHOD OF PREPARING THE SAME, AND ANODE AND LITHIUM BATTERY CONTAINING THE MATERIAL | 1 |
Miok Romana Ham | US | Apo | 2013-01-31 / 20130025029 - Pants Holding Suspenders | 1 |
Hyeilin Ham | US | Dallas | 2014-04-03 / 20140093477 - MODULATING BACTERIAL MAM POLYPEPTIDES IN PATHOGENIC DISEASE | 1 |
Bo-Suk Ham | KR | Cheonan-Si | 2009-05-28 / 20090135143 - CHARACTER INPUT METHOD AND ELECTRONIC DEVICE USING THE SAME | 1 |
Young Ho Ham | KR | Yongin City | 2009-08-27 / 20090213086 - TOUCH SCREEN DEVICE AND OPERATING METHOD THEREOF | 1 |
Yun-Sik Ham | KR | Yongin-City | 2014-09-25 / 20140283993 - ETCHING APPARATUS | 7 |
Kang Sic Ham | KR | Seoul | 2010-02-04 / 20100029255 - MOBILE TERMINAL CAPABLE OF PROVIDING WEB BROWSING FUNCTION AND METHOD OF CONTROLLING THE MOBILE TERMINAL | 2 |
Byoung-Seung Ham | KR | Incheon | 2015-12-03 / 20150346581 - DELAYED OPTICAL LOGIC GATES FOR BOOLEAN ALGEBRA | 9 |
Nicholas S. Ham | US | Austin | 2015-07-30 / 20150212913 - PERFORMANCE MITIGATION OF LOGICAL UNIT NUMBERS (LUNS) USING SMALL COMPUTER SYSTEM INTERFACE (SCSI) INBAND MANAGEMENT | 8 |
Seogheon Ham | KR | Suwon-Si | 2015-01-29 / 20150029372 - IMAGE SENSOR AND METHOD OF CONTROLLING THE SAME | 4 |
Yun-Sik Ham | KR | Seoul | 2011-03-03 / 20110053635 - APPARATUS TO MODIFY APPLICATIONS OF MOBILE TERMINAL | 1 |
Eric M. Ham | US | Princeton | 2015-02-26 / 20150055740 - IN SPACE STARTUP METHOD FOR NUCLEAR FUSION ROCKET ENGINES | 1 |
Young Jin Ham | KR | Seoul | 2013-03-28 / 20130079343 - 1,3,6-Substituted Indole Derivatives Having Inhibitory Activity for Protein Kinase | 8 |
Yong-Ho Ham | KR | Seoul | 2012-02-09 / 20120034235 - Marker for Liver-Cancer Diagnosis and Recurrence and Survival Prediction, a Kit Comprising the Same, and Prognosis Prediction in Liver-Cancer Patients Using the Marker | 2 |
Jeong Ho Ham | KR | Seoul | 2010-11-04 / 20100280380 - Ultrasound System And Method For Ultrasound Data | 5 |
Jung-Hyun Ham | KR | Paju | 2010-07-01 / 20100165248 - Backlight unit and liquid crystal display device having the same | 1 |
Hyeongtaek Ham | KR | Daejeon | 2013-05-30 / 20130137837 - ETHYLENE COPOLYMER WITH IMPROVED IMPACT RESISTANCE | 4 |
Hosang Ham | KR | Daejeon-City | 2010-06-10 / 20100145805 - APPARATUS FOR PROVIDING DIGITAL CONTENTS USING DMB CHANNEL AND METHOD THEREOF | 4 |
Young Hwan Ham | KR | Daejeon-City | 2010-03-04 / 20100054234 - SIMULATION APPARATUS AND METHOD USED FOR SENSOR NETWORK | 3 |
Yong Ju Ham | KR | Bucheon-Si | 2015-12-10 / 20150356340 - TRANSPARENT FINGERPRINT RECOGNIZING SENSOR ARRAY | 3 |
Young Bog Ham | KR | Daejeon | 2010-04-29 / 20100102093 - Hollow Actuator-Driven Droplet Dispensing Apparatus | 3 |
Dong-Hoon Ham | KR | Hwaseong-Si | 2014-07-24 / 20140204672 - MEMORY SYSTEM | 3 |
Byoung Seung Ham | KR | Gyeonggido | 2010-01-07 / 20100002276 - PHOTON LOGIC GATES | 1 |
Sung Sik Ham | KR | Cheongju | 2012-08-30 / 20120221763 - GATEWAY APPARATUS FOR SUBSTATION AUTOMATION SYSTEM | 2 |
Young-Bog Ham | KR | Daejeon-Si | 2009-11-26 / 20090288567 - METHOD AND APPARATUS FOR MANUFACTURING ELECTRONIC DEVICE USING ROLL-TO-ROLL ROTARY PRESSING PROCESS | 1 |
Manuel J. Ham | US | Downey | 2012-06-28 / 20120162440 - SYSTEM AND METHOD FOR PERFORMING AN AUTOMATED SET TOP BOX TEST | 1 |
Young-Bog Ham | KR | Yuseong-Gu | 2009-09-17 / 20090232681 - ULTRASONIC PIEZOELECTRIC PUMP | 1 |
Dong-Soo Ham | KR | Suwon-Si | 2015-07-09 / 20150190520 - POLYVALENT PNEUMOCOCCAL POLYSACCHARIDE-PROTEIN CONJUGATE COMPOSITION | 1 |
Yun-Beom Ham | KR | Shiheung-Si | 2012-03-15 / 20120065393 - METHOD FOR REFINING OF HIGH PURITY OF TACROLIMUS | 1 |
Junghyun Ham | KR | Suwon-Si | 2015-08-20 / 20150236652 - MULTI MODE BIAS MODULATOR OPERATING IN ENVELOPE TRACKING MODE OR AVERAGE POWER TRACKING MODE AND ENVELOPE TRACKING POWER AMPLIFIER USING THE SAME | 2 |
Hyung Suk Ham | KR | Yongin-Si | 2015-09-10 / 20150253161 - WHEEL FIXING UNIT FOR CAR SENSOR CALIBRATION AND CALIBRATION DEVICE USING THE SAME | 3 |
Yong Sung Ham | KR | Anyang-Si | 2012-12-20 / 20120322335 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Seong Yun Ham | KR | Seoul | 2014-06-05 / 20140157364 - WIRELESS NETWORK SECURITY SYSTEM | 1 |
Neil Ham | GB | Salisbury | 2015-08-20 / 20150231996 - SHOCK ATTENUATING MOUNTING | 1 |
Seung Chul Ham | KR | Gyeonggi-Do | 2010-06-24 / 20100161087 - METHOD AND APPARATUS FOR GENERATION AND PLAYBACK OF OBJECT BASED AUDIO CONTENTS | 1 |
Yong Seung Ham | KR | Gyeonggi-Do | 2015-10-08 / 20150282659 - INSTANT COOKING SYSTEM | 2 |
Sung-Jun Ham | KR | Seongnam-Si | 2015-03-12 / 20150071603 - ELECTRONIC DEVICE AND CONTROL METHOD THEREOF | 1 |
Ilhan Ham | KR | Seoul | 2015-12-10 / 20150357816 - APPARATUS, SERVER, SYSTEM AND METHOD FOR ENERGY MEASURING | 1 |
Hyoung Wook Ham | US | Pittsburg | 2013-07-11 / 20130179376 - NO ENROLLMENT PROXIMITY TARGET DETECTION ON MOBILE DEVICES | 1 |
Demetrius Calvin Ham | US | Milford | / - | 1 |
David Ham | US | Long Beach | 2013-11-14 / 20130304590 - GRAPHICAL DINING INTERFACE | 1 |
Myungjoo Ham | KR | Seoul | 2014-04-24 / 20140111451 - USER INTERFACE (UI) DISPLAY METHOD AND APPARATUS OF TOUCH-ENABLED DEVICE | 2 |
Ji-Yun Ham | KR | Seongnam-Si | 2011-10-06 / 20110244397 - Methods of Fabricating a Microarray | 3 |
Jong-Geun Ham | KR | Gyeonggi-Do | 2009-07-23 / 20090185557 - Method and Device for Selecting Service Domain | 1 |
Young-Hwan Ham | KR | Daejeon | 2012-07-26 / 20120191428 - APPARATUS AND METHOD FOR PREDICTING TOTAL NITROGEN USING GENERAL WATER QUALITY DATA | 3 |
Seong Sik Ham | KR | Seoul | 2016-05-05 / 20160125631 - APPARATUS FOR DYNAMICALLY CONTROLLING HUD (HEAD-UP DISPLAY) INFORMATION DISPLAY POSITION | 2 |
Duckchun Ham | KR | Gyeonggi-Do | 2009-07-09 / 20090173861 - LEVITATION TYPE SUPPORT UNIT | 1 |
Jong-Gyu Ham | KR | Suwon-Si | 2014-07-31 / 20140213302 - METHOD FOR PROVIDING INFORMATION IN SYSTEM INCLUDING ELECTRONIC DEVICE AND INFORMATION PROVIDING SERVER, AND ELECTRONIC DEVICE THEREOF | 2 |
Seung Jin Ham | KR | Chungcheongbuk-Do | 2012-01-12 / 20120006791 - ARC EXTINGUISHING MECHANISM FOR MOLD CASED CIRCUIT BREAKER | 1 |
Charles G. Ham | US | Mound | 2008-10-02 / 20080239674 - MECHANICAL HOUSING | 1 |
Gi-Hyeong Ham | KR | Gyeonggi-Do | 2010-05-13 / 20100116285 - COSMETIC CLIP LOT | 1 |
Jonathan Ham | US | Miami | 2015-12-03 / 20150350155 - SYSTEMS AND METHODS FOR IP SHARING ACROSS WIDE AREA NETWORKS | 1 |
Cristina M. Ham | US | Morton | 2008-10-16 / 20080255694 - Evaluating supplier capacity | 1 |
Yeon Sik Ham | US | Cupertino | 2015-12-24 / 20150370115 - Display with Column Spacer Structures | 1 |
Yeon-Sik Ham | KR | Gyeonggi-Do | 2010-06-03 / 20100134741 - DISPLAY SUBSTRATE, METHOD FOR MANUFACTURING THE SAME, AND DISPLAY PANEL HAVING THE SAME | 1 |
Yoshikazu Hama | JP | Okaya-Shi | 2014-12-25 / 20140376057 - CORRECTION METHOD OF PRINTING DATA | 1 |
Yoshiki Hama | JP | Chuo-Ku | 2013-08-29 / 20130224546 - Electrical Storage Device and Method of Manfacturig Electrical Storage Device | 1 |
Norihiko Hama | JP | Iwaki | 2012-08-16 / 20120207448 - Audio Device, Video Device and Computer Program | 1 |
Hiroshi Hama | JP | Saitama | 2015-12-03 / 20150346196 - ANTIBODY COMPOSITION, KIT FOR PREPARING ANTIBODY COMPOSITION, AND IMMUNOSTAINING METHOD | 1 |
Shigenori Hama | US | 2015-12-03 / 20150349377 - SULFIDE SOLID ELECTROLYTE MATERIAL, LITHIUM SOLID BATTERY AND METHOD OF PREPARING SULFIDE SOLID ELECTROLYTE MATERIAL | 1 | |
Shigenori Hama | JP | Sunto-Gun | 2015-12-03 / 20150349377 - SULFIDE SOLID ELECTROLYTE MATERIAL, LITHIUM SOLID BATTERY AND METHOD OF PREPARING SULFIDE SOLID ELECTROLYTE MATERIAL | 5 |
Takashi Hama | JP | Chiba-Shi | 2014-06-19 / 20140167091 - THERMOPLASTIC RESIN COMPOSITION FOR REFLECTIVE MATERIAL, REFLECTIVE PLATE, AND LIGHT-EMITTING DIODE ELEMENT | 2 |
Hiroshi Hama | JP | Wako-Shi | 2014-06-26 / 20140178927 - CLARIFYING REAGENT FOR BIOLOGICAL MATERIALS AND USE THEREOF | 3 |
Shigenori Hama | JP | Suntou-Gun | 2014-03-20 / 20140079967 - SOLID SECONDARY BATTERY SYSTEM | 1 |
Mikio Hama | JP | Kawasaki-Shi | 2015-10-08 / 20150288854 - IMAGE COMMUNICATION APPARATUS, CONTROL METHOD THEREFOR AND STORAGE MEDIUM | 11 |
Yoshitaka Hama | JP | Chinoshi | 2011-12-22 / 20110310359 - LIGHT MODULATION DEVICE AND PROJECTOR | 1 |
Masaki Hama | JP | Kawasaki-Shi | 2015-07-30 / 20150214047 - Method for Manufacturing Semiconductor Device | 1 |
Nobuyuki Hama | JP | Kure-Shi | 2012-01-26 / 20120017940 - METHOD FOR CLEANING SKID OF SURFACE ROUGHNESS TESTER | 1 |
Akimasa Hama | JP | Chiyoda-Ku, Tokyo | 2016-02-11 / 20160043483 - SEQUENCER TERMINAL BLOCK, SEQUENCER, AND SEQUENCER UNIT | 1 |
Hisakatsu Hama | JP | Ichihara | 2008-09-04 / 20080214746 - PROCESS FOR PRODUCING ETHYLENE-ALPHA-OLEFIN COPOLYMER | 2 |
Yuko Hama | JP | Chiyoda-Ku | 2013-05-16 / 20130122547 - YEAST HOST, TRANSFORMANT AND METHOD FOR PRODUCING HETEROLOGOUS PROTEINS | 3 |
Katsunobu Hama | JP | Hitachinaka | 2009-01-08 / 20090009761 - SPECTROPHOTOMETER AND LIQUID CHROMATOGRAPHY SYSTEM | 1 |
Yuko Hama | JP | Yokohama-Shi | 2009-01-15 / 20090017063 - EDIBLE VACCINE | 1 |
Yoshinori Hama | JP | Kyoto | 2012-01-12 / 20120008035 - INFORMATION DISPLAY DEVICE | 2 |
Shigenori Hama | JP | Shunntou-Gun | 2016-05-12 / 20160133989 - METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 1 |
Teruo Hama | JP | Amagasaki-Shi | 2009-03-05 / 20090062415 - METHODS OF PRODUCING RIGID POLYURETHANE FOAMS | 1 |
Tomio Hama | JP | Nagano | 2009-03-05 / 20090060402 - Movement Device | 3 |
Shinichi Hama | JP | Fujisawa-Shi | 2015-12-03 / 20150347668 - INFORMATION PROCESSING APPARATUS, METHOD, AND STORAGE MEDIUM | 5 |
Masaaki Hama | JP | Kyoto | 2009-03-26 / 20090079325 - FLUORESCENT LAMP AND PROCESS FOR PRODUCING THE SAME, AND ILLUMINATOR | 1 |
Yoshikazu Hama | JP | Okaya | 2013-01-31 / 20130027488 - PRINTING METHOD, PRINTING DEVICE, PRINTED MATERIAL AND MOLDED ARTICLE | 8 |
Toshio Hama | JP | Matsumoto City | 2014-01-16 / 20140014941 - COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM | 4 |
Hideo Hama | JP | Tokyo-To | 2009-06-04 / 20090142865 - LIQUID CRYSTAL DISPLAY | 1 |
Katunori Hama | JP | Osaka | 2009-06-11 / 20090146476 - CHAIR | 1 |
Manabu Hama | JP | Chita -Gun | 2009-06-18 / 20090156019 - Substrate processing apparatus and method | 1 |
Yuichiro Hama | JP | Aichi-Ken | 2010-09-23 / 20100239939 - TUBE-TYPE FUEL CELL | 6 |
Yuichiro Hama | JP | Osaka-Shi | 2010-07-29 / 20100190088 - MEMBRANE ELECTRODE ASSEMBLY AND POLYMER ELECTROLYTE FUEL CELL THEREWITH | 1 |
Yuichiro Hama | JP | Aichi | 2010-01-14 / 20100009242 - CARBON NANOWALL WITH CONTROLLED STRUCTURE AND METHOD FOR CONTROLLING CARBON NANOWALL STRUCTURE | 4 |
Mamoru Hama | JP | Chino-Shi | 2009-06-25 / 20090164318 - RECYCLE SYSTEM | 1 |
Syunji Hama | JP | Hiroshima | 2009-07-02 / 20090165212 - Air mattress | 1 |
Takayuki Hama | JP | Minato-Ku | 2009-07-09 / 20090177788 - COMMUNICATION SYSTEM, SERVER, TERMINAL, PACKET DATA TRANSFERRING METHOD, AND PROGRAM THEREFOR | 2 |
Takeshi Hama | JP | Koga-Shi | 2009-08-06 / 20090195470 - WIDE-BAND ANTENNA | 1 |
Shintaro Hama | JP | Tottori-Shi | 2012-04-05 / 20120081895 - ILLUMINATION SYSTEM, ELECTRO-OPTIC DEVICE, AND ELECTRONIC APPARATUS | 2 |
Daigo Hama | JP | Kanagawa | 2016-01-28 / 20160026902 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING SYSTEM | 9 |
Nobuharu Hama | JP | Aomori | 2009-12-10 / 20090303181 - SPHERE ABSOLUTE ANGLE DETECTION SYSTEM, SPHERE ACTUATOR, AND POINTING DEVICE | 2 |
Kentaroh Hama | JP | Nara-Shi | 2010-01-28 / 20100020681 - COMMUNICATION APPARATUS AND DEVICE, COMMUNICATION APPARATUS CONTROL METHOD AND CONTROL PROGRAM, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Nobuyuki Hama | JP | Hiroshima | 2010-01-28 / 20100018298 - SURFACE TEXTURE MEASURING INSTRUMENT AND MEASURING METHOD | 1 |
Masakatsu Hama | JP | Ibaraki | 2010-02-04 / 20100028964 - METHOD FOR PRODUCTION OF MICROBIAL FERMENTATION PRODUCT | 1 |
Yuka Hama | JP | Toyama-Shi | 2010-02-25 / 20100047247 - NERVE ELONGATION PROMOTER AND ELONGATION INHIBITOR | 1 |
Hiroyuki Hama | JP | Kawasaki | 2010-04-01 / 20100079912 - MAGNETIC RECORDING DEVICE AND MAGNETIC RECORDING METHOD | 1 |
Takeshi Hama | JP | Chiyoda-Ku | 2010-04-08 / 20100086311 - SURFACE EMITTING LASER, AND TRANSCEIVER, OPTICAL TRANSCEIVER, AND OPTICAL COMMUNICATION SYSTEM EMPLOYING THE SURFACE EMITTING LASER | 1 |
Tomio Hama | JP | Okaya-Shi | 2010-04-29 / 20100104396 - THREAD, FASTENING SYSTEM, PIPE FITTING AND METHOD FOR MANUFACTURING THREAD | 4 |
Chihiro Hama | JP | Tokyo | 2012-08-23 / 20120214214 - TRANSFORMANT AND PROCESS FOR PRODUCTION THEREOF, AND PROCESS FOR PRODUCTION OF LACTIC ACID | 2 |
Kiichi Hama | JP | Nirasaki-Shi | 2010-06-10 / 20100145781 - POINT-BASED CUSTOMER TRACKING AND MAINTENANCE INCENTIVE SYSTEM | 2 |
Masaaki Hama | JP | Muko-Shi | 2010-11-04 / 20100277057 - FLUORESCENT LAMP | 1 |
Yoshihisa Hama | JP | Osaka-Fu | / - | 1 |
Hidetaka Hama | JP | Saitama | 2009-04-16 / 20090097079 - Image reading device, recording medium storing image reading program, image reading method and data signal | 1 |
Kenji Hama | JP | Kyoto | 2010-12-23 / 20100320955 - MOTOR DRIVE DEVICE AND ELECTRONIC DEVICE THAT USES THE SAME | 1 |
Hisakatsu Hama | JP | Chiba | 2011-10-06 / 20110245398 - METHOD FOR PRODUCING CONJUGATED DIENE POLYMER COMPOSITION | 3 |
Takeshi Hama | JP | Kanagawa | 2011-12-01 / 20110290310 - SOLAR CELL AND SOLAR CELL MANUFACTURING METHOD | 4 |
Yoshikazu Hama | JP | Tottori-Shi | 2015-07-16 / 20150196750 - VALVE BODY AND CONNECTOR INCLUDING THE SAME | 2 |
Takashi Hama | JP | Nagano-Ken | 2011-11-17 / 20110282662 - Customer Service Data Recording Device, Customer Service Data Recording Method, and Recording Medium | 3 |
Mikio Hama | JP | Kawasaki-Shi | 2015-10-08 / 20150288854 - IMAGE COMMUNICATION APPARATUS, CONTROL METHOD THEREFOR AND STORAGE MEDIUM | 11 |
Takashi Hama | JP | Okaya-Shi | 2011-04-14 / 20110087535 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, CONTROL METHOD FOR AN INFORMATION PROCESSING DEVICE, AND A PROGRAM | 1 |
Kenji Hama | JP | Wako-Shi | 2012-12-13 / 20120313361 - DIFFERENTIAL UNIT AND VEHICLE EQUIPPED WITH THE SAME | 1 |
Daisuke Hama | JP | Kawasaki | 2012-12-13 / 20120317230 - NETWORK APPARATUS, TRANSMISSION DESTINATION INQUIRING METHOD, AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM | 1 |
Seiji Hama | JP | Hiroshima | 2012-04-19 / 20120095379 - PELVIC BELT | 2 |
Shigenori Hama | JP | Susono-Shi | 2015-12-10 / 20150357675 - SULFIDE SOLID ELECTROLYTE MATERIAL, LITHIUM SOLID-STATE BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 31 |
Nobuhiro Hama | JP | Osaka | 2011-05-12 / 20110112861 - CALORIE CONSUMPTION ASSISTANCE SYSTEM, CALORIE CONSUMPTION ASSISTANCE PROGRAM AND RECORDING MEDIUM | 1 |
Daigoro Hama | JP | Shizuoka-Ken | 2010-04-01 / 20100078949 - VEHICLE FRONT STRUCTURE | 1 |
Yasuyoshi Hama | JP | Shimosuwa-Machi | 2010-04-01 / 20100082054 - FLUID EJECTION DEVICE AND FLUID EJECTION METHOD | 4 |
Nobuyuki Hama | JP | Shiga | 2010-08-05 / 20100193748 - CONDUCTIVE PASTE AS WELL AS CONDUCTIVE COATING AND CONDUCTIVE FILM PREPARED FROM SAME | 1 |
Takashi Hama | JP | Kyoto | 2014-10-30 / 20140322749 - Method for Measuring Color Change of Oxidation-Reduction Indicator | 2 |
Junichi Hama | JP | Kanagawa | 2011-10-20 / 20110255887 - IMAGE FORMING APPARATUS | 4 |
Atsutomo Hama | JP | Anan-Shi | 2010-10-07 / 20100254153 - LIGHT EMITTING DEVICE | 3 |
Shinji Hama | JP | Hyogo | 2015-02-05 / 20150037858 - METHOD FOR PRODUCING ETHANOL | 2 |
Shunji Hama | JP | Hiroshima | 2010-10-21 / 20100263131 - AIR MATTRESS | 1 |
Katsumitsu Hama | JP | Okaya-Shi | 2010-12-09 / 20100309397 - ELECTRO-OPTICAL DISPLAY DEVICE AND PROJECTOR | 2 |
Akimasa Hama | JP | Tokyo | 2016-02-11 / 20160043483 - SEQUENCER TERMINAL BLOCK, SEQUENCER, AND SEQUENCER UNIT | 1 |
Toshio Hama | JP | Kanagawa | 2012-02-09 / 20120032151 - COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM | 1 |
Hiroshi Hama | JP | Yokohama-Shi | 2012-02-09 / 20120034643 - FLUORESCENT PROTEIN | 1 |
Shigenori Hama | JP | Toyota-Shi | 2016-01-28 / 20160028109 - SULFIDE SOLID ELECTROLYTE MATERIAL AND LITHIUM SOLID STATE BATTERY | 2 |
Takanori Hama | JP | Minato-Ku Tokyo | 2013-10-31 / 20130289723 - MIDDLE EAR MUCOSA-LIKE CELL SHEET, PROCESS OF PRODUCING THE SAME AND METHOD OF USING THE SAME | 1 |
Soichi Hama | JP | Atsugi | 2016-03-24 / 20160088203 - ILLUMINATION DEVICE AND BIOMETRIC AUTHENTICATION APPARATUS | 14 |
Takayuki Hama | JP | Tokyo | 2016-03-10 / 20160072700 - COMMUNICATION SYSTEM, CONTROL DEVICE, NODE, PROCESSING RULE SETTING METHOD AND PROGRAM | 8 |
Yuki Hama | JP | Utsunomiya-Shi | 2014-10-02 / 20140295321 - FUEL CELL SEPARATOR AND METHOD FOR PRODUCING THE SAME | 1 |
Susumu Hama | JP | Otsu-Shi | 2015-09-10 / 20150250893 - WEAKLY ACIDIC pH-RESPONSIVE PEPTIDE AND LIPOSOME CONTAINING SAME | 2 |
Riichiro Hama | JP | Kawasaki-Shi | 2014-02-13 / 20140046707 - CHARGE RESERVATION SUPPORT SYSTEM, MOBILE TERMINAL DEVICE, CHARGE RESERVATION SUPPORT METHOD AND PROGRAM | 1 |
Hisakatsu Hama | JP | Ichihara-Shi | 2014-11-06 / 20140329963 - MODIFIED CONJUGATED DIENE-BASED POLYMER, POLYMER COMPOSITION, AND PROCESS FOR PRODUCING MODIFIED CONJUGATED DIENE-BASED POLYMER | 8 |
Masayuki Hama | JP | Yokohama-Shi | 2012-01-05 / 20120003580 - IMAGE FORMING METHOD | 1 |
Shigenori Hama | JP | Shizuoka-Ken | 2012-04-19 / 20120094185 - SOLID ELECTROLYTE MATERIAL, ELECTRODE ELEMENT THAT INCLUDES SOLID ELECTROLYTE MATERIAL, ALL-SOLID BATTERY THAT INCLUDES SOLID ELECTROLYTE MATERIAL, AND MANUFACTURING METHOD FOR SOLID ELECTROLYTE MATERIAL | 2 |
Yusuke Hama | JP | Kakegawa-Shi | 2015-05-28 / 20150147701 - COMPOSITION FOR FORMING TOPCOAT LAYER AND RESIST PATTERN FORMATION METHOD EMPLOYING THE SAME | 1 |
Yohei Hama | JP | Tokyo | 2014-10-23 / 20140316303 - COLOR SCALE FOR XYLITOL GUM FOR ASSESSING MASTICATORY FORCE | 1 |
Hisakatsu Hama | JP | Ichihara-Shi | 2014-11-06 / 20140329963 - MODIFIED CONJUGATED DIENE-BASED POLYMER, POLYMER COMPOSITION, AND PROCESS FOR PRODUCING MODIFIED CONJUGATED DIENE-BASED POLYMER | 8 |
Riichi Hama | JP | Tokyo | 2008-10-30 / 20080267735 - Bookbinding apparatus | 1 |
Hideo Hama | JP | Tokyo | 2009-02-26 / 20090051854 - LIQUID CRYSTAL DISPLAY | 1 |
Hiroyuki Hama | JP | Tokyo | 2013-07-25 / 20130189866 - CONNECTOR AND SEMICONDUCTOR TEST DEVICE | 4 |
Yuko Hama | JP | Tokyo | 2012-08-23 / 20120214214 - TRANSFORMANT AND PROCESS FOR PRODUCTION THEREOF, AND PROCESS FOR PRODUCTION OF LACTIC ACID | 3 |
Hideki Hama | JP | Tokyo | 2013-09-19 / 20130242042 - MONITORING SYSTEM, MONITORING METHOD, COMPUTER PROGRAM, AND STORAGE MEDIUM | 2 |
Toshiyuki Hama | JP | Tokyo | 2014-03-13 / 20140074541 - STACK HANDLING OPERATION METHOD, SYSTEM, AND COMPUTER PROGRAM | 6 |
Takayuki Hama | JP | Tokyo | 2016-03-10 / 20160072700 - COMMUNICATION SYSTEM, CONTROL DEVICE, NODE, PROCESSING RULE SETTING METHOD AND PROGRAM | 8 |
Kazuhiro Hama | JP | Tokyo | 2010-01-14 / 20100009135 - DECORATED SHEET | 2 |
Yoshinori Hama | JP | Tokyo | 2015-12-31 / 20150378020 - MEASUREMENT APPARATUS, MEASUREMENT SYSTEM, PROGRAM AND CONTROL METHOD | 8 |
Yoshiyuki Hama | JP | Tokyo | 2012-03-08 / 20120059979 - MEMORY MANAGEMENT APPARATUS AND MEMORY MANAGEMENT METHOD | 1 |
Kaoru Hama | JP | Kanagawa | 2011-09-22 / 20110228612 - SEMICONDUCTOR MEMORY AND SEMICONDUCTOR MEMORY TEST METHOD | 1 |
Manabu Hama | JP | Aichi-Ken | 2011-09-22 / 20110227526 - BATTERY CHARGING WAREHOUSE | 1 |
Yoshiki Hama | JP | Saitama | 2011-09-22 / 20110227540 - Direct-Current Power Source Apparatus | 1 |
Masahide Hama | JP | Chiyoda-Ku | 2011-09-15 / 20110221141 - COMBINATION OIL RING | 1 |
Mitsunori Hama | JP | Tokyo | 2012-05-24 / 20120127622 - TANK-TYPE LIGHTNING ARRESTER | 1 |
Hiroyuki Hama | JP | Chiyoda-Ku | 2012-05-24 / 20120126845 - CONNECTOR AND SEMICONDUCTOR TESTING DEVICE INCLUDING THE CONNECTOR | 1 |
Yukari Hama | JP | Tokyo | 2012-04-19 / 20120094234 - RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER, AND METHOD FOR FORMING RESIST PATTERN | 2 |
Masaki Hama | JP | Kanagawa | 2015-12-31 / 20150380541 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 1 |
Kaoru Hama | JP | Yokohama-Shi | 2012-09-13 / 20120230117 - NONVOLATILE SEMICONDCUTOR MEMORY DEVICE | 1 |
Kenro Hama | JP | Amagasaki-Shi | 2016-02-11 / 20160041798 - PRINTING SYSTEM, PORTABLE TERMINAL DEVICE, AND PRINT CONTROL PROGRAM | 7 |
Yohei Hama | JP | Tochigi | 2013-05-30 / 20130134966 - RELATIVE ANGLE SENSING DEVICE AND ELECTRIC POWER STEERING APPARATUS | 3 |
Yoshitaka Hama | JP | Chino-Shi | 2011-08-18 / 20110199733 - ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS | 1 |
Takeshi Hama | JP | Okazaki-Shi | 2013-01-31 / 20130025960 - ELECTRIC POWER STEERING SYSTEM | 1 |
Ryuta Hama | JP | Hitachi-Shi, Ibaraki | 2013-07-18 / 20130182811 - Method of Monitoring Reactor Bottom Area, Reactor Bottom Area Monitoring Apparatus and Nuclear Reactor | 1 |
Takeshi Hama | JP | Ashigara-Kami-Gun | 2013-12-12 / 20130326874 - METHOD FOR PRODUCING MULTILAYER SUBSTRATE AND DESMEARING METHOD | 1 |
Nobuyuki Hama | JP | Higashihiroshima-Shi | 2014-04-24 / 20140109422 - SURFACE ROUGHNESS MEASURING UNIT AND COORDINATE MEASURING APPARATUS | 1 |
Hiroshi Hama | JP | Toon-Shi | 2014-04-24 / 20140109528 - DRUG-PACKAGING DEVICE | 2 |
Masatsugu Hama | JP | Yokohama-Shi, Kanagawa | 2014-04-17 / 20140104637 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM INFORMATION PROCESSING METHOD | 1 |
Masayuki Hama | JP | Toride-Shi | 2016-04-14 / 20160103401 - IMAGE-FORMING METHOD | 5 |
Chika Hama | JP | Kanagawa | 2016-05-19 / 20160137848 - SILICA COMPOSITE PARTICLES AND METHOD OF PREPARING THE SAME | 5 |
Ryuta Hama | JP | Hitachi | 2013-07-11 / 20130177122 - Reactor Water-Level/Temperature Measurement Apparatus | 2 |
Daigo Hama | JP | Yokohama-Shi | 2015-06-25 / 20150179093 - COLOR CONVERSION APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Toshiyuki Hama | JP | Kanagawa | 2012-03-29 / 20120078407 - PROCESS SCHEDULING SYSTEM, METHOD, AND PROGRAM | 1 |
Tatsuya Hama | JP | Tokyo | 2016-02-18 / 20160048271 - INFORMATION PROCESSING DEVICE AND INFORMATION PROCESSING METHOD | 3 |
Eiji Hama | JP | Shiojiri-Shi | 2012-05-24 / 20120129247 - SAMPLE ANALYZER | 2 |
Gord Hama | CA | Ottawa | 2009-04-30 / 20090112790 - Method And Device For Verifying Integrity Of Data Acquisition Pathways | 1 |
Yuichiro Hama | JP | Nagoya-Shi | 2012-09-06 / 20120225353 - NEGATIVE ELECTRODE FOR LITHIUM SECONDARY BATTERY, METHOD FOR PREPARING THE NEGATIVE ELECTRODE, LITHIUM SECONDARY BATTERY HAVING THE NEGATIVE ELECTRODE, AND VEHICLE HAVING THE LITHIUM SECONDARY BATTERY | 3 |
Takashi Hama | JP | Chiba-Shi, Chiba | 2016-03-31 / 20160090482 - POLYESTER RESIN COMPOSITION FOR REFLECTIVE MATERIAL AND REFLECTOR INCLUDING SAME | 1 |
Mitsuko Hama | JP | Aichi-Ken | 2014-06-19 / 20140167465 - VEHICLE SEATS | 2 |
Masachika Hama | JP | Hitachi | 2014-05-29 / 20140147661 - METHOD FOR PRODUCING ALUMINA-CRYSTAL-PARTICLE-DISPERSED ALUMINA SOL, ALUMINA-CRYSTAL-PARTICLE-DISPERSED ALUMINA SOL OBTAINED BY THE METHOD, AND ALUMINUM COATED MEMBER PRODUCED USING THE SOL | 1 |
Nobuharu Hama | JP | Nagano | 2012-07-26 / 20120187885 - ACTUATOR CONTROL SYSTEM AND ACTUATOR SYSTEM | 1 |
Shigenori Hama | JP | Susono-Shi | 2015-12-10 / 20150357675 - SULFIDE SOLID ELECTROLYTE MATERIAL, LITHIUM SOLID-STATE BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 31 |
Yoshinori Hama | JP | Tokyo | 2015-12-31 / 20150378020 - MEASUREMENT APPARATUS, MEASUREMENT SYSTEM, PROGRAM AND CONTROL METHOD | 8 |
Tomoo Hama | JP | Yamanashi | 2016-04-28 / 20160120037 - COMPONENT MOUNTING APPARATUS | 1 |
Shinji Hama | JP | Amagasaki-Shi | 2015-10-08 / 20150284748 - CONTINUOUS PRODUCTION OF BIODIESEL FUEL BY ENZYMATIC METHOD | 1 |
Riichi Hama | JP | Toyokawa-Shi | 2016-03-17 / 20160080595 - IMAGE FORMING DEVICE | 3 |
Masahide Hama | JP | Tokyo | 2014-09-04 / 20140246834 - COMBINATION OIL RING | 1 |
Tatsuya Hama | JP | Kanagawa | 2011-06-16 / 20110145756 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING APPARATUS METHOD, AND STORAGE MEDIUM CONTAINING INFORMATION PROCESSING PROGRAM WITH ROTARY OPERATION | 1 |
Atsuro Hama | JP | Tokyo | 2014-05-08 / 20140127425 - PLASMA DEPOSITION APPARATUS AND PLASMA DEPOSITION METHOD | 2 |
Yohei Hama | JP | Haga-Gun | 2015-10-01 / 20150276519 - SENSOR UNIT, TORQUE DETECTOR, AND ELECTRIC POWER STEERING DEVICE | 2 |
Chie Hama | JP | Tokyo | 2013-03-14 / 20130061985 - METHOD OF MANUFACTURING GRAIN-ORIENTED ELECTRICAL STEEL SHEET | 2 |
Yukio Hama | JP | Koka-Shi | 2015-01-29 / 20150030842 - POROUS RESIN PARTICLES, METHOD OF MANUFACTURING POROUS RESIN PARTICLES, AND USE OF POROUS RESIN PARTICLES | 1 |
Daigo Hama | JP | Kanagawa | 2016-01-28 / 20160026902 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING SYSTEM | 9 |
Soichi Hama | JP | Atsugi | 2016-03-24 / 20160088203 - ILLUMINATION DEVICE AND BIOMETRIC AUTHENTICATION APPARATUS | 14 |
Shintaro Hama | JP | Tottori | 2011-05-26 / 20110122342 - LIQUID CRYSTAL DISPLAY PANEL | 1 |
Soichi Hama | JP | Kawasaki | 2012-09-13 / 20120230551 - BIOMETRIC-INFORMATION PROCESSING DEVICE, METHOD OF PROCESSING BIOMETRIC INFORMATION, AND COMPUTER-READABLE RECORDING MEDIUM STORING BIOMETRIC-INFORMATION PROCESSING PROGRAM | 18 |
Yoshikazu Hama | JP | Okaya | 2013-01-31 / 20130027488 - PRINTING METHOD, PRINTING DEVICE, PRINTED MATERIAL AND MOLDED ARTICLE | 8 |
Keijiro Hamaba | JP | Kanagawa | 2015-09-03 / 20150245711 - FIXING DEVICE, FIXING STRUCTURE OF APPARATUS, AND FIXING METHOD OF APPARATUS | 2 |
Teruki Hamabayashi | JP | Makinohara-Shi | 2016-02-25 / 20160055938 - WIRE HARNESS | 1 |
Masaru Hamabe | JP | Mishima-Gun | 2014-08-28 / 20140242151 - ADHESIVE SKIN PATCH | 3 |
Koujirou Hamabe | JP | Tokyo | 2010-05-27 / 20100128663 - SIGNAL TRANSMISSION METHOD, RADIO COMMUNICATION SYSTEM, COMMUNICATION STATION, MOBILE STATION, AND BASE STATION | 3 |
Kojiro Hamabe | JP | Minato-Ku | 2015-02-12 / 20150045011 - BASE STATION, RADIO COMMUNICATIONS SYSTEM, BASE STATION CONTROL METHOD, RADIO COMMUNICATIONS METHOD AND BASE STATION CONTROL PROGRAM | 14 |
Kojiro Hamabe | JP | Tokyo | 2016-02-11 / 20160044637 - RADIO STATION AND METHOD OF PROCESSING USER DATA WITH RADIO STATION | 65 |
Yusuke Hamabe | JP | Ehime | 2009-02-12 / 20090039189 - YARN TRAVERSE GUIDE | 1 |
Tsutomu Hamabe | JP | Aichi-Ken | 2011-02-03 / 20110023628 - IMPACT DETECTION STRUCTURE, IMPACT DETECTION SYSTEM AND METHOD, AND OCCUPANT PROTECTION SYSTEM AND METHOD | 1 |
Yusuke Hamabe | JP | Iyo-Gun | 2010-04-29 / 20100102160 - YARN TRAVERSE GUIDE | 1 |
Masaru Hamabe | JP | Chuo-Ku | 2013-10-31 / 20130287823 - PATCH | 1 |
Hisashi Hamabe | JP | Tokyo | 2015-06-25 / 20150174535 - NONWOVEN FABRIC FOR SEMIPERMEABLE MEMBRANE SUPPORT | 2 |
Masaru Hamabe | JP | Hyogo | 2012-05-10 / 20120114738 - TRANSDERMAL PATCH | 3 |
Kojiro Hamabe | JP | Kanagawa | 2014-01-30 / 20140029422 - RATE CONTROL METHOD AND APPARATUS FOR DATA PACKET TRANSMISSION | 1 |
Masaru Hamabe | JP | Amagasaki-Shi | 2012-07-05 / 20120171274 - TRANSDERMAL PATCH | 1 |
Ysohihiro Hamabe | JP | Sayama-Shi | 2016-05-12 / 20160133409 - METHOD OF MANUFACTURING DIAPHRAGM WITH CONTACT, AND PRESSURE SWITCH INCLUDING DIAPHRAGM WITH CONTACT MADE BY THE METHOD | 1 |
Yoshihiro Hamabe | JP | Sayama-Shi | 2016-05-12 / 20160133408 - PRESSURE SWITCH | 1 |
Taichi Hamabe | JP | Osaka | 2016-05-05 / 20160126635 - ANTENNA DEVICE AND ELECTRONIC DEVICE | 4 |
Masashi Hamabe | JP | Osaka | 2016-03-24 / 20160082467 - COATING FILM PRODUCTION METHOD, COATING FILM PRODUCTION APPARATUS, COATING FILM, NONAQUEOUS SECONDARY BATTERY ELECTRODE PLATE, AND MOBILE BODY | 5 |
Masaaki Hamabe | JP | Tokyo | 2015-08-20 / 20150233253 - TURBINE BLADE | 2 |
Yoshihiro Hamabe | JP | Saitama | 2015-10-15 / 20150292652 - WELD STRUCTURE FOR REFRIGERANT CIRCUIT COMPONENT AND WELDING METHOD FOR REFRIGERANT CIRCUIT COMPONENT | 1 |
Kojiro Hamabe | JP | Minato-Ku | 2015-02-12 / 20150045011 - BASE STATION, RADIO COMMUNICATIONS SYSTEM, BASE STATION CONTROL METHOD, RADIO COMMUNICATIONS METHOD AND BASE STATION CONTROL PROGRAM | 14 |
Tsutomu Hamabe | JP | Nissin-Shi | 2015-12-17 / 20150360632 - VEHICLE BODY FRONT SECTION STRUCTURE | 1 |
Takashi Hamabe | JP | Osaka | 2013-03-07 / 20130061115 - ERROR-CORRECTING CODE PROCESSING METHOD AND DEVICE | 1 |
Kojirou Hamabe | JP | Tokyo | 2014-03-06 / 20140064224 - TRANSPORT FORMAT COMBINATION SELECTING METHOD, WIRELESS COMMUNICATION SYSTEM, AND MOBILE STATION | 4 |
Masashi Hamabe | JP | Kanagawa | 2012-09-27 / 20120243907 - INTERMEDIATE TRANSFER MEMBER AND METHOD FOR MANUFACTURING THE SAME, INTERMEDIATE TRANSFER MEMBER UNIT, AND IMAGE FORMING APPARATUS | 1 |
Tsutomu Hamabe | JP | Nisshin-Shi | 2015-12-24 / 20150367756 - VEHICLE SEAT | 3 |
Taichi Hamabe | JP | Hyogo | 2013-02-14 / 20130038507 - ANTENNA APPARATUS HAVING FIRST AND SECOND ANTENNA ELEMENTS FED BY FIRST AND SECOND FEEDER CIRCUITS CONNECTED TO SEPARATE GROUND CONDUCTORS | 4 |
Natsumi Hamabuchi | JP | Osaka | 2014-07-24 / 20140206619 - PEPTIDE FOR INDUCING REGENERATION OF TISSUE AND USE THEREOF | 1 |
Volkmar Hamacher | DE | Hannover | 2016-05-19 / 20160136425 - SYSTEM AND METHOD FOR NEURAL HEARING STIMULATION | 9 |
Volkmar Hamacher | CH | Maennedorf | 2013-12-26 / 20130345767 - Independent Volume Control in Electro-Acoustic Stimulation Systems | 1 |
Michael Hamacher | DE | Ingolstadt | 2015-11-05 / 20150314793 - METHOD FOR TRAFFIC-FLOW-CONDITIONED ADAPTATION OF STOPPING PROCESSES TO A SYNTHETICALLY MODULATED SPEED PROFILE ALONG A ROUTE TRAVELLED ALONG BY A VEHICLE AND CONTROL DEVICE FOR CARRYING OUT THE METHOD | 2 |
Heinz-Willi Hamacher | DE | Moenchengladbach | 2012-09-06 / 20120222898 - FLEXIBLE ELECTRICAL LINE | 1 |
Matthias Hamacher | DE | Hurth | 2011-03-17 / 20110062027 - OPTIMIZED ELECTROCOATING OF ASSEMBLED AND PARTLY PREPHOSPHATED COMPONENTS | 2 |
Clayten Hamacher | CA | Vancouver | 2016-03-17 / 20160080368 - FACILITATING DYNAMIC END-TO-END INTEGRITY FOR DATA REPOSITORIES IN AN ON-DEMAND SERVICES ENVIRONMENT | 1 |
Heinz Will Hamacher | DE | Monchengladbach | 2008-10-16 / 20080251272 - Flexible electric control cable | 1 |
Volkmar Hamacher | DE | Hannover | 2016-05-19 / 20160136425 - SYSTEM AND METHOD FOR NEURAL HEARING STIMULATION | 9 |
Matthias Hamacher | DE | Huerth | 2013-08-08 / 20130202797 - METHOD FOR SELECTIVELY PHOSPHATING A COMPOSITE METAL CONSTRUCTION | 2 |
Michael Hamacher | DE | Neuburg | 2014-04-10 / 20140097673 - HYBRID VEHICLE AND METHOD FOR OPERATING A DEVICE FOR CHARGING A BATTERY IN A HYBRID VEHICLE | 1 |
Klaus Hamacher | DE | Melle | 2011-10-20 / 20110256996 - METHOD OF CONTROLLING THE RELATIVE POSITION BETWEEN PRINTED PATTERNS AND NON-PRINTED PATTERNS ON A WEB-SHAPED MATERIAL AND A SYSTEM USED IN THE METHOD | 1 |
Volkmar Hamacher | DE | Neunkirchen Am Brand | 2011-05-26 / 20110123056 - FULLY LEARNING CLASSIFICATION SYSTEM AND METHOD FOR HEARING AIDS | 1 |
Peter Hamacher | DE | Rossdorf | 2010-08-12 / 20100202441 - METHOD AND APPARATUS FOR THE USER-SPECIFIC CONFIGURATION OF A COMMUNICATIONS PORT | 1 |
Kurt Hamacher | DE | Aachen | 2012-04-26 / 20120097549 - ELECTROCHEMICAL CELL AND METHOD FOR SEPARATING CARRIER-FREE 18F-FROM A SOLUTION ON AN ELECTRODE | 1 |
Nels B. Hamacher | US | Mountlake Terrance | 2015-03-26 / 20150086552 - BISPECIFIC ANTIBODIES AND METHODS OF USING THE SAME | 1 |
Hisashi Hamachi | JP | Yokohama | 2010-10-28 / 20100271456 - CONFERENCE DETAILS RECORDING SYSTEM | 1 |
Yousuke Hamachi | JP | Kanagawa-Ken | 2012-04-26 / 20120096899 - METHOD OF AND APPARATUS FOR MOLDING GLAZING GASKET ONTO MULTILAYER GLASS PANEL | 2 |
Masakazu Hamachi | JP | Utsunomiya-Shi | 2013-12-05 / 20130323539 - FUEL CELL SYSTEM AND FUEL CELL SYSTEM CONTROL METHOD | 1 |
Yosuke Hamachi | JP | Hiratsuka-Shi | 2014-03-27 / 20140083605 - Molding Material Installation Method and Installation Apparatus and Application Nozzle | 1 |
Kouji Hamachi | JP | Nagano | 2011-11-24 / 20110286070 - MIRROR SWING RANGE CONTROL DEVICE OF LIGHT SCANNING APPARATUS | 2 |
Yuji Hamachi | JP | Mito-Shi | 2015-08-27 / 20150244304 - SOLAR PHOTOVOLTAIC FACILITY | 2 |
Jun Hamachi | JP | Nara | 2008-12-18 / 20080309474 - Display System | 1 |
Hiroshi Hamachi | JP | Tochigi | 2013-06-06 / 20130140085 - ANISOTROPIC CONDUCTIVE MATERIAL AND PROCESS FOR PRODUCTION THEREOF, AND MOUNTING BODY AND PROCESS FOR PRODUCTION THEREOF | 2 |
Toshiyuki Hamachi | JP | Kagoshima | 2013-02-21 / 20130044441 - Device for Electric Insulation of a Conducting Plane | 1 |
Toshifumi Hamachi | JP | Kawasaki-Shi | 2015-12-31 / 20150382391 - COMMUNICATION APPARATUS, METHOD FOR CONTROLLING COMMUNICATION APPARATUS, AND PROGRAM | 12 |
Jun Hamachi | JP | Osaka-Shi | 2013-06-06 / 20130141330 - STEREOSCOPIC DISPLAY DEVICE | 4 |
Mari Hamachi | JP | Fukuoka | 2012-12-13 / 20120315902 - WIRELESS COMMUNICATION SYSTEM, WIRELESS COMMUNICATION TERMINAL, AND SUBSCRIBER INFORMATION MANAGEMENT DEVICE | 2 |
Itaru Hamachi | JP | Kyoto | 2013-03-21 / 20130071948 - PROCESS FOR PRODUCING SUPRAMOLECULAR FIBER | 4 |
Hiroki Hamachi | JP | Fukuoka | 2009-08-20 / 20090207742 - FRAME TRANSMISSION DEVICE AND LOOP JUDGING METHOD | 1 |
Jun Hamachi | JP | Nara-Shi | 2009-07-16 / 20090179745 - DISPLAY SYSTEM | 1 |
Kenji Hamachi | JP | Kyoto | 2015-08-13 / 20150226730 - Disc-Like Assay Chip | 3 |
Hisashi Hamachi | JP | Fukuoka | 2015-06-25 / 20150180159 - SEAL USED FOR ELECTRIC CONNECTOR AND METHOD OF USING THE SAME | 3 |
Jun Hamachi | JP | Osaka | 2015-08-06 / 20150222879 - STEREOSCOPIC IMAGE PROCESSING DEVICE, STEREOSCOPIC IMAGE PROCESSING METHOD, AND PROGRAM | 3 |
Yasuyuki Hamachi | JP | Osaka | 2015-04-16 / 20150102602 - POWER GENERATING DEVICE | 1 |
Kenji Hamachi | JP | Kyoto-Shi | 2014-10-23 / 20140314636 - Microchip | 1 |
Yousuke Hamachi | JP | Hiratsuka | 2012-06-07 / 20120138212 - METHOD OF AND APPARATUS FOR MOLDING GLAZING GASKET ONTO MULTILAYER GLASS PANEL | 1 |
Hiraku Hamachi | JP | Tokyo | 2011-12-22 / 20110313546 - OPERATION AND OBSERVATION APPARATUS | 1 |
Toshifumi Hamachi | JP | Kawasaki-Shi | 2015-12-31 / 20150382391 - COMMUNICATION APPARATUS, METHOD FOR CONTROLLING COMMUNICATION APPARATUS, AND PROGRAM | 12 |
Masakazu Hamachi | JP | Saitama | 2015-05-07 / 20150125767 - FUEL CELL SYSTEM AND CONTROL METHOD FOR THE SAME | 2 |
Ashraf M. Hamad | US | Redmond | 2010-05-06 / 20100115146 - Pairing Service Technologies | 1 |
Berna Hamad | FR | Villeurbanne | 2011-06-23 / 20110146137 - Method for Preparing a Mixture of Biofuels | 1 |
Esam Z. Hamad | SA | Dhahran | 2013-03-21 / 20130068661 - PROCESS FOR THE SEQUENTIAL HYDROCONVERSION AND HYDRODESULFURIZATION OF WHOLE CRUDE OIL | 7 |
Ibrahim Abou Hamad | US | Tallahassee | 2010-06-24 / 20100156357 - System and method for charging rechargeable batteries | 1 |
Siddig Hussein Hamad | SA | Alhassa | 2012-05-24 / 20120128853 - METHOD OF PRODUCING BAKER'S YEAST | 1 |
Imad A. Hamad | CA | Quebec | 2010-12-30 / 20100327591 - Kinetic Energy Recovery Turbine | 1 |
Feras Hamad | SA | Dhahran | 2014-04-17 / 20140102297 - METHOD FOR REMOVAL OF CO2 FROM EXHAUST GAS USING FACILITATED TRANSPORT MEMBRANES AND STEAM SWEEPING | 3 |
Amal Ma Hamad | US | Frisco | 2011-06-09 / 20110133289 - MULTIPLE DOPING LEVEL BIPOLAR JUNCTIONS TRANSISTORS AND METHOD FOR FORMING | 3 |
Esam Zaki Hamad | SA | Dhahran | 2016-03-03 / 20160059180 - LIQUID, SLURRY AND FLOWABLE POWDER ADSORPTION/ABSORPTION METHOD UTILIZING WASTE HEAT FOR ON-BOARD RECOVERY AND STORAGE OF CO2 FROM MOTOR VEHICLE INTERNAL COMBUSTION ENGINE EXHAUST GASES | 20 |
Esam Z. Hamad | SA | Dhahran Hills | 2010-02-04 / 20100025293 - Process for the Sequential Hydroconversion and Hydrodesulfurization of Whole Crude Oil | 1 |
Mohamed Hamad | CA | Montreal | 2010-01-14 / 20100008480 - Universal Internet Telephone System | 1 |
Abdel Hamad | US | Prospect | / - | 1 |
Wadood Y. Hamad | CA | Vancouver | 2016-04-21 / 20160108537 - CELLULOSE NANOCRYSTAL (CNC) FILMS AND CONDUCTIVE CNC-BASED POLYMER FILMS PRODUCED USING ELECTROCHEMICAL TECHNIQUES | 6 |
Abdel Hamad | US | Ellicott City | 2012-06-07 / 20120141482 - MOLECULAR COMPLEXES WHICH MODIFY IMMUNE RESPONSES | 2 |
Esam Zaki Hamad | SA | Dhahran | 2016-03-03 / 20160059180 - LIQUID, SLURRY AND FLOWABLE POWDER ADSORPTION/ABSORPTION METHOD UTILIZING WASTE HEAT FOR ON-BOARD RECOVERY AND STORAGE OF CO2 FROM MOTOR VEHICLE INTERNAL COMBUSTION ENGINE EXHAUST GASES | 20 |
Imad Hamad | CA | Pierrefonds | 2011-12-01 / 20110293404 - Submerged Hydroelectric Turbine Having Self-Powered Bearing Lubricant Circulation, Filtering, and Cooling System and Auto-Adaptive Pressure-Compensation System | 1 |
Abdel J. Hamad | US | Louisville | 2012-06-14 / 20120144855 - MODULAR WATER FILTER ASSEMBLY | 1 |
Abdel Rahim Hamad | US | Ellicott City | 2016-05-05 / 20160120158 - COMPOSITIONS AND METHODS FOR THE STUDY AND TREATMENT OF ACUTE KIDNEY INJURY | 1 |
Wadood Yasser Hamad | CA | Vancouver | 2016-01-28 / 20160024264 - FLEXIBLE NANOCRYSTALLINE CELLULOSE (NCC) FILMS WITH TUNABLE OPTICAL AND MECHANICAL PROPERTIES | 9 |
Mohamed O. Hamad | US | Lexington | 2009-01-15 / 20090017102 - Enhancing transdermal delivery of opiod antagonists and agonistis using codrugs links to bupropion or hydroxybupropion | 1 |
Alyssandrea Hope Hamad | US | Cincinnati | 2010-02-25 / 20100044627 - THERMOPLASTIC HETEROPOLYSACCHARIDE DERIVATIVES AND METHODS FOR MAKING SAME | 2 |
Ashraf Hamad | US | Redmond | 2014-11-13 / 20140337923 - Efficient Automatic Sharing of Network Access Among Devices | 1 |
Ferras Hamad | US | San Jose | 2015-09-10 / 20150254246 - Methods and Systems for Ranking Items on a Presentation Area Based on Binary Outcomes | 1 |
Wadood Yasser Hamad | CA | Vancouver | 2016-01-28 / 20160024264 - FLEXIBLE NANOCRYSTALLINE CELLULOSE (NCC) FILMS WITH TUNABLE OPTICAL AND MECHANICAL PROPERTIES | 9 |
Tomohide Hamada | JP | Yokohama-Shi | 2015-10-29 / 20150311128 - DISPLAY ELEMENT MANUFACTURING METHOD AND MANUFACTURING APPARATUS | 11 |
Takashi Hamada | JP | Atsugi | 2016-04-28 / 20160118416 - DISPLAY DEVICE, MANUFACTURING METHOD OF DISPLAY DEVICE, AND ELECTRONIC DEVICE | 17 |
Kenichi Hamada | JP | Chiyoda-Ku | 2013-08-22 / 20130217827 - METHACRYLIC RESIN COMPOSITION, RESIN MODIFIER, AND MOLDED ARTICLE | 1 |
Kazuyuki Hamada | JP | Osaka-Shi | 2009-10-29 / 20090270065 - Evacuation route obtaining system, mobile terminal apparatus, evacuation directive apparatus, evacuation route obtaining method, evacuation route sending method, computer-readable storage medium, and electronic conference system | 1 |
Hiroshi Hamada | JP | Kariya-City | 2013-08-29 / 20130220585 - TUBE FOR HEAT EXCHANGER | 1 |
Kazuyuki Hamada | JP | Yamaguchi | 2009-12-03 / 20090297837 - PROCESS FOR PRODUCING POLYIMIDE FILM, AND POLYIMIDE FILM | 2 |
Kazuyuki Hamada | JP | Tokyo | 2015-03-19 / 20150081057 - TRAINING DEVICE | 4 |
Kazuyuki Hamada | JP | Osaka | 2011-04-14 / 20110085204 - CAPTURED IMAGE PROCESSING SYSTEM, IMAGE CAPTURE METHOD, AND RECORDING MEDIUM | 6 |
Etsuo Hamada | JP | Chiyoda-Ku | 2013-07-04 / 20130171468 - SURFACE TREATMENT LIQUID FOR ZINC OR ZINC ALLOY COATED STEEL SHEET, ZINC OR ZINC ALLOY-COATED STEEL SHEET, AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yuzuru Hamada | JP | Osaka | 2011-08-18 / 20110197584 - BEAM DOWN SYSTEM SOLAR GENERATION DEVICE | 2 |
Tomoo Hamada | JP | Osaka | 2010-08-05 / 20100199269 - PROGRAM OPTIMIZATION DEVICE AND PROGRAM OPTIMIZATION METHOD | 2 |
Yasuaki Hamada | JP | Chino | 2015-03-26 / 20150084151 - PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF MANUFACTURING THE SAME | 8 |
Shigetaka Hamada | JP | Yokohama | 2013-11-28 / 20130315531 - OPTICAL SEMICONDUCTOR AND OPTICAL MODULE | 1 |
Akiko Hamada | JP | Fukuoka | 2016-03-03 / 20160064679 - PHOTODETECTOR | 1 |
Takashi Hamada | JP | Hiroshima-City | 2016-03-24 / 20160083019 - BONNET STRUCTURE OF AUTOMOTIVE VEHICLE | 2 |
Tsutomu Hamada | JP | Hirakata-Shi | 2009-06-18 / 20090155116 - HYDRODYNAMIC BEARING DEVICE | 3 |
Tsutomu Hamada | JP | Kanagawa | 2015-09-24 / 20150268912 - PACKET GENERATION DEVICE AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 11 |
Tsutomu Hamada | JP | Osaka | 2011-02-24 / 20110044837 - SLEEVE FOR HYDRODYNAMIC BEARING DEVICE, HYDRODYNAMIC BEARING DEVICE AND SPINDLE MOTOR USING THE SAME, AND METHOD FOR MANUFACTURING SLEEVE | 4 |
Noriaki Hamada | JP | Kanagawa | 2016-04-28 / 20160117825 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND ALLOCATION INFORMATION GENERATION METHOD | 3 |
Yo Hamada | JP | Obu-Shi | 2015-03-26 / 20150082710 - TRIM FOR VEHICLE | 1 |
Junichi Hamada | JP | Hikari-Shi | 2016-04-07 / 20160097114 - HEAT-RESISTANT COLD ROLLED FERRITIC STAINLESS STEEL SHEET, HOT ROLLED FERRITIC STAINLESS STEEL SHEET FOR COLD ROLLING RAW MATERIAL, AND METHODS FOR PRODUCING SAME | 7 |
Ryosuke Hamada | JP | Osaka | 2009-12-17 / 20090308415 - Surface Treatment Apparatus | 1 |
Toshio Hamada | JP | Hyogo | 2009-01-15 / 20090013762 - Apparatus for estimating residual life of blasting vessel, method of estimating residual life, and blasting facility | 1 |
Toshiyuki Hamada | JP | Osaka | 2015-11-26 / 20150338799 - IMAGE FORMING APPARATUS | 1 |
Shinkichi Hamada | JP | Yamato-Shi | 2012-12-20 / 20120323892 - SYSTEMS AND METHODS FOR DETERMINING EXCLUSION EFFICIENCIES OF A PLURALITY OF KEYWORDS APPEARING IN A SEARCH RESULT | 1 |
Takahiro Hamada | JP | Sakura-Shi | 2012-01-05 / 20120000249 - METHOD FOR PRODUCING OPTICAL FIBER PREFORM | 1 |
Hiroyuki Hamada | JP | Himeji-Shi | 2013-04-11 / 20130088124 - PHYSICAL QUANTITY SENSOR AND PROCESS FOR PRODUCTION THEREOF | 1 |
Tetsuya Hamada | JP | Osaki-Shi | 2011-05-19 / 20110116015 - ILLUMINATING DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE PROVIDED WITH THE SAME | 1 |
Hitoshi Hamada | JP | Gotenba-Shi | 2013-09-12 / 20130236803 - FUEL CELL MODULE | 6 |
Kenji Hamada | JP | Amagasaki-Shi | 2013-08-08 / 20130199962 - Press-Through Package And Method Of Removing Medication From Same | 1 |
Yuuta Hamada | JP | Tokyo | 2016-04-14 / 20160105445 - COMMUNICATIONS SYSTEM, MANAGEMENT SERVER, AND COMMUNICATIONS METHOD | 16 |
Shu Hamada | JP | Saitama-Shi | 2014-06-19 / 20140168510 - IMAGING ELEMENT MODULE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yasushi Hamada | JP | Chiba | 2010-12-23 / 20100324209 - POLYCARBONATE COPOLYMER, METHOD FOR PRODUCING THE SAME, MOLDED BODY, OPTICAL MATERIAL, AND ELECTROPHOTOGRAPHIC PHOTOSENSITIVE BODY | 2 |
Mitsuyoshi Hamada | JP | Chiba | 2010-06-10 / 20100140638 - THERMOSETTING RESIN COMPOSITION FOR LIGHT REFLECTION, METHOD FOR MANUFACTURING THE RESIN COMPOSITION AND OPTICAL SEMICONDUCTOR ELEMENT MOUNTING SUBSTRATE AND OPTICAL SEMICONDUCTOR DEVICE USING THE RESIN COMPOSITION | 4 |
Mitsuo Hamada | JP | Chiba | 2011-12-29 / 20110319510 - Silicone Rubber Sponge-Forming Emulsion Composition And Method For Manufacturing Silicone Rubber Sponge | 2 |
Hiroshi Hamada | JP | Tokyo | 2014-05-15 / 20140133871 - OPTICAL RECEIVER MODULE | 2 |
Gaku Hamada | JP | Saga-Shi | 2015-07-02 / 20150183725 - METHOD OF PRODUCING BASIC AMINO ACID OR BASIC AMINO ACID SALT | 1 |
Toshihiro Hamada | JP | Chiba | 2009-06-11 / 20090147441 - Closed-Type Capacitor | 1 |
Tetsuya Hamada | JP | Mie | 2009-10-01 / 20090244439 - LIGHT EMITTING DEVICE, ILLUMINATING APPARATUS HAVING THE SAME, AND LIQUID CRYSTAL DISPLAY APPARATUS | 11 |
Mamoru Hamada | JP | Kobe-Shi | 2014-08-21 / 20140235451 - SUPERCONDUCTING COIL PROTECTION METHOD AND SUPERCONDUCTING MAGNET DEVICE | 1 |
Koji Hamada | JP | Tokyo | 2015-07-02 / 20150186324 - Communication System, Terminal Device, Communication Processing Method, Communication Processing Program, Storage Medium Stored With Communication Processing Program | 9 |
Gen Hamada | JP | Nagoya-Shi | 2011-03-03 / 20110049762 - INJECTION MOLDING MACHINE AND INJECTION MOLDING METHOD | 1 |
Ryosuke Hamada | JP | Hirakata-Shi | 2014-08-21 / 20140230858 - Workpiece Surface Treatment System | 6 |
Takao Hamada | JP | Atsugi | 2016-03-17 / 20160075718 - Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 11 |
Hiroshi Hamada | JP | Komoro | 2009-02-12 / 20090041076 - Opto-semiconductor devices | 1 |
Hiroshi Hamada | JP | Nishinomiya-City | 2011-05-05 / 20110106450 - SATELLITE NAVIGATION/DEAD-RECKONING NAVIGATION INTEGRATED POSITIONING DEVICE | 1 |
Kazuto Hamada | JP | Odawara-Shi | 2009-12-31 / 20090324760 - Hair tonic | 1 |
Toshiki Hamada | JP | Isehara | 2016-04-28 / 20160118615 - LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE | 8 |
Koichi Hamada | JP | Yokohama-Shi | 2015-04-02 / 20150093906 - SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT APPARATUS | 3 |
Norihiko Hamada | JP | Aichi | 2015-08-20 / 20150232984 - METHOD FOR ETCHING ORGANIC FILM | 5 |
Hiroyuki Hamada | JP | Setagaya-Ku | 2014-03-27 / 20140090005 - INTEGRATED BROADCASTING COMMUNICATIONS RECEIVER | 3 |
Chosei Hamada | JP | Osaka | 2012-03-15 / 20120065708 - HAIR-GROWTH ADJUSTING LIGHT EMITTING DEVICE | 2 |
Shigetaka Hamada | JP | Nisshin-Shi | 2014-05-22 / 20140141350 - FUEL CELL | 1 |
Toshimitsu Hamada | JP | Ibaraki-Shi | 2013-08-29 / 20130220915 - SEPARATION MEMBRANE ELEMENT AND FLUID COLLECTING TUBE FOR SEPARATION MEMBRANE ELEMENT | 2 |
Masahito Hamada | JP | Kikuchi-Gun | 2010-02-11 / 20100034969 - COATING AND PROCESSING APPARATUS AND METHOD | 2 |
Yuichi Hamada | JP | Kyoto | 2009-02-12 / 20090038300 - Exhaust gas purification method and exhaust gas purification system | 1 |
Tsutomu Hamada | JP | Tokyo | 2015-12-31 / 20150376531 - COAL INACTIVATION PROCESSING APPARATUS | 17 |
Yuichi Hamada | JP | Gunma | 2012-05-24 / 20120129082 - METHOD OF ADHERING LITHOGRAPHIC PELLICLE AND ADHERING APPARATUS THEREFOR | 5 |
Yuichi Hamada | JP | Kobe | 2009-12-17 / 20090310122 - BLOOD ANALYZER, SAMPLE ANALYZER, AND FLOW CYTOMETER | 1 |
Hiroshi Hamada | JP | Anjo-Shi | 2012-12-27 / 20120326572 - ALTERNATOR FOR VEHICLE WITH HEAT DISSIPATING FIN | 7 |
Masataka Hamada | KR | Suwon-Si | 2016-03-10 / 20160073009 - AUTO FOCUS ADJUSTING METHOD, AUTO FOCUS ADJUSTING APPARATUS, AND DIGITAL PHOTOGRAPHING APPARATUS INCLUDING THE SAME | 38 |
Shuuhei Hamada | JP | Kawasaki-Shi | 2011-04-14 / 20110085827 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF | 1 |
Kazuya Hamada | JP | Osaka | 2016-03-31 / 20160090882 - BREATHER DEVICE FOR ENGINE | 1 |
Ayumi Hamada | JP | Akashi-Shi | 2015-10-29 / 20150308572 - SPEED CHANGE APPARATUS OF VEHICLE | 13 |
Hiroshi Hamada | JP | Anjo-City | 2009-01-29 / 20090026856 - Brushless AC generator for use in automotive vehicle | 1 |
Kazuya Hamada | JP | Takasago-Shi | 2015-08-27 / 20150238547 - LACTIC ACID BACTERIUM-CONTAINING PREPARATION | 7 |
Fumihiko Hamada | JP | Kobe-City | 2008-09-11 / 20080218707 - Projection display device and stand used for the projection display device | 2 |
Takahiro Hamada | JP | Osaka | 2016-03-17 / 20160077415 - WAVELENGTH CONVERSION MEMBER, LIGHT EMITTING DEVICE, PROJECTOR, AND METHOD OF MANUFACTURING WAVELENGTH CONVERSION MEMBER | 15 |
Mamoru Hamada | JP | Tokyo | 2016-03-24 / 20160084511 - AIR-CONDITIONING SYSTEM | 13 |
Masaaki Hamada | US | Novi | 2015-09-10 / 20150252870 - CYLINDRICAL VIBRATION-DAMPING DEVICE EQUIPPED WITH OUTER BRACKET AND OUTER BRACKET THEREFOR | 1 |
Kenji Hamada | JP | Kariya-Shi | 2014-01-09 / 20140012519 - DYNAMIC CHARACTERISTIC CALCULATION APPARATUS AND ITS METHOD FOR MACHINE TOOL | 3 |
Hirofumi Hamada | JP | Kanagawa | 2014-01-23 / 20140023384 - IMAGE FORMING APPARATUS | 1 |
Kazuo Hamada | JP | Hyogo | 2009-11-26 / 20090290765 - Neurodegenerative Disease Detection Method, Detecting Program, and Detector | 2 |
Yuichi Hamada | JP | Annaka-Shi | 2015-05-14 / 20150129103 - METHOD FOR BONDING A PELLICLE, AND A BONDING APPARATUS USED IN THIS METHOD | 3 |
Masaaki Hamada | JP | Kanagawa | 2014-01-16 / 20140016913 - MOVING IMAGE GENERATION DEVICE | 1 |
Yoshitaka Hamada | JP | Kanagawa | 2013-08-01 / 20130193762 - THREE-LEVEL POWER CONVERTING APPARATUS | 1 |
Takahiro Hamada | JP | Kanagawa | 2009-01-08 / 20090013360 - MONITORING APPARATUS | 2 |
Takahiro Hamada | JP | Yokohama-Shi | 2009-04-23 / 20090102928 - MONITORING APPARATUS | 2 |
Takahiro Hamada | JP | Osaka | 2016-03-17 / 20160077415 - WAVELENGTH CONVERSION MEMBER, LIGHT EMITTING DEVICE, PROJECTOR, AND METHOD OF MANUFACTURING WAVELENGTH CONVERSION MEMBER | 15 |
Kenichi Hamada | JP | Tokushima | 2012-02-09 / 20120035632 - ALLOY FOR MEDICAL USE AND MEDICAL DEVICE | 1 |
Takahiro Hamada | JP | Toyama-Shi | 2012-10-04 / 20120251950 - COMPOSITION FOR FORMING PHOTOSENSITIVE RESIST UNDERLAYER FILM | 3 |
Takahiro Hamada | JP | Yokohama | 2011-02-03 / 20110028361 - LOW-FRICTION SLIDING MECHANISM | 3 |
Tsutomu Hamada | JP | Kanagawa | 2015-09-24 / 20150268912 - PACKET GENERATION DEVICE AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 11 |
Tomohide Hamada | JP | Tokyo | 2011-11-10 / 20110276306 - Display element manufacturing method and manufacturing apparatus | 1 |
Karuki Hamada | JP | Kanagawa | 2012-02-16 / 20120040237 - BATTERY PACK | 2 |
Akira Hamada | JP | Sagamihara-Shi | 2016-05-12 / 20160133010 - DISEASE DIAGNOSTIC APPARATUS, IMAGE PROCESSING METHOD IN THE SAME APPARATUS, AND MEDIUM STORING PROGRAM ASSOCIATED WITH THE SAME METHOD | 17 |
Akira Hamada | JP | Osaka | 2015-01-22 / 20150021177 - SPUTTERING DEVICE | 15 |
Satomi Hamada | JP | Kanagawa-Ken | 2010-02-25 / 20100043839 - SUBSTRATE PROCESSING METHOD | 1 |
Shigetaka Hamada | JP | Aichi | 2009-07-02 / 20090169934 - Fuel Cell System | 2 |
Shinkichi Hamada | JP | Kanagawa | 2013-04-04 / 20130084887 - USING WIRED ENDPOINTS TO DETERMINE POSITION INFORMATION FOR WIRELESS ENDPOINTS IN A NETWORK | 2 |
Ichiro Hamada | JP | Kanagawa | 2010-12-23 / 20100325739 - DATA TRANSMISSION APPARATUS AND METHOD, DATA RECEIVING APPARATUS AND METHOD, AND DATA TRANSMISSION AND RECEPTION SYSTEM AND METHOD | 2 |
Naru Hamada | JP | Tokyo | 2014-11-20 / 20140341546 - EDITING APPARATUS AND CONTROL METHOD THEREFOR | 7 |
Chiaki Hamada | JP | Shizuoka-Ken | 2011-01-13 / 20110006591 - BRAKING APPARATUS | 1 |
Ryou Hamada | JP | Kanagawa | 2010-08-05 / 20100193358 - MICROPARTICLE MEASURING APPARATUS AND MICROPARTICLE MEASURING METHOD | 2 |
Seiji Hamada | JP | Sagamihara-Shi | 2009-08-27 / 20090216752 - SEARCH ENGINE, SEARCH SYSTEM, SEARCH METHOD, AND SEARCH PROGRAM PRODUCT | 2 |
Akira Hamada | JP | Hyogo | 2008-11-06 / 20080273891 - IMAGE FORMING APPARATUS | 1 |
Tetsuya Hamada | JP | Chiba | 2012-01-12 / 20120010427 - URETHANE COMPOUND AND METHOD FOR PRODUCING THE SAME, AND ISOCYANATE AND METHOD FOR PRODUCING THE SAME | 1 |
James S. Hamada | US | Torrance | 2013-12-26 / 20130345520 - MINIMAL INCISION MAXIMAL ACCESS MIS SPINE INSTRUMENTATION AND METHOD | 7 |
Yorihisa Hamada | JP | Kanagawa | 2010-07-29 / 20100186836 - COUPLER | 1 |
Tetsuya Hamada | JP | Ichihara-Shi, Chiba | 2015-12-03 / 20150342276 - 1,4-BIS(ISOCYANATOMETHYL)CYCLOHEXANE, POLYISOCYANATE COMPOSITION, POLYURETHANE RESIN, MOLDED ARTICLE, EYEWEAR MATERIAL, EYEWEAR FRAME, AND LENS | 1 |
Mika Hamada | JP | Kanagawa | 2015-12-17 / 20150359252 - Nutrition Composition | 3 |
Takehiro Hamada | JP | Kanagawa | 2015-09-17 / 20150260505 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 5 |
Asako Hamada | JP | Kanagawa | 2016-02-11 / 20160041260 - RADAR APPARATUS AND OBJECT SENSING METHOD | 3 |
Hiroshi Hamada | JP | Takasaki-Shi | 2016-04-28 / 20160119721 - ELECTROACOUSTIC CONVERTER | 3 |
Eiji Hamada | JP | Kanagawa | 2010-02-11 / 20100033768 - DETECTION DEVICE, IMAGE READING CONTROL DEVICE, AND RECORDING MEDIUM IN WHICH IS RECORDED AN ERROR CORRECTION PROGRAM OF A DETECTION DEVICE | 1 |
Akira Hamada | JP | Kanagawa | 2015-04-23 / 20150109520 - IMAGE CAPTURING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 3 |
Koichi Hamada | JP | Kawasaki-Shi | 2013-12-05 / 20130321610 - PATTERN MEASURING APPARATUS, PATTERN MEASURING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM ON WHICH A PATTERN MEASURING PROGRAM IS RECORDED | 1 |
Tsutomu Hamada | JP | Sakado-Shi | 2016-03-24 / 20160086729 - REACTOR AND MANUFACTURING METHOD THEREOF | 1 |
Hiroshi Hamada | JP | Uozu-Shi | 2014-12-11 / 20140360655 - OPTICAL-SHEET MANUFACTURING DEVICE AND OPTICAL-SHEET MANUFACTURING METHOD | 1 |
Tomohide Hamada | JP | Ishikawa | 2008-10-09 / 20080244936 - Wheel-Type Working Machine and Method of Controlling the Same | 1 |
Tomohide Hamada | JP | Yokohama | 2014-08-07 / 20140218581 - PORTABLE INFORMATION DEVICE, IMAGING APPARATUS AND INFORMATION ACQUISITION SYSTEM | 2 |
Tomohide Hamada | JP | Kanagawa | 2010-03-25 / 20100076867 - Search supporting system, search supporting method and search supporting program | 1 |
Tomohide Hamada | JP | Yokohana-Shi | 2010-07-01 / 20100165269 - METHOD FOR MANUFACTURING DISPLAY ELEMENT, MANUFACTURING APPARATUS OF DISPLAY ELEMENT AND DISPLAY DEVICE | 1 |
Shuuhei Hamada | JP | Yokohama-Shi | 2015-10-01 / 20150277339 - DRIVING TRANSMISSION DEVICE AND IMAGE FORMING APPARATUS | 2 |
Nobutoshi Hamada | JP | Tokyo | 2016-03-10 / 20160070308 - PORTABLE ELECTRONIC DEVICE | 3 |
Hiroshi Hamada | JP | Nishinoimiya-City | 2013-11-21 / 20130311085 - SATELLITE NAVIGATION/DEAD-RECKONING NAVIGATION INTEGRATED POSITIONING DEVICE | 1 |
Takashi Hamada | JP | Kyoto | 2010-02-25 / 20100043152 - METHOD OF DRY TRANSFER PRINTING OF SYNTHETIC FIBROUS MATERIAL WITH DISPERSE DYE AND TRANSFER PAPER | 1 |
Kazuhide Hamada | JP | Tokyo | 2013-12-19 / 20130339791 - DATA POLLING METHOD AND DIGITAL INSTRUMENTATION AND CONTROL SYSTEM FOR ATOMIC POWER PLANT USING THE METHOD | 3 |
Seiji Hamada | JP | Osaka | 2012-07-26 / 20120188348 - VIDEO DISPLAY DEVICE AND VIDEO VIEW SYSTEM | 24 |
Shuta Hamada | JP | Tokyo | 2008-11-06 / 20080273891 - IMAGE FORMING APPARATUS | 1 |
Hidenobu Hamada | JP | Inagi-Shi | 2013-09-26 / 20130248086 - FILM SUBSTRATE LIQUID CRYSTAL SEALING METHOD | 1 |
Yoshihiko Hamada | JP | Tokyo | 2009-07-16 / 20090181487 - Method of making microminiature moving device | 5 |
Satomi Hamada | JP | Tokyo | 2010-08-26 / 20100212702 - Cleaning Member, Substrate Cleaning Apparatus and Substrate Processing Apparatus | 2 |
Yusuke Hamada | JP | Mishima-Shi | 2015-12-24 / 20150369783 - ENVIRONMENT EVALUATION APPARATUS AND ENVIRONMENT EVALUATION METHOD FOR CALCULATING AMOUNT OF CARBON DIOXIDE EMISSION REDUCTION | 1 |
Maiko Hamada | JP | Yamato-Shi | 2015-03-05 / 20150065715 - AMIDOPYRIDINE DERIVATIVE AND USE THEREOF | 1 |
Kazuya Hamada | JP | Aichi | 2016-04-28 / 20160117877 - PORTABLE DEVICE, COMMUNICATION DEVICE, AND COMMUNICATION SYSTEM | 1 |
Hidenobu Hamada | JP | Tokyo | 2013-09-12 / 20130233466 - METHOD AND DEVICE FOR INJECTING LIQUID CRYSTAL OF FILM SUBSTRATE | 1 |
Yuji Hamada | JP | Hyogo | 2015-06-25 / 20150180382 - MOTOR CONTROL DEVICE | 3 |
Ryota Hamada | JP | Hyogo | 2011-09-15 / 20110221728 - PLASMA DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME | 4 |
Kenji Hamada | JP | Tokyo | 2016-03-24 / 20160087031 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 5 |
Teppei Hamada | JP | Kanagawa | 2014-01-09 / 20140009119 - INFORMATION PROCESSING DEVICE | 1 |
Mitsuhiro Hamada | JP | Hyogo | 2009-04-30 / 20090108344 - SEMICONDUCTOR APPARATUS | 2 |
Keji Hamada | JP | Otawara-Shi | 2010-12-30 / 20100331700 - ULTRASONIC DIAGNOSIS APPARATUS, AUTOMATIC SUPPORT APPARATUS, AND AUTOMATIC SUPPORT METHOD | 1 |
Masaki Hamada | JP | Tokyo | 2014-04-03 / 20140092123 - DRAWING CONTROL DEVICE | 5 |
Kensaku Hamada | JP | Himeji-Shi | 2011-07-07 / 20110164795 - METHOD OF DETECTING SPECIFIC POLYMER CRYSTAL | 1 |
Masashi Hamada | JP | Tokyo | 2016-01-28 / 20160029150 - COMMUNICATION DEVICE, CONTROLLING METHOD FOR COMMUNICATION DEVICE, AND STORAGE MEDIUM | 12 |
Hidenobu Hamada | JP | Hyogo | 2010-03-25 / 20100074575 - OPTICAL MODULE AND METHOD FOR MANUFACTURING THE SAME | 5 |
Tomokazu Hamada | JP | Tokyo | 2011-01-20 / 20110012681 - LOW DISTORTION AMPLIFIER AND DOHERTY AMPLIFIER USING LOW DISTORTION AMPLIFIER | 2 |
Kanya Hamada | JP | Tokyo | 2011-01-27 / 20110018573 - Semiconductor Device, A Method of Manufacturing A Semiconductor Device and A Testing Method of the Same | 2 |
Yuuta Hamada | JP | Tokyo | 2016-04-14 / 20160105445 - COMMUNICATIONS SYSTEM, MANAGEMENT SERVER, AND COMMUNICATIONS METHOD | 16 |
Takashi Hamada | JP | Kanagawa | 2012-01-12 / 20120007094 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 3 |
Masanori Hamada | JP | Osaka | 2009-11-12 / 20090278559 - Inspection device and inspection method | 1 |
Kazuki Hamada | JP | Osaka | 2012-04-19 / 20120094796 - RESIN PULLEY | 3 |
Yasuhiro Hamada | JP | Tokyo | 2013-02-14 / 20130040582 - WIRELESS COMMUNICATION DEVICE, HIGH-FREQUENCY CIRCUIT SYSTEM, AND LOCAL LEAK REDUCTION METHOD | 6 |
Tsuyoshi Hamada | JP | Tokyo | 2009-06-18 / 20090153264 - FILTER HAVING SWITCH FUNCTION AND BAND PASS FILTER | 1 |
Manabu Hamada | JP | Osaka | 2016-05-19 / 20160139540 - DEVELOPER CONTAINER, DEVELOPING DEVICE, PROCESS UNIT, ANDIMAGE FORMING APPARATUS | 17 |
Takashi Hamada | JP | Atsugi | 2016-04-28 / 20160118416 - DISPLAY DEVICE, MANUFACTURING METHOD OF DISPLAY DEVICE, AND ELECTRONIC DEVICE | 17 |
Katsutoshi Hamada | JP | Kyoto | 2009-07-16 / 20090183190 - LASER PROCESSING METHOD | 1 |
Hanako Hamada | JP | Tokyo | 2011-06-09 / 20110137525 - ELECTRIC POWER STEERING CONTROL SYSTEM | 2 |
Kensaku Hamada | JP | Komatsushima-Shi | 2016-05-05 / 20160126435 - LIGHT EMITTING DEVICE | 2 |
Koichi Hamada | JP | Yokohama | 2010-04-01 / 20100079669 - IMAGE DISPLAY APPARATUS AND IMAGE DISPLAY METHOD | 11 |
Ken Hamada | JP | Tokyo | 2013-10-10 / 20130263773 - SILICON SINGLE CRYSTAL MANUFACTURING APPARATUS AND SILICON SINGLE CRYSTAL MANUFACTURING METHOD | 5 |
Toshimasa Hamada | JP | Tokyo | 2012-12-06 / 20120310005 - METHOD FOR PRODUCING 2-AZAADAMANTANE | 2 |
Kouta Hamada | JP | Odawara-Shi | 2011-07-07 / 20110163464 - Natural evaporation type humidifier | 1 |
Takeshi Hamada | JP | Tokyo | 2012-11-29 / 20120299642 - CHARGE PUMP CIRCUIT, CONTROL METHOD THEREOF, AND SEMICONDUCTOR INTEGRATED CIRCUIT | 4 |
Natsumi Hamada | JP | Wako-Shi | 2015-10-01 / 20150274233 - ARTICLE PLACING STRUCTURE FOR MOTORCYCLE | 2 |
Yusuke Hamada | JP | Tokyo | 2016-02-04 / 20160035222 - DRIVING ENVIRONMENT RISK DETERMINATION APPARATUS AND DRIVING ENVIRONMENT RISK NOTIFICATION APPARATUS | 3 |
Naoyuki Hamada | JP | Tokyo | 2011-01-13 / 20110005143 - POLISHING OIL SLURRY FOR POLISHING HARD CRYSTAL SUBSTRATE | 1 |
Yusuke Hamada | JP | Shizuoka-Ken | 2014-09-25 / 20140285847 - SERVER APPARATUS, IMAGE FORMING SYSTEM, AND METHOD OF MANAGING IMAGE FORMING DATA | 16 |
Maiko Hamada | JP | Osaka-Shi | 2015-03-26 / 20150087620 - AMINE COMPOUND AND USE THEREOF FOR MEDICAL PURPOSES | 3 |
Keiko Hamada | JP | Osaka | 2009-06-25 / 20090161295 - ELECTROLYSIS SOLUTION AND ELECTROLYTIC CAPACITOR USING THE SAME | 2 |
Yuji Hamada | JP | Tokyo | 2015-01-15 / 20150019052 - TRANSMISSION CONTROL DEVICE AND TRANSMISSION CONTROL METHOD | 7 |
Noritsugu Hamada | JP | Hyogo | 2009-05-28 / 20090135412 - Superconducting Wire Inspection Apparatus and Method | 1 |
Raed Hamada | DE | Stuttgart | 2016-03-03 / 20160059820 - VALVE FOR A COLD GAS GENERATOR AND AIRBAG SYSTEM | 5 |
Takahiro Hamada | JP | Aichi-Ken | 2014-10-16 / 20140306436 - THROUGH ANCHOR | 2 |
Rieko Hamada | JP | Tokyo | 2011-03-03 / 20110054061 - SEALANT FOR ONE DROP FILL PROCESS CONTAINING PHOTO-CURABLE RESIN AND HEAT-CURABLE RESIN | 1 |
Makoto Hamada | US | Mountain View | 2010-11-25 / 20100296345 - SEMICONDUCTOR MEMORY DEVICE WHICH INCLUDES MEMORY CELL HAVING CHARGE ACCUMULATION LAYER AND CONTROL GATE | 10 |
Kazufumi Hamada | JP | Kobe-Shi | 2008-10-23 / 20080257492 - Primer for cyanoacrylate adhesive | 1 |
Gen Hamada | JP | Tokyo | 2016-02-11 / 20160042570 - DISPLAY CONTROL DEVICE, DISPLAY CONTROL METHOD, AND PROGRAM | 3 |
Hiroaki Hamada | JP | Osaka | 2016-03-10 / 20160068341 - ASSEMBLY/TRANSPORT APPARATUS | 1 |
Chosei Hamada | JP | Kadoma-Shi | 2011-08-18 / 20110202115 - HAIR GROWTH MODULATION DEVICE | 7 |
Chosei Hamada | JP | Kadoma | 2011-07-21 / 20110175632 - METHOD FOR REDUCING DEGREE OF ACTIVATION OF CELLS, AND APPARATUS FOR THE METHOD | 1 |
Akira Hamada | JP | Sagamihara-Shi | 2016-05-12 / 20160133010 - DISEASE DIAGNOSTIC APPARATUS, IMAGE PROCESSING METHOD IN THE SAME APPARATUS, AND MEDIUM STORING PROGRAM ASSOCIATED WITH THE SAME METHOD | 17 |
Junichi Hamada | JP | Kanagawa | 2009-07-09 / 20090174770 - NETWORK CAMERA, NETWORK CAMERA SYSTEM AND MONITORING METHOD | 1 |
Saki Hamada | JP | Wakayama-Shi | 2015-02-26 / 20150056671 - METHOD FOR PRODUCING FATTY ACID ESTER | 1 |
Junichi Hamada | JP | Tokyo | 2015-12-31 / 20150376732 - FERRITIC STAINLESS STEEL SHEET WHICH IS EXCELLENT IN WORKABILITY AND METHOD OF PRODUCTION OF SAME | 13 |
Motoaki Hamada | JP | Kyoto | 2009-03-19 / 20090073460 - SUBSTRATE MEASURING STAGE | 1 |
Yoshitaka Hamada | JP | Tokyo | 2015-03-05 / 20150063361 - COMPUTER SYSTEM AND COMMUNICATION ROUTE CHANGING METHOD | 3 |
Ichiro Hamada | JP | Tokyo | 2015-11-05 / 20150317460 - COPY PROTECTION USING DETAILED COPY CONTROL INFORMATION | 4 |
Yo Hamada | JP | Aichi | 2010-08-05 / 20100192470 - WEATHER STRIP, AND ATTACHMENT STRUCTURE OF WEATHER STRIP | 1 |
Yoshiko Hamada | JP | Tokyo | 2008-11-06 / 20080273891 - IMAGE FORMING APPARATUS | 1 |
Satoru Hamada | JP | Tokyo | 2010-10-28 / 20100271840 - OPTICAL SHEET, SURFACE LIGHT SOURCE AND DISPLAY DEVICE | 1 |
Rieko Hamada | JP | Saitama | 2010-11-25 / 20100294990 - POLYMERIZABLE COMPOUND AND POLYMERIZABLE COMPOSITION | 1 |
Toshimichi Hamada | JP | Tokyo | 2011-01-27 / 20110019931 - IMAGE RECORDING DEVICE, IMAGE RECORDING METHOD AND PROGRAM | 5 |
Junichi Hamada | JP | Tokyo | 2015-12-31 / 20150376732 - FERRITIC STAINLESS STEEL SHEET WHICH IS EXCELLENT IN WORKABILITY AND METHOD OF PRODUCTION OF SAME | 13 |
Yu Hamada | JP | Tokyo | 2008-11-20 / 20080288175 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Maiko Hamada | JP | Tokyo | 2009-03-26 / 20090082311 - 2-Aminobutanol Compound and Use Thereof for Medical Purposes | 1 |
Masa Hamada | JP | Tokyo | 2014-01-02 / 20140005371 - CAPRAZENE AS A NOVEL COMPOUND AND DERIVATIVES THEREOF, AND CAPRAZOL AS A NOVEL COMPOUND AND DERIVATIVES THEREOF | 2 |
Sadao Hamada | JP | Nankoku | 2015-12-03 / 20150343295 - PUTTER ALIGNMENT GUIDE BAR | 2 |
Koji Hamada | JP | Tokyo | 2015-07-02 / 20150186324 - Communication System, Terminal Device, Communication Processing Method, Communication Processing Program, Storage Medium Stored With Communication Processing Program | 9 |
Koichi Hamada | JP | Kawasaki | 2012-11-29 / 20120301012 - IMAGE SIGNAL PROCESSING DEVICE AND IMAGE SIGNAL PROCESSING METHOD | 3 |
Yutaka Hamada | JP | Kawasaki | 2011-12-22 / 20110312297 - COMMUNICATION SYSTEM AND RADIO PROCESSING APPARATUS | 2 |
Etsuo Hamada | JP | Kawasaki | 2010-02-11 / 20100035055 - Surface-treated galvanized steel sheet with superior flat-portion corrosion resistance, blackening resistance, and appearance and corrosion resistance after press forming and aqueous surface-treatment liquid for galvanized steel sheet | 1 |
Takayuki Hamada | JP | Kawasaki | 2014-10-30 / 20140320192 - INTERPOLATION CIRCUIT AND RECEIVING CIRCUIT | 4 |
Shuji Hamada | JP | Kawasaki | 2014-10-02 / 20140298279 - CIRCUIT DESIGN SUPPORT METHOD, COMPUTER PRODUCT, AND CIRCUIT DESIGN SUPPORT APPARATUS | 4 |
Wataru Hamada | JP | Kawasaki | 2009-10-08 / 20090250598 - Light guide mechanism for guiding a light to an illuminance sensor | 1 |
Akinori Hamada | JP | Kyoto-Shi | 2010-01-14 / 20100006977 - INDUCTOR AND FILTER | 1 |
Hiroyuki Hamada | JP | Kawasaki | 2009-03-19 / 20090072948 - ID Reading Device, ID Reading Method, ID Reading Program, and Inspection Device | 1 |
Kiyoshi Hamada | JP | Kawasaki | 2008-10-23 / 20080261647 - ELECTRONIC APPARATUS, CONTROL METHOD FOR ELECTRONIC APPARATUS AND CONTROL PROGRAM FOR ELECTRONIC APPARATUS | 1 |
Noritaka Hamada | JP | Tokyo | 2009-08-13 / 20090203741 - CRYSTALS OF BENZOXADIAZOLE DERIVATIVE | 1 |
Satoshi Hamada | JP | Tokyo | 2009-09-24 / 20090238906 - FLAVOR IMPROVING AGENT | 2 |
Seiji Hamada | JP | Kawasaki | 2016-04-07 / 20160100393 - BASE STATION DEVICE, WIRELESS COMMUNICATION SYSTEM, AND BASE STATION DEVICE CONTROL METHOD | 7 |
Taizou Hamada | JP | Osaka | 2009-02-05 / 20090034829 - METHOD FOR INSPECTING A FOREIGN MATTER ON MIRROR-FINISHED SUBSTRATE | 1 |
Mahito Hamada | JP | Tokyo | 2009-11-19 / 20090284130 - LIGHT EMITTING DEVICE | 1 |
Yasunaga Hamada | JP | Hitachinaka | 2016-05-19 / 20160141930 - Rotor for Electric Power Steering Motor, Electric Power Steering Motor with This, and Manufacturing Therefor | 9 |
Atsuyo Hamada | JP | Higashikagawa-Shi, Kagawa | 2015-12-31 / 20150374642 - TRANSDERMAL PATCH | 1 |
Yusuke Hamada | JP | Saitama-Ken | 2010-07-29 / 20100188713 - SCAN IMAGE MANAGEMENT APPARATUS AND METHOD AND COMPUTER-READABLE MEDIUM THEREOF | 4 |
Hareo Hamada | JP | Tokyo | 2010-02-04 / 20100027808 - SOUND COLLECTION/REPRODUCTION METHOD AND DEVICE | 1 |
Yusuke Hamada | JP | Shizuoka-Ken | 2014-09-25 / 20140285847 - SERVER APPARATUS, IMAGE FORMING SYSTEM, AND METHOD OF MANAGING IMAGE FORMING DATA | 16 |
Tomohiro Hamada | JP | Tokyo | 2013-08-01 / 20130194513 - TELEVISION RECEIVER AND ELECTRONIC DEVICE | 3 |
Takashi Hamada | JP | Tokyo | 2015-11-05 / 20150319360 - IMAGE PROVIDING APPARATUS, IMAGE DISPLAY DEVICE, IMAGING SYSTEM, IMAGE DISPLAY SYSTEM, AND IMAGE PROVIDING METHOD | 3 |
Shigetaka Hamada | US | South Glastonbury | 2011-08-18 / 20110200903 - Fuel Cell Stack Improved Resistance To Flooding | 1 |
Youichi Hamada | JP | Tokyo | 2010-04-08 / 20100088028 - ELECTRONIC APPARATUS AND POWER SUPPLY CONTROL PROGRAM FOR POSITION MEASURING | 1 |
Yoshiyuki Hamada | JP | Osaka | 2012-03-22 / 20120071799 - MASSAGE MACHINE | 1 |
Hiroshi Hamada | JP | Osaka | 2011-12-01 / 20110291993 - TOUCH PANEL, LIQUID CRYSTAL PANEL, LIQUID CRYSTAL DISPLAY DEVICE, AND TOUCH PANEL-INTEGRATED LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Michiaki Hamada | JP | Tokyo | 2014-12-04 / 20140355756 - SEARCH SYSTEM, SEARCH METHOD, AND PROGRAM | 2 |
Yusuke Hamada | JP | Kanagawa-Ken | 2010-09-02 / 20100220356 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Yoichiro Hamada | JP | Tokyo | 2013-11-21 / 20130309818 - MANUFACTURING METHOD OF SUBSTRATE FOR A SEMICONDUCTOR PACKAGE, MANUFACTURING METHOD OF SEMICONDUCTOR PACKAGE, SUBSTRATE FOR A SEMICONDUCTOR PACKAGE AND SEMICONDUCTOR PACKAGE | 3 |
Masahiro Hamada | JP | Tokyo | 2015-10-22 / 20150303383 - Novel Condensed Polycyclic Aromatic Compound And Use Thereof | 3 |
Tetsurou Hamada | JP | Wako-Shi | 2009-02-05 / 20090033250 - Power unit | 1 |
Tetsurou Hamada | JP | Utsunomiya-Shi | 2008-12-25 / 20080318720 - DRIVING DEVICE FOR HYBRID VEHICLE | 1 |
Yasushi Hamada | JP | Tokyo | 2014-01-30 / 20140033263 - COMMUNICATION APPARATUS, AND METHOD AND PROGRAM FOR CONTROLLING THE SAME | 7 |
Daiki Hamada | JP | Hyogo | 2014-11-20 / 20140343877 - POWER SUPPLY UNIT, VEHICLE AND STORAGE BATTERY UNIT EQUIPPED WITH POWER SUPPLY UNIT, AND REMAINING CAPACITY DETECTING METHOD OF BATTERY | 1 |
Miku Hamada | JP | Ome-Shi | 2012-10-04 / 20120249734 - ELECTRONIC APPARATUS AND DISPLAY CONTROL METHOD | 1 |
Naohiro Hamada | JP | Kyoto | 2010-12-16 / 20100316515 - HERMETIC COMPRESSOR AND REFRIGERATION SYSTEM | 1 |
Keishi Hamada | JP | Ibaraki | 2010-09-23 / 20100240840 - Resin Composition and multilayer optical member using the same | 1 |
Kenichi Hamada | JP | Tokyo | 2012-10-25 / 20120270151 - RADIATION SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING AN INTERLAYER INSULATING FILM | 1 |
Ayumi Hamada | JP | Akashi-Shi | 2015-10-29 / 20150308572 - SPEED CHANGE APPARATUS OF VEHICLE | 13 |
Masahisa Hamada | JP | Tokorozawa-Shi | 2009-06-25 / 20090159457 - METHOD AND APPARATUS FOR CLEANING AND STERILIZING ENDOSCOPIC CAMERA INSTRUMENTS, ETC. | 1 |
Yusuke Hamada | JP | Kawasaki | 2009-06-25 / 20090161267 - FERROMAGNETIC TUNNEL JUNCTION DEVICE, MAGNETIC HEAD, AND MAGNETIC STORAGE DEVICE | 2 |
Yohji Hamada | JP | Wakayama-Shi | 2015-04-23 / 20150107307 - FOOTWEAR, AND KNITTING METHOD FOR KNIT FABRIC | 1 |
Tsutomu Hamada | JP | Saitama | 2013-09-19 / 20130241686 - REACTOR AND MANUFACTURING METHOD THEREOF | 1 |
Jun Hamada | JP | Osaka | 2011-03-10 / 20110058787 - IMAGING APPARATUS | 1 |
Kenichi Hamada | JP | Sakura-Shi | 2016-05-19 / 20160137751 - METHOD FOR PRODUCING MODIFIED CELLULOSE NANOFIBERS | 2 |
Kazuyuki Hamada | JP | Kakogawa-Shi | 2013-10-17 / 20130273391 - HIGH-YIELD-RATIO HIGH-STRENGTH STEEL SHEET HAVING EXCELLENT WORKABILITY | 3 |
Morihisa Hamada | JP | Saitama-City | 2013-07-25 / 20130186881 - HEATING COOKING DEVICE | 1 |
Takashi Hamada | JP | Hiroshima | 2015-12-24 / 20150367738 - CONTROL SYSTEM FOR VEHICLE | 1 |
Akinobu Hamada | US | Rockville | 2010-12-16 / 20100317726 - SLCO1B3 GENOTYPE | 1 |
Mitsuru Hamada | JP | Kawasaki-Shi | 2012-07-26 / 20120192040 - ENCODING DEVICE FOR ERROR CORRECTION, ENCODING METHOD FOR ERROR CORRECTION AND ENCODING PROGRAM FOR ERROR CORRECTION | 1 |
Takatoshi Hamada | JP | Toyokawa-Shi | 2013-04-18 / 20130095991 - SHEET FOLDER | 4 |
Yasuaki Hamada | JP | Suwa | 2013-06-13 / 20130147880 - PIEZOELECTRIC MATERIAL AND PIEZOELECTRIC ELEMENT | 6 |
Hidenobu Hamada | JP | Inagi | / - | 1 |
Tomohiro Hamada | JP | Tachikawa-Shi | 2015-03-05 / 20150062794 - ELECTRONIC APPARATUS | 22 |
Yasuaki Hamada | JP | Suwa-Shi | 2009-07-23 / 20090184290 - Precursor composition, method for manufacturing precursor composition, method for manufacturing ferroelectric film, piezoelectric element, semiconductor device, piezoelectric actuator, ink jet recording head, and ink jet printer | 1 |
Mamoru Hamada | US | 2015-12-17 / 20150362200 - AIR-CONDITIONING SYSTEM | 1 | |
Tetsuya Hamada | JP | Kyoto-Shi | 2014-04-17 / 20140106281 - SUBSTRATE PROCESSING METHOD | 2 |
Tetsuya Hamada | JP | Ichihara-Shi | 2015-12-03 / 20150346387 - 1,4-BIS(ISOCYANATOMETHYL)CYCLOHEXANE, POLYISOCYANATE COMPOSITION, POLYURETHANE RESIN, MOLDED ARTICLE, EYEWEAR MATERIAL, EYEWEAR FRAME, AND LENS | 4 |
Tetsuya Hamada | JP | Kawasaki | 2012-04-12 / 20120087150 - BACKLIGHT AND LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Hiroaki Hamada | JP | Kanagawa | 2013-08-01 / 20130198515 - INFORMATION PROCESSING DEVICE, COMPUTER PROGRAM, AND INFORMATION PROCESSING SYSTEM | 5 |
Maiko Hamada | JP | Osaka | 2010-07-15 / 20100179216 - AMINE COMPOUND AND PHARMACEUTICAL USE THEREOF | 1 |
Tetsuya Hamada | JP | Matsusaka-Shi | 2010-09-16 / 20100231613 - ILLUMINATION DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE PROVIDED THEREWITH | 4 |
Tetsuya Hamada | JP | Osaka-Shi | 2012-04-26 / 20120099045 - LIQUID CRYSTAL DISPLAY APPARATUS | 10 |
Tetsuya Hamada | JP | Kanagawa-Shi | 2010-08-19 / 20100208164 - SURFACE ILLUMINATOR AND LIQUID CRYSTAL DISPLAY HAVING THE SAME | 1 |
Tetsuya Hamada | JP | Kyoto | 2015-03-26 / 20150086923 - SUBSTRATE PROCESSING METHOD | 5 |
Tetsuya Hamada | JP | Yokohama-Shi | 2010-06-24 / 20100157332 - PRINTER AND PRINT CONTROL METHOD | 2 |
Tetsuya Hamada | JP | Shimogyo-Ku | 2012-02-16 / 20120037593 - METHOD AND SYSTEM FOR REMOVAL OF FILMS FROM PERIPHERAL PORTIONS OF A SUBSTRATE | 3 |
Tetsuya Hamada | JP | Tokyo | 2009-04-23 / 20090104961 - Game program, game apparatus, and method of controlling game apparatus | 2 |
Satoshi Hamada | JP | Osaka | 2012-11-29 / 20120300028 - IMAGE DISPLAY DEVICE | 2 |
Toshimasa Hamada | JP | Osaka | 2012-03-22 / 20120070170 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 5 |
Saki Hamada | JP | Ibaraki | 2011-12-15 / 20110306104 - PROCESS FOR PRODUCING MICROBIAL FERMENTATION PRODUCT | 1 |
Hiromichi Hamada | JP | Ome-Shi | 2012-03-15 / 20120062625 - PROJECTION APPARATUS, PROJECTION METHOD, AND PROGRAM STORAGE MEDIUM | 1 |
Junichi Hamada | JP | Chiyoda-Ku | 2014-01-23 / 20140023550 - FERRITIC STAINLESS STEEL SHEET EXCELLENT IN HEAT RESISTANCE AND WORKABILITY AND METHOD OF PRODUCTION OF SAME | 3 |
Masahiro Hamada | JP | Osaka | 2010-08-05 / 20100199301 - DIGITAL BROADCAST TRANSMISSION DEVICE AND DIGITAL BROADCAST RECEPTION DEVICE | 2 |
Toshimasa Hamada | JP | Kashihara-Shi | 2009-08-27 / 20090214240 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Mitsuyoshi Hamada | JP | Tsukuba-Shi | 2014-05-08 / 20140128505 - EPOXY RESIN MOLDING MATERIAL FOR SEALING AND ELECTRONIC COMPONENT DEVICE | 1 |
Kenichi Hamada | JP | Atsugi-Shi | 2009-08-20 / 20090206828 - FAILURE DETECTION APPARATUS FOR RESOLVER | 1 |
Kenichi Hamada | JP | Hadano-Shi | 2010-12-16 / 20100316922 - FUEL CELL SYSTEM | 3 |
Kenichi Hamada | JP | Ibaraki | 2010-05-13 / 20100119797 - POLYMER COMPOSITION AND MOLDED ARTICLE THEREOF | 6 |
Kenichi Hamada | JP | Kanagawa-Ken | 2011-08-18 / 20110200896 - FUEL CELL SYSTEM AND OPERATING METHOD FOR A FUEL CELL | 5 |
Toshiya Hamada | JP | Tokyo | 2011-12-15 / 20110305429 - REPRODUCTION APPARATUS, REPRODUCTION METHOD, RECORDING APPARATUS, RECORDING METHOD, PROGRAM AND DATA STRUCTURE | 1 |
Sakiko Hamada | JP | Osaka | 2012-10-18 / 20120264965 - PROCESSES FOR PRODUCTION OF CYCLIC ALKYLENE PHOSPHOROHALIDITE AND CYCLIC PHOSPHORIC ACID ESTER | 1 |
Toshiya Hamada | JP | Osaka | 2012-10-18 / 20120264965 - PROCESSES FOR PRODUCTION OF CYCLIC ALKYLENE PHOSPHOROHALIDITE AND CYCLIC PHOSPHORIC ACID ESTER | 1 |
Ryota Hamada | JP | Osaka | 2012-01-19 / 20120015289 - ALIGNMENT METHOD AND METHOD FOR MANUFACTURING FLAT PANEL DISPLAY | 1 |
Kenichi Hamada | JP | Osaka | 2010-01-21 / 20100015497 - SEPARATOR FOR FUEL CELL, METHOD FOR PRODUCING THE SAME, AND FUEL CELL | 2 |
Katsuhisa Hamada | JP | Tokyo | 2016-04-14 / 20160102575 - STEAM VALVE | 3 |
Yasuaki Hamada | JP | Chino | 2015-03-26 / 20150084151 - PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF MANUFACTURING THE SAME | 8 |
Yoshihiro Hamada | JP | Suwa-Gun, Nagano | 2015-12-24 / 20150370086 - OPTICAL DEVICE | 2 |
Yasuaki Hamada | JP | Chino-Shi | 2015-06-04 / 20150155418 - PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR MANUFACTURINGTHE SAME, OPTICAL SENSOR, AND SOLAR CELL | 7 |
Kenichi Hamada | JP | Aichi | 2009-12-17 / 20090308719 - SWITCH | 1 |
Takuya Hamada | JP | Kawasaki-Shi | 2015-11-05 / 20150314594 - INKJET PRINTING APPARATUS AND METHOD FOR CONTROLLING INKJET PRINTING APPARATUS | 1 |
Yasuaki Hamada | JP | Chinoa | 2011-09-08 / 20110216134 - LIQUID EJECTION HEAD, LIQUID EJECTION DEVICE | 1 |
Takashi Hamada | JP | Yokohama-Shi | 2014-11-13 / 20140332504 - WELD BEAD SHAPING APPARATUS AND WELD BEAD SHAPING METHOD | 1 |
Hajime Hamada | JP | Kawasaki | 2014-11-27 / 20140347132 - POWER AMPLIFIER APPARATUS, TRANSMITTER APPARATUS, AND METHOD OF CONTROLLING THE POWER AMPLIFIER APPARATUS | 26 |
Yoshitaka Hamada | JP | Joetsu-Shi | 2014-12-25 / 20140374905 - FORMATION OF CONDUCTIVE CIRCUIT, CONDUCTIVE CIRCUIT, AND CONDUCTIVE INK COMPOSITION | 20 |
Tomo Hamada | JP | Akishima-Shi | 2009-03-19 / 20090074386 - BROADCAST RECEIVER | 1 |
Yoko Hamada | JP | Shizuoka | 2010-05-06 / 20100112616 - NOVEL BETA-GALACTOSIDE-a2,6-SIALYLTRANSFERASE, A GENE ENCODING THEREOF, AND A METHOD FOR ENHANCING ENZYME ACTIVITY | 1 |
Tsutomu Hamada | JP | Tokyo | 2015-12-31 / 20150376531 - COAL INACTIVATION PROCESSING APPARATUS | 17 |
Yuichi Hamada | JP | Kobe-Shi | 2015-04-30 / 20150118757 - SAMPLE TESTING SYSTEM WITH AUTOMATED CONTROL OF SAMPLE RETESTING | 29 |
Shinkichi Hamada | JP | Kanagawa-Ken | 2009-06-18 / 20090157669 - SEARCH SUPPORTING APPARATUS AND METHOD UTILIZING EXCLUSION KEYWORDS | 2 |
Mamoru Hamada | JP | Tokyo | 2016-03-24 / 20160084511 - AIR-CONDITIONING SYSTEM | 13 |
Hideshi Hamada | JP | Chiba-Shi | 2015-04-30 / 20150116971 - ELECTRONIC DEVICE AND METHOD OF MANUFACTURING ELECTRONIC DEVICE | 2 |
Tetsuya Hamada | JP | Osaka-Shi | 2012-04-26 / 20120099045 - LIQUID CRYSTAL DISPLAY APPARATUS | 10 |
Hirotoshi Hamada | JP | Inabe-Shi | 2011-12-15 / 20110302984 - BENDING DIE, AND APPARATUS AND METHOD FOR MANUFACTURING AUTOMOTIVE SUSPENSION ARM USING THE SAME | 1 |
Ryoh Hamada | JP | Osaka-Shi | 2013-05-16 / 20130120780 - IMAGE PROCESSING SYSTEM | 2 |
Hiroki Hamada | JP | Osaka | 2008-12-25 / 20080315762 - LAMINATED THICK FILM DIELECTRIC STRUCTURE FOR THICK FILM DIELECTRIC ELECTROLUMINESCENT DISPLAYS | 1 |
Yusuke Hamada | JP | Matsuyama-Shi | 2015-12-17 / 20150362471 - WATER QUALITY MEASURING DEVICE | 2 |
Ryuji Hamada | JP | Osaka | 2015-08-06 / 20150222071 - COMPONENT MOUNTING DEVICE AND COMPONENT MOUNTING METHOD | 4 |
Yuichi Hamada | JP | Fujimi-Shi | 2015-07-30 / 20150211451 - FILTERING MATERIAL FOR FILTER AND PRODUCTION PROCESS THEREFOR | 1 |
Toshimitsu Hamada | JP | Osaka | 2014-07-03 / 20140183126 - END MEMBER FOR SPIRAL SEPARATION MEMBRANE ELEMENT, SPIRAL SEPARATION MEMBRANE ELEMENT AND SEPARATION MEMBRANE MODULE | 6 |
Kenji Hamada | KR | Daejeon | 2014-03-13 / 20140070150 - REFLECTOR AND LIGHT-EMITTING DEVICE COMPRISING THE SAME | 1 |
Kyoichi Hamada | JP | Aiko-Gun | 2015-08-27 / 20150239056 - ELECTRICAL DISCHARGE MACHINING METHOD AND ELECTRODE-GUIDE POSITION SETTING DEVICE | 4 |
Virginie Hamada | FR | Tours | 2011-03-03 / 20110048358 - Disengageable Module for a System for Transmitting a Starting Torque to an Internal Combustion Engine | 3 |
Takuji Hamada | JP | Hitachiohta | 2009-05-21 / 20090132367 - ELECTRONIC ADVERTISEMENT SYSTEM | 1 |
Kenji Hamada | JP | Hyogo | 2011-09-08 / 20110214277 - PRESS-THROUGH PACKAGE AND METHOD OF REMOVING MEDICATION FROM SAME | 2 |
Kenji Hamada | JP | Tochigi-Ken | 2010-07-22 / 20100185094 - ULTRASOUND DIAGNOSIS APPARATUS, MEDICAL IMAGE DISPLAY APPARATUS AND MEDICAL IMAGE DISPLAYING METHOD | 2 |
Kenji Hamada | JP | Otawara-Shi | 2014-02-27 / 20140055452 - MEDICAL IMAGE DIAGNOSIS APPARATUS AND IMAGE PROCESSING APPARATUS | 12 |
Kazuki Hamada | JP | Kashiwara-Shi | 2015-12-17 / 20150360405 - APPARATUS FOR MANUFACTURING CONSTANT VELOCITY JOINT BOOT AND METHOD OF MANUFACTURING THE SAME | 13 |
Takuji Hamada | JP | Hitachioota | / - | 1 |
Takatoshi Hamada | JP | Osaka | 2011-06-23 / 20110151577 - DISPOSABLE DEVICE FOR AUTOMATED BIOLOGICAL SAMPLE PREPARATION | 1 |
Akira Hamada | JP | Osaka | 2015-01-22 / 20150021177 - SPUTTERING DEVICE | 15 |
Takuya Hamada | JP | Hyogo | 2014-01-02 / 20140004390 - BATTERY PACK | 1 |
Yoshihiro Hamada | JP | Ichinomiya-Shi | 2012-05-10 / 20120111088 - WIND TUNNEL BALANCE CALIBRATOR | 1 |
Yoshihiro Hamada | JP | Shinagawa-Ku | 2014-12-11 / 20140363110 - MOTION GUIDE APPARATUS | 1 |
Yoshihiro Hamada | JP | Nagano | 2015-05-07 / 20150124108 - OPTICAL UNIT WITH SHAKE CORRECTING FUNCTION | 6 |
Naoki Hamada | JP | Fukuoka | 2010-08-26 / 20100216977 - THERAPEUTIC AGENT FOR INTERSTITIAL PULMONARY DISEASE COMPRISING ANTI-HMGB-1 ANTIBODY | 1 |
Yoshinobu Hamada | JP | Yokohama-Shi | 2016-02-25 / 20160054963 - MANAGEMENT SYSTEM AND CONTROL METHOD | 6 |
Chika Hamada | JP | Yokohama-Shi | 2009-05-28 / 20090136540 - Anti-aging composition and collagen production promoting composition | 1 |
Karuki Hamada | JP | Yokohama-Shi | 2014-03-06 / 20140065458 - BATTERY PACK | 2 |
Mototsugu Hamada | JP | Yokohama-Shi | 2012-03-08 / 20120056682 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND OSCILLATION FREQUENCY CALIBRATION METHOD | 8 |
Yuichiro Hamada | JP | Hiroshima | 2010-01-28 / 20100018766 - Structure for protectively supporting an element that extends between relatively movable components | 1 |
Kazuichi Hamada | JP | Saitama | 2012-12-20 / 20120318784 - CERAMIC HEATER, AND MANUFACTURING METHOD THEREOF | 1 |
Koki Hamada | JP | Yokohama-City | 2008-12-18 / 20080311014 - PERMSELECTIVE MEMBRANE TYPE REACTOR | 2 |
Masataka Hamada | JP | Osakasayama-Shi | 2012-06-14 / 20120146171 - Image Sensor Unit and Image Sensor Apparatus | 3 |
Yukitaka Hamada | JP | Kyoto-Shi | 2016-02-18 / 20160045987 - NICKEL BRAZING MATERIAL HAVING EXCELLENT CORROSION RESISTANCE | 1 |
Masao Hamada | JP | Fukuoka | 2014-11-20 / 20140341480 - IMAGE NOISE REMOVING APPARATUS AND IMAGE NOISE REMOVING METHOD | 6 |
Makoto Hamada | JP | Kanagawa | 2015-02-12 / 20150043020 - IMAGE READING APPARATUS, DISPLAY APPARATUS, NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM, AND IMAGE DISPLAYING METHOD | 3 |
Tokio Hamada | JP | Kanagawa | 2014-11-06 / 20140328374 - PLATINUM-BASED THERMOCOUPLE | 1 |
Masayuki Hamada | JP | Tokyo | 2012-05-03 / 20120104481 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Takashi Hamada | JP | Narashino-Shi | 2014-11-06 / 20140326980 - COMPOSITION FOR ORGANIC SEMICONDUCTOR INSULATING FILMS, AND ORGANIC SEMICONDUCTOR INSULATING FILM | 1 |
Atsuyo Hamada | JP | Kagawa | 2014-10-16 / 20140308335 - TRANSDERMAL PREPARATION | 2 |
Atsuyo Hamada | JP | Higashikagawa-Shi | 2015-08-13 / 20150224063 - PATCH | 2 |
Masataka Hamada | JP | Fuefuki-Shi | 2015-08-06 / 20150217952 - PAPER SUPPLY DEVICE | 5 |
Akira Hamada | JP | Tokyo | 2012-06-14 / 20120148151 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Masataka Hamada | KR | Suwon-Si | 2016-03-10 / 20160073009 - AUTO FOCUS ADJUSTING METHOD, AUTO FOCUS ADJUSTING APPARATUS, AND DIGITAL PHOTOGRAPHING APPARATUS INCLUDING THE SAME | 38 |
Kaoru Hamada | JP | Sanda-Shi | 2009-07-09 / 20090177022 - Cracking tube having helical fins | 1 |
Michinori Hamada | JP | Tottori | 2009-03-26 / 20090080681 - Electronic device | 1 |
Ryoh Hamada | JP | Nara | 2009-08-20 / 20090207146 - INPUT/OUTPUT INTEGRATED DISPLAY APPARATUS | 1 |
Fumihiko Hamada | JP | Kobe-Shi | 2011-12-08 / 20110298994 - AIR FILTER CLEANER AND VIDEO PROJECTOR | 1 |
Masahiko Hamada | JP | Kobe-Shi | 2012-08-30 / 20120216904 - HIGH-STRENGTH UOE STEEL PIPE EXCELLENT IN DEFORMABILITY AND LOW-TEMPERATURE TOUGHNESS OF HEAT AFFECTED ZONE | 5 |
Nobuo Hamada | JP | Kobe-Shi | 2011-04-21 / 20110092334 - CONSTRUCTION MACHINE AND CONTROL METHOD THEREOF | 1 |
Takeshi Hamada | JP | Kobe-Shi | 2015-07-30 / 20150210976 - Device for Culturing Microalgae and Method for Culturing Microalgae | 4 |
Yusuke Hamada | JP | Mishima Shizuoka | 2015-08-27 / 20150244800 - DISPLAY APPARATUS THAT DISPLAYS A SCREEN SYNCHRONIZED WITH A SCREEN OF ANOTHER APPARATUS | 4 |
Hikaru Hamada | JP | Hyogo | 2011-09-22 / 20110226050 - RIM MOUNTING DEVICE FOR TIRE TESTING DEVICE, MAGNET MOUNTING METHOD, RIM REPLACING DEVICE AND TIRE TESTING DEVICE | 1 |
Hiroshi Hamada | JP | Toyama | 2011-09-22 / 20110227238 - APPARATUS AND METHOD FOR PRODUCING OPTICAL SHEETING | 1 |
Naoya Hamada | JP | Nara | 2011-05-05 / 20110100701 - FARADAY CAGE AND DEVICE HAVING SAME | 1 |
Ryunosuke Hamada | JP | Ikoma-Shi | 2014-09-18 / 20140277832 - SYSTEM FOR DETECTING ABNORMAL DRIVING BEHAVIOR | 1 |
Tetsuya Hamada | JP | Chiyoda-Ku | 2012-11-29 / 20120301015 - IMAGE IDENTIFICATION DEVICE, IMAGE IDENTIFICATION METHOD AND RECORDING MEDIUM | 1 |
Masahiro Hamada | JP | Kanagawa | 2010-11-18 / 20100290740 - OPTICAL CONNECTOR AND METHOD OF ASSEMBLING THE SAME | 8 |
Shuhei Hamada | JP | Yokohama-Shi | 2014-05-29 / 20140144757 - SPEED DETECTION APPARATUS AND DRIVING MECHANISM CONTROL APPARATUS | 1 |
Sachi Hamada | JP | Kagawa | 2014-12-18 / 20140367290 - ABSORBENT ARTICLE CONTAINER | 1 |
Katsutomo Hamada | US | 2015-09-17 / 20150259703 - METHOD FOR PREPARING SPECIFIC CELLS OF HUMAN-DERIVED CELLS | 1 | |
Mamoru Hamada | JP | Hyogo | 2016-05-12 / 20160131726 - MAGNETIC FIELD GENERATION DEVICE | 1 |
Hiroshi Hamada | JP | Yokohama | 2013-06-27 / 20130161497 - OPTICAL MODULE | 5 |
Tomohide Hamada | JP | Yokohama-Shi | 2015-10-29 / 20150311128 - DISPLAY ELEMENT MANUFACTURING METHOD AND MANUFACTURING APPARATUS | 11 |
Shinkichi Hamada | JP | Tokyo | 2014-10-09 / 20140304783 - Monitoring Unauthorized Access Point | 1 |
Shigetaka Hamada | JP | Aichi-Gun | 2013-07-11 / 20130177827 - SEPARATOR FOR FUEL CELL, FUEL CELL AND METHOD OF MANUFACTURING FUEL CELL | 1 |
Haruki Hamada | JP | Naka | 2014-08-21 / 20140232313 - Reduced Size Power Inverter Suitable for a Vehicle | 6 |
Shigetaka Hamada | JP | Aichi Pref | 2011-12-01 / 20110294026 - Method and apparatus for controlling the operation of a fuel cell | 1 |
Mitsuharu Hamada | JP | Zama-Shi | 2014-09-18 / 20140266017 - BATTERY CHARGER | 3 |
Kenichi Hamada | JP | Tokushima-Shi | 2012-08-02 / 20120195838 - ORAL COMPOSITION FOR DENTURE WEARERS | 1 |
Kazuhito Hamada | JP | Aomori | 2011-06-30 / 20110159444 - METHOD FOR MANUFACTURING PROBE SHEET | 4 |
Masataka Hamada | US | 2015-10-08 / 20150288842 - ILLUMINATION DEVICE AND IMAGE READING DEVICE USING SAID ILLUMINATION DEVICE | 3 | |
Makoto Hamada | US | Moutain View | 2009-07-02 / 20090168527 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Tsuneo Hamada | JP | Saitama | 2009-12-10 / 20090304026 - Network system for communicating between different IP versions with multiple translators | 2 |
Kenji Hamada | JP | Otawara-Shi | 2014-02-27 / 20140055452 - MEDICAL IMAGE DIAGNOSIS APPARATUS AND IMAGE PROCESSING APPARATUS | 12 |
Kazuhiko Hamada | JP | Kobe-Shi | 2015-04-23 / 20150111842 - ATTRACTANT FOR BONE MARROW STEM CELLS AND METHOD FOR ATTRACTING BONE MARROW STEM CELLS | 2 |
Manami Hamada | JP | Tokyo | 2011-06-23 / 20110146029 - FPC FIXING STRUCTURE FOR TWO-AXIS HINGE MECHANISM | 1 |
Etsuo Hamada | JP | Kanagawa | 2009-11-05 / 20090274926 - Surface-Treated Steel Sheet | 2 |
Atsuo Hamada | JP | Hamamatsu-Shi | 2009-08-27 / 20090214059 - Mixer Apparatus and Sound Signal Processing Method | 2 |
Shinichiro Hamada | JP | Kanagawa | 2012-07-19 / 20120183935 - LEARNING DEVICE, DETERMINATION DEVICE, LEARNING METHOD, DETERMINATION METHOD, AND COMPUTER PROGRAM PRODUCT | 2 |
Takanari Hamada | JP | Kitakyushu-Shi | 2012-04-12 / 20120085465 - CARBURIZED COMPONENT AND MANUFACTURING METHOD | 1 |
Hideaki Hamada | JP | Hyogo | 2015-06-04 / 20150155529 - BATTERY BLOCK AND MANUFACTURING METHOD THEREFOR | 2 |
Shuji Hamada | JP | Kanagawa | 2009-02-12 / 20090040559 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND IMAGE PROCESSING PROGRAM | 1 |
Tatsuzo Hamada | JP | Hadano | 2012-05-03 / 20120106866 - METHOD AND DEVICE FOR CORRECTING IMAGE, AND METHOD AND DEVICE FOR GENERATING CORRECTION TABLE FOR USE IN CORRECTION OF IMAGE | 1 |
Toshiya Hamada | JP | Kyoto | 2015-10-22 / 20150298879 - PACKAGING MATERIAL FOR COLD FORMING AND PRESS-THROUGH PACK FORMED USING SAME | 2 |
Satomi Hamada | JP | Kanagawa | 2008-11-27 / 20080289652 - Substrate Cleaning Apparatus and Method for Determining Timing of Replacement of Cleaning Member | 1 |
Ryuji Hamada | JP | Kanagawa | 2009-08-20 / 20090208664 - APPARATUS AND METHOD FOR PRODUCING A REFLECTOR | 1 |
Noriaki Hamada | JP | Kyoto | 2009-12-31 / 20090323485 - RECORDING OPERATION CONTROL DEVICE, INTEGRATED CIRCUIT, OPTICAL DISC RECORDING/REPRODUCING DEVICE, AND RECORDING OPERATION CONTROL METHOD | 1 |
Mototsugu Hamada | JP | Yokohama-Shi | 2012-03-08 / 20120056682 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND OSCILLATION FREQUENCY CALIBRATION METHOD | 8 |
Makoto Hamada | US | San Jose | 2013-06-20 / 20130155779 - SEMICONDUCTOR STORAGE DEVICE, HOST CONTROLLING THE SAME, AND MEMORY SYSTEM INCLUDING THE SEMICONDUCTOR STORAGE DEVICE AND THE HOST | 3 |
Masaaki Hamada | JP | Kyoto | 2012-02-23 / 20120043278 - PAINT WASTE LIQUID TREATMENT METHOD | 1 |
Yosuke Hamada | JP | Kanagawa | 2011-05-05 / 20110102929 - DISK DRIVE AND METHOD OF TIMING CONTROL FOR SERVO-DATA DETECTION | 1 |
Saki Hamada | JP | Kamisu-Shi | 2012-05-31 / 20120135484 - METHOD FOR PRODUCING MICROBIAL FERMENTATION PRODUCT | 1 |
Tetsuya Hamada | JP | Osaka | 2012-05-24 / 20120127393 - DISPLAY DEVICE | 14 |
Hiroyuki Hamada | JP | Fukuoka | 2010-02-18 / 20100042331 - PLANNING METHOD AND APPARATUS FOR PERITONEAL DIALYSIS AND HEMODIALYSIS HYBRID REMEDY | 1 |
Hiroyuki Hamada | JP | Fukuoka-Shi | 2011-09-01 / 20110213561 - METHOD FOR TESTING PERITONEUM FUNCTION AND A PERITONEAL DIALYSIS PLANNING APPARATUS | 3 |
Hiroyuki Hamada | JP | Kyoto-Shi | 2014-11-06 / 20140329046 - CARBON-FIBER PREFORM AND CARBON-FIBER-REINFORCED PLASTIC | 3 |
Morihisa Hamada | JP | Saitama | 2014-05-22 / 20140140712 - INFRARED TEMPERATURE SENSOR AND FIXING DEVICE USING THE SAME | 1 |
Hiroyuki Hamada | JP | Kyoto | 2011-08-18 / 20110198016 - FIBER REINFORCED THERMOPLASTIC RESIN MOLDING | 1 |
Hiroyuki Hamada | JP | Yokohama | 2011-12-15 / 20110306378 - INFORMATION DISTRIBUTION SYSTEM, FIXED STATION, MOBILE STATION, AND INFORMATION DISTRIBUTION METHOD | 2 |
Kohei Hamada | JP | Kyoto | 2012-02-23 / 20120043278 - PAINT WASTE LIQUID TREATMENT METHOD | 1 |
Kentaro Hamada | JP | Kyoto | 2009-03-19 / 20090075974 - AGENT FOR PROPHYLAXIS AND TREATMENT OF PANCREATITIS | 1 |
Kazunori Hamada | JP | Kyoto | 2013-10-31 / 20130289548 - LASER IRRADIATION TIP, LASER IRRADIATION HANDPIECE, LASER TREATMENT APPARATUS, AND LASER IRRADIATION TIP END MEMBER | 2 |
Kengo Hamada | US | 2015-09-03 / 20150247471 - CONTROL DEVICE AND CONTROL METHOD OF ENGINE | 1 | |
Masahito Hamada | JP | Koshi-Shi | 2011-10-13 / 20110247659 - FILM REMOVING DEVICE AND FILM REMOVING METHOD | 1 |
Yusuke Hamada | JP | Shizuoka | 2014-08-21 / 20140237075 - DOCUMENT PRIORITY DETERMINATION APPARATUS AND DOCUMENT PRIORITY DETERMINATION METHOD | 2 |
Etsuo Hamada | JP | Hyogo-Ken | 2013-09-26 / 20130249063 - SHIELD PLATE, METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE | 2 |
Jason Hamada | US | Everett | 2015-02-26 / 20150053818 - UPPER JOINTS BETWEEN OUTBOARD WING BOXES AND WING CENTER SECTIONS OF AIRCRAFT WING ASSEMBLIES | 1 |
Ryo Hamada | JP | Kanagawa | 2014-06-26 / 20140174932 - MICROORGANISM QUANTITY MEASUREMENT CELL AND MICROORGANISM QUANTITY MEASUREMENT DEVICE COMPRISING SAME | 2 |
Kei Hamada | JP | Fukuoka | 2014-12-11 / 20140365681 - DATA MANAGEMENT METHOD, DATA MANAGEMENT SYSTEM, AND DATA MANAGEMENT APPARATUS | 5 |
Atsushi Hamada | JP | Osaka | 2015-09-24 / 20150265742 - PRESSURE-SENSITIVE ADHESIVE TAPE OR SHEET FOR APPLICATION ON SKIN | 14 |
Daisuke Hamada | JP | Kanagawa | 2015-09-03 / 20150248107 - PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 1 |
Toshiya Hamada | JP | Saitama | 2016-05-12 / 20160134832 - REPRODUCTION DEVICE, REPRODUCTION METHOD, AND RECORDING MEDIUM | 43 |
Masashi Hamada | JP | Tokyo | 2016-01-28 / 20160029150 - COMMUNICATION DEVICE, CONTROLLING METHOD FOR COMMUNICATION DEVICE, AND STORAGE MEDIUM | 12 |
Kazuki Hamada | JP | Kashiwara-Shi | 2015-12-17 / 20150360405 - APPARATUS FOR MANUFACTURING CONSTANT VELOCITY JOINT BOOT AND METHOD OF MANUFACTURING THE SAME | 13 |
Manabu Hamada | JP | Osaka | 2016-05-19 / 20160139540 - DEVELOPER CONTAINER, DEVELOPING DEVICE, PROCESS UNIT, ANDIMAGE FORMING APPARATUS | 17 |
Ryoh Hamada | JP | Osaka | 2014-04-17 / 20140104647 - APPARATUS CAPABLE OF PERFORMING SETTING FOR A PLURALITY OF SETTING ITEMS | 8 |
Tomoo Hamada | JP | Ibaraki-Shi | 2010-07-08 / 20100175056 - COMPILER APPARATUS WITH FLEXIBLE OPTIMIZATION | 1 |
Tatsufumi Hamada | JP | Nirasaki City | 2014-03-27 / 20140084466 - MANGANESE SILICATE FILM FORMING METHOD, PROCESSING SYSTEM, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE | 1 |
Shingo Hamada | JP | Osaka | 2010-12-09 / 20100310104 - FLAT PANEL DISPLAY DEVICE | 1 |
Stephen G. Hamada | US | Lafayette | 2008-12-04 / 20080301396 - DYNAMIC LOGICAL MAPPING | 1 |
Ken Hamada | JP | Kawasaki | 2016-03-17 / 20160077994 - INTERFACE CIRCUIT | 1 |
Yuji Hamada | JP | Kanagawa | 2014-05-08 / 20140125641 - DISPLAY CONTROL APPARATUS AND DISPLAY CONTROL METHOD | 1 |
Ryoh Hamada | JP | Osaka | 2014-04-17 / 20140104647 - APPARATUS CAPABLE OF PERFORMING SETTING FOR A PLURALITY OF SETTING ITEMS | 8 |
Hisashi Hamada | JP | Tokyo | 2012-07-19 / 20120185760 - DATA-PROCESSING DEVICE, DATA-PROCESSING METHOD, PROGRAM, AND COMPUTER-READABLE MEDIUM | 3 |
Yasunaga Hamada | JP | Hitachinaka | 2016-05-19 / 20160141930 - Rotor for Electric Power Steering Motor, Electric Power Steering Motor with This, and Manufacturing Therefor | 9 |
Yoshikazu Hamada | JP | Toyama-Ken | 2008-09-18 / 20080222854 - SLIDE FASTENER SLIDER | 1 |
Yoshikazu Hamada | JP | Kurobe-Shi | 2008-09-25 / 20080229555 - SLIDER FOR DOUBLE-SIDED SLIDE FASTENER WITH AUTOMATIC LOCKING DEVICE | 1 |
Hiroyuki Hamada | JP | Tokyo | 2014-10-09 / 20140304732 - BROADCAST EQUIPMENT | 7 |
Yoshikazu Hamada | JP | Toyama | 2015-11-26 / 20150335107 - Slider Provided with Handle at Rear for Slide Fastener | 6 |
Yuji Hamada | KR | Yongin-City | 2015-11-26 / 20150340628 - METAL COMPLEX COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME | 7 |
Taizo Hamada | JP | Hiroshima | 2011-02-10 / 20110033824 - USE OF SERICIN FOR IMPROVING THE FEELING IN USE OF DENTURE | 2 |
Yuji Hamada | KR | Yongin-Si | 2014-09-18 / 20140261184 - ORGANIC THIN FILM DEPOSITION SYSTEM AND METHOD FOR DEPOSITING ORGANIC FILM | 1 |
Shinji Hamada | JP | Aichi | 2009-01-29 / 20090029244 - BATTERY, AND BATTERY MANUFACTURING METHOD | 2 |
Shinkichi Hamada | JP | Kyoto-Shi | 2012-02-23 / 20120042822 - METHOD FOR FABRICATING SiC SUBSTRATE | 1 |
Koki Hamada | JP | Tokyo | 2016-04-21 / 20160107138 - REACTOR | 12 |
Koki Hamada | JP | Tokyo | 2016-04-21 / 20160107138 - REACTOR | 12 |
Hakudo Hamada | JP | Kawasaki-Shi | 2009-11-05 / 20090275336 - MOBILE STATION CAPABLE OF SWITCHING CONNECTION DESTINATION RADIO BASE STATION AND METHOD OF SWITCHING CONNECTION DESTINATION | 1 |
Noboru Hamada | JP | Kawasaki-Shi | 2012-11-01 / 20120278624 - INFORMATION PROCESSING APPARATUS, PRINT CONTROL APPARATUS, PRINT CONTROL SYSTEM, STORAGE MEDIUM OF STORING COMPUTER-READABLE PROGRAM, AND PROGRAM | 5 |
Shinichiro Hamada | JP | Kawasaki-Shi | 2008-09-04 / 20080215525 - MEDICAL IMAGE RETRIEVAL SYSTEM | 1 |
Yuichi Hamada | JP | Tokyo | 2014-12-11 / 20140360145 - FILTER MEDIUM FOR FILTER, METHOD FOR PRODUCING THE SAME, AND FILTER | 2 |
Takayuki Hamada | JP | Kawasaki-Shi | 2008-11-20 / 20080287687 - Production method of diphenylalanine-Ni (II) complex | 1 |
Yasuhiro Hamada | JP | Kawasaki-Shi | 2012-07-26 / 20120188178 - INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF THE SAME | 2 |
Yuuichi Hamada | JP | Kobe-Shi | 2014-03-06 / 20140064019 - SAMPLE PROCESSING APPARATUS AND SAMPLE PROCESSING METHOD | 1 |
Hitoshi Hamada | JP | Gotemba-Shi | 2015-07-30 / 20150214561 - FUEL CELL SYSTEM AND CONTROL METHOD THEREOF | 4 |
Kenjiro Hamada | JP | Fukuoka | 2012-09-20 / 20120235774 - REED SWITCH | 1 |
Tatsufumi Hamada | JP | Nirasaki-Shi | 2015-05-07 / 20150126027 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 6 |
Naoko Hamada | JP | Tokyo | 2011-10-27 / 20110262943 - REAGENT KIT FOR MEASURING FRESHNESS | 1 |
Shinji Hamada | JP | Aichi-Ken | 2009-03-05 / 20090061289 - Battery Module, Battery Pack, and Method for Producing the Battery Module | 1 |
Mayumi Hamada | JP | Chino-Shi | 2012-02-16 / 20120040156 - INK COMPOSITION AND PRINTED ARTICLE | 1 |
Shinji Hamada | JP | Yokohama | 2009-09-24 / 20090240974 - Data replication method | 2 |
Shinji Hamada | JP | Toyohashi-Shi | 2014-04-17 / 20140106187 - SEALED BATTERY AND SAFETY VALVE | 5 |
Yoshihiro Hamada | JP | Yokohama-Shi | 2015-12-03 / 20150343781 - LIQUID EJECTION HEAD | 6 |
Hiroshi Hamada | JP | Osaka-Shi | 2014-09-11 / 20140253508 - TOUCH PANEL SYSTEM AND ELECTRONIC DEVICE | 5 |
Masafumi Hamada | JP | Gunma | 2012-03-29 / 20120077145 - ORAL CARE INSTRUMENT | 3 |
Kazuya Hamada | JP | Hyogo | 2010-02-04 / 20100028318 - AGENT FOR RELIEF OR PREVENTION OF XEROSTOMIA | 1 |
Nobuhito Hamada | JP | Kyotanabe-Shi | 2011-04-07 / 20110082229 - CARBOXYL RESIN, HARDENING COMPOSITION CONTAINING CARBOXYL RESIN, AND HARDENED MATERIAL THEREOF | 1 |
Hidetsugu Hamada | JP | Toyota-Shi | 2016-05-12 / 20160134148 - ELECTRIC POWER CONVERTER | 3 |
Gilles Hamadani | FR | Bouray Sur Juine | 2008-09-11 / 20080216802 - Device for Distributing Recirculated Gases and Recirculated Gas-Cooling Device Comprising One Such Device | 1 |
Hooman Kashef Hamadani | US | Coto De Caza | 2012-11-29 / 20120304266 - METHOD AND SYSTEM FOR AUTHENTICATING COMMUNICATION | 3 |
Behrang Hamadani | US | Gaithersburg | 2009-07-23 / 20090184397 - NONVOLATILE MEMORY DEVICE AND PROCESSING METHOD | 1 |
Mehrdad Hamadani | US | San Jose | 2013-04-25 / 20130103881 - Multi-Processor Architecture Implementing A Serial Switch And Method Of Operating Same | 2 |
Yoshio Hamadate | JP | Tokyo | 2016-02-04 / 20160035501 - Power Switchgear | 1 |
Junichi Hamadate | JP | Osaka | 2013-11-14 / 20130299152 - HEAT EXCHANGER AND AIR CONDITIONER | 1 |
Shunichi Hamadate | JP | Tokyo | 2015-06-11 / 20150163574 - DISPLAY APPARATUS | 1 |
Junichi Hamadate | JP | Sakai-Shi | 2015-03-12 / 20150068709 - AIR CONDITIONING APPARATUS | 3 |
Shunichi Hamadate | JP | Ome Tokyo | 2015-11-12 / 20150326816 - DISPLAY APPARATUS | 1 |
Masato Hamade | JP | Machida City | 2011-07-14 / 20110169229 - Seal Plate, Seal Member that is Used in Seal Plate, and Method for Manufacturing the Same | 1 |
Nayla Hamade | US | Bellevue | 2015-12-03 / 20150350983 - Wi-Fi Calling Using SIP-IMS Handset and Evolved Packet Data Gateway | 2 |
Yuiga Hamade | JP | Fujimi-Machi | 2015-10-15 / 20150295182 - LIGHT-EMITTING ELEMENT, LIGHT EMISSION APPARATUS, AUTHENTICATION APPARATUS, AND ELECTRONIC MACHINE | 2 |
Eriko Hamade | JP | Tokyo-To | 2015-07-30 / 20150212487 - PHOTOSENSITIVE COMPOSITION FOR VOLUME HOLOGRAM RECORDING, PHOTOSENSITIVE SUBSTRATE FOR VOLUME HOLOGRAM RECORDING, AND VOLUME HOLOGRAM RECORDED MEDIUM | 1 |
Masato Hamade | JP | Gojo-Shi, Nara | 2015-11-26 / 20150340704 - MAGNESIUM-AIR FUEL CELL | 1 |
Moeko Hamade | JP | Kyoto | 2015-07-23 / 20150206310 - IMAGE GENERATING APPARATUS AND IMAGE GENERATING METHOD | 1 |
Yohei Hamade | JP | Tokyo | 2016-05-19 / 20160136954 - INK JET RECORDING HEAD | 15 |
Alex Hamade | US | Santa Monica | 2011-12-15 / 20110304298 - BATTERY CHARGING USING MULTIPLE CHARGERS | 3 |
Yohei Hamade | JP | Tokyo | 2016-05-19 / 20160136954 - INK JET RECORDING HEAD | 15 |
Thomas A. Hamade | US | Dearborn Heights | 2010-07-29 / 20100186376 - ELECTRICALLY STIMULATED CATALYTIC CONVERTER APPARATUS, AND METHOD OF USING SAME | 1 |
Alyssandrea Hope Hamad-Ebrahimpour | US | Cincinnati | 2016-04-14 / 20160101026 - Apertured Fibrous Structures and Methods for Making Same | 10 |
Belal Hamadeh | US | Memphis | 2015-11-12 / 20150320520 - Dental Implant Framework | 1 |
Abdullah Hamadeh | US | Cambridge | 2015-03-12 / 20150070948 - VIRTUAL OSCILLATOR CONTROL OF POWER ELECTRONICS INVERTERS | 1 |
Iman Hamadeh | SE | Goteborg | 2013-04-18 / 20130094704 - SYSTEM FOR DETECTING BONE CANCER METASTASES | 1 |
Mohamed Ali Hamadeh | US | Kingston | 2009-06-04 / 20090143973 - IMAGE-GUIDED NAVIGATION EMPLOYING NAVIGATED POINT COMPUTATION METHOD AND SYSTEM | 2 |
Ihab Hamadeh | US | Sunnyvale | 2014-11-20 / 20140344295 - MESSAGE BASED WEB CONTENT RETRIEVAL SYSTEMS AND COMPUTER IMPLEMENTED METHODS THERETO | 1 |
Mohamed Ali Hamadeh | US | Waukesha | 2009-08-06 / 20090198513 - METHOD AND APPARATUS FOR RECONCILING PATIENT AND PROCEDURE INFORMATION IN A MEDICAL FACILITY COMPUTER NETWORK AND IMAGING SYSTEM | 2 |
Hafid Hamadene | US | Forest Park | 2011-06-30 / 20110161892 - Display Interface and Method for Presenting Visual Feedback of a User Interaction | 3 |
Brahim Ahmed Salah Hamadi | SG | Singapore | 2012-06-07 / 20120143104 - SYSTEM AND METHOD FOR MOTOR LEARNING | 1 |
Ahmed Hamadi | GB | Horsham | 2013-12-12 / 20130331369 - 3-Spirocyclic Piperidine Derivatives as Ghrelin Receptor Agonists | 2 |
Charles Jamile Hamadi | US | Roswell | 2013-04-04 / 20130084876 - Systems and Methods for Providing Service Migration Between First and Second Cellular Technologies | 3 |
Charles Hamadi | US | Roswell | 2009-01-29 / 20090029673 - DYNAMIC LOCATION-BASED RATING FOR PREPAID CALLS | 1 |
Sarah Hamadi | FR | Paris | 2016-03-10 / 20160068950 - PROCESS FOR DEPOSITING A COATING FOR PROTECTION AGAINST OXIDATION AND AGAINST HOT CORROSION ON A SUPERALLOY SUBSTRATE, AND COATING OBTAINED | 5 |
Youssef Hamadi | GB | Cambridge | 2014-01-09 / 20140013299 - GENERALIZATION AND/OR SPECIALIZATION OF CODE FRAGMENTS | 3 |
Brahim Hamadi Charef | SG | Singapore | 2012-05-03 / 20120108997 - DEVICE AND METHOD FOR GENERATING A REPRESENTATION OF A SUBJECT'S ATTENTION LEVEL | 1 |
Mehdi Hamadou | DE | Erlangen | 2010-02-11 / 20100033404 - Method and device for generating tracking configurations for augmented reality applications | 1 |
Aurélien Hamadou | FR | Tours | 2011-03-17 / 20110063876 - OVERVOLTAGE LIMITATION IN A SWITCH-MODE CONVERTER | 2 |
Aurélien Hamadou | FR | Tours | 2011-03-17 / 20110063876 - OVERVOLTAGE LIMITATION IN A SWITCH-MODE CONVERTER | 2 |
Aurélien Hamadou | FR | Tours | 2011-03-17 / 20110063876 - OVERVOLTAGE LIMITATION IN A SWITCH-MODE CONVERTER | 2 |
Aurélien Hamadou | FR | Tours | 2011-03-17 / 20110063876 - OVERVOLTAGE LIMITATION IN A SWITCH-MODE CONVERTER | 2 |
Kimberly Hamad-Schifferli | US | Somerville | 2015-10-01 / 20150272899 - BLOOD CLOTTING CONTROL | 1 |
Kimberly S. Hamad-Schifferli | US | Somerville | 2014-09-04 / 20140246334 - SYSTEMS, DEVICES AND METHODS FOR MULTIPLEXED DIAGNOSTICS | 3 |
Fakhri J. Hamady | US | Ann Arbor | 2009-03-05 / 20090056684 - Low emission dimethyl ether (DME) engine | 1 |
Peter Hamady | US | Palo Alto | 2009-04-02 / 20090088248 - GAME CONTROLLING APPARATUS FOR PEDALING MOTION | 1 |
Micah L. Hamady | US | Berkeley | 2010-12-23 / 20100323348 - Methods and Compositions for Using Error-Detecting and/or Error-Correcting Barcodes in Nucleic Acid Amplification Process | 1 |
Peter Winston Hamady | US | Palo Alto | 2010-01-28 / 20100018333 - PRECESSIONAL DEVICE AND METHOD | 1 |
Tomoki Hamagami | JP | Tokyo | 2009-09-17 / 20090234783 - VALUE FUNCTION REPRESENTATION METHOD OF REINFORCEMENT LEARNING AND APPARATUS USING THIS | 1 |
Teruyoshi Hamagawa | JP | Kakogawa-Shi | 2014-03-27 / 20140083567 - SOLDERING PASTE FLUX AND SOLDERING PASTE | 1 |
Goro Hamagishi | JP | Kanagawa | 2013-06-27 / 20130162691 - DISPLAY | 1 |
Shinya Hamagishi | JP | Fujisawa | 2011-03-31 / 20110072834 - COOLING STRUCTURE OF ELECTRONIC EQUIPMENT | 3 |
Goro Hamagishi | KR | Hwaseong-Si | 2016-05-19 / 20160142704 - STEREOSCOPIC IMAGE DISPLAY DEVICE | 16 |
Toshimitsu Hamagishi | JP | Kyoto | 2008-10-23 / 20080259978 - Exposure device and circuit board for laser controller | 1 |
Goro Hamagishi | JP | Osaka | 2013-01-03 / 20130003176 - DISPLAY APPARATUS | 16 |
Kenichiro Hamagishi | JP | Kyoto | 2014-02-13 / 20140042854 - ROTOR | 4 |
Goro Hamagishi | KR | Hwaseong-Si | 2016-05-19 / 20160142704 - STEREOSCOPIC IMAGE DISPLAY DEVICE | 16 |
Goro Hamagishi | JP | Toyonaka City | 2009-01-08 / 20090009451 - IMAGE DISPLAYING DEVICE | 3 |
Goro Hamagishi | JP | Toyonaka | 2008-09-18 / 20080225373 - IMAGE DISPLAY APPARATUS AND OPTICAL MEMBER THEREFOR | 1 |
Goro Hamagishi | KR | Asan-Si | 2013-07-11 / 20130176299 - METHOD OF DISPLAYING 3D IMAGE AND DISPLAY APPARATUS FOR PERFORMING THE METHOD | 1 |
Goro Hamagishi | JP | Osaka | 2013-01-03 / 20130003176 - DISPLAY APPARATUS | 16 |
Goro Hamagishi | JP | Toyonaka-Shi | 2011-04-07 / 20110083106 - IMAGE INPUT SYSTEM | 4 |
Goro Hamagishi | KR | Gyeonggi-Do | 2014-03-27 / 20140085719 - DIPSLAY PANEL AND DISPLAY APPARATUS HAVING THE SAME | 1 |
Tsuneo Hamaguchi | JP | Tokyo | 2014-09-11 / 20140251409 - SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF | 4 |
Ryuji Hamaguchi | JP | Tokyo | 2010-08-19 / 20100210704 - 3-ALKOXY-1-PHENYLPYRAZOLE DERIVATIVES AND PESTICIDES | 2 |
Manabu Hamaguchi | JP | Tokyo | 2010-07-08 / 20100171458 - SERVO CONTROLLER | 1 |
Tatsushi Hamaguchi | JP | Kanagawa | 2015-12-10 / 20150357795 - METHOD OF MANUFACTURING LIGHT EMITTING ELEMENT | 6 |
Koji Hamaguchi | JP | Osaka | 2008-09-04 / 20080212846 - BIOMETRIC AUTHENTICATION USING BIOLOGIC TEMPLATES | 1 |
Shigeo Hamaguchi | JP | Matsusaka-Shi | 2014-06-26 / 20140174465 - Cleaning Agent for Silicon Wafer | 3 |
Mutsumi Hamaguchi | JP | Osaka | 2011-03-03 / 20110051013 - FRONT-END CIRCUIT, TUNER, AND TELEVISION BROADCASTING RECEIVER | 1 |
Hiroshi Hamaguchi | JP | Aichi | 2010-04-08 / 20100085015 - BATTERY SYSTEM, VEHICLE, AND BATTERY MOUNTED DEVICE | 2 |
Tsuyoshi Hamaguchi | JP | Sagamihara-Shi | / - | 1 |
Ayanori Hamaguchi | JP | Osaka-Shi | 2010-04-22 / 20100096992 - LIGHTING DEVICE | 1 |
Yuzo Hamaguchi | JP | Tokyo | 2010-03-11 / 20100062475 - PARTICLE FOR MEDICAL USE, PARTICLE FOR ANLAYSIS AND METHOD OF PRODUCING THE SAME | 2 |
Jun Hamaguchi | JP | Tokyo | 2009-09-24 / 20090237725 - REMOTE CONTROL SYSTEM, IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND RECORDING MEDIUM STORING PROGRAM THEREFOR | 2 |
Satoshi Hamaguchi | JP | Osaka | 2010-01-28 / 20100019677 - PLASMA PRODUCING APPARATUS AND METHOD OF PLASMA PRODUCTION | 1 |
Tsuyoshi Hamaguchi | JP | Kanagawa | 2012-04-19 / 20120090244 - MOVING MECHANISM OF MOVABLE BODY | 2 |
Takuya Hamaguchi | JP | Tokyo | 2013-03-28 / 20130080088 - SEMICONDUCTOR CHIP TESTING METHOD AND SEMICONDUCTOR CHIP TESTING DEVICE | 2 |
Junichiro Hamaguchi | US | Pleasanton | 2009-04-02 / 20090086237 - Systems and Methods for Document Printing | 1 |
Tomiharu Hamaguchi | JP | Minato-Ku | 2012-10-11 / 20120258743 - FEMTOCELL BASE STATION, GATEWAY SYSTEM, MAP-GW APPARATUS, COMMUNICATION SYSTEM, CONTROL METHOD, AND PROGRAM | 2 |
Syuuji Hamaguchi | JP | Osaka | 2008-10-30 / 20080268996 - SILENT CHAIN | 2 |
Yusuke Hamaguchi | JP | Haga-Gun | 2015-07-23 / 20150204381 - STRUCTURE OF BUSH BEARING | 1 |
Tsuyoshi Hamaguchi | JP | Nagakute-Shi | 2015-12-03 / 20150343424 - EXHAUST GAS PURIFICATION CATALYST, METHOD OF PRODUCING THE SAME,AND EXHAUST GAS PURIFICATION METHOD USING THE SAME | 1 |
Tatsuya Hamaguchi | JP | Sendai-City | 2012-10-11 / 20120258565 - SUBSTRATE PROCESSING APPARATUS AND METHOD FOR FORMING COATING FILM ON SURFACE OF REACTION TUBE USED FOR THE SUBSTRATE PROCESSING APPARATUS | 1 |
Yuichi Hamaguchi | JP | Tokyo | 2014-12-04 / 20140354205 - MOTOR CONTROLLER AND CONSTRUCTION MACHINE PROVIDED THEREWITH | 6 |
Yasunori Hamaguchi | JP | Nisshin-Shi | 2011-05-19 / 20110118036 - INNER JOINT MEMBER FOR CONSTANT VELOCITY UNIVERSAL JOINT, MANUFACTURING METHOD THEREFOR, AND CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Katsuhiro Hamaguchi | JP | Tokyo | 2011-07-21 / 20110177253 - Method for producing hot dip plated steel sheet and apparatus for hot dip plating | 1 |
Masami Hamaguchi | JP | Tokyo | 2009-08-13 / 20090201365 - Skin Condition Diagnosis System And Counseling System For Beauty | 1 |
Tamaki Hamaguchi | JP | Tokyo | 2012-03-22 / 20120068454 - PIPE JOINT | 2 |
Masaya Hamaguchi | JP | Kanagawa | 2014-09-11 / 20140255062 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 1 |
Yasutaka Hamaguchi | JP | Komatsushima-Shi | 2012-02-09 / 20120032225 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME | 1 |
Hitoshi Hamaguchi | JP | Sumoto-Shi | 2008-09-18 / 20080224667 - Method for charging battery pack | 1 |
Koushiro Hamaguchi | JP | Osaka | 2011-09-01 / 20110210296 - SEMI-CONDUCTIVE RUBBER COMPOSITION AND VULCANIZATE THEREOF | 1 |
Akihito Hamaguchi | JP | Aichi | 2008-10-30 / 20080264520 - Method of holding a veneer sheet | 1 |
Masahiko Hamaguchi | JP | Nomi-Shi | 2015-10-01 / 20150275481 - MOTOR GRADER | 5 |
Takeyuki Hamaguchi | JP | Kosai-Shi | 2014-10-30 / 20140322989 - CONNECTION STRUCTURE FOR A SCREW BLOCK | 4 |
Masanori Hamaguchi | JP | Nagoya | 2012-12-06 / 20120307274 - Sheet Conveyer Devices, Image Reading Apparatuses, and Image Forming Apparatuses | 3 |
Hiroshi Hamaguchi | JP | Yokohama | 2008-11-27 / 20080294594 - AUDIT TRAIL MANAGEMENT METHOD, SYSTEM AND PROCESSING PROGRAM | 1 |
Michinari Hamaguchi | JP | Aichi | 2008-11-13 / 20080280359 - Oligoribonucleotide Inhibiting Growth of Tumor Cells and Method Therefor | 1 |
Takeshi Hamaguchi | JP | Wakayama-Shi | 2014-11-13 / 20140335763 - POLISHING LIQUID COMPOSITION FOR MAGNETIC DISK SUBSTRATE | 3 |
Atsushi Hamaguchi | JP | Yokohama-Shi | 2014-02-27 / 20140054576 - ORGANIC ELECTROLUMINESCENT DISPLAY | 5 |
Noboru Hamaguchi | JP | Sagamihara-Shi | 2010-02-04 / 20100028142 - Turbocharger | 1 |
Takehiro Hamaguchi | JP | Kyoto-Shi | 2013-11-14 / 20130301060 - TRUNK AREA DIMENSION MEASUREMENT DEVICE AND BODY FAT MEASUREMENT DEVICE | 15 |
Junichi Hamaguchi | JP | Shizuoka | 2011-10-13 / 20110247928 - SPUTTERING APPARATUS AND SPUTTERING METHOD | 1 |
Takehiko Hamaguchi | JP | Kanagawa | 2010-04-08 / 20100085657 - Control Method for Magnetic Disk Device, Magnetic Disk Device, and Magnetic Disk | 1 |
Satoshi Hamaguchi | JP | Niigata-Shi | 2014-02-06 / 20140038292 - Tol1 FACTOR TRANSPOSASE AND DNA INTRODUCTION SYSTEM USING THE SAME | 2 |
Yoshinori Hamaguchi | JP | Fujisawa-Shi | 2013-03-07 / 20130055803 - COMPETENCE DIAGNOSIS SYSTEM FOR UREA WATER TEMPERATURE SENSOR | 2 |
Takehiro Hamaguchi | JP | Kyoto | 2015-10-08 / 20150282748 - BIOLOGICAL INFORMATION MEASUREMENT DEVICE | 5 |
Ryu Hamaguchi | JP | Toyota-Shi | 2015-06-25 / 20150176479 - OPEN-CLOSE CONTROL APPARATUS FOR OIL DRAIN HOLE | 3 |
Masaharu Hamaguchi | JP | Kanagawa | 2010-09-30 / 20100246556 - Communication method and apparatus | 1 |
Shingo Hamaguchi | JP | Kawasaki | 2013-04-04 / 20130083197 - STORAGE MANAGING METHOD AND STORAGE MANAGEMENT DEVICE | 3 |
Ryu Hamaguchi | JP | Aichi-Ken | 2011-12-08 / 20110297365 - COOLING DEVICE FOR VEHICLE | 1 |
Masahiro Hamaguchi | JP | Mie | 2010-12-16 / 20100315621 - PHASE MODULATOR, PHASE MODULATOR ASSEMBLY, AND PHOTOSENSOR | 1 |
Yu Hamaguchi | JP | Fukui | 2015-06-11 / 20150159299 - METHOD FOR GROWING SILICON CARBIDE CRYSTAL | 2 |
Yasuhrio Hamaguchi | JP | Osaka | 2014-03-27 / 20140086186 - RADIO TRANSMISSION DEVICE, CONTROL DEVICE, RADIO COMMUNICATION SYSTEM, AND COMMUNICATION METHOD | 1 |
Ryokichi Hamaguchi | JP | Hyogo | 2010-12-30 / 20100329957 - EXHAUST-GAS TREATMENT APPARATUS AND EXHAUST-GAS TREATMENT METHOD | 2 |
Akira Hamaguchi | JP | Yokkaichi-Shi | 2014-03-06 / 20140061455 - IMAGE QUALITY ADJUSTING METHOD, NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM, AND ELECTRON MICROSCOPE | 1 |
Mitsushige Hamaguchi | JP | Nagoya | 2014-06-19 / 20140170393 - THERMOPLASTIC RESIN COMPOSITION AND ITS MOLDED PRODUCT | 2 |
Daiki Hamaguchi | JP | Wako-Shi | 2015-07-16 / 20150197309 - FOOT PEDAL STRUCTURE FOR SADDLE-TYPE VEHICLE | 1 |
Tomiharu Hamaguchi | JP | Tokyo | 2015-07-16 / 20150201315 - COMMUNICATION SYSTEM AND APPARATUS FOR PROVIDING SUPPLEMENTARY SERVICE IN FEMTO CELL | 1 |
Hiromitsu Hamaguchi | JP | Kobe-Shi | 2013-02-28 / 20130048646 - PRESSURE VESSEL | 4 |
Tsuyoshi Hamaguchi | JP | Otsu-Shi | 2011-12-01 / 20110293126 - MICROPHONE | 1 |
Tsuyoshi Hamaguchi | JP | Kyoto | 2009-10-22 / 20090262639 - LAND PREPIT SIGNAL DETECTING CIRCUIT | 1 |
Shinya Hamaguchi | JP | Hamamatsu-Shi | 2013-03-28 / 20130079910 - UPDATING MUSIC CONTENT OR PROGRAM TO USABLE STATE IN COOPERATION WITH EXTERNAL ELECTRONIC AUDIO APPARATUS | 1 |
Toshifumi Hamaguchi | JP | Osaka | 2009-10-01 / 20090249032 - INFORMATION APPARATUS | 1 |
Yasuhiro Hamaguchi | JP | Chiba | 2015-12-24 / 20150372790 - COMMUNICATION METHOD AND RADIO TRANSMITTER | 11 |
Shinya Hamaguchi | JP | Tsukuba-Shi | 2016-03-10 / 20160070079 - OPTICAL FIBER RIBBON AND OPTICAL FIBER CABLE | 2 |
Satoshi Hamaguchi | JP | Kyoto | 2009-10-22 / 20090263593 - METHOD FOR MANUFACTURING CARBON FILM | 1 |
Tatsushi Hamaguchi | JP | Tokyo | 2013-07-18 / 20130182734 - LASER DIODE AND METHOD OF MANUFACTURING LASER DIODE | 2 |
Tomoaki Hamaguchi | JP | Tokyo | 2015-06-11 / 20150159241 - Ni-BASED ALLOY | 1 |
Youji Hamaguchi | JP | Kanagawa-Ken | 2011-07-21 / 20110175714 - VEHICLE CONTROL SYSTEM | 1 |
Akinori Hamaguchi | JP | Shizuoka | 2009-07-09 / 20090176760 - TRICYCLIC COMPOUNDS | 1 |
Norihito Hamaguchi | US | Morgan Hill | 2015-12-17 / 20150364374 - Semiconductor Device Die Singulation by Discontinuous Laser Scribe and Break | 2 |
Satoshi Hamaguchi | JP | Kyoto-Shi | 2011-01-27 / 20110018546 - Discharge Ionization Current Detector | 2 |
Wataru Hamaguchi | JP | Tokyo | 2015-08-20 / 20150231138 - SULFUR-CONTAINING BICYCLIC COMPOUND | 4 |
Yohei Hamaguchi | JP | Aichi | 2012-05-17 / 20120121968 - LITHIUM-ION SECONDARY BATTERY | 1 |
Shinichi Hamaguchi | JP | Kanagawa | 2011-04-28 / 20110095777 - TEST WAFER UNIT AND TEST SYSTEM | 2 |
Shinichi Hamaguchi | JP | Tokyo | 2015-08-27 / 20150243482 - ELECTRON BEAM EXPOSURE METHOD | 17 |
Norihisa Hamaguchi | JP | Fuji-Shi | 2013-12-19 / 20130337109 - SACCHARIDE POLYCONDENSATE, METHOD FOR PRODUCING THE SAME, AND APPLICATION THEREFOR | 1 |
Shinichi Hamaguchi | JP | Kakegawa-Shi | 2009-10-01 / 20090242267 - BOX FOR ACCOMMODATING ELECTRIC CONNECTION BOX | 3 |
Jun Hamaguchi | JP | Kawasaki-Shi | 2015-10-29 / 20150312437 - IMAGE PROCESSING APPARATUS, CONTROL METHOD FOR IMAGE PROCESSING APPARATUS, AND STORAGE MEDIUM | 11 |
Shinichi Hamaguchi | JP | Hino-Shi | 2009-08-27 / 20090214968 - MIXTURE OF BISAMINE COMPOUNDS, ELECTROPHOTOGRAPHIC PHOTORECEPTOR, METHOD FOR FORMING IMAGE, AND IMAGE FORMING APPARATUS | 2 |
Hiromitsu Hamaguchi | JP | Nisshin-Shi | 2011-02-24 / 20110045914 - CAGE FOR CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Koji Hamaguchi | JP | Aichi | 2012-01-26 / 20120019778 - EYE REFRACTIVE POWER MEASUREMENT APPARATUS | 2 |
Yasuhito Hamaguchi | JP | Kanazawa | 2014-02-27 / 20140056896 - ANTI-CD19 ANTIBODIES AND USES IN B CELL DISORDERS | 3 |
Tsuyoshi Hamaguchi | JP | Kanagawa-Ken | 2012-03-29 / 20120074278 - FIXTURE MOUNTING STRUCTURE AND INTERIOR ARTICLE MOUNTING STRUCTURE | 1 |
Yasutaka Hamaguchi | JP | Anan-Shi | 2011-04-28 / 20110095265 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE | 2 |
Yuki Hamaguchi | JP | Tokyo | 2009-05-14 / 20090120993 - STAPLER | 1 |
Hisao Hamaguchi | JP | Tokyo | 2015-09-24 / 20150266869 - BICYCLIC NITROGEN-CONTAINING AROMATIC HETEROCYCLIC AMIDE COMPOUND | 9 |
Yukihiro Hamaguchi | JP | Ise-Shi | / - | 1 |
Takeshi Hamaguchi | JP | Susono-Shi Shizuoka | 2011-12-29 / 20110319721 - SLEEP DEPTH DETERMINING DEVICE, SLEEP DEPTH MAINTAINING DEVICE, AND SLEEP DEPTH DETERMINING METHOD | 1 |
Masahiko Hamaguchi | JP | Nomi-Shi, Ishikawa | 2015-10-22 / 20150298748 - CAB AND WORK VEHICLE | 1 |
Yosuke Hamaguchi | JP | Tochigi | 2013-09-19 / 20130239712 - MOTOR-DRIVEN POWER STEERING APPARATUS | 1 |
Takashi Hamaguchi | JP | Tokyo | 2015-11-19 / 20150331986 - INSULATION DISTANCE CHECK DEVICE | 2 |
Akihiro Hamaguchi | JP | Kawasaki | 2014-10-30 / 20140319985 - MOVEMENT MECHANISM AND RACK HOUSING STRUCTURE | 2 |
Shuji Hamaguchi | JP | Osaka-Shi | 2016-02-11 / 20160040751 - SILENT CHAIN TRANSMISSION DEVICE | 2 |
Shinichi Hamaguchi | JP | Tokyo | 2015-08-27 / 20150243482 - ELECTRON BEAM EXPOSURE METHOD | 17 |
Nahomi Hamaguchi | JP | Aichi | 2012-10-04 / 20120251375 - PB-FREE COPPER-ALLOY SLIDING MATERIAL, AND PLAIN BEARING | 2 |
Masaki Hamaguchi | JP | Odawara | 2012-03-08 / 20120059989 - CLUSTER TYPE STORAGE SYSTEM AND METHOD OF CONTROLLING THE SAME | 2 |
Toshiaki Hamaguchi | JP | Fujimi-Machi-Shi | 2016-02-04 / 20160035966 - MANUFACTURING METHOD OF PIEZOELECTRIC ELEMENT, MANUFACTURING METHOD OF LIQUID DISCHARGING HEAD, AND MANUFACTURING METHOD OF LIQUID DISCHARGING APPARATUS | 1 |
Maki Hamaguchi | JP | Hyogo | 2016-01-07 / 20160002551 - ASHLESS-COAL PRODUCTION DEVICE, AND ASHLESS-COAL PRODUCTION METHOD | 4 |
Hisao Hamaguchi | JP | Tokyo | 2015-09-24 / 20150266869 - BICYCLIC NITROGEN-CONTAINING AROMATIC HETEROCYCLIC AMIDE COMPOUND | 9 |
Hirotaka Hamaguchi | JP | Tsu-Shi | 2011-12-29 / 20110315580 - ELECTRONIC DEVICE STORAGE CASE | 1 |
Mutsumi Hamaguchi | JP | Osaka-Shi | 2016-03-10 / 20160070374 - TOUCH PANEL SYSTEM, STYLUS PEN, AND ELECTRONIC DEVICE | 10 |
Masashi Hamaguchi | JP | Kobe-Shi | 2015-12-31 / 20150381097 - MOTOR DRIVE DEVICE AND MOTOR DRIVE SYSTEM | 1 |
Junichi Hamaguchi | JP | Susono-Shi | 2015-08-06 / 20150221552 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 10 |
Masanori Hamaguchi | JP | Nagoya-Shi | 2015-10-01 / 20150281499 - IMAGE READING APPARATUS | 1 |
Yasuhiro Hamaguchi | JP | Tokyo | 2010-12-02 / 20100300205 - ACCELERATION SENSOR ELEMENT AND ACCELERATION SENSOR HAVING SAME | 1 |
Yukio Hamaguchi | JP | Ise-Shi | 2015-09-10 / 20150250154 - SQUID-SHAPED SOFT LURE FOR FISHING | 2 |
Nahomi Hamaguchi | JP | Toyota-Shi | 2011-06-02 / 20110129173 - Pb-FREE COPPER ALLOY SLIDING MATERIAL AND PLAIN BEARINGS | 1 |
Akihide Hamaguchi | JP | Niwa-Gun | 2012-05-03 / 20120109360 - MACHINE TOOL | 4 |
Akihide Hamaguchi | JP | Aichi | 2011-06-09 / 20110135415 - VIBRATION SUPPRESSING DEVICE | 1 |
Yohei Hamaguchi | JP | Kariya-Shi | 2015-04-16 / 20150104694 - ELECTRICITY STORAGE DEVICE | 1 |
Shingo Hamaguchi | JP | Osaka | 2016-04-07 / 20160100098 - IMAGE PROVIDING DEVICE AND IMAGE PROVIDING METHOD | 2 |
Takehiko Hamaguchi | JP | Fuchu | 2009-01-22 / 20090021854 - Method of servo writing for magnetic recording system, magnetic recording system | 1 |
Yasuhiro Hamaguchi | JP | Osaka-Shi, Osaka | 2016-05-19 / 20160143038 - TERMINAL DEVICE, BASE STATION DEVICE, WIRELESS COMMUNICATION SYSTEM, AND COMMUNICATION METHOD | 3 |
Koshiro Hamaguchi | JP | Osaka | 2014-10-23 / 20140316021 - COMPOSITION FOR SPORTSWEAR AND FOAM THEREOF | 1 |
Yusuke Hamaguchi | JP | Tochigi | 2013-05-30 / 20130134966 - RELATIVE ANGLE SENSING DEVICE AND ELECTRIC POWER STEERING APPARATUS | 3 |
Takehiro Hamaguchi | JP | Kyoto-Shi | 2013-11-14 / 20130301060 - TRUNK AREA DIMENSION MEASUREMENT DEVICE AND BODY FAT MEASUREMENT DEVICE | 15 |
Hitoshi Hamaguchi | JP | Tokyo | 2016-03-03 / 20160062242 - METHOD FOR MANUFACTURING SUBSTRATE HAVING CONCAVE PATTERN, COMPOSITION, METHOD FOR FORMING CONDUCTIVE FILM, ELECTRONIC CIRCUIT AND ELECTRONIC DEVICE | 1 |
Takeshi Hamaguchi | JP | Wakayama | 2012-06-21 / 20120156968 - POLISHING LIQUID COMPOSITION FOR MAGNETIC DISK SUBSTRATE | 3 |
Yasuhiro Hamaguchi | JP | Chiba | 2015-12-24 / 20150372790 - COMMUNICATION METHOD AND RADIO TRANSMITTER | 11 |
Hiroki Hamaguchi | JP | Sakura-Shi | 2016-01-07 / 20160002105 - METHOD OF PROCESSING OPTICAL FIBER AND METHOD OF ESTIMATING THEREFOR | 5 |
Junichi Hamaguchi | JP | Susono-Shi | 2015-08-06 / 20150221552 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 10 |
Hiroshi Hamaguchi | JP | Nishio-Shi | 2011-12-08 / 20110297258 - HYDRAULIC CONTROL CIRCUIT OF VEHICULAR POWER TRANSMISSION APPARATUS | 4 |
Kunio Hamaguchi | JP | Saitama | 2015-06-04 / 20150155146 - GEIGER-MULLER COUNTER TUBE AND RADIATION MEASUREMENT APPARATUS | 4 |
Takeshi Hamaguchi | JP | Susono-Shi | 2012-10-04 / 20120253221 - STIMULATORY EFFECT ESTIMATION DEVICE, SLEEP DEPTH ESTIMATION DEVICE, STIMULATORY EFFECT ESTIMATION METHOD, AND VEHICLE CONTROL DEVICE | 1 |
Tsuyoshi Hamaguchi | JP | Aichi-Ken | 2012-04-19 / 20120094826 - EXHAUST GAS PURIFYING CATALYST | 1 |
Yasuhiro Hamaguchi | JP | Ichihara-Shi | 2014-08-07 / 20140219390 - MULTICARRIER-SIGNAL RECEIVING APPARATUS AND MULTICARRIER-SIGNAL TRANSMITTING APPARATUS | 17 |
Mutsumi Hamaguchi | JP | Osaka-Shi | 2016-03-10 / 20160070374 - TOUCH PANEL SYSTEM, STYLUS PEN, AND ELECTRONIC DEVICE | 10 |
Hiroki Hamaguchi | JP | Kanagawa | 2014-10-23 / 20140312984 - OSCILLATOR CIRCUIT | 1 |
Tetsu Hamaguchi | JP | Shibuya-Ku | 2016-01-07 / 20160002577 - BEVERAGE AND METHOD RELATING TO SAME | 1 |
Shinichi Hamaguchi | JP | Aichi | 2016-02-11 / 20160038636 - CHITOSAN HYDROGEL DERIVATIVES AS A COATING AGENT WITH BROAD SPECTRUM OF ANTIMICROBIAL ACTIVITIES | 3 |
Ayanori Hamaguchi | JP | Osaka | 2012-10-25 / 20120268954 - LIGHTING DEVICE | 1 |
Takashi Hamaguchi | JP | Okayama | 2013-11-14 / 20130303294 - THREAD REPAIR TOOL | 1 |
Akira Hamaguchi | JP | Yokohama-Shi | 2012-03-15 / 20120065941 - Charge trajectory calculating method, system, and program | 2 |
Jun Hamaguchi | JP | Kawasaki-Shi | 2015-10-29 / 20150312437 - IMAGE PROCESSING APPARATUS, CONTROL METHOD FOR IMAGE PROCESSING APPARATUS, AND STORAGE MEDIUM | 11 |
Rie Hamaguchi | JP | Fukuoka-Shi | 2013-11-21 / 20130309772 - METHOD FOR TRANSFORMATION OF STRAMENOPILE | 1 |
Toyoaki Hamaguchi | JP | Tokyo | 2015-12-03 / 20150346083 - INSPECTION APPARATUS USING POLARIZED LIGHTS | 1 |
Tadashi Hamaguchi | JP | Okayama | 2011-08-11 / 20110192291 - Grain Huller | 1 |
Katsumi Hamaguchi | JP | Fujisawa City, Kanagawa | 2016-04-14 / 20160101997 - MEMBRANE ELECTRODE ASSEMBLY AND ELECTROLYZED WATER PRODUCTION DEVICE USING THE SAME | 1 |
Hiroki Hamaguchi | JP | Susono-Shi | 2014-03-06 / 20140064289 - RELAY DEVICE AND CONNECTOR | 1 |
Yasuhito Hamaguchi | JP | Kanazawa City | 2010-06-24 / 20100158901 - ANTI-CD19 ANTIBODY THERAPY FOR AUTOIMMUNE DISEASE | 2 |
Kazumichi Hamaguchi | JP | Saitama | / - | 2 |
Seiji Hamaguchi | JP | Hiroshima | 2010-07-01 / 20100164684 - PORTABLE INFORMATION TERMINAL DEVICE | 1 |
Masaki Hamaguchi | JP | Kawasaki-Shi | 2010-08-12 / 20100200283 - INSULATION PASTE FOR A METAL CORE SUBSTRATE AND ELECTRONIC DEVICE | 2 |
Yasuhiro Hamaguchi | JP | Osaka | 2015-02-26 / 20150055596 - WIRELESS COMMUNICATION SYSTEM, WIRELESS TRANSMITTER, AND CONTROL PROGRAM FOR WIRELESS TRANSMITTER | 40 |
Takeyuki Hamaguchi | JP | Shizuoka | 2013-10-24 / 20130280952 - ELECTRIC JUNCTION BOX | 1 |
Yuji Hamaguchi | JP | Atsugi-Shi | 2013-06-13 / 20130146573 - LASER CUTTING METHOD | 1 |
Satoshi Hamaguchi | JP | Osaka-Shi | 2013-09-19 / 20130240187 - HEAT EXCHANGER AND AIR CONDITIONER EQUIPPED WITH SAME | 2 |
Akihiro Hamaguchi | JP | Yokohama-Shi | 2009-07-16 / 20090179882 - Light modulator, projection display apparatus and image projection method | 1 |
Nobuko Hamaguchi | US | Framingham | 2009-03-26 / 20090082555 - Aptamers to the human IL-12 cytokine family and their use as autoimmune disease therapeutics | 1 |
Kiyoshi Hamaguchi | JP | Kanagawa | 2010-09-16 / 20100231475 - Circular waveguide antenna and circular waveguide array antenna | 1 |
Maki Hamaguchi | JP | Takasago-Shi | 2014-05-01 / 20140115957 - GRAVITATIONAL SETTLING TANK AND METHOD FOR PRODUCING ASH-FREE COAL | 1 |
Mariko Hamaguchi | JP | Shinagawa-Ku | 2013-04-04 / 20130085854 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM AND RECORDING MEDIUM | 1 |
Tomoya Hamaguchi | JP | Iwaki | 2015-10-08 / 20150288470 - Radio Broadcast Receiving Apparatus and Broadcast Frequency Detection Method | 2 |
Hiroyuki Hamaguchi | JP | Tokyo | 2015-03-12 / 20150068707 - ELECTRONIC COMPONENT COOLING APPARATUS | 1 |
Yasuhito Hamaguchi | US | Durham | 2009-05-28 / 20090136516 - Cd-20 specific antibodies and methods of employing same | 1 |
Tsuneo Hamaguchi | JP | Chiyoda-Ku | 2012-04-19 / 20120091572 - SEMICONDUCTOR PACKAGE AND IMPLEMENTATION STRUCTURE OF SEMICONDUCTOR PACKAGE | 1 |
Hideshi Hamaguchi | US | Beaverton | 2009-08-20 / 20090209136 - Dual Wiring System | 6 |
Tony T. Hamaguchi | US | Milan | 2010-01-21 / 20100012550 - BAG-IN-BAG CONTAINER FOR BULK HANDLING OF FLUIDS | 1 |
Kenichi Hamaguchi | JP | Kyoto | 2014-05-22 / 20140143084 - SERVICE PROVISION SYSTEM, SERVICE PROVISION METHOD, SERVER SYSTEM, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM HAVING STORED THEREIN SERVICE PROVISION PROGRAM | 1 |
Yoshitaka Hamaguchi | JP | Nara | 2012-05-03 / 20120106379 - NETWORK MONITORING APPARATUS FOR MANAGING COMMUNICATION QUALITY AND A METHOD THEREFOR | 3 |
Nobutoshi Hamaguchi | JP | Mie | 2016-04-07 / 20160096854 - METHOD FOR SUPPRESSING HEAT DISCOLORATION OF LECITHIN | 3 |
Yuya Hamaguchi | JP | Kanagawa | 2014-07-17 / 20140198272 - PATTERNED RETARDATION FILM, PATTERNED POLARIZING PLATE, IMAGE DISPLAYING DEVICE, AND STEREO PICTURE DISPLAYING SYSTEM | 1 |
Stephen Hamaguchi | US | Auburn | 2010-04-22 / 20100096807 - E-Z 9 PAI GOW CARD GAME | 1 |
Masafumi Hamaguchi | JP | Tokyo | 2015-09-10 / 20150255552 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Norihito Hamaguchi | US | Sunnyvale | 2010-06-10 / 20100140630 - Method And Apparatus For Manufacturing LED Devices Using Laser Scribing | 1 |
Masafumi Hamaguchi | US | White Plains | 2012-04-05 / 20120080777 - TRIPLE OXIDATION ON DSB SUBSTRATE | 4 |
Norihito Hamaguchi | US | Livermore | 2011-02-10 / 20110031508 - Method and Apparatus for Manufacturing LED Devices using Laser Scribing | 1 |
Wataru Hamaguchi | JP | Chuo-Ku | 2014-09-04 / 20140249151 - BICYCLIC HETEROCYCLIC COMPOUND | 1 |
Sayaka Hamaguchi | JP | Nagasaki | 2009-07-09 / 20090173884 - Method and apparatus for measuring spectroscopic absorbance | 1 |
Nobuko Hamaguchi | JP | Osaka-Shi | 2014-01-30 / 20140031390 - PITAVASTATIN-CONTAINING PREPARATION AND METHOD FOR PRODUCING SAME | 2 |
Masafumi Hamaguchi | JP | Kawasaki-Shi | 2009-06-25 / 20090159939 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD FOR THE SAME | 1 |
Hiroshi Hamaguchi | JP | Toyota-Shi | 2015-10-22 / 20150303526 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 7 |
Shuuji Hamaguchi | JP | Osaka | 2013-10-03 / 20130260934 - SILENT CHAIN | 5 |
Youji Hamaguchi | JP | Zama-Shi | 2014-10-30 / 20140324310 - PARKING ASSIST CONTROL APPARATUS AND CONTROL METHOD | 2 |
Yasuhiro Hamaguchi | JP | Ichihara-Shi | 2014-08-07 / 20140219390 - MULTICARRIER-SIGNAL RECEIVING APPARATUS AND MULTICARRIER-SIGNAL TRANSMITTING APPARATUS | 17 |
Yasuhiro Hamaguchi | JP | Osaka-Shi | 2016-05-19 / 20160143029 - BASE STATION DEVICE, TERMINAL DEVICE, AND COMMUNICATION METHOD | 61 |
Maki Hamaguchi | JP | Kobe-Shi | 2009-12-24 / 20090315323 - GLASS-LIKE CARBON DEFORMED MOLDED ARTICLE, PROCESS FOR PRODUCING THE SAME, AND JOINT STRUCTURE FOR JOINTING A CONNECTING MEMBER TO A GLASS-LIKE CARBON HOLLOW MOLDED ARTICLE | 1 |
Mitsushige Hamaguchi | JP | Nagoya-Shi | 2015-02-05 / 20150038631 - LIQUID CRYSTALLINE POLYESTER RESIN COMPOSITION | 3 |
Noboru Hamaguchi | JP | Tokyo | 2015-12-31 / 20150381954 - MULTI-SCREEN DISPLAY APPARATUS | 1 |
Koushiro Hamaguchi | JP | Osaka-Shi | 2012-06-07 / 20120141712 - RUBBER-RESIN LAMINATE | 1 |
Hiromitsu Hamaguchi | JP | Shizuoka | 2011-05-19 / 20110118036 - INNER JOINT MEMBER FOR CONSTANT VELOCITY UNIVERSAL JOINT, MANUFACTURING METHOD THEREFOR, AND CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Shigeo Hamaguchi | JP | Mie | 2010-12-09 / 20100310779 - Process for Production of Water-Absorbing Articles | 5 |
Hisao Hamaguchi | JP | Chuo-Ku | 2013-11-21 / 20130310373 - PYRIMIDINE COMPOUND | 1 |
Kouji Hamaguchi | JP | Osaka-Shi | 2009-05-28 / 20090133398 - SHAPE MEMORY ALLOY ACTUATOR, APPARATUS FOR MANUFACTURING SHAPE MEMORY ALLOY ACTUATOR, METHOD FOR STRINGING SHAPE MEMORY ALLOY, AND METHOD FOR MANUFACTURING SHAPE MEMORY ALLOY ACTUATOR | 1 |
Mitsushige Hamaguchi | JP | Aichi | 2009-05-07 / 20090118417 - Dendritic Polymer, Method for Producing the Same, and Thermoplastic Resin Composition | 1 |
Yukari Hamaguchi | JP | Osaka | 2016-04-07 / 20160100098 - IMAGE PROVIDING DEVICE AND IMAGE PROVIDING METHOD | 2 |
Katsumi Hamaguchi | JP | Kanagawa | 2015-11-19 / 20150329385 - AN ELECTROLYZED WATER GENERATING METHOD AND A GENERATOR | 1 |
Kenichi Hamaguchi | JP | Kariya-City | 2011-09-22 / 20110227952 - DISPLAY POSITION SETTING DEVICE | 2 |
Koshiro Hamaguchi | JP | Osaka-Shi | 2013-09-12 / 20130236670 - COMPOSITION FOR VULCANIZING ADHESION | 3 |
Kenji Hamaguri | JP | Osaka-Shi | 2015-01-15 / 20150019137 - Bioinformation Processing Apparatus and Signal Processing Method | 1 |
Toshiaki Hamaguri | JP | Toyota-Shi | 2012-04-12 / 20120085300 - CYLINDER HEAD | 2 |
Kenji Hamaguri | JP | Osaka | 2009-02-05 / 20090036762 - Pulse oximeter | 1 |
Shunsuke Hamahashi | JP | Osaka | 2009-09-24 / 20090238585 - IMAGE FORMING APPARATUS | 3 |
Shunsuke Hamahashi | JP | Hyogo | 2015-07-30 / 20150212472 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Ryoichi Hamahashi | JP | Tokyo | 2011-07-14 / 20110169679 - DIGITAL-TO-ANALOG CONVERTER | 1 |
Mitsuharu Hamahata | JP | Toyama-Shi | 2009-12-17 / 20090309531 - SERVO MOTOR MONITORING APPARATUS | 1 |
Kenji Hamahata | JP | Kanagawa-Ken | 2015-02-12 / 20150046145 - MANUAL CREATION FOR A PROGRAM PRODUCT | 1 |
Toshihiro Hamahata | JP | Saitama | 2012-05-10 / 20120116645 - Filter controller system | 1 |
Sadahiro Hamahata | JP | Hitachinaka | 2015-04-30 / 20150115758 - Permanent Magnet Rotating Electrical Machine and a Motor Vehicle Using Same | 1 |
Mitsuharu Hamahata | JP | Kyoto | 2016-04-28 / 20160114508 - APPARATUS FOR TAKING OUT MOLDED PRODUCT | 1 |
Nariyasu Hamahata | JP | Kanagawa | 2008-10-23 / 20080259208 - Program ancillary data producing device, pictrure program editing device and picture program producing device | 2 |
Yasunori Hamai | JP | Hiroshima | 2008-09-11 / 20080218000 - Power-Off System and Method | 1 |
Katsuyoshi Hamai | JP | Naka-Gun | 2015-10-15 / 20150290079 - DRUG CONTAINER STORAGE DEVICE, DRUG CONTAINER STORAGE SYSTEM, AND METHOD FOR SUCKING DRUG | 1 |
Hideaki Hamai | JP | Kyoto | 2013-06-20 / 20130154579 - CAPACITOR DEVICE | 1 |
Toshimasa Hamai | JP | Wakayama | 2011-05-05 / 20110100264 - METHOD FOR PRODUCING HYDRAULIC POWDER | 4 |
Atsushi Hamai | JP | Shizuoka | 2014-03-06 / 20140067191 - VEHICLE CONDITION INFORMING SYSTEM | 2 |
Toshimasa Hamai | JP | Wakayama-Shi | 2016-04-21 / 20160107935 - LIQUID DISPERSANT COMPOSITION FOR GYPSUM | 8 |
Tsuyoshi Hamai | JP | Makinohara-Shi | 2014-10-23 / 20140314477 - CONNECTOR | 5 |
Toshimasa Hamai | JP | Wakayama-Shi | 2016-04-21 / 20160107935 - LIQUID DISPERSANT COMPOSITION FOR GYPSUM | 8 |
Tsuyoshi Hamai | JP | Shizuoka | 2015-11-26 / 20150340790 - JOINT CONNECTOR | 1 |
Shinji Hamai | JP | Osaka | 2012-07-26 / 20120188921 - PERFORMING WIRELSS COMMUNICATIONS IN HALF-DUPLEX AND FULL-DUPLEX MODES | 4 |
Seiji Hamaie | JP | Kawasaki | 2013-01-03 / 20130007777 - OPTICAL DISC DRIVE | 18 |
Kiyohiro Hamaji | JP | Ibaraki-Ken | 2012-04-05 / 20120081078 - BATTERY CHARGE MANAGEMENT USING A SCHEDULING APPLICATION | 5 |
Yoshiyuki Hamaji | JP | Takasago-Shi | 2014-09-18 / 20140278237 - PLANT STATE MONITORING METHOD, PLANT STATE MONITORING COMPUTER PROGRAM, AND PLANT STATE MONITORING APPARATUS | 1 |
Masakazu Hamaji | JP | Yokohama-Shi, | 2015-06-25 / 20150178439 - ELECTRON BEAM DRAWING APPARATUS, ELECTRON BEAM DRAWING METHOD, AND STORAGE MEDIUM | 1 |
Yoshinori Hamaji | JP | Nagano | 2010-05-27 / 20100129323 - Novel Shuttle Vector | 1 |
Yoshiyuki Hamaji | JP | Hyogo | 2010-08-05 / 20100198555 - PLANT STATE MONITORING METHOD, PLANT STATE MONITORING COMPUTER PROGRAM, AND PLANT STATE MONITORING APPARATUS | 1 |
Soji Hamaji | JP | Aichi Prefecture | 2010-04-15 / 20100092600 - Blow molding device | 2 |
Yoshinori Hamaji | JP | Hyogo | 2009-11-12 / 20090280091 - 5-FLUOROURACIL-RESISTANT BACTERIA AND METHOD FOR PRODUCTION THEREOF | 2 |
Hiroaki Hamaji | JP | Tokyo | 2010-01-14 / 20100006379 - ELEVATOR SYSTEM | 2 |
Toshihiro Hamajima | JP | Tokyo | 2009-01-15 / 20090018156 - Pyrrolo [2,3,B] Pyridine Derivatives Useful As RAF Kinase Inhibitors | 1 |
Yukihide Hamajima | JP | Toyota-Shi | 2009-04-23 / 20090102270 - SEAT BACKS FOR VEHICULAR SEATS | 2 |
Eiichi Hamajima | JP | Kasugai-Shi | 2011-08-25 / 20110203505 - SEWING MACHINE | 2 |
Tadashi Hamajima | JP | Osaka | 2012-11-15 / 20120289612 - Composite Material and Method for Producing the Same | 3 |
Akira Hamajima | JP | Obu-Shi | 2016-02-18 / 20160049840 - STATOR AND ELECTRIC PUMP | 1 |
Akira Hamajima | JP | Aichi-Ken | 2015-03-19 / 20150076943 - TERMINAL FOR STATOR | 1 |
Masanori Hamajima | JP | Saitama | 2012-11-08 / 20120280618 - PLASMA IGNITION SYSTEM, PLASMA IGNITION METHOD, AND PLASMA GENERATING APPARATUS | 1 |
Toshihiro Hamajima | JP | Ibaraki | 2010-01-21 / 20100016307 - NOVEL COMPOUNDS | 1 |
Tadashi Hamajima | JP | Osaka-Shi | 2013-12-26 / 20130345169 - Article Suitable for Oral Administration | 1 |
Takuya Hamajima | JP | Yokosuka-Shi | 2009-08-06 / 20090197646 - METHOD AND SYSTEM FOR MOBILE COMMUNICATIONS | 7 |
Tomohiro Hamajima | JP | Kanagawa | 2009-10-08 / 20090250761 - Semiconductor device with transistors and its manufacturing method | 1 |
Masato Hamajima | JP | Tokyo | 2013-01-10 / 20130011655 - PHENOLIC RESIN FOAM LAMINATED SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Akira Hamajima | JP | Nagoya-City | 2016-04-21 / 20160112029 - Acoustic Wave Device | 3 |
Tadashi Hamajima | JP | Higashi-Osaka-Shi | 2010-07-22 / 20100184864 - Composite Material and Method for Production Thereof | 1 |
Eri Hamajima | JP | Nagoya-Shi | 2011-02-10 / 20110033365 - PROCESS AND APPARATUS FOR PRODUCING CARBONACEOUS FILM | 1 |
Hiroyuki Hamakawa | JP | Osaka | 2011-06-23 / 20110150537 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS PROVIDED THEREWITH | 3 |
Takeshi Hamakawa | JP | Osaka | 2013-10-03 / 20130263107 - INFORMATION PROCESSING APPARATUS, IMAGE FORMING APPARATUS, AND METHOD FOR CONTROLLING INFORMATION PROCESSING APPARATUS | 1 |
Keita Hamakawa | JP | Ritto-Shi | 2014-03-27 / 20140087223 - ELECTRIC STORAGE ELEMENT | 1 |
Yugo Hamakawa | JP | Shiojiri-Shi | 2015-12-17 / 20150360478 - PRINTING APPARATUS AND PRINTING METHOD | 1 |
Keita Hamakawa | JP | Kyoto | 2011-05-26 / 20110122529 - SPINDLE MOTOR, DISK DRIVE APPARATUS AND METHOD FOR MANUFACTURING THE SPINDLE MOTOR | 2 |
Hiroshi Hamakawa | JP | Nagano-Ken | 2013-01-17 / 20130016168 - Media Conveyance Device, Printing Device, and Media Conveyance MethodAANM Noguchi; AkihikoAACI Nagano-kenAACO JPAAGP Noguchi; Akihiko Nagano-ken JPAANM Hamakawa; HiroshiAACI Nagano-kenAACO JPAAGP Hamakawa; Hiroshi Nagano-ken JPAANM Ishida; TetsugoAACI Nagano-kenAACO JPAAGP Ishida; Tetsugo Nagano-ken JP | 2 |
Keita Hamakawa | JP | Minami-Ku | 2009-07-09 / 20090174272 - MOTOR AND DISK DRIVE USING THE SAME | 1 |
Hiroyuki Hamakawa | JP | Shiki-Gun | 2011-11-03 / 20110268479 - DEVELOPING UNIT AND IMAGE FORMING DEVICE | 2 |
Hiroyuki Hamakawa | JP | Osaka-Shi | 2013-09-19 / 20130243474 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Masayuki Hamakawa | JP | Kawasaki | 2009-09-24 / 20090238952 - METHOD OF MANUFACTURING SLIDER | 2 |
Tetsuya Hamakawa | JP | Toshima-Ku | 2010-01-07 / 20100000955 - Girder block lifting method and jack-up stage used therefor | 1 |
Takeshi Hamakawa | JP | Kusatsu-Shi | 2010-03-04 / 20100058123 - ELECTRONIC DEVICE AND ERROR MANAGEMENT SYSTEM | 1 |
Takeru Hamakawa | JP | Wako-Shi | 2015-03-19 / 20150075454 - COOLING STRUCTURE FOR INTERNAL COMBUSTION ENGINE | 6 |
Nozomu Hamakawa | JP | Tokyo | 2013-01-24 / 20130023535 - METHOD OF PREVENTING AND/OR TREATING DIABETIC NEUROPATHY | 2 |
Yohei Hamakawa | JP | Fussa-Shi | 2010-09-30 / 20100245674 - INTERPOLATION FRAME GENERATION APPARATUS, INTERPOLATION FRAME GENERATION METHOD, AND BROADCAST RECEIVING APPARATUS | 2 |
Hiroyuki Hamakawa | JP | Ehime | 2014-02-13 / 20140045917 - Composition Containing Antisense Oligonucleotide to Micro RNA | 2 |
Hiroshi Hamakawa | JP | Matsumoto-Shi | 2013-07-04 / 20130169725 - MEDIA CONVEYANCE DEVICE AND PRINTING DEVICE | 3 |
Shigeomi Hamakawa | JP | Aichi-Ken | 2011-09-08 / 20110215272 - EXHAUST VALVE STRUCTURE | 1 |
Bruce Hamaker | US | West Lafayette | 2014-07-31 / 20140212494 - Methods of Improving Digestive Health | 1 |
Thomas Lynn Hamaker | US | Harrisburg | 2012-08-09 / 20120199570 - HEATING SYSTEM WITH IMPROVED EFFICIENCY | 1 |
Janna Hamaker | US | Issaquah | 2013-03-28 / 20130080881 - VISUAL REPRESENTATION OF SUPPLEMENTAL INFORMATION FOR A DIGITAL WORK | 1 |
Larry Hamaker | US | Raleigh | 2012-03-29 / 20120073205 - SLIDE DOOR | 1 |
Bruce R. Hamaker | US | West Lafayette | 2014-06-26 / 20140179629 - SLOWLY DIGESTING STARCH AND FERMENTABLE FIBER | 3 |
Jeff Hamaker | US | Mchenry | 2014-09-25 / 20140285038 - PULL TIGHT MOTOR HOUSING | 1 |
Jon Hamaker | US | Issaquah | 2015-10-01 / 20150281401 - Hybrid Client/Server Architecture for Parallel Processing | 6 |
Jonathan E. Hamaker | US | Issaquah | 2010-12-16 / 20100318359 - APPLICATION-DEPENDENT INFORMATION FOR RECOGNITION PROCESSING | 2 |
Janna S. Hamaker | US | Seattle | 2015-11-19 / 20150332108 - Selection of Popular Highlights | 2 |
Haruo Hamaki | JP | Tokyo | 2012-05-10 / 20120114845 - CONDUCTIVE METALLIC COATING MATERIAL, METHOD OF CORROSION PREVENTION WITH CONDUCTIVE METALLIC COATING MATERIAL, AND METHOD OF CORROSION-PREVENTIVE REPAIR THEREWITH | 1 |
Toshiroh Hamakita | JP | Osaka-Shi | 2012-08-30 / 20120217228 - COIL MANUFACTURING DEVICE AND METHOD | 1 |
Aki Hamakita | JP | Fukuoka-Ken | 2014-03-06 / 20140059757 - TOILET APPARATUS | 2 |
Jun Hamakita | JP | Kashihara-Shi | 2016-03-10 / 20160069423 - WORM SPEED REDUCER | 7 |
Masashi Hamakubo | JP | Wakayama | 2010-04-29 / 20100102067 - SEALING MATERIAL FOR HIGH-PRESSURE HYDROGEN CONTAINER, AND HIGH-PRESSURE HYDROGEN CONTAINER | 1 |
Katsushi Hamakubo | JP | Shinjuku-Ku | 2016-02-25 / 20160055874 - MAGNETIC DISK AND MANUFACTURING METHOD THEREOF | 5 |
Takao Hamakubo | JP | Tokyo | 2015-10-22 / 20150299277 - AGENT FOR TREATING OR PREVENTING SYSTEMIC INFLAMMATORY RESPONSE SYNDROME | 10 |
Katsushi Hamakubo | US | 2016-01-07 / 20160003979 - PLASTIC LENS AND PRODUCTION METHOD THEREFOR | 1 | |
Katsushi Hamakubo | JP | Tokyo | 2013-08-01 / 20130196077 - METHOD OF MANUFACTURING POLARIZING LENS | 8 |
Masashi Hamakubo | JP | Kobe-Shi | 2016-03-17 / 20160075859 - RUBBER COMPOSITION AND RUBBER MOLDED ARTICLE USING THE SAME | 1 |
Katsushi Hamakubo | JP | Tokyo | 2013-08-01 / 20130196077 - METHOD OF MANUFACTURING POLARIZING LENS | 8 |
Takao Hamakubo | JP | Tokyo | 2015-10-22 / 20150299277 - AGENT FOR TREATING OR PREVENTING SYSTEMIC INFLAMMATORY RESPONSE SYNDROME | 10 |
Katsushi Hamakubo | JP | Hino-Shi | 2016-03-17 / 20160075882 - COATING COMPOSITION | 4 |
Takao Hamakubo | JP | Bunkyo-Ku | 2012-05-24 / 20120128694 - THERAPEUTIC AGENTS FOR ALZHEIMER'S DISEASE AND CANCER | 2 |
Teruya Hamal | JP | Fuchu | 2015-10-01 / 20150280546 - POWER CONVERSION DEVICE | 1 |
Elina Hamalaine | FI | Helsinki | 2015-11-05 / 20150315316 - SUPPORTED ZIEGLER NATTA PROCATALYST FOR ETHYLENE POLYMERISATION | 1 |
Perttu Hamalainen | FI | Helsinki | 2010-12-23 / 20100322472 - OBJECT TRACKING IN COMPUTER VISION | 3 |
Jyri Kalervo Hamalainen | FI | Oulu | 2013-11-07 / 20130295840 - Identification Of Relay Base Stations In Wireless Networks | 5 |
Ari Henrik Hamalainen | FI | Vantaa | 2012-10-18 / 20120263259 - BEARING DETERMINATION USING SIGNALS TRANSFORMED INTO FREQUENCY DOMAIN | 4 |
Pauli Hamalainen | FI | Lahti | 2009-07-02 / 20090166344 - Method and Apparatus for Short-Arc Welding | 1 |
Henri T. Hamalainen | FI | Espoo | 2009-12-31 / 20090328101 - USER INTERFACE FOR MOBILE TV INTERACTIVE SERVICES | 1 |
Hannu Hamalainen | FI | Vaasa | 2010-06-10 / 20100139875 - Composition and Process for the Treatment of Fibre Material | 1 |
Panu Hamalainen | FI | Tampere | 2009-12-03 / 20090300363 - Method and arrangement for real-time betting with an off-line terminal | 1 |
Jari Hamalainen | FI | Tampere | 2010-12-30 / 20100331024 - DEVICE FOR PERSONAL COMMUNICATIONS, DATA COLLECTION AND DATA PROCESSING, AND A CIRCUIT CARD | 1 |
Timo Hamalainen | FI | Kangasala | 2009-09-24 / 20090240948 - METHODS AND ARRANGMENTS FOR REALISING BETTING WITH OFF-LINE TERMINALS | 1 |
Jyri K. Hamalainen | FI | Oulu | 2009-09-17 / 20090232066 - Apparatus, methods, and computer program products providing improved flexible resource usage | 3 |
Pasi Hamalainen | FI | Helsinki | 2009-08-27 / 20090216634 - APPARATUS, COMPUTER-READABLE STORAGE MEDIUM AND METHOD FOR PROVIDING A WIDGET AND CONTENT THEREFOR | 1 |
Matti Hamalainen | FI | Pori | 2009-02-12 / 20090038440 - Method for the Recovery of Gold from Sulphide Concentrate | 1 |
Matti Hamalainen | FI | Lampaala | 2014-09-18 / 20140267824 - METHOD AND APPARATUS FOR IMAGE DATA TRANSFER IN DIGITAL PHOTOGRAPHING | 1 |
Jari Hamalainen | FI | Kangasala As | 2015-06-11 / 20150161877 - Systems And Methods For Event-Based Reporting and Surveillance and Publishing Event Information | 6 |
Ari Hamalainen | FI | Vantaa | 2013-11-07 / 20130295954 - METHOD AND APPARATUS FOR TOPOLOGY MAP DETERMINATION | 1 |
Matti Sakari Hamalainen | FI | Lempaala | 2015-05-14 / 20150131645 - DEVICE SYNCHRONIZATION | 6 |
Mark Hamalainen | US | Redwood City | 2012-06-14 / 20120145641 - Method and Apparatus for removing senescent cells | 1 |
Seppo Olavi Hamalainen | FI | Espoo | 2016-04-14 / 20160105820 - Controlling Communication Devices in a Communication System | 13 |
Matti Hamalainen | FI | Lempaala | 2016-03-24 / 20160088439 - METHOD AND APPARATUS FOR CONTROLLING OPERATION OF A SYSTEM | 8 |
Seppo Olavi Hamalainen | FI | Espoo | 2016-04-14 / 20160105820 - Controlling Communication Devices in a Communication System | 13 |
Matti S. Hamalainen | FI | Lempaala | 2016-05-19 / 20160140947 - Apparatus, Method, and Computer Program for Adjustable Noise Cancellation | 4 |
Jari P. Hamalainen | FI | Kangasala | 2016-03-10 / 20160071020 - METHOD AND APPARATUS FOR MANAGING RECOMMENDATION MODELS | 3 |
Nico Hamalainen | FI | Helsinki | 2015-10-01 / 20150277705 - GRAPHICAL USER INTERFACE USER INPUT TECHNIQUE FOR CHOOSING AND COMBINING DIGITAL IMAGES AS VIDEO | 1 |
Jani Petri Hamalainen | CA | Huntsville | 2015-03-26 / 20150084370 - Roof Spoiler Mounting System | 1 |
Markku Hamalainen | SE | Uppsala | 2013-09-26 / 20130252846 - SCREENING METHOD | 3 |
Jyri Hamalainen | CN | Beijing | 2013-12-12 / 20130329650 - METHOD FOR ALLOCATING DOWNLINK TRANSMISSION POWER OF COORDINATED TRANSMISSION DEVICES IN COORDINATED MULTI-POINT TRANSMISSION SYSTEM | 1 |
Matti S. Hamalainen | CH | Denges | 2010-02-04 / 20100026780 - Electronic device directional audio capture | 1 |
Matti S. Hamalainen | US | Boston | 2009-10-08 / 20090254146 - DEEP BRAIN STIMULATION IMPLANT WITH MICROCOIL ARRAY | 1 |
Seppo Hamalainen | CN | Beijing | 2009-04-16 / 20090098906 - Electronic Device Having a Cellular Communication Mode and a Radio Communication Mode | 1 |
Matti Hamalainen | FI | Lempaala | 2016-03-24 / 20160088439 - METHOD AND APPARATUS FOR CONTROLLING OPERATION OF A SYSTEM | 8 |
Jari Pekka Hamalainen | FI | Kangasala As. | 2013-03-28 / 20130081099 - METHOD AND APPARATUS FOR PROVIDING ABSTRACTED USER MODELS | 3 |
Kenneth Michael Hamall | US | West Chester | 2016-05-19 / 20160136013 - ABSORBENT ARTICLES HAVING DISTRIBUTION MATERIALS | 6 |
Rafif E. Hamam | CA | Toronto | 2012-02-16 / 20120037217 - DISCRIMINATING ELECTROMAGNETIC RADIATION BASED ON ANGLE OF INCIDENCE | 1 |
Abdelwahab Hamam | CA | Montreal | 2016-02-25 / 20160054799 - Systems and Methods for Shape Input and Output for a Haptically-Enabled Deformable Surface | 2 |
Salim Hamam | US | Dearborn Heights | 2015-01-22 / 20150025750 - TECHNIQUES FOR ROBUST PARK LOCK CONTROL | 2 |
Rafif E. Hamam | US | Cambridge | 2014-12-04 / 20140354071 - EFFICIENT NEAR-FIELD WIRELESS ENERGY TRANSFER USING ADIABATIC SYSTEM VARIATIONS | 5 |
Wajdi B. Hamama | US | Whitmore Lake | 2014-09-18 / 20140278010 - ENGINE KNOCK DETECTION SYSTEMS AND METHODS | 16 |
Aner Hamama | IL | Tel Aviv | 2014-06-26 / 20140181950 - Performance Optimization in a Secured Computing Environment | 1 |
Yaniv Hamama | IL | Ramat-Gan | 2015-08-06 / 20150223025 - METHODS AND SYSTEMS FOR SHARING CHARGING RESOURCES | 1 |
Oren Hamama | IN | Bangalore | 2014-07-03 / 20140189192 - APPARATUS AND METHOD FOR A MULTIPLE PAGE SIZE TRANSLATION LOOKASIDE BUFFER (TLB) | 1 |
Wajdi B. Hamama | US | Whitemore Lake | 2010-07-01 / 20100168991 - DIAGNOSTIC SYSTEMS AND METHODS FOR ENGINE KNOCK SENSORS | 1 |
Samir Hamamah | FR | Montpellier | 2016-05-19 / 20160138104 - METHODS FOR DETERMINING THE QUALITY OF AN EMBRYO | 8 |
Samir Hamamah | FR | Montpellier Cedex | 2014-05-29 / 20140148363 - Methods for Selecting Oocytes and Competent Embryos with High Potential for Pregnancy Outcome | 2 |
Akira Hamamatsu | JP | Yokoham | 2011-03-17 / 20110063603 - APPARATUS AND METHOD FOR INSPECTING DEFECTS | 1 |
Tatsuo Hamamatsu | JP | Kanagawa | 2011-09-29 / 20110237853 - PROCESS FOR PRODUCING HYDROCARBON OIL | 2 |
Kazuhide Hamamatsu | JP | Hamamatsu-Shi | 2015-03-12 / 20150071724 - CUTTING PROCESSING DEVICE | 1 |
Tsuyoshi Hamamatsu | JP | Tokyo | 2013-06-13 / 20130151479 - METHOD FOR VERIFYING CONVERSION, APPARATUS AND PROGRAM OF THE SAME | 2 |
Akira Hamamatsu | JP | Tokyo | 2016-05-19 / 20160139059 - Inspection Device | 3 |
Mayu Hamamatsu | JP | Wakayama-Shi | 2014-12-18 / 20140371331 - SURFACTANT COMPOSITION | 1 |
Akira Hamamatsu | JP | Mito | 2013-10-03 / 20130262027 - SHAPE MEASUREMENT METHOD, AND SYSTEM THEREFOR | 1 |
Masanori Hamamatsu | JP | Kobe-Shi | 2014-08-21 / 20140230713 - UNDERWATER MOBILE INSPECTION APPARATUS AND UNDERWATER INSPECTION EQUIPMENT | 2 |
Hirotake Hamamatsu | JP | Kariya-Shi | 2008-09-25 / 20080232995 - Method for producing soft magnetic powdered core | 2 |
Takehito Hamamatsu | JP | Kariya-Shi | 2013-12-26 / 20130340720 - FUEL INJECTION DEVICE | 1 |
Nobuyuki Hamamatsu | JP | Tokyo | 2016-03-10 / 20160069755 - SENSOR, STRAIN SENSOR, AND PRESSURE SENSOR | 1 |
Toshihiko Hamamatsu | JP | Saitama | 2014-08-28 / 20140241479 - FREQUENCY DIFFERENCE DETECTION DEVICE, FREQUENCY DIFFERENCE DETECTION METHOD, AND PROGRAM | 6 |
Toyohiro Hamamatsu | JP | Niihama-Shi | 2009-07-30 / 20090190067 - LIGHT DIFFUSER PLATE, SURFACE LIGHT SOURCE, AND LIQUID CRYSTAL DISPLAY | 2 |
Tatsuo Hamamatsu | JP | Tokyo | 2012-03-29 / 20120078022 - METHOD FOR PRODUCING OLEFIN DIMER, AND OLEFIN DIMER | 3 |
Akira Hamamatsu | JP | Yokohama | 2016-04-28 / 20160116421 - DEFECT INSPECTING METHOD AND DEFECT INSPECTING APPARATUS | 41 |
Takehito Hamamatsu | JP | Aichi-Ken | 2012-06-14 / 20120144806 - INTERNAL COMBUSTION ENGINE | 1 |
Yoshihiro Hamamatsu | JP | Tokyo | 2012-06-07 / 20120140854 - RADIO COMMUNICATION DEVICE, RADIO COMMUNICATION METHOD, AND COMMUNICATION SYSTEM | 1 |
Hiroshi Hamamatsu | JP | Tsukuba-Shi | 2011-03-10 / 20110059363 - LITHIUM MIXED METAL OXIDE | 2 |
Hiroshi Hamamatsu | JP | Tsukuba | 2010-01-07 / 20100003602 - ELECTRODE MATERIAL FOR NON-AQUEOUS SECONDARY BATTERY | 1 |
Akira Hamamatsu | JP | Chiba | 2013-05-02 / 20130107247 - Inspecting Method and Inspecting Apparatus For Substrate Surface | 4 |
Tatsuo Hamamatsu | JP | Yokohama-Shi | 2009-04-16 / 20090099400 - Solid phosphoric acid catalyst and methods of olefin dimerization reaction with the same | 1 |
Hamamatsu Photonics K.k. | JP | Hamamatsu-Shi | 2013-08-01 / 20130193830 - MICROCHANNEL PLATE AND PROCESS FOR PRODUCING THE SAME | 11 |
Mikayel Hamamchyan | AM | Yerevan | 2011-03-31 / 20110074069 - THE METHOD OF MANUFACTURING BUILDING BRICK | 1 |
Damir Hamamdzic | US | Erdenheim | 2015-10-22 / 20150297597 - METHODS OF TREATMENT OF SKIN ULCERS | 2 |
Shy Hamami | IL | Hagalil | 2011-07-21 / 20110176045 - COMPLEMENTARY METAL-OXIDE SEMICONDUCTOR IMAGE SENSOR, DATA READOUT METHOD THEREOF, AND ELECTRONIC SYSTEM INCLUDING THE SAME | 1 |
Shy Hamami | IL | Ness-Ziona | 2011-05-26 / 20110122274 - DDR COUNTER CIRCUITS, ANALOG TO DIGITAL CONVERTERS, IMAGE SENSORS AND DIGITAL IMAGING SYSTEMS INCLUDING THE SAME | 2 |
Shay Hamami | KR | Seongnam-Si | 2011-02-17 / 20110036969 - Unit pixels including boosting capacitors, pixel arrays including the unit pixels and photodetecting devices including the pixel arrays | 1 |
Shusei Hamamichi | US | Tuscaloosa | 2011-03-17 / 20110064721 - CATHEPSIN-D NEUROPROTECTION | 1 |
Roger Hamamjy | US | San Jose | 2016-05-12 / 20160130724 - HEATING LAMP SYSTEM | 15 |
Tatsuro Hamamori | JP | Kyoto | 2009-03-05 / 20090057177 - GOLF BAG AND MANUFACTURING METHOD THEREOF | 1 |
Mitsuharu Hamamori | JP | Aichi | 2009-04-30 / 20090110629 - Method for Producing High Purity Caustic Potash | 1 |
Mitsuharu Hamamori | JP | Nagoya-Shi | 2012-06-14 / 20120145559 - GAS DIFFUSION ELECTRODE EQUIPPED ION EXCHANGE MEMBRANE ELECTROLYZER | 4 |
Yasuo Hamamori | US | Houston | 2010-02-25 / 20100048923 - ATR Inhibitor | 1 |
Isami Hamamoto | JP | Odawara-Shi | 2013-12-12 / 20130331569 - CROSS-LINKED CYCLIC AMINE COMPOUNDS AND AGENTS FOR PEST CONTROL | 10 |
Tetsukazu Hamamoto | JP | Toyama | 2010-05-13 / 20100119584 - MEDICATED PATCH COMPRISING 5-METHYL-1-PHENYL-2-(1H)-PYRIDONE | 1 |
Shinichi Hamamoto | JP | Yamato-Chi | 2011-09-01 / 20110211581 - TRANSLATOR FOR IP NETWORKS, NETWORK SYSTEM USING THE TRANSLATOR, AND IP NETWORK COUPLING METHOD THEREFOR | 2 |
Takahiro Hamamoto | JP | Wakayama-Shi | 2010-10-28 / 20100270793 - THREADED JOINT FOR STEEL PIPES | 3 |
Hidetoshi Hamamoto | JP | Kagawa-Ken | 2011-06-23 / 20110152377 - EXTERNAL PREPARATION COMPRISING FATTY ACID SALT OR BENZOIC ACID SALT OF BASIC PHARMACOLOGICALLY ACTIVE COMPONENT, AND METHOD FOR PRODUCTION THEREOF | 3 |
Yoshihiko Hamamoto | JP | Ube-Shi | 2012-03-15 / 20120065892 - SCORING SYSTEM FOR THE PREDICTION OF CANCER RECURRENCE | 4 |
Masaki Hamamoto | JP | Hyogo | 2009-02-05 / 20090034620 - MOTION ESTIMATION METHOD | 1 |
Kouji Hamamoto | JP | Hyogo | 2010-03-18 / 20100065540 - WELDING DEVICE AND SETTER OF THE SAME | 1 |
Makoto Hamamoto | JP | Tamano-City | 2010-03-04 / 20100050811 - METHOD OF SMELTING COPPER | 2 |
Yasuhachi Hamamoto | JP | Higashiosaka City | 2011-01-27 / 20110019239 - Image Reproducing Apparatus And Image Sensing Apparatus | 1 |
Hiroaki Hamamoto | JP | Tokyo | 2016-02-11 / 20160039702 - MOLTEN GLASS CONDUIT STRUCTURE, AND DEVICE AND METHOD USING CONDUIT STRUCTURE | 3 |
Takeshi Hamamoto | JP | Kanagawa-Ken | 2011-03-17 / 20110062504 - SEMICONDUCTOR MEMORY DEVICE INCLUDING FERROELECTRIC CAPACITOR | 1 |
Yuriko Hamamoto | JP | Kanagawa | 2014-05-15 / 20140132871 - Liquid Crystal Display Device | 3 |
Kiichi Hamamoto | JP | Fukuoka | 2014-05-15 / 20140133507 - Semiconductor Laser | 4 |
Hidetoshi Hamamoto | JP | Higashikagawa-Shi | 2013-08-15 / 20130209660 - MICRO-NEEDLE COATING METHOD | 4 |
Daisuke Hamamoto | JP | Ibaraki-Shi | 2011-04-07 / 20110080645 - HARD-COATED ANTIGLARE FILM, POLARIZING PLATE AND IMAGE DISPLAY INCLUDING THE SAME, METHOD FOR PRODUCING THE SAME, AND METHOD FOR EVALUATING THE SAME | 4 |
Hidetoshi Hamamoto | JP | Kagawa | 2016-03-31 / 20160090600 - COMPOSITION FOR EXTERNAL APPLICATION COMPRISING TRANSCRIPTION FACTOR DECOY AS ACTIVE INGREDIENT | 12 |
Isami Hamamoto | JP | Odawara-Shi | 2013-12-12 / 20130331569 - CROSS-LINKED CYCLIC AMINE COMPOUNDS AND AGENTS FOR PEST CONTROL | 10 |
Norifumi Hamamoto | JP | Inuyama-Shi | 2015-03-12 / 20150068997 - Automated Warehouse Rack | 1 |
Nariaki Hamamoto | JP | Kyoto | 2014-09-18 / 20140265856 - Magnetic Field Sources For An Ion Source | 5 |
Terufumi Hamamoto | JP | Tokyo | 2014-05-08 / 20140125947 - PLASTIC LENS | 2 |
Kazuyuki Hamamoto | JP | Aichi | 2009-12-17 / 20090308496 - Flux for lead-free solder and soldering Method | 1 |
Nobuo Hamamoto | JP | Kanagawa | 2009-12-10 / 20090302495 - SOLUTION CASTING METHOD AND SOLUTION CASTING APPARATUS FOR FILM MANUFACTURE | 1 |
Masaki Hamamoto | JP | Tenri-Shi | 2009-10-15 / 20090256445 - ULTRASONIC MOTOR AND ULTRASONIC VIBRATOR | 1 |
Yoshihira Hamamoto | JP | Takasaki-Shi | 2013-06-27 / 20130161683 - CURABLE SILICONE RESIN COMPOSITION WITH HIGH RELIABILITY AND OPTICAL SEMICONDUCTOR DEVICE USING SAME | 3 |
Kokichi Hamamoto | JP | Fukushima-Shi | 2015-04-09 / 20150097344 - Sealing Device | 3 |
Keiji Hamamoto | JP | Ibaraki-Shi | 2011-04-28 / 20110097525 - Coating Material and Container Coated with the Coating Material | 1 |
Youichi Hamamoto | JP | Tokyo | 2009-11-26 / 20090292384 - MANUFACTURING INSTRUCTION EVALUATION SUPPORT SYSTEM, MANUFACTURING INSTRUCTION EVALUATION SUPPORT METHOD, AND MANUFACTURING INSTRUCTION EVALUATION SUPPORT PROGRAM | 1 |
Osamu Hamamoto | JP | Hiratsuka-Shi | 2009-11-19 / 20090284810 - LIGHT GUIDE, ILLUMINATING DEVICE HAVING THE LIGHT GUIDE, AND IMAGE READING DEVICE AND INFORMATION PROCESSING APPARATUS HAVING THE ILLUMINATING DEVICE | 1 |
Koichi Hamamoto | JP | Aichi | 2015-12-24 / 20150372347 - LITHIUM ION CONDUCTIVE SUBSTANCE, LITHIUM ION CONDUCTIVE SOLID ELECTROLYTE USING THE LITHIUM ION CONDUCTIVE SUBSTANCE, PROTECTIVE LAYER FOR AN ELECTRODE OF A LITHIUM ION BATTERY, AND METHOD FOR MANUFACTURING THE LITHIUM ION CONDUCTIVE SUBSTANCE | 4 |
Ryuji Hamamoto | JP | Tokyo | 2014-10-16 / 20140308678 - SMYD2 AS A TARGET GENE FOR CANCER THERAPY AND DIAGNOSIS | 9 |
Yoji Hamamoto | JP | Aichi-Ken | 2009-07-16 / 20090179632 - Magnetic Resolver | 1 |
Yasuhiro Hamamoto | JP | Yokohama-Shi | 2009-07-09 / 20090174697 - IMAGE DISPLAY APPARATUS | 1 |
Terufumi Hamamoto | JP | Akishima-Shi | 2009-07-02 / 20090169766 - ION GUN SYSTEM, VAPOR DEPOSITION APPARATUS, AND METHOD FOR PRODUCING LENS | 1 |
Manabu Hamamoto | JP | Mariyama-City | / - | 1 |
Yutaka Hamamoto | JP | Takahama-City | 2009-03-26 / 20090079258 - BRAKE HYDRAULIC PRESSURE CONTROL APPARATUS FOR MOTORCYCLE AND BRAKE HYDRAULIC PRESSURE CONTROL APPARATUS FOR VEHICLE | 1 |
Katsumi Hamamoto | JP | Otsu-Shi | 2009-03-19 / 20090074617 - SENSOR CARTRIDGE, SENSOR FEEDER, AND MEASURING INSTRUMENT | 1 |
Katsuaki Hamamoto | JP | Ogaki-Shi | 2009-03-05 / 20090060072 - DECODING METHOD FOR RECEIVING OFDM SIGNALS, AND DECODING APPARATUS AND RECEIVING APPARATUS USING THE SAME | 2 |
Kokichi Hamamoto | JP | Fukushima | 2014-03-20 / 20140077459 - VALVE STEM SEAL DEVICE | 3 |
Eiji Hamamoto | JP | Ibaraki-Shi | 2008-11-20 / 20080286455 - Method for producing polarizer, polarizer, polarizing plate, optical film, image display, and cleaning apparatus | 1 |
Takeshi Hamamoto | JP | Mihara | 2008-10-16 / 20080251142 - Miniflow valve | 1 |
Takayoshi Hamamoto | JP | Kumamoto-Shi | 2013-08-29 / 20130224179 - PLASMA PROTEIN EFFECTIVE FOR SUPPRESSING COUGH | 4 |
Masaki Hamamoto | JP | Osaka-Shi | 2014-12-11 / 20140360380 - Heating Cooking Device | 1 |
Yoshiaki Hamamoto | JP | Toyota-Shi | 2014-09-11 / 20140251280 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE AND CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 1 |
Ikue Hamamoto | JP | Ichihara-Shi | 2014-09-11 / 20140255714 - ACTIVE-ENERGY-RAY-CURABLE RESIN COMPOSITION, ADHESIVE, AND LAMINATE FILM | 1 |
Toshikazu Hamamoto | JP | Yamaguchi | 2011-10-13 / 20110250504 - LITHIUM SECONDARY BATTERY AND NON-AQUEOUS ELECTROLYTIC SOLUTION | 3 |
Tatsuo Hamamoto | JP | Mobara | 2011-12-29 / 20110314990 - GLASS CUTTING MACHINE, GLASS CUTTER, AND GLASS CUTTING METHOD | 3 |
Masaki Hamamoto | JP | Kokubunji | 2013-03-07 / 20130061073 - COMPUTER SYSTEM AND COMPUTER | 5 |
Shinji Hamamoto | JP | Shimotsuke-Shi | 2015-03-05 / 20150064387 - METHOD FOR MANUFACTURING FUSED SHEETS | 1 |
Masaki Hamamoto | JP | Tokyo | 2015-11-19 / 20150331921 - SIMULATION SYSTEM AND SIMULATION METHOD | 5 |
Taku Hamamoto | JP | Kusatsu-Shi | 2014-07-10 / 20140194390 - PESTICIDAL COMPOSITIONS | 2 |
Shinichi Hamamoto | JP | Yamato-Shi | 2012-01-05 / 20120005305 - COMMUNICATING METHOD BETWEEN IPv4 TERMINAL AND IPv6 TERMINAL AND IPv4-IPv6 CONVERTING APPARATUS | 2 |
Tetsuo Hamamoto | JP | Hiroshima | 2010-09-02 / 20100218375 - METHOD OF PRODUCING METAL CLOSED-SECTION MEMBER | 1 |
Hiroshi Hamamoto | JP | Hiroshima | 2016-03-17 / 20160075214 - VEHICLE AIR CONDITIONER | 3 |
Takayuki Hamamoto | JP | Yokohama-Shi | 2013-11-21 / 20130306012 - INTERNAL COMBUSTION ENGINE | 1 |
Isamu Hamamoto | JP | Mie | 2015-12-31 / 20150380916 - WIRING MODULE AND METHOD FOR ASSEMBLING WIRING MODULE | 9 |
Nobuo Hamamoto | JP | Minami-Ashigara-Shi | 2010-09-16 / 20100233381 - BAR COATER, AND BAR COATING METHOD | 1 |
Makoto Hamamoto | JP | Oita-Shi | 2015-04-30 / 20150114181 - METHOD FOR PRODUCING AQUEOUS SOLUTION OF PERRHENIC ACID FROM RHENIUM SULFIDE | 3 |
Isamu Hamamoto | JP | Yokkaichi-City | 2013-06-27 / 20130161092 - WIRE HARNESS | 1 |
Yoshio Hamamoto | JP | Yokohama-Shi | 2010-10-14 / 20100258540 - SURFACE CRACK SEALING METHOD | 1 |
Toshikazu Hamamoto | JP | Ube-Shi | 2010-12-09 / 20100310942 - ELECTROLYTE FOR NON-AQUEOUS CELL AND NON-AQUEOUS SECONDARY CELL | 2 |
Kyoji Hamamoto | JP | Saitama | 2012-07-19 / 20120185150 - IDLE STOP CONTROL DEVICE | 2 |
Taku Hamamoto | JP | Shiga | 2011-06-02 / 20110130427 - PESTICIDAL COMPOSITION | 6 |
Shigeki Hamamoto | JP | Hyogo | 2014-03-06 / 20140066616 - PRODUCTION METHOD FOR HYDROPHILIC MODIFIED POLYROTAXANE | 8 |
Kyoji Hamamoto | JP | Utsunomiya-Shi | 2013-11-14 / 20130304327 - ELECTRIC POWER STEERING DEVICE | 3 |
Tomoki Hamamoto | JP | Choshi-Shi | 2011-08-25 / 20110207179 - PROCESS FOR PRODUCING CMP-N-ACETYLNEURAMINIC ACID | 1 |
Katunobu Hamamoto | JP | Neyagawa-Shi | 2011-10-27 / 20110260648 - LIGHT SOURCE MODULE, LIGHTING APPARATUS, AND ILLUMINATION DEVICE USING THE SAME | 4 |
Koichi Hamamoto | JP | Nagoya-Shi | 2014-01-23 / 20140023951 - MEDIUM-TO-LOW TEMPERATURE HIGH-EFFICIENCY ELECTROCHEMICAL CELL AND ELECTROCHEMICAL REACTION SYSTEM COMPRISING SAME | 1 |
Masaki Hamamoto | JP | Kodaira | 2012-10-18 / 20120263240 - VIDEO ENCODING AND DECODING DEVICE | 1 |
Takaki Hamamoto | JP | Tokyo | 2016-04-28 / 20160116305 - DISPLACEMENT MEASUREMENT DEVICE AND DISPLACEMENT MEASUREMENT METHOD | 1 |
Kazuhiro Hamamoto | JP | Shinjuku-Ku | 2016-03-17 / 20160077423 - METHOD FOR PRODUCING SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, METHOD FOR PRODUCING REFLECTIVE MASK BLANK AND METHOD FOR PRODUCING REFLECTIVE MASK | 4 |
Masaki Hamamoto | JP | Osaka | 2009-11-12 / 20090278421 - ULTRASONIC MOTOR | 1 |
Takahiro Hamamoto | JP | Osaka | 2008-10-30 / 20080265575 - Liquid and Gas Tight Threaded Tubular Connection | 1 |
Katsunobu Hamamoto | JP | Osaka | 2016-03-03 / 20160065085 - POWER SUPPLY DEVICE AND ILLUMINATION DEVICE | 7 |
Yasuo Hamamoto | JP | Osaka | 2013-01-03 / 20130003866 - WIRELESS RECEIVER | 7 |
Yasuhachi Hamamoto | JP | Osaka | 2011-01-13 / 20110007187 - Imaging Device And Image Playback Device | 3 |
Moe Hamamoto | JP | Osaka | 2009-12-17 / 20090313386 - COMMUNICATION APPARATUS, COMMUNICATION METHOD AND COMMUNICATION SYSTEM | 2 |
Katunobu Hamamoto | JP | Osaka | 2014-01-16 / 20140015421 - LED MODULE AND ILLUMINATION DEVICE HAVING A SENSOR SUITABLE FOR DOWNSIZING | 3 |
Keiji Hamamoto | JP | Osaka | 2011-12-15 / 20110303668 - CAP AND CONTAINER WITH CAP | 3 |
Daisuke Hamamoto | JP | Osaka | 2009-04-02 / 20090086326 - ANTIGLARE HARD-COATED FILM | 2 |
Kenichi Hamamoto | JP | Toyota-Shi | 2012-12-13 / 20120315553 - NON-AQUEOUS LIQUID ELECTROLYTE SECONDARY BATTERY AND NON-AQUEOUS LIQUID ELECTROLYTE FOR NON-AQUEOUS LIQUID ELECTROLYTE SECONDARY BATTERY | 1 |
Yoshihira Hamamoto | JP | Takasaki | 2015-11-05 / 20150315073 - METHOD FOR PRODUCING SURFACE-TREATED GLASS FIBER FILM AND FLEXIBLE FIBER SUBSTRATE | 4 |
Kazutoshi Hamamoto | JP | Tokyo | 2013-01-03 / 20130001371 - HOLDER | 1 |
Koichi Hamamoto | JP | Tokyo | 2016-01-28 / 20160025560 - SAFETY CHECKING APPARATUS, BEAM IRRADIATION SYSTEM, AND SAFETY CHECKING METHOD | 2 |
Akihiko Hamamoto | JP | Zushi-Shi | 2014-03-20 / 20140078536 - DATA PROCESSING APPARATUS AND METHOD FOR PROCESSING DATA | 1 |
Tomochika Hamamoto | JP | Tokyo | 2015-12-17 / 20150364224 - INSTALLATION DEVICE OF REACTOR REPAIR DEVICE AND METHOD | 7 |
Hiroaki Hamamoto | JP | Chiyoda-Ku | 2013-12-26 / 20130340478 - VACUUM DEGASSING APPARATUS, APPARATUS FOR PRODUCING GLASSWARE, AND METHOD FOR PRODUCING GLASSWARE | 3 |
Kentaroh Hamamoto | JP | Nishinomiya City | 2013-10-24 / 20130276688 - AUTOMATIC STEERING DEVICE AND AUTOMATIC STEERING METHOD | 1 |
Seiko Hamamoto | JP | Azumino | 2015-10-29 / 20150306883 - LIQUID CONTAINING BODY | 8 |
Takaki Hamamoto | JP | Takasaki-Shi | 2013-07-25 / 20130189544 - OPTICAL RECORDING MEDIUM | 1 |
Naoaki Hamamoto | JP | Tokyo | 2013-01-24 / 20130019888 - SMOKING ARTICLE | 1 |
Toshikazu Hamamoto | JP | Hamamatsu-Shi | 2014-03-20 / 20140076083 - INTEGRATED MEMBER AND ITS MANUFACTURING METHOD | 1 |
Katsuhiro Hamamoto | JP | Aki-Gun | 2014-01-30 / 20140028044 - DOOR TRIM STRUCTURE OF AUTOMOTIVE VEHICLE | 1 |
Kenichi Hamamoto | JP | Susono-Shi | 2013-06-06 / 20130143134 - LIQUID AIR ELECTRODE FOR METAL-AIR BATTERY AND METAL-AIR BATTERY PROVIDED WITH SAME | 1 |
Satoshi Hamamoto | JP | Chiyoda-Ku | 2013-11-07 / 20130291924 - PHOTOVOLTAIC DEVICE, MANUFACTURING METHOD THEREOF, AND PHOTOVOLTAIC MODULE | 1 |
Shigeki Hamamoto | JP | Himeji-Shi | 2015-05-07 / 20150125697 - SAPONIFICATION PRODUCT OF VINYL ESTER/ETHYLENICALLY UNSATURATED CARBOXYLIC ACID ESTER COPOLYMER AND PROCESS FOR PRODUCING THE SAME | 2 |
Takeshi Hamamoto | JP | Yokohama-Shi | 2010-07-29 / 20100190304 - SEMICONDUCTOR STORAGE DEVICE AND METHOD OF FABRICATING THE SAME | 10 |
Kenta Hamamoto | JP | Tokyo | 2014-10-02 / 20140293475 - CPP-TYPE MAGNETORESISTIVE ELEMENT INCLUDING A REAR BIAS STRUCTURE AND LOWER SHIELDS WITH INCLINED MAGNETIZATIONS | 3 |
Yoshihira Hamamoto | JP | Annaka | 2013-03-07 / 20130056786 - OPTICAL SEMICONDUCTOR DEVICE | 1 |
Shiro Hamamoto | JP | Tsuruga-Shi | 2013-05-09 / 20130112271 - POLYESTER FILM FOR SEALING BACKSIDE OF SOLAR CELL | 2 |
Osamu Hamamoto | JP | Isehara-Shi | 2015-09-10 / 20150255383 - MOUNTING MEMBER, ELECTRONIC COMPONENT, AND METHOD FOR MANUFACTURING MODULE | 1 |
Shigeki Hamamoto | JP | Ube-Shi | 2012-09-27 / 20120245261 - FLAME-RETARDANT THERMOPLASTIC RESIN COMPOSITION AND MOLDED ARTICLE THEREOF | 1 |
Yoshihira Hamamoto | JP | Annaka-Shi | 2015-05-28 / 20150144987 - SILICONE RESIN COMPOSITION AND AN OPTICAL SEMICONDUCTOR DEVICE | 6 |
Tomosaburo Hamamoto | JP | Tokyo | 2013-06-27 / 20130161797 - SINGLE CRYSTAL SUBSTRATE, MANUFACTURING METHOD FOR SINGLE CRYSTAL SUBSTRATE, MANUFACTURING METHOD FOR SINGLE CRYSTAL SUBSTRATE WITH MULTILAYER FILM, AND ELEMENT MANUFACTURING METHOD | 4 |
Seiko Hamamoto | JP | Azumino | 2015-10-29 / 20150306883 - LIQUID CONTAINING BODY | 8 |
Ryosuke Hamamoto | JP | Hatsukaichi | 2015-10-08 / 20150282974 - POSTURE CORRECTIVE BRACE | 1 |
Yoshio Hamamoto | JP | Kanagawa-Ken | 2011-11-10 / 20110274229 - REACTOR BOTTOM REPAIRING METHOD | 1 |
Natsuko Hamamoto | JP | Nagano | 2015-08-06 / 20150217736 - VEHICLE BEHAVIOR CONTROL SYSTEM | 2 |
Manabu Hamamoto | JP | Yamato-Shi | 2015-11-26 / 20150338694 - LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Yukimasa Hamamoto | JP | Kyoto | 2014-10-16 / 20140307499 - BOOSTER CIRCUIT | 3 |
Kazuhiro Hamamoto | JP | Tokyo | 2016-05-05 / 20160124298 - CONDUCTIVE FILM COATED SUBSTRATE, MULTILAYER REFLECTIVE FILM COATED SUBSTRATE, REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 6 |
Seiko Hamamoto | JP | Azumino-Shi | 2014-10-02 / 20140292965 - PRINTING APPARATUS AND INK CONTAINER | 1 |
Masashi Hamamoto | JP | Nagoya | 2014-10-16 / 20140307480 - ELECTRIC POWER CONVERSION DEVICE | 1 |
Satoshi Hamamoto | JP | Tokyo | 2014-10-09 / 20140302629 - MANUFACTURING METHOD OF PHOTOVOLTAIC DEVICE AND MANUFACTURING APPARATUS FOR PHOTOVOLTAIC DEVICE | 3 |
Eiji Hamamoto | JP | Kanazawa | 2015-12-31 / 20150378933 - STORAGE MANAGEMENT APPARATUS, COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN STORAGE MANAGEMENT PROGRAM, AND CONTROL METHOD | 2 |
Shigeki Hamamoto | JP | Hyogo | 2014-03-06 / 20140066616 - PRODUCTION METHOD FOR HYDROPHILIC MODIFIED POLYROTAXANE | 8 |
Sae Hamamoto | JP | Kakogawa-Shi | 2011-11-24 / 20110287280 - HIGH-STRENGTH COLD-ROLLED STEEL SHEET EXCELLENT IN BENDING WORKABILITY | 1 |
Yoshiaki Hamamoto | US | 2015-09-03 / 20150247471 - CONTROL DEVICE AND CONTROL METHOD OF ENGINE | 1 | |
Yoshiaki Hamamoto | JP | Nisshin-Shi | 2015-09-03 / 20150247471 - CONTROL DEVICE AND CONTROL METHOD OF ENGINE | 1 |
Yoshio Hamamoto | JP | Kanagawa | 2014-08-21 / 20140231394 - UNDERWATER WELDING APPARATUS AND UNDERWATER WELDING METHOD | 1 |
Kuzuhiro Hamamoto | US | Delmar | 2008-10-02 / 20080237859 - DIFFUSION BARRIER FOR INTEGRATED CIRCUITS FORMED FROM A LAYER OF REACTIVE METAL AND METHOD OF FABRICATION | 1 |
Mitchell M. Hamamoto | US | San Jose | 2011-08-25 / 20110204495 - DEVICE HAVING WIRE BOND AND REDISTRIBUTION LAYER | 2 |
Akitoshi Hamamoto | US | Bowling Green | 2011-05-05 / 20110101630 - BEND SHAPE FOR ANTI-ROLL BAR | 1 |
Hiroyuki Hamamoto | JP | Ehime | 2014-12-11 / 20140360900 - PACKAGE-TYPE CARRIER AND METHOD FOR UNWRAPPING SAME | 2 |
Katsunobu Hamamoto | JP | Neyagawa-Shi | 2012-01-26 / 20120019166 - POWER SOURCE UNIT FOR LED LAMPS, AND LED LAMP SYSTEM | 1 |
Hidetoshi Hamamoto | JP | Kagawa | 2016-03-31 / 20160090600 - COMPOSITION FOR EXTERNAL APPLICATION COMPRISING TRANSCRIPTION FACTOR DECOY AS ACTIVE INGREDIENT | 12 |
Hiroshi Hamamoto | JP | Kyoto | 2010-07-01 / 20100164738 - ELECTRONIC BALANCE | 5 |
Hiroshi Hamamoto | JP | Tokyo | 2013-08-08 / 20130203654 - NOVEL CYCLIC PEPTIDE COMPOUND, METHOD FOR PRODUCING SAME, ANTI-INFECTIVE AGENT, ANTIBIOTIC-CONTAINING FRACTION, ANTIBIOTIC, METHOD FOR PRODUCING ANTIBIOTIC, ANTIBIOTIC-PRODUCING MICROORGANISM, AND ANTIBIOTIC PRODUCED BY SAME | 2 |
Hiroshi Hamamoto | JP | Kyoto-City | 2010-09-09 / 20100228522 - ELECTRONIC BALANCE | 2 |
Hiroshi Hamamoto | JP | Kyoto Pref | 2009-04-23 / 20090100899 - ELECTRONIC BALANCE | 1 |
Isamu Hamamoto | JP | Mie | 2015-12-31 / 20150380916 - WIRING MODULE AND METHOD FOR ASSEMBLING WIRING MODULE | 9 |
Ryuji Hamamoto | JP | Tokyo | 2014-10-16 / 20140308678 - SMYD2 AS A TARGET GENE FOR CANCER THERAPY AND DIAGNOSIS | 9 |
Tomoki Hamamoto | JP | Chiba | 2010-07-01 / 20100168366 - NOVEL SYNTHETIC N-LINKED SIALO-GLYCAN-CONTAINING POLYMER AND METHOD FOR PRODUCING THE SAME | 2 |
Masanori Hamamoto | JP | Tokyo | 2010-09-30 / 20100243103 - LIQUID MEDICINE DISPENSING DEVICE | 1 |
Hiroshi Hamamoto | JP | Ibaraki-Shi | 2014-09-04 / 20140249269 - THERMALLY-DETACHABLE SHEET | 1 |
Katunobu Hamamoto | JP | Neyagawa | 2012-06-28 / 20120161649 - LED LIGHTING DEVICE AND ILLUMINATION APPARATUS INCLUDING SAME | 5 |
Takeshi Hamamoto | JP | Kanagawa | 2010-05-13 / 20100115765 - Layout verification apparatus, layout apparatus, layout verification method, layout verification program, and wiring forming method | 1 |
Toshihiro Hamamura | KR | Seongnam-Si | 2011-07-07 / 20110164865 - AUTO FOCUS ADJUSTING APPARATUS AND CAMERA SYSTEM | 1 |
Masanori Hamamura | JP | Kami-Shi | 2010-05-06 / 20100111205 - DATA TRANSMISSION SYSTEM AND METHOD | 1 |
Akihiko Hamamura | JP | Chiba-Shi | 2015-10-08 / 20150288917 - INFORMATION DISPLAYING APPARATUS | 15 |
Kenshiro Hamamura | JP | Ushiku-Shi, Ibaraki | 2016-05-05 / 20160120182 - PADDY RICE SEED TREATED BY HERBICIDAL COMPOSITION AND METHOD FOR CONTROLLING WEED | 1 |
Takehiro Hamamura | JP | Shizuoka | 2009-08-20 / 20090206177 - PAINT APPLICATION CARTRIDGE | 1 |
Hirotaka Hamamura | JP | Kodaira-Shi | 2012-11-08 / 20120280369 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND SEMICONDUCTOR DEVICE | 1 |
Takashi Hamamura | JP | Osaka | 2013-09-26 / 20130252742 - SERVER SYSTEM, STORAGE MEDIUM, METHOD, AND ELECTRONIC INSTRUMENT | 2 |
Hideyuki Hamamura | JP | Chiba | 2009-06-11 / 20090145526 - Low core loss grain-oriented electrical steel sheet and method for producing the same | 3 |
Kazumasa Hamamura | JP | Osaka-Shi | 2009-03-05 / 20090062258 - FUSED PYRIMIDINE DERIVATIVE AND USE THEREOF | 1 |
Masanori Hamamura | JP | Kami | 2011-09-01 / 20110211615 - COMMUNICATION SYSTEM AND ITS METHOD | 2 |
Hideshi Hamamura | JP | Aichi | 2010-07-08 / 20100172781 - SCROLL COMPRESSOR | 1 |
Hiroyasu Hamamura | JP | Hiroshima | 2010-07-01 / 20100164684 - PORTABLE INFORMATION TERMINAL DEVICE | 1 |
Hirotaka Hamamura | JP | Kodaira | 2015-12-24 / 20150372151 - SEMICONDUCTOR STORAGE DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Akihiko Hamamura | JP | Tokyo | 2013-06-13 / 20130151597 - Image Display Device and Computer-Readable Medium | 1 |
Hirotaka Hamamura | JP | Kodaira | 2015-12-24 / 20150372151 - SEMICONDUCTOR STORAGE DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Yukihiro Hamamura | JP | Kobe-Shi | 2008-08-28 / 20080204192 - Electronic key apparatus for a vehicle | 1 |
Yuichi Hamamura | JP | Tokyo | 2014-06-26 / 20140177940 - RECIPE GENERATION APPARATUS, INSPECTION SUPPORT APPARATUS, INSPECTION SYSTEM, AND RECORDING MEDIA | 1 |
Kyouhei Hamamura | JP | Osaka | 2016-03-31 / 20160090057 - WORK VEHICLE | 2 |
Yutaka Hamamura | JP | Yokohama-Shi | 2011-03-24 / 20110069393 - OPTICAL ELEMENT, METHOD OF PRODUCING SAME, AND OPTICAL APPARATUS | 1 |
Kenichi Hamamura | JP | Kirishima-Shi | 2011-05-05 / 20110104404 - Ceramic for Decorative Part and Decorative Part Comprising the Same | 1 |
Toshihiro Hamamura | JP | Tokyo | 2009-06-18 / 20090153709 - DIGITAL CAMERA | 1 |
Tomonari Hamamura | JP | Tokyo | 2014-05-01 / 20140120320 - SURFACE-TREATED METAL AND METHOD FOR PRODUCING SAME | 2 |
Saori Hamamura | JP | Kawasaki | 2014-01-23 / 20140023315 - Optical Module and a Mounting Structure Thereof | 2 |
Tomoyuki Hamamura | JP | Tokyo | 2016-03-03 / 20160063034 - ADDRESS RECOGNITION APPARATUS, SORTING APPARATUS, INTEGRATED ADDRESS RECOGNITION APPARATUS AND ADDRESS RECOGNITION METHOD | 19 |
Shigeo Hamamura | JP | Tokyo | 2014-05-29 / 20140146138 - 3D IMAGE SIGNAL PROCESSING APPARATUS | 1 |
Toshihiro Hamamura | JP | Yokohama-City | 2013-05-23 / 20130128098 - IMAGE PICKUP APPARATUS, DRIVING CONTROL METHOD THEREOF, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Toshihiro Hamamura | JP | Yokohama | 2012-06-21 / 20120154670 - Photographing Apparatus and Method to Reduce Auto-Focus Time | 3 |
Akihiko Hamamura | JP | Chiba-Shi | 2015-10-08 / 20150288917 - INFORMATION DISPLAYING APPARATUS | 15 |
Masanori Hamamura | JP | Kochi | 2013-03-14 / 20130064238 - COMMUNICATION APPARATUS, COMMUNICATION SYSTEM, COMMUNICATION METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 2 |
Hideyuki Hamamura | JP | Tokyo | 2015-03-05 / 20150059932 - GRAIN-ORIENTED MAGNETIC STEEL SHEET AND METHOD OF PRODUCING THE SAME | 6 |
Hideyuki Hamamura | JP | Futtu-Shi | 2015-11-05 / 20150318091 - LASER PROCESSING APPARATUS AND LASER IRRADIATION METHOD | 1 |
Masahiro Hamamura | JP | Hamamatsu-Shi | 2015-05-07 / 20150127232 - TRACTION CONTROL DEVICE | 2 |
Yoshinori Hamamura | US | Moreland Hills | 2016-02-04 / 20160033598 - FAILSAFE PROTECTION FROM INDUCED RF CURRENT FOR MRI RF COIL ASSEMBLY HAVING TRANSMIT FUNCTIONALITY | 6 |
Kazunori Hamamura | US | Indianapolis | 2014-12-11 / 20140364453 - SALUBRINAL-DRIVEN ATTENUATION OF MALIGNANT PHENOTYPES OF 4T1 BREAST CANCER CELLS | 1 |
Hiroshi Hamamura | JP | Nagaokakyo-Shi | 2016-03-10 / 20160069927 - ACCELERATION SENSOR | 4 |
Tatsuji Hamamura | JP | Kawasaki | 2010-02-04 / 20100031015 - IP Network Communication Method Having Security Function, And Communication System | 2 |
Hirotaka Hamamura | JP | Tokyo | 2016-04-21 / 20160111499 - SILICON CARBIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 6 |
Saori Hamamura | JP | Fujisawa | 2011-02-03 / 20110026878 - Optical I/O Array Module and Its Fabrication Method | 2 |
Yuichi Hamamura | JP | Yokohama | 2013-10-24 / 20130283227 - PATTERN REVIEW TOOL, RECIPE MAKING TOOL, AND METHOD OF MAKING RECIPE | 6 |
Osamu Hamamura | JP | Osaka City | 2013-10-10 / 20130263917 - Solar Battery Module Fixture | 1 |
Takehiro Hamamura | JP | Fujieda-Shi | 2015-05-14 / 20150128857 - ELECTROSTATIC COATING APPARATUS | 1 |
Satoko Hamamura | JP | Higashihiroshima-Shi | 2015-05-07 / 20150128298 - UROKINASE-TYPE PLASMINOGEN ACTIVATOR TRANSGENIC MOUSE | 2 |
Brian K. Haman | US | Chicago | 2014-07-03 / 20140182871 - Reciprocating Tool with Fluid Driven Counterweight | 1 |
Brian Haman | US | Cary | 2013-07-18 / 20130181414 - Articulating Oscillating Power Tool | 3 |
Brian Kenneth Haman | US | Chicago | 2014-09-18 / 20140262402 - Power Hand Tool with Vibration Isolation | 1 |
Denise I. Haman | US | San Leandro | 2012-10-25 / 20120266911 - EYE MAKEUP FALLOUT PROTECTOR | 1 |
Arthur C. Haman | US | Oakland Township | 2012-11-15 / 20120286537 - FOLDING ARMREST | 1 |
Carsten Haman | DE | Konnersreuth | 2015-07-16 / 20150197443 - METHOD AND APPARATUS FOR THE SEALING OF GLASS TUBES IN A HOT SOFTENED STATE | 1 |
Robert Haman | DE | Augsburg | 2013-06-27 / 20130166071 - PROCESSING TOOL AND PROCESSING METHOD | 1 |
Kentaro Hamana | JP | Nara-City | 2012-05-31 / 20120134681 - SEMICONDUCTOR LASER DRIVE DEVICE, SEMICONDUCTOR LASER DRIVE METHOD, LIGHT TRANSMISSION DEVICE, OPTICAL WIRING MODULE, AND ELECTRONIC DEVICE | 2 |
Katsunori Hamana | JP | Mie | 2013-11-21 / 20130309553 - BATTERY CONNECTING ASSEMBLY | 1 |
Shozo Hamana | JP | Aichi-Ken | 2014-06-26 / 20140175930 - PERMANENT MAGNET EMBEDDED TYPE ROTATING ELECTRICAL MACHINE | 1 |
Akihiro Hamana | JP | Tokyo | 2014-12-11 / 20140366112 - SERVER APPARATUS, INFORMATION PROCESSING APPARATUS, IMAGING APPARATUS, SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 2 |
Kentaro Hamana | JP | Okayama | 2013-02-28 / 20130051746 - OPTICAL WAVEGUIDE, OPTICAL TRANSMISSION MODULE, AND ELECTRONIC DEVICE | 1 |
Yasumasa Hamana | JP | Hamamatsu-Shi | 2015-10-01 / 20150279639 - MICRO-CHANNEL PLATE, METHOD FOR MANUFACTURING MICRO-CHANNEL PLATE, AND IMAGE INTENSIFIER | 3 |
Hiroshi Hamana | JP | Amagasaki | 2016-03-03 / 20160064233 - PROCESSING SYSTEMS AND METHODS FOR HALIDE SCAVENGING | 15 |
Eiichi Hamana | JP | Inagi-Shi | 2015-12-03 / 20150346618 - COATING AGENT, CONDUCTIVE RESIN FILM, ELECTROPHOTOGRAPHIC MEMBER, AND METHOD FOR PRODUCING ELECTROPHOTOGRAPHIC MEMBER | 3 |
Hiroyuki Hamana | JP | Hyogo | 2011-06-09 / 20110135479 - TURBINE BLADE AND GAS TURBINE | 1 |
Tetsuya Hamana | JP | Nara | 2013-02-28 / 20130049586 - LIGHTING DEVICE, HEADLAMP LIGHTING DEVICE, AND HEADLAMP UNIT AND VEHICLE HAVING SAME | 6 |
Kentaro Hamana | JP | Shiga | 2011-07-21 / 20110176586 - SIGNAL PROCESSING DEVICE, SIGNAL PROCESSING METHOD, RECEPTION DEVICE, TRANSMISSION/RECEPTION DEVICE, COMMUNICATION MODULE, AND ELECTRONIC DEVICE | 2 |
Hiroshi Hamana | JP | Hyogo | 2016-03-10 / 20160068969 - INTEGRATED PROCESSING FOR MICROCONTAMINATION PREVENTION | 3 |
Hiroyuki Hamana | JP | Takasago-Shi | 2010-04-22 / 20100098537 - STATOR BLADE RING AND AXIAL FLOW COMPRESSOR USING THE SAME | 1 |
Yasumasa Hamana | JP | Shizuoka | 2011-04-21 / 20110089825 - PHOTOCATHODE | 1 |
Junji Hamana | JP | Hannou-Shi | 2010-11-04 / 20100276832 - COMPOSITE METAL MOLDING AND METHOD FOR MANUFACTURING THEREOF | 1 |
Katsunori Hamana | JP | Yokkaichi-Shi | 2014-11-06 / 20140329136 - BATTERY WIRING MODULE | 3 |
Yuichi Hamana | JP | Taito-Ku | 2008-08-21 / 20080200250 - PORTABLE GAME APPARATUS AND GAME SYSTEM | 1 |
Hiroshi Hamana | JP | Toyama-Shi | 2015-02-05 / 20150038363 - Method for Stimulating T Cell and Use Thereof | 1 |
Shozo Hamana | JP | Kariya-Shi | 2013-03-14 / 20130064697 - ELECTRIC COMPRESSOR | 2 |
Nobumitsu Hamana | JP | Kawaguchi-Shi | 2008-10-16 / 20080252862 - Light Reflector, Method for Manufacturing the Same and Projector | 1 |
Kentaro Hamana | JP | Nara | 2011-06-09 / 20110135311 - TRANSMITTER, RECEIVER, TRANSCEIVER, TRANSMISSION CONTROL METHOD, RECEPTION CONTROL METHOD, OPTICAL TRANSMISSION MODULE AND ELECTRONIC DEVICE | 2 |
Tetsuya Hamana | JP | Amagasaki | 2010-01-21 / 20100013393 - DISCHARGE LAMP LIGHTING DEVICE, AND ILLUMINATING DEVICE | 1 |
Hiroshi Hamana | JP | Amagasaki | 2016-03-03 / 20160064233 - PROCESSING SYSTEMS AND METHODS FOR HALIDE SCAVENGING | 15 |
Kentaro Hamana | JP | Kusatsu-City | 2011-09-15 / 20110222815 - OPTICAL TRANSMISSION MODULE, ELECTRONIC DEVICE, AND METHOD FOR MANUFACTURING OPTICAL TRANSMISSION MODULE | 2 |
Takamitsu Hamanaga | JP | Tokyo | 2013-11-28 / 20130312259 - WIRE INSERTION TOOL | 2 |
Kota Hamanaga | JP | Iwata-Shi | 2012-01-12 / 20120006180 - Sound deadening tool and sound deadening musical performance tool | 1 |
Sanae Hamanaka | JP | Tokyo | 2011-11-17 / 20110283374 - METHOD FOR PRODUCING HETEROGENOUS EMBRYONIC CHIMERIC ANIMAL USING A STEM CELL | 3 |
Akiyoshi Hamanaka | JP | Hachioji-Shi | 2013-12-12 / 20130329068 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 5 |
Yoshiyuki Hamanaka | JP | Kariya-City | 2012-09-27 / 20120242376 - LOAD DRIVE APPARATUS AND SEMICONDUCTOR SWITCHING DEVICE DRIVE APPARATUS | 1 |
Shinsuke Hamanaka | JP | Kanagawa | 2010-12-02 / 20100301895 - Test system and test method of semiconductor integrated circuit | 1 |
Masatoshi Hamanaka | JP | Ibaraki | 2010-12-23 / 20100325163 - MORPHED MUSICAL PIECE GENERATION SYSTEM AND MORPHED MUSICAL PIECE GENERATION PROGRAM | 2 |
Kenichi Hamanaka | JP | Ehime | 2011-09-29 / 20110237978 - BLOOD TEST APPARATUS AND METHOD OF CONTROLLING THE SAME | 7 |
Nobuaki Hamanaka | JP | Kanagawa | 2010-12-30 / 20100330799 - SEMICONDUCTOR DEVICE AND PROCESS FOR PRODUCING THE SAME | 2 |
Hideki Hamanaka | JP | Kanagawa | 2011-02-10 / 20110030868 - PNEUMATIC TIRE/RIM ASSEMBLY | 1 |
Sanae Hamanaka | JP | Bunkyo-Ku | 2014-11-13 / 20140338008 - ORGAN REGENERATION METHOD UTILIZING iPS CELL AND BLASTOCYST COMPLEMENTATION | 1 |
Hirokazu Hamanaka | JP | Nara | 2011-12-15 / 20110307212 - METHOD AND APPARATUS FOR MEASURING WORKPIECE ON MACHINE TOOL | 1 |
Senji Hamanaka | JP | Ibi-Gun | 2011-03-31 / 20110072789 - PARTICULATE MATTER SENSOR AND EXHAUST GAS PURIFICATION APPARATUS | 2 |
Kazuyoshi Hamanaka | JP | Osaka-Shi | 2015-02-12 / 20150042695 - DISPLAY ELEMENT AND DISPLAY DEVICE | 1 |
Junichi Hamanaka | JP | Tokyo | 2013-09-05 / 20130232423 - DISPLAY APPARATUS AND OPERATION CHECKING METHOD FOR THE DISPLAY APPARATUS | 1 |
Seiji Hamanaka | JP | Tochigi | 2014-01-09 / 20140011928 - WATER-BASED COATING COMPOSITION | 1 |
Hideo Hamanaka | JP | Tokyo | 2015-03-05 / 20150061544 - DRIVING DEVICE, LIGHT-EMITTING DEVICE, PROJECTION DEVICE, CONTROL METHOD, AND STORAGE MEDIUM | 2 |
Satoshi Hamanaka | JP | Fukuoka | 2014-07-24 / 20140204728 - NODE EQUIPMENT AND METHOD FOR COMMUNICATION | 1 |
Norikazu Hamanaka | JP | Tokyo | 2011-08-04 / 20110187996 - EYE EXAMINATION APPARATUS, METHOD FOR MANUFACTURING SPECTACLE LENS, SPECTACLE LENS, METHOD FOR MANUFACTURING MULTIFOCAL EYEGLASSES, AND MULTIFOCAL EYEGLASSES | 1 |
Takahiro Hamanaka | JP | Shizuoka-Ken | 2014-07-10 / 20140192131 - IMAGE FORMING DEVICE | 2 |
Takeshi Hamanaka | JP | Gifu-Ken | 2013-06-20 / 20130157525 - METHOD FOR MANUFACTURING CONTACT TERMINAL, CONTACT TERMINAL MANUFACTURING APPARATUS, AND CONTACT TERMINAL | 2 |
Masahiko Hamanaka | JP | Tokyo | 2011-12-08 / 20110301952 - SPEECH RECOGNITION PROCESSING SYSTEM AND SPEECH RECOGNITION PROCESSING METHOD | 2 |
Yoshitaka Hamanaka | JP | Ichihara-Shi | 2014-07-31 / 20140212756 - LITHIUM IRON PHOSPHATE CATHODE MATERIAL AND METHOD FOR PRODUCING SAME | 1 |
Fumiya Hamanaka | JP | Kure-Shi | 2015-03-19 / 20150076263 - Vertical-Type Mill | 1 |
Akira Hamanaka | JP | Tokyo | 2010-07-08 / 20100170627 - LENS PAD, LENS PAD MANUFACTURING METHOD, LENS MANUFACTURING METHOD, AND ADHESIVE MEMBER | 2 |
Yoshiyuki Hamanaka | JP | Kariya-Shi | 2014-10-16 / 20140307495 - DRIVER FOR TARGET SWITCHING ELEMENT AND CONTROL SYSTEM FOR MACHINE USING THE SAME | 19 |
Hideki Hamanaka | JP | Hiratsuka-Shi | 2015-10-01 / 20150273947 - Pneumatic Tire | 9 |
Naoki Hamanaka | JP | Tokyo | 2011-04-07 / 20110083135 - VIRTUAL COMPUTER SYSTEMS AND COMPUTER VIRTUALIZATION PROGRAMS | 2 |
Takeshi Hamanaka | JP | Gifu | 2013-12-12 / 20130330217 - MOTOR-DRIVEN COMPRESSOR | 1 |
Kenichi Hamanaka | JP | Nagaokakyo-Shi | 2016-05-19 / 20160141103 - MULTILAYER CERAMIC ELECTRONIC COMPONENT | 5 |
Shogo Hamanaka | JP | Mishima-Shi | 2015-02-19 / 20150051308 - POLYMETHYLPENTENE CONJUGATE FIBER OR POROUS POLYMETHYLPENTENE FIBER AND FIBER STRUCTURE COMPRISING SAME | 1 |
Hiraku Hamanaka | JP | Miyagi | 2015-07-02 / 20150185766 - WEARABLE ELECTRONIC DEVICE | 1 |
Kenjiro Hamanaka | JP | Tokyo | 2010-05-27 / 20100128353 - IMAGING OPTICAL SYSTEM, IMAGE READING APPARATUS AND IMAGE READING APPARATUS USING THE IMAGING OPTICAL SYSTEM | 3 |
Hideki Hamanaka | JP | Hiratsuka-Shi | 2015-10-01 / 20150273947 - Pneumatic Tire | 9 |
Yoshiyuki Hamanaka | JP | Kariya-Shi | 2014-10-16 / 20140307495 - DRIVER FOR TARGET SWITCHING ELEMENT AND CONTROL SYSTEM FOR MACHINE USING THE SAME | 19 |
Masahiro Hamanaka | JP | Kariya-Shi | 2013-03-28 / 20130078126 - COMPRESSOR | 1 |
Seinoshin Hamanaka | JP | Tokyo-To | 2008-08-21 / 20080197304 - Medical device | 2 |
Ippei Hamanaka | JP | Toyota-Shi | 2016-05-12 / 20160134163 - ROTOR STRUCTURE | 2 |
Yuuzou Hamanaka | JP | Kawasaki | 2008-08-28 / 20080202981 - CARRIER TAPE, ELECTRONIC-COMPONENT ACCOMMODATING MEMBER AND METHOD OF TRANSPORTING ELECTRONIC COMPONENT | 1 |
Takahiro Hamanaka | JP | Shizuoka | 2012-03-22 / 20120069129 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 3 |
Takayuki Hamanaka | JP | Nagano | 2009-08-20 / 20090206653 - BRAKE FLUID PRESSURE CONTROL DEVICE FOR BAR HANDLE VEHICLE | 1 |
Takeshi Hamanaka | JP | Ebina-Shi | 2012-09-27 / 20120242021 - FLUID-FILLED TYPE VIBRATION DAMPING DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Karl Hamand | US | Round Rock | 2009-03-05 / 20090061683 - EMI SHIELDING/ELECTRICAL GROUNDING MEMBERS | 1 |
Karl I. Hamand | US | Round Rock | 2013-01-24 / 20130021740 - LATCHING SYSTEM FOR A MOVEABLE COMPONENT IN A REMOVABLE IHS | 3 |
Karl Isaac Hamand | US | Round Rock | 2016-03-10 / 20160073545 - SUPPLEMENTAL STORAGE TRAY FOR INCREASING STORAGE CAPACITY WITHIN AN INFORMATION HANDLING SYSTEM | 3 |
Michael Hamand | US | Newton | 2014-10-16 / 20140306588 - MULTIPLE COMPARTMENT DISHWASHER WITH INTERIOR AND EXTERIOR CLOSURE ELEMENTS | 1 |
Ziad Hamandi | US | Lake Worth | 2014-07-24 / 20140206521 - CENTRIFUGAL SEPARATING ASSEMBLY | 1 |
Hani Hamandi | LB | Beirut | 2009-05-21 / 20090133118 - METHODS AND SYSTEMS FOR AUTOMATED AUTHENTICATION, PROCESSING AND ISSUANCE OF DIGITAL CERTIFICATES | 1 |
Shouta Hamane | JP | Kanagawa | 2011-03-17 / 20110061628 - Internal combustion engine and starting method thereof | 1 |
Shouta Hamane | JP | Chigasaki-Shi | 2013-10-03 / 20130261931 - ROAD SURFACE SLOPE ESTIMATING DEVICE AND ENGINE CONTROL APPARATUS | 4 |
Nobuaki Hamanishi | JP | Nagoya-Shi | 2009-11-26 / 20090292133 - PROCESS FOR PRODUCTION OF FATTY ACID ALKYL ESTER, AND PRODUCTION SYSTEM FOR THE PROCESS | 1 |
Christoph Hamann | DE | Thalmassing | 2014-05-29 / 20140145563 - Tubular Spring For Receiving And Pretensioning An Actuator | 8 |
Stefan Hamann | US | Cambridge | 2013-03-28 / 20130080134 - SYSTEMS AND METHODS FOR PREDICTING FAVORABLE-RISK DISEASE FOR PATIENTS ENROLLED IN ACTIVE SURVEILLANCE | 1 |
Hendrik F. Hamann | US | Mohegan Lake | 2008-12-04 / 20080295970 - SYSTEM AND METHOD OF TRANSFER PRINTING AN ORGANIC SEMICONDUCTOR | 1 |
Hendrik Hamann | US | Yorktown Heights | 2014-11-13 / 20140333443 - METHOD FOR EARLY DETECTION OF COOLING-LOSS EVENTS | 3 |
Joerg Hamann | DE | Koln | 2016-02-11 / 20160039744 - Process for Preparing Lacosamide | 2 |
Thomas Hamann | US | San Diego | 2009-04-30 / 20090112730 - Value Added Benefits Franchising | 1 |
Ingo Hamann | US | Chesterfield | 2012-12-27 / 20120328790 - Rinse Aid Compositions with Improved Characteristics | 3 |
Oliver Hamann | US | Sammamish | / - | 1 |
Scott Hamann | US | Union | 2009-10-29 / 20090266646 - Ladder Stabilizer | 1 |
David Hamann | US | Luverne | 2010-02-11 / 20100034629 - LOAD HANDLING APPARATUS FOR CARGO VEHICLE | 1 |
Frank Hamann | DE | Giesen | 2015-04-30 / 20150114803 - FRAME FOR A CONVEYOR BELT SYSTEM | 1 |
Michael E. Hamann | US | Effingham | 2009-05-28 / 20090134307 - ADJUSTABLE PEDESTAL SUPPORT | 1 |
Paul Stephen Hamann | US | Denver | 2014-01-30 / 20140032382 - COMPENSATION RECOMMENDATIONS | 1 |
Dennis C. Hamann | US | Mendham | 2013-02-28 / 20130054276 - METHOD AND SYSTEM FOR PROVIDING SERVICES TO VACATION HOMEOWNERS | 1 |
Dieter Hamann | DE | Konnersreuth | 2013-05-02 / 20130108366 - SPREADING DEVICE, PARTICULARLY FOR SPREADING CHIPPINGS | 1 |
Reinhard Hamann | DE | Bietigheim-Bissingen | 2013-12-12 / 20130327124 - METHOD FOR SETTING A TEMPERATURE OF A SENSOR ELEMENT | 1 |
David L. Hamann | US | Cincinnati | 2012-07-05 / 20120168440 - Sippy cup with snack receptacle in lid | 4 |
Michael L. Hamann | US | Caldwell | 2012-10-25 / 20120269940 - SYSTEM AND PROCESS FOR PRODUCING AGGLOMERATED POTATO PRODUCT | 2 |
Knud Hamann | DE | Appel | 2012-05-03 / 20120103882 - Device for Clarifying Wastewater Preferably on Ships | 2 |
Jorg Hamann | DE | Cologne | 2008-09-18 / 20080227995 - Process of Sulfonating 4-Aminobenzonitriles | 1 |
Horst Hamann | DE | Russelsheim | 2008-11-13 / 20080277233 - Clutch for a Motor Vehicle | 1 |
Georg Hamann | DE | Schleching | 2008-12-25 / 20080315591 - Device and System for Producing Regenerative and Renewable Hydraulic Energy | 2 |
Hans-Jürgen Hamann | DE | Dormagen | 2015-03-19 / 20150080387 - MEDICAMENT FORMULATIONS COMPRISING FLUOROQUINOLONES | 2 |
Lawrence G. Hamann | US | North Grafton | 2015-04-16 / 20150105394 - CYCLOLIC HYDRAZINE DERIVATIVES AS HIV ATTACHMENT INHIBITORS | 31 |
John Hamann | US | Gulf Stream | 2014-08-21 / 20140230571 - APPARATUS TO MEASURE THE POWER EXPENDED IN PROPELLING A VESSEL ON WATER | 1 |
Antje Hamann | DE | Dieskau | 2010-05-20 / 20100125086 - USE OF ISOQC INHIBITORS | 3 |
Christoph Hamann | DE | Thalmassing | 2014-05-29 / 20140145563 - Tubular Spring For Receiving And Pretensioning An Actuator | 8 |
Dennis C. Hamann | US | Phoenix | 2015-10-01 / 20150278761 - Method and System for Providing Services to Vacation Homeowners | 2 |
Hans-Juergen Hamann | DE | Dormagen | 2015-12-03 / 20150342889 - TABLETS WITH IMPROVED ACCEPTANCE AND GOOD STORAGE STABILITY | 8 |
Axel Hamann | DE | Kerpen | 2015-04-23 / 20150112038 - METHOD FOR COOLING A GAS-PHASE REACTOR FOR THE POLYMERIZATION OF OLEFINS | 2 |
Evemarie Hamann | DE | Halle | 2016-04-07 / 20160096912 - LOW VINYL STYRENE-BUTADIENE POLYMERS AND METHODS OF MAKING THE SAME | 11 |
Jean-Christophe Hamann | FR | La Baule | 2011-01-13 / 20110005361 - WATER JET CUTTING DEVICE WITH IMPROVED PART-HOLDING DEVICE | 2 |
Evernarie Hamann | DE | Halle | 2010-04-08 / 20100087612 - MONOVINYLIDENE AROMATIC POLYMERS COMPRISING SULFANYLSILANE FUNCTIONALIZED ELASTOMERIC POLYMERS | 1 |
Lawrence G. Hamann | US | Cherry Hill | 2011-05-12 / 20110112084 - Bicyclic androgen and progesterone receptor modulator compounds and methods | 2 |
Jason Hamann | US | Blaine | 2013-09-26 / 20130253615 - AUTOMATIC SELECTION OF LEAD CONFIGURATION FOR A NEURAL STIMULATION LEAD | 3 |
Richard Hamann | US | Salina | 2010-06-24 / 20100156126 - MODULAR SHOVEL FOR USE WITH AN ADAPTER ASSEMBLY | 1 |
Hendrik F. Hamann | US | Yorktown Hights | 2015-06-11 / 20150161779 - Large-Area Monitoring Using Infrared Imaging System | 1 |
Philip Ross Hamann | US | Thiells | 2014-10-16 / 20140308302 - ANTI-5T4 ANTIBODIES AND USES THEREOF | 6 |
Blake Hamann | US | Linthicum | 2010-07-15 / 20100179141 - NOVEL JNK INHIBITORS | 1 |
Stefan Hamann | US | New Rochelle | 2010-07-22 / 20100184093 - Systems and methods for treating, diagnosing and predicting the occurrence of a medical condition | 1 |
Lawrence G. Hamann | US | Cambridge | 2011-03-31 / 20110077395 - INHIBITORS OF 11-BETA HYDROXYSTEROID DEHYDROGENASE TYPE I | 1 |
Jason J. Hamann | US | Blaine | 2016-05-12 / 20160129257 - METHODS AND APPARATUS FOR ADJUSTING NEUROSTIMULATION INTENSITY USING EVOKED RESPONSES | 58 |
Mark T. Hamann | US | University | 2010-09-16 / 20100234311 - Methicillin-Resistant Staphylococcus Aureus Active Metabolites | 1 |
Joerg Hamann | DE | Cologne | 2014-01-16 / 20140018577 - Process for Preparing Lacosamide | 1 |
Joseph Hamann | US | Orange | 2016-03-31 / 20160092353 - ESTABLISHING COLD STORAGE POOLS FROM AGING MEMORY | 1 |
Larry R. Hamann | US | Raleigh | 2016-02-25 / 20160055241 - SHARING RESOURCES AMONG REMOTE REPOSITORIES UTILIZING A LOCK FILE IN A SHARED FILE SYSTEM OR A NODE GRAPH IN A PEER-TO-PEER SYSTEM | 2 |
Richard Edward Hamann | US | New Berlin | 2016-04-14 / 20160102230 - Polyolefin-Based Hot Melt Adhesives With Improved Processing And Bonding Performance | 1 |
Curtis P. Hamann | US | Paradise Valley | 2015-07-30 / 20150208743 - Polylactic Acid Gloves and Methods of Manufacturing Same | 10 |
Christin Hamann | CH | Widen | 2012-01-26 / 20120022209 - STORAGE-STABLE TWO-COMPONENT SILICONE ADHESIVES AND SEALANTS WITH EXTENDED MIXER OPEN TIME | 1 |
Mike Hamann | US | Caldwell | 2016-02-04 / 20160029674 - RICING SYSTEM WITH SLOTTED RICER PLATE AND METHOD | 1 |
Peter James Hamann | US | Fayetteville | 2016-02-04 / 20160033221 - FIREARM ACCESSORY | 1 |
Hans-Juergen Hamann | DE | Dormagen | 2015-12-03 / 20150342889 - TABLETS WITH IMPROVED ACCEPTANCE AND GOOD STORAGE STABILITY | 8 |
Jens Hamann | DE | Furth | 2015-12-10 / 20150355647 - APPARATUS AND METHOD FOR CONTROLLING AND REGULATING A MULTI-ELEMENT SYSTEM | 16 |
Ulrich Hamann | DE | Berlin | 2012-12-06 / 20120311719 - DOCUMENT | 1 |
Mark T. Hamann | US | Oxford | 2010-10-28 / 20100273726 - METHICILLIN-RESISTANT STAPHYLOCOCCUS AUREUS ACTIVE METABOLITES ISOLATED FROM LAURUS NOBILIS AND COMBINATIONS THEREOF | 2 |
Hans-Jürgen Hamann | DE | Dormagen | 2009-06-25 / 20090163484 - PHARMACEUTICALS CONTAINING FLUOROQUINOLONES | 1 |
Jens Hamann | DE | Fürth | 2009-09-03 / 20090218473 - MACHINE WITH SUPPORT ELEMENTS | 2 |
Mark T. Hamann | US | 2012-02-02 / 20120029010 - METHOD TO USE COMPOSITIONS HAVING ANTIDEPRESSANT ANXIOLYTIC AND OTHER NEUROLOGICAL ACTIVITY AND COMPOSITIONS OF MATTER | 1 | |
Hendrik F. Hamann | US | Yortown Heights | 2014-09-11 / 20140257740 - Real-Time Modeling of Heat Distributions | 1 |
Matthew T. Hamann | US | Matthews | 2015-07-16 / 20150200981 - PROVIDING GEOLOCATION NOTIFICATIONS TO INCREASE COLLABORATION BETWEEN USERS | 1 |
Dennis Hamann | US | Phoenix | 2014-11-27 / 20140347000 - Modular Tablet Case | 1 |
Axel Hamann | DE | Kerpen-Horrem | 2014-04-24 / 20140114038 - METHOD AND APPARATUS FOR DISCHARGING A POLYMER FROM A GAS-PHASE REACTOR | 1 |
Robert A. Hamann | US | Oak Creek | 2014-03-27 / 20140084685 - SYSTEMS AND METHODS FOR STABILIZING POWER RATE OF CHANGE WITHIN GENERATOR BASED APPLICATIONS | 1 |
Richard Hamann | US | New Berlin | 2014-11-27 / 20140350155 - Hot Melt Adhesive Based On Low Melting Point Polypropylene Homopolymers | 1 |
Russell A. Hamann | US | Orange | 2016-03-31 / 20160092353 - ESTABLISHING COLD STORAGE POOLS FROM AGING MEMORY | 1 |
Evemarie Hamann | DE | Halle | 2016-04-07 / 20160096912 - LOW VINYL STYRENE-BUTADIENE POLYMERS AND METHODS OF MAKING THE SAME | 11 |
Curtis P. Hamann | US | Paradise Valley | 2015-07-30 / 20150208743 - Polylactic Acid Gloves and Methods of Manufacturing Same | 10 |
Ingo Hamann | US | Chester | 2014-12-18 / 20140369906 - ENRICHMENT OF METAL SULFIDE ORES BY OXIDANT ASSISTED FROTH FLOTATION | 1 |
Hendrik F. Hamann | US | Yorktown Heights | 2016-05-19 / 20160139607 - REMOTE DIAGNOSTICS OF WATER DISTRIBUTION SYSTEMS | 84 |
Shuji Hamano | JP | Osaka | 2012-04-19 / 20120093708 - DEVICE AND METHOD FOR EXTRACTING AND SEPARATING SODIUM AND POTASSIUM | 1 |
Takuya Hamano | JP | Kawasaki-Shi | 2011-10-06 / 20110241467 - PERMANENT MAGNET MOTOR | 2 |
Yusuke Hamano | JP | Utsunomiya-Shi, Tochigi-Ken | 2016-01-28 / 20160027281 - NAVIGATION SYSTEM | 1 |
Yuichi Hamano | JP | Yokohama-Shi | 2012-01-12 / 20120006928 - SEATBELT DEVICE | 1 |
Makoto Hamano | JP | Chiba | 2011-12-01 / 20110291048 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Tetsutsugu Hamano | JP | Fukuroi-Shi | 2010-05-06 / 20100108117 - THERMOELECTRIC MODULE PACKAGE AND MANUFACTURING METHOD THEREFOR | 1 |
Yuko Hamano | JP | Tokushima | 2010-05-13 / 20100120996 - METHOD FOR PRODUCING CRYSTALLINE POLYOXYALKYLENE POLYOL, AND RESIN OBTAINED BY USING THE SAME AS RAW MATERIAL | 1 |
Terufumi Hamano | JP | Tokyo | 2012-10-11 / 20120256590 - BATTERY PACK, ELECTRIC TOOL AND BATTERY CHARGER | 5 |
Tomohisa Hamano | JP | Tokyo | 2012-06-07 / 20120143687 - INFORMATION PROVIDING SYSTEM | 3 |
Atsushi Hamano | JP | Tokyo | 2010-03-11 / 20100064043 - Information Processing Method and Information Processing Apparatus | 2 |
Akihito Hamano | JP | Bunkyo | 2014-12-25 / 20140379733 - EFFECT ANALYSIS METHOD, AND MANAGEMENT DEVICE | 1 |
Hiroshi Hamano | JP | Tokyo | 2009-12-31 / 20090322852 - Composite semiconductor device, print head and image forming apparatus | 1 |
Seiji Hamano | JP | Tokyo | 2015-11-19 / 20150333303 - Rack Structure, Power Storage System, and Method of Assembling Rack Structure | 3 |
Tetsu Hamano | JP | Tokyo | 2013-08-15 / 20130207337 - RECORDING MEDIUM SUPPLY DEVICE AND RECORDING APPARATUS | 8 |
Hirokazu Hamano | JP | Kanagawa | 2014-09-25 / 20140287352 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, TONER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 15 |
Tomoyuki Hamano | JP | Kanagawa-Ken | 2014-09-25 / 20140286104 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Yutaka Hamano | JP | Tokyo | 2013-01-03 / 20130000064 - CLEANING DEVICE | 1 |
Yuichi Hamano | JP | Kanagawa | 2012-02-23 / 20120043407 - SEATBELT RETRACTOR | 1 |
Takayuki Hamano | JP | Osaka | 2014-05-29 / 20140147936 - METHOD FOR DETERMINING PROGNOSIS OF RENAL FAILURE | 1 |
Fumio Hamano | JP | Tokyo | 2014-03-13 / 20140070534 - POWER GENERATING APPARATUS OF RENEWABLE ENERGY TYPE AND OPERATION METHOD THEREOF | 3 |
Tetsu Hamano | JP | Tokyo | 2013-08-15 / 20130207337 - RECORDING MEDIUM SUPPLY DEVICE AND RECORDING APPARATUS | 8 |
Shigemichi Hamano | JP | Abiko-Shi | 2015-02-26 / 20150055157 - IMAGE READING APPARATUS HAVING FLOW-READING MODE IN WHICH SHEET BEING CONVEYED IS READ | 8 |
Hideyuki Hamano | JP | Kawasaki-Shi | 2016-05-05 / 20160127637 - IMAGE CAPTURING APPARATUS AND METHOD FOR CONTROLLING IMAGE CAPTURING APPARATUS | 26 |
Kenji Hamano | JP | Osaka | 2010-07-08 / 20100170716 - TERMINAL PLATE CIRCUIT USING A PRESSING MEMBER | 3 |
Mitsuharu Hamano | JP | Kawasaki | 2011-09-15 / 20110223875 - ELECTRONIC APPARATUS, TRANSMITTER, AMPLIFIER, AND AMPLIFIER CONTROL METHOD | 3 |
Tatsuyuki Hamano | JP | Hachioji-Shi | 2015-09-03 / 20150246147 - METHOD FOR COLLECTING METAL-CONTAINING FULLERENE | 1 |
Kojiro Hamano | JP | Osaka-Shi | 2015-09-03 / 20150247269 - BRAID | 1 |
Hiroyuki Hamano | JP | Saitama-Shi | 2012-12-06 / 20120307373 - ZOOM LENS AND IMAGE PICKUP APPARATUS EQUIPPED WITH ZOOM LENS | 1 |
Tsubassa Hamano | JP | Shiga | 2014-04-03 / 20140091235 - SCINTILLATOR PANEL AND METHOD FOR MANUFACTURING SCINTILLATOR PANEL | 1 |
Hiroshi Hamano | JP | Hitachinaka | 2016-05-19 / 20160141926 - Rotary Electrical Machine, and Rotor for Rotary Electrical Machine | 3 |
Junichi Hamano | JP | Yokosuka | 2013-11-28 / 20130318103 - PRODUCTS INFORMATION MANAGEMENT ASSISTANCE APPARATUS | 2 |
Mitsumasa Hamano | JP | Kanagawa-Ken | 2014-05-22 / 20140138522 - PHOTOELECTRIC CONVERSION DEVICE VAPOR DEPOSITION MATERIAL, PHOTOELECTRIC CONVERSION DEVICE, SENSOR, AND IMAGING DEVICE | 1 |
Masahiro Hamano | JP | Shizuoka | 2012-06-07 / 20120143448 - AUTOMATIC TRANSMISSION | 1 |
Takenobu Hamano | JP | Tokyo | 2016-02-11 / 20160041345 - OPTICAL CONNECTOR CLEANING TOOL | 1 |
Yoshimitsu Hamano | JP | Fukui | 2013-02-28 / 20130052684 - METHOD FOR PRODUCING USEFUL SUBSTANCES BY A RECOMBINANT ACTINOMYCETE, STREPTOMYCES SPECIES | 1 |
Yusuke Hamano | JP | Kawasaki | 2013-02-07 / 20130035118 - MOBILE WIRELESS COMMUNICATION DEVICE AND A COMPUTER-READABLE RECORDING MEDIUM | 1 |
Takafumi Hamano | JP | Fukuoka | 2013-07-11 / 20130176541 - OPTICAL COMPONENT, LASER LIGHT SOURCE APPARATUS AND IMAGE DISPLAY APPARATUS EACH INCLUDING THE OPTICAL COMPONENT AND MANUFACTURING METHODS THEREFOR | 2 |
Motonori Hamano | JP | Saitama | 2012-03-08 / 20120056533 - CERAMIC METAL HALIDE LAMP | 1 |
Mitsuhiro Hamano | JP | Kyoto | 2014-07-31 / 20140213830 - METHOD FOR PRODUCING ABSOLUTE ALCOHOL AND ABSOLUTE ALCOHOL | 1 |
Takao Hamano | JP | Kobe | 2011-06-23 / 20110148135 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Takao Hamano | JP | Kobe-Shi | 2011-06-23 / 20110148144 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Masahiro Hamano | JP | Numazu-Shi | 2012-01-26 / 20120021870 - TORQUE DOWN CONTROL APPARATUS AND METHOD FOR AUTOMOTIVE VEHICLE | 1 |
Seiji Hamano | JP | Hyogo | 2015-11-12 / 20150323309 - WINDING DEVICE, WINDING METHOD, INSPECTION DEVICE AND STRUCTURE MANUFACTURING METHOD | 10 |
Hiroki Hamano | JP | Osaka | 2013-11-28 / 20130313267 - REFRIGERATOR AND VACUUM HEAT INSULATING MATERIAL FOR USE IN REFRIGERATOR | 1 |
Hiroaki Hamano | JP | Kitakyushu-Shi | 2013-10-31 / 20130284770 - SLIDING NOZZLE PLATE AND SLIDING NOZZLE DEVICE USING THE SAME | 1 |
Satoshi Hamano | JP | Tokyo | 2014-06-19 / 20140167773 - SECONDARY-BATTERY MAXIMUM-CAPACITY MEASURING APPARATUS | 2 |
Yukiko Hamano | JP | Kanagawa | 2011-06-02 / 20110128602 - OPTICAL SCAN UNIT, IMAGE PROJECTOR INCLUDING THE SAME, VEHICLE HEAD-UP DISPLAY DEVICE, AND MOBILE PHONE | 5 |
Mitsumasa Hamano | JP | Kanagawa | 2014-12-25 / 20140374733 - PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR USING THE SAME, IMAGING DEVICE, PHOTOSENSOR, AND COMPOUND | 5 |
Hirokazu Hamano | JP | Kanagawa | 2014-09-25 / 20140287352 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, TONER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 15 |
Kiyoshi Hamano | JP | Kanagawa | 2010-06-17 / 20100152467 - METHOD FOR PRODUCING CERCOSPORAMIDE | 1 |
Yasunori Hamano | JP | Yokohama-Shi | 2012-01-26 / 20120020871 - METHOD AND APPARATUS FOR MANUFACTURING PERCHLORATE | 1 |
Hiroki Hamano | JP | Chuo-Ku | 2015-02-05 / 20150038495 - THERAPEUTIC AGENT FOR PAIN | 2 |
Royce Hamano | US | Los Angeles | 2015-11-26 / 20150341699 - SYSTEMS AND METHODS FOR PROVIDING MEDIA CONTENT LISTINGS ACCORDING TO POINTS OF INTEREST | 4 |
Akihide Hamano | JP | Ibaraki | 2010-10-28 / 20100271618 - CARRIER CONCENTRATION MEASURING DEVICE AND CARRIER CONCENTRATION MEASURING METHOD | 1 |
Miles M. Hamano | US | Honolulu | 2010-02-25 / 20100043814 - SYSTEM AND METHOD FOR APPLYING AND REMOVING COSMETIC HAIR USING BIOMIMETIC MICROSTRUCTURE ADHESIVE LAYER | 2 |
Royce Matsusei Hamano | US | Los Angeles | 2015-07-16 / 20150201240 - MAINTAINING A USER PROFILE BASED ON DYNAMIC DATA | 5 |
Shigemichi Hamano | JP | Abiko-Shi | 2015-02-26 / 20150055157 - IMAGE READING APPARATUS HAVING FLOW-READING MODE IN WHICH SHEET BEING CONVEYED IS READ | 8 |
Toshihiro Hamano | JP | Tokyo | 2016-05-05 / 20160124373 - IMAGE FORMING APPARATUS | 2 |
Yuichiro Hamano | JP | Kawasaki | 2012-10-18 / 20120263114 - Access Network Selection Method | 1 |
Ryo Hamano | JP | Matsumoto-Shi | 2016-02-18 / 20160046137 - PRINTING APPARATUS | 7 |
Toshio Hamano | JP | Yokohama-Shi | 2012-01-19 / 20120013059 - COMPRESSION COIL SPRING AND MANUFACTURING DEVICE AND MANUFACTURING METHOD FOR COIL SPRING | 1 |
Hiroshi Hamano | JP | Hitachinaka-Shi | 2014-12-11 / 20140361646 - Rotating Electric Machine and Vehicle Equipped with Rotating Electric Machine | 2 |
Takashi Hamano | JP | Tokyo | 2015-04-30 / 20150120851 - MESSAGE-BROWSING SYSTEM, SERVER, TERMINAL DEVICE, CONTROL METHOD, AND RECORDING MEDIUM | 3 |
Shinichi Hamano | JP | Ayabe | 2014-09-25 / 20140286729 - TAPPING SCREW | 2 |
Tsubasa Hamano | JP | Otsu-Shi, Shiga | 2015-10-29 / 20150309190 - SCINTILLATOR PANEL AND METHOD FOR MANUFACTURING THE SAME (AS AMENDED) | 2 |
Yoshimitu Hamano | JP | Fukui | 2010-09-30 / 20100248305 - POLYAMINO ACID SYNTHETASE AND GENE ENCODING THE SAME | 1 |
Kimio Hamano | JP | Fukui | 2010-08-19 / 20100209731 - SURFACE ORNAMENTAL STRUCTURE OF AN ARTICLE AND A METHOD FOR ORNAMENTALLY WORKING THE SURFACE STRUCTURE OF THE ARTICLE | 1 |
Seiji Hamano | JP | Hyogo | 2015-11-12 / 20150323309 - WINDING DEVICE, WINDING METHOD, INSPECTION DEVICE AND STRUCTURE MANUFACTURING METHOD | 10 |
Hitoshi Hamano | JP | Ishikawa | 2010-08-05 / 20100197431 - CHAIN TENSIONER | 2 |
Takashi Hamano | JP | Kawasaki | 2011-09-22 / 20110231536 - GROUP MANAGEMENT DEVICE | 14 |
Tomoaki Hamano | JP | Shinagawa-Ku | 2011-08-25 / 20110208729 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM | 1 |
Kenji Hamano | JP | Okayama | 2015-02-26 / 20150054228 - GASKET | 1 |
Takafumi Hamano | JP | Musashino-Shi | 2015-04-16 / 20150103673 - FRAME TRANSMISSION APPARATUS AND METHOD FOR CHECKING NORMALITY | 1 |
Hiroshi Hamano | JP | Hyogo | 2014-01-16 / 20140015444 - LIGHTING DEVICE | 2 |
Ryo Hamano | JP | Matsumoto | 2012-06-14 / 20120147076 - LIQUID EJECTING APPARATUS | 3 |
Masashi Hamano | JP | Shiki-Shi | 2013-08-22 / 20130213577 - LABELING DEVICE | 1 |
Yasunori Hamano | JP | Tokyo | 2013-10-24 / 20130277202 - METHOD FOR MANUFACTURING OZONE ICE AND APPARATUS FOR MANUFACTURING OZONE ICE | 4 |
Kenichi Hamano | JP | Tokyo | 2015-12-10 / 20150354090 - SIC EPITAXIAL WAFER PRODUCTION METHOD | 6 |
Youichirou Hamano | JP | Wako-Shi | 2015-04-02 / 20150090518 - VEHICLE BODY STRUCTURE | 1 |
Keisuke Hamano | JP | Sagamihara-Shi | 2013-06-13 / 20130151091 - CONTROL DEVICE FOR VEHICLE | 1 |
Hiroyuki Hamano | JP | Sagamihara | 2015-10-01 / 20150276497 - TEMPERATURE MEASUREMENT DEVICE, INTEGRATED CIRCUIT, AND TEMPERATURE MEASUREMENT METHOD | 1 |
Shinya Hamano | JP | Numazu | 2015-10-01 / 20150277767 - STORAGE MANAGEMENT APPARATUS, AND PERFORMANCE ADJUSTING METHOD | 1 |
Akira Hamano | JP | Shiga | 2014-01-02 / 20140000007 - HIGHLY FUNCTIONAL POLYETHYLENE FIBER, AND DYED HIGHLY FUNCTIONAL POLYETHYLENE FIBER | 3 |
Kuniyuki Hamano | JP | Kanagawa | 2012-08-30 / 20120220140 - DEVICE AND METHOD FOR FORMING LOW-TEMPERATURE POLYSILICON FILM | 1 |
Katsuyoshi Hamano | JP | Toyama | 2015-05-28 / 20150147894 - Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium | 2 |
Masaya Hamano | JP | Tokyo | 2015-10-08 / 20150282780 - MEDICAL DIAGNOSTIC IMAGING SYSTEM | 1 |
Hiromitsu Hamano | JP | Ina-Shi | 2015-10-08 / 20150282510 - DRIED COMPOSITION AND FOOD PRODUCT CONTAINING THE SAME | 1 |
Katsuyoshi Hamano | JP | Toyama-Shi | 2016-03-24 / 20160086818 - SUBSTRATE PROCESSING APPARATUS AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Yohei Hamano | JP | Yokohama-Shi | / - | 1 |
Soji Hamano | JP | Yokohama-Shi | 2010-11-11 / 20100282255 - MEDICINE EJECTION DEVICE AND CONTROL METHOD THEREFOR | 6 |
Akihide Hamano | JP | Tsukuba-Shi | 2013-09-26 / 20130249573 - ELECTRICAL RESISTANCE MEASUREMENT APPARATUS AND ELECTRICAL RESISTANCE MEASUREMENT METHOD | 2 |
Masato Hamano | JP | Toyota-Shi | 2016-05-19 / 20160141695 - MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL | 4 |
Shinsuke Hamano | JP | Kyoto | 2013-07-04 / 20130171015 - FAN MOTOR | 2 |
Masami Hamano | JP | Yokohama-Shi | 2009-12-03 / 20090299696 - DAMAGE PROBABILITY CALCULATING APPARATUS, DAMAGE PROBABILITY CALCULATING METHOD, MAINTENANCE METHOD, AND DAMAGE PROBABILITY CALCULATING PROGRAM | 1 |
Takehiro Hamano | JP | Yokkaichi-City | 2014-05-08 / 20140127430 - METHOD FOR PRODUCING VACUUM INSULATION MATERIAL | 1 |
Tomoyuki Hamano | JP | Yokohama-Shi | 2013-10-24 / 20130279255 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR CONTROLLING THE SAME | 5 |
Sinnichi Hamano | JP | Kyoto | 2014-04-17 / 20140105705 - TAPPING SCREW | 1 |
Takaichi Hamano | JP | Misato-Shi | 2014-01-16 / 20140018537 - GPR119 AGONIST | 1 |
Mitsuharu Hamano | JP | Sendai | 2015-10-01 / 20150280947 - WIRELESS TRANSMISSION DEVICE | 5 |
Hiroshi Hamano | JM | Hyogo | 2013-11-14 / 20130299851 - LIGHTING DEVICE | 1 |
Masahiro Hamano | JP | Himeji-Shi | 2013-05-09 / 20130116900 - ABNORMAL STATE DIAGNOSIS METHOD FOR OIL TEMPERATURE SENSOR OF AUTOMATIC TRANSMISSION | 1 |
Takashi Hamano | JP | Minato-Ku | 2013-05-02 / 20130109475 - GAME SYSTEM, CONTROL METHOD THEREFOR, AND A STORAGE MEDIUM STORING A COMPUTER PROGRAM | 2 |
Fumiya Hamano | JP | Matsubara | 2009-01-08 / 20090011034 - Sustained release micropellets and process for producing the same | 1 |
Takayoshi Hamano | JP | Chita-Shi | 2009-01-15 / 20090014677 - FUEL INJECTION VALVE | 1 |
Koji Hamano | JP | Narashino | 2009-02-05 / 20090034309 - Electric power conversion system | 1 |
Hidemitsu Hamano | JP | Oyama-Shi | 2009-02-19 / 20090044591 - FORGING METHOD, FORGED PRODUCT AND FORGING APPARATUS | 1 |
Yuichiro Hamano | JP | Chiba-Shi | 2009-08-13 / 20090201347 - Ink supplying system for ink jet printer, ink supplying method for ink jet printer, and ink jet printer | 1 |
Daisuke Hamano | JP | Hachioji | 2012-12-06 / 20120307874 - CDR CIRCUIT, RECEIVER, AND TRANSMITTING-RECEIVING SYSTEM | 6 |
Toshiyuki Hamano | JP | Mie-Ken | 2009-10-22 / 20090264611 - Polybutylene terephthalate | 2 |
Shokichi Hamano | JP | Tokorozawa-Shi | 2009-11-19 / 20090286073 - Adhesive Film | 1 |
Shokichi Hamano | JP | Saitama | 2010-02-04 / 20100025100 - ELECTRICALLY CONDUCTIVE POLYMERIC ELASTOMER COMPOSITION AND ELECTROMAGNETIC WAVE SHIELD COMPRISING THE COMPOSITION | 1 |
Yukiko Hamano | JP | Kawasaki-Shi | 2010-02-11 / 20100033685 - LIGHTING DEVICE AND PROJECTION IMAGE DISPLAY UNIT | 2 |
Yasuo Hamano | JP | Kanagawa-Ken | 2012-05-24 / 20120131093 - CONNECTION DISTRIBUTION FOR LOAD BALANCING IN A DISTRIBUTED DATABASE | 2 |
Shuichi Hamano | JP | Saitama-Shi | 2010-03-11 / 20100060950 - DOCUMENT READING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Hidemitsu Hamano | JP | Tochigi | 2010-10-07 / 20100252534 - METHOD FOR PROCESSING METAL MOLDING MEMBER HAVING FINE CONFIGURATION, METHOD FOR MANUFACTURING METAL MOLDING MEMBER, EXTRUSION DIE, METHOD FOR MANUFACTURING EXTRUDED MEMBER, AND EXTRUDED MEMBER | 1 |
Hideyuki Hamano | JP | Kawasaki-Shi | 2016-05-05 / 20160127637 - IMAGE CAPTURING APPARATUS AND METHOD FOR CONTROLLING IMAGE CAPTURING APPARATUS | 26 |
Toshiyuki Hamano | JP | Mie | 2013-03-14 / 20130066038 - ALIPHATIC POLYESTER RESIN AND ITS PRODUCTION METHOD | 6 |
Mitsumasa Hamano | JP | Ashigarakami-Gun | 2015-05-14 / 20150129861 - ORGANIC MATERIAL FOR DEPOSITION, AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT, IMAGING ELEMENT, DEPOSITION METHOD, AND MANUFACTURING METHOD FOR ORGANIC PHOTOELECTRONIC ONVERSION ELEMENT OBTAINED USING THE SAME | 7 |
Toshihiro Hamano | JP | Mito City | 2011-04-28 / 20110097094 - DEVELOPER TRANSPORT UNIT, IMAGE FORMING APPARATUS, METHOD OF TRANSPORTING DEVELOPER, PROGRAM FOR TRANSPORTING DEVELOPER, AND STORAGE MEDIUM STORING THE PROGRAM | 1 |
Masatoshi Hamano | JP | Hiroshima-Shi | 2011-05-05 / 20110104511 - METHOD OF BONDING METALLIC MEMBERS, AND METALLIC BONDED BODY | 1 |
Morihiro Hamano | JP | Kyoto-Fu | 2011-05-05 / 20110102123 - MULTILAYER INDUCTOR | 1 |
Hiroyuki Hamano | JP | Koga-Shi | 2008-11-06 / 20080273249 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING THE SAME | 1 |
Manabu Hamano | JP | Utsunomiya City | 2014-09-18 / 20140273503 - METHODS OF GAS DISTRIBUTION IN A CHEMICAL VAPOR DEPOSITION SYSTEM | 7 |
Manabu Hamano | JP | Utsunomiya | 2009-07-02 / 20090165721 - Susceptor with Support Bosses | 1 |
Yoko Hamano | JP | Tokyo | 2012-02-16 / 20120037341 - Fluid Cooling | 1 |
Kaori Hamano | JP | Tokyo | 2013-03-07 / 20130056014 - FACTOR REGULATING COMPONENT IN PLANT, AND USE THEREOF | 1 |
Hiroshi Hamano | JP | Kawasaki | 2009-07-30 / 20090190931 - OPTICAL LINE TERMINAL | 1 |
Satoshi Hamano | JP | Matsumoto-Shi | 2014-02-06 / 20140036000 - RECORDING APPARATUS | 2 |
Yoshiaki Hamano | JP | Tokyo | 2015-11-26 / 20150340683 - POSITIVE ELECTRODE MATERIAL FOR LITHIUM SECONDARY BATTERY | 1 |
Takaichi Hamano | JP | Saitama | 2011-06-09 / 20110137032 - GPR119 AGONIST | 1 |
Shotaro Hamao | JP | Kawasaki | 2015-07-23 / 20150208503 - PRINTED WIRING BOARD AND INFORMATION PROCESSING APPARATUS | 1 |
Tamotsu Hamao | JP | Kyoto-Shi | 2012-01-26 / 20120018280 - TRANSFERRED OBJECT ROTATING DEVICE | 1 |
Toshikazu Hamao | JP | Fukuoka | 2009-01-15 / 20090015076 - CANNED LINEAR MOTOR ARMATURE AND CANNED LINEAR MOTOR | 2 |
Masahiro Hamaogi | JP | Yokohama-Shi | 2014-05-01 / 20140117756 - POWER STORAGE SYSTEM AND POWER SOURCE SYSTEM | 1 |
Kenji Hamaogi | JP | Inba-Gun | 2009-07-16 / 20090180935 - Metal tube for pyrolysis reaction | 1 |
Masahiro Hamaogi | JP | Odawara | 2011-04-28 / 20110096576 - POWER FACTOR CORRECTION DEVICE AND CONTROL METHOD THEREOF | 4 |
Akihiro Hamaoka | JP | Tokyo | 2014-12-18 / 20140369676 - PON SYSTEM, OLT, AND ONU | 1 |
Shunji Hamaoka | JP | Osaka-Shi, Osaka | 2016-05-19 / 20160138462 - ENGINE | 1 |
Yosuke Hamaoka | JP | Kadoma-Shi | 2016-02-04 / 20160036414 - ELASTIC WAVE DEVICES | 2 |
Mika Hamaoka | JP | Daito-Shi | 2015-02-12 / 20150043320 - OPTICAL PICKUP AND OPTICAL DISC DEVICE | 2 |
Seiji Hamaoka | JP | Saitama | 2010-04-01 / 20100082210 - SHIFT CONTROLLER | 6 |
Shinichi Hamaoka | JP | Tsukuba-Shi | 2012-01-05 / 20120004315 - Selective Estrogen Receptor Modulator | 2 |
Fukutaro Hamaoka | JP | Musashino-Shi | 2015-08-20 / 20150236796 - DIGITAL SIGNAL PROCESSING DEVICE | 1 |
Yoshiyuki Hamaoka | JP | Tokyo | 2014-03-27 / 20140084867 - SECONDARY BATTERY DEVICE AND BATTERY CAPACITY ESTIMATION SYSTEM | 1 |
Akio Hamaoka | JP | Hadano | 2011-10-13 / 20110248205 - ALUMINUM POROUS BODY AND FABRICATION METHOD OF SAME | 1 |
Shinichi Hamaoka | JP | Shimabara-Shi | 2009-12-24 / 20090318690 - Benzisoxazole Compound | 1 |
Hirofumi Hamaoka | JP | Kobe | 2009-05-28 / 20090135089 - In-Vehicle Display Apparatus | 1 |
Yosuke Hamaoka | JP | Osaka | 2015-08-20 / 20150236238 - ELASTIC WAVE ELEMENT AND LADDER FILTER USING SAME | 9 |
Seiji Hamaoka | JP | Wako-Shi | 2014-10-02 / 20140290431 - VEHICLE DRIVING FORCE TRANSMISSION STRUCTURE | 3 |
Yosuke Hamaoka | JP | Osaka | 2015-08-20 / 20150236238 - ELASTIC WAVE ELEMENT AND LADDER FILTER USING SAME | 9 |
Mika Hamaoka | JP | Osaka | 2008-11-27 / 20080291808 - Optical pickup device | 1 |
Osamu Hamaoka | JP | Osaka | 2010-04-22 / 20100097919 - OPTICAL PICKUP APPARATUS | 1 |
Takashi Hamaoka | JP | Kariya-City | 2012-03-15 / 20120060638 - ACCELERATOR APPARATUS | 1 |
Yuji Hamaoka | JP | Tokyo | 2016-02-25 / 20160056571 - CONNECTOR ASSEMBLY | 4 |
Shinichi Hamaoka | JP | Ibaraki | 2010-10-21 / 20100267754 - INTEGRIN EXPRESSION INHIBITOR | 1 |
Atsushi Hamaoka | JP | Musashino-Shi | 2014-05-22 / 20140140668 - MECHANICAL SPLICE UNIT, MECHANICAL SPLICING TOOL, AND OPTICAL FIBER SPLICING METHOD | 1 |
Yasuhiro Hamaoka | JP | Kariya-City | 2013-01-03 / 20130000577 - VARIABLE VALVE TIMING APPARATUS | 5 |
Renee Hamaoui | US | Hartsdale | 2013-01-17 / 20130017300 - Method of Preparing an Oat-Containing Dairy Beverage | 1 |
Salem Hamaoui | US | Largo | 2009-10-01 / 20090249218 - COMPUTER SYSTEM AND METHOD FOR PRESENTING CUSTOM VIEWS BASED UPON TIME AND/OR LOCATION | 4 |
Jens C. Hamar | US | Moreno Valley | 2009-01-22 / 20090019760 - HOLLOW FISHING LURE WITH INTERNAL SWIMMING MECHANISM | 1 |
Peter Hamar | HU | Budapest | 2008-09-18 / 20080227733 - Method for Treating and Preventing Ischemia-Reperfusion Injury Using Rna Interfering Agent | 1 |
Dusan Hamar | SK | Bratislava | 2014-07-24 / 20140208235 - DYNAMIC INFORMATION MANAGEMENT SYSTEM AND METHOD FOR CONTENT DELIVERY AND SHARING IN CONTENT-, METADATA- & VIEWER-BASED, LIVE SOCIAL NETWORKING AMONG USERS CONCURRENTLY ENGAGED IN THE SAME AND/OR SIMILAR CONTENT | 2 |
Martin R. Hamar | US | Wilton | 2014-04-24 / 20140111866 - OPTICAL ASSEMBLY AND LASER ALIGNMENT APPARATUS | 2 |
Douglas J. Hamar | US | Hancock | 2011-06-23 / 20110149558 - LIGHTED FLOORING | 1 |
James M. Hamar | US | Strongsville | 2015-06-25 / 20150175139 - Relay Valve Control Arrangement to Provide Variable Response Timing on Full Applications | 2 |
Arto Hamara | FI | Turku | 2010-12-23 / 20100322355 - METHODS AND APPARATUS FOR SIGNALING OFFSETS AND CHANGES IN DIGITAL BROADCAST NETWORKS | 1 |
Ghassan Hamarneh | CA | Vancouver | 2015-03-26 / 20150086091 - METHOD AND APPARATUS FOR DETECTING ANATOMICAL ELEMENTS | 2 |
Ryota Hamasaki | JP | Himeji-Shi | 2013-06-27 / 20130165632 - METHOD FOR SEPARATING WATER-SOLUBLE BIOLOGICAL SUBSTANCES | 1 |
Osamu Hamasaki | JP | Kyoto | 2014-03-27 / 20140088735 - CONTROL DEVICE AND SYSTEM PROGRAM, AND RECORDING MEDIUM | 5 |
Masatsugu Hamasaki | JP | Hiroshima-Shi | 2013-09-05 / 20130231210 - DRIVE APPARATUS AND CONSTRUCTION MACHINE PROVIDED WITH SAME | 2 |
Jyunya Hamasaki | JP | Tokyo | 2015-02-19 / 20150047377 - MONITORING METHOD AND COOLING SYSTEM | 2 |
Takuji Hamasaki | JP | Tokyo | 2015-08-13 / 20150226930 - OPTICAL SYSTEM AND OPTICAL DEVICE PROVIDED THEREWITH | 1 |
Hiroki Hamasaki | JP | Kanagawa | 2012-12-06 / 20120308137 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 3 |
Tomohiro Hamasaki | JP | Fukuoka | 2016-04-21 / 20160108400 - Single-Stranded Nucleic Acid Molecule for Controlling Gene Expression | 7 |
Shinya Hamasaki | JP | Minato-Ku | 2013-09-12 / 20130233212 - BURNER, REACTION FURNACE SUCH AS GASIFICATION FURNACE INCLUDING THE BURNER, AND POWER PLANT INCLUDING THE REACTION FURNACE | 1 |
Kyoko Hamasaki | JP | Kyoto-Shi | 2015-01-15 / 20150018534 - ANTIBODY-IMMOBILIZED CARRIER, METHOD OF PRODUCING ANTIBODY-IMMOBILIZED CARRIER, AND USE OF SAID ANTIBODY-IMMOBILIZED CARRIER | 2 |
Shogo Hamasaki | US | San Jose | 2010-11-11 / 20100284566 - PICTURE DATA MANAGEMENT APPARATUS AND PICTURE DATA MANAGEMENT METHOD | 1 |
Hiroyuki Hamasaki | JP | Tokyo | 2016-04-21 / 20160110842 - SEMICONDUCTOR DEVICE, ELECTRONIC APPARATUS, AND IMAGE PROCESSING METHOD | 8 |
Osamu Hamasaki | JP | Kyoto-Shi | 2014-07-24 / 20140207254 - CPU UNIT FOR PLC, PLC-USE SYSTEM PROGRAM, RECORDING MEDIUM IN WHICH PLC-USE SYSTEM PROGRAM IS STORED, PLC SYSTEM, PLC SUPPORT DEVICE, PLC SUPPORT PROGRAM, AND RECORDING MEDIUM IN WHICH PLC SUPPORT PROGRAM IS STORED | 2 |
Yuji Hamasaki | JP | Kawasaki-Shi | 2015-02-12 / 20150042715 - PRINTING APPARATUS AND CONTROL METHOD | 10 |
Yojiro Hamasaki | JP | Tokyo | 2012-09-27 / 20120242402 - SEMICONDUCTOR DEVICE AND WAFER | 1 |
Hiroyuki Hamasaki | JP | Kawasaki-Shi | 2015-09-10 / 20150254820 - SEMICONDUCTOR INTEGRATED CIRCUIT AND MULTI-ANGLE VIDEO SYSTEM | 2 |
Hitoshi Hamasaki | JP | Okazaki-Shi | 2011-10-13 / 20110248526 - VEHICLE FENDER PANEL MOUNTING STRUCTURE | 1 |
Takeshi Hamasaki | JP | Kanagawa | 2012-08-02 / 20120196942 - NOVEL LIQUID CRYSTAL COMPOSITION | 1 |
Takahito Hamasaki | JP | Osaka | 2016-04-14 / 20160102595 - CYLINDER HEAD COOLING APPARATUS OF ENGINE | 1 |
Risa Hamasaki | JP | Otsu | 2016-02-18 / 20160046774 - FILM | 1 |
Koshin Hamasaki | JP | Hitachinaka | 2015-07-02 / 20150184227 - NUCLEIC ACID ANALYSIS DEVICE, METHOD FOR PRODUCING SAME, AND NUCLEIC ACID ANALYZER | 3 |
Tatsumi Hamasaki | JP | Hiroshima-Shi | 2012-11-29 / 20120298091 - WIRE SAW | 2 |
Tomoaki Hamasaki | JP | Yokohama-Shi | 2013-06-20 / 20130155544 - SHIELD STRUCTURE FOR REDUCING THE MAGNETIC INDUCTION RATE OF THE TRAILING SHIELD AND SYSTEMS THEREOF | 1 |
Shinya Hamasaki | JP | Chiyoda-Ku | 2015-04-09 / 20150099193 - ELECTROLYTIC SOLUTION FOR NON-AQUEOUS ENERGY STORAGE DEVICE AND LITHIUM ION SECONDARY BATTERY | 1 |
Masatsugu Hamasaki | JP | Hiroshima | 2015-05-07 / 20150126319 - DRIVE DEVICE FOR CONSTRUCTION MACHINE | 2 |
Akiyuki Hamasaki | JP | Fukuoka-Shi | 2015-10-01 / 20150274689 - METHOD FOR PRODUCING MULTISUBSTITUTED BIPHENYL COMPOUND AND SOLID CATALYST TO BE USED THEREIN | 1 |
Satoru Hamasaki | JP | Kyoto | 2013-02-07 / 20130032585 - THERMAL HEAD | 1 |
Masamitsu Hamasaki | JP | Aichi | 2015-03-05 / 20150061555 - MOTOR CONTROL APPARATUS | 2 |
Shinya Hamasaki | JP | Nagasaki | 2011-11-03 / 20110265696 - SLAG-MELTING BURNER APPARATUS | 2 |
Hiroshi Hamasaki | JP | Kanagawa | 2014-07-03 / 20140183565 - Light-Emitting Module Board and Manufacturing Method of the Light-Emitting Module Board | 1 |
Nobutoshi Hamasaki | JP | Yokohama-Shi | 2014-05-15 / 20140132966 - IMAGE READING DEVICE, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 1 |
Yuki Hamasaki | JP | Toyota-Shi Aichi-Ken | 2015-03-19 / 20150075142 - VEHICLE | 1 |
Hideo Hamasaki | JP | Saitama | 2011-07-14 / 20110172470 - Process for Producing Fluorinated Propene | 1 |
Ryuji Hamasaki | CN | Zhongshan | 2015-01-22 / 20150021847 - IMAGE FORMING APPARATUS | 4 |
Keisuke Hamasaki | JP | Nukata-Gun | 2014-04-03 / 20140091635 - WIRELESS POWER SUPPLY APPARATUS, FILTER UNIT AND POWER SUPPLY APPARATUS FOR ROBOT USING THE FILTER UNIT | 2 |
Naotaka Hamasaki | JP | Nagasaki | 2016-03-17 / 20160076078 - REAGENT FOR MEASURING TOTAL PROTEIN S ACTIVITY | 2 |
Makoto Hamasaki | JP | Miyazaki | 2012-05-03 / 20120107299 - PHOSPHORYLATION-INHIBITING AGENT OR DEPHOSPHORYLATING AGENT FOR PTEN | 1 |
Koichi Hamasaki | JP | Aichi | 2014-12-11 / 20140360820 - DISC ROTOR | 1 |
Takuji Hamasaki | JP | Saitama | 2008-10-16 / 20080253004 - INTERCHANGEABLE LENS INCORPORATING A LENS DRIVE MOTOR | 2 |
Shogo Hamasaki | JP | Osaka | 2011-05-19 / 20110119458 - RELAY DEVICE | 2 |
Kazunari Hamasaki | JP | Osaka | 2008-11-20 / 20080286673 - Single layer type electrophotographic photoconductor and image forming device | 1 |
Kurayasu Hamasaki | JP | Osaka | 2009-01-08 / 20090007420 - COMPONENT MOUNTING APPARATUS | 1 |
Kazunari Hamasaki | JP | Osaka-Shi | 2015-06-11 / 20150160571 - METHOD OF MANUFACTURING POSITIVELY-CHARGED SINGLE-LAYER ELECTROPHOTOGRAPHIC PHOTORECEPTOR, POSITIVELY-CHARGED SINGLE-LAYER ELECTROPHOTOGRAPHIC PHOTORECEPTOR, AND IMAGE FORMING APPARATUS | 6 |
Hiroshi Hamasaki | JP | Hiratsuka-Shi | 2009-04-23 / 20090103864 - OPTICAL TRANSMISSION LINE HOLDING MEMBER, OPTICAL MODULE AND MOUNTING METHOD THEREOF | 3 |
Nobutoshi Hamasaki | JP | Kanagawa | 2013-07-18 / 20130182296 - IMAGE READING DEVICE, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 4 |
Masafumi Hamasaki | JP | Aichi | 2009-05-14 / 20090120538 - Aluminum die cast product and method for manufacturing same | 1 |
Masamitsu Hamasaki | JP | Kasugai-Shi, Aichi | 2009-06-11 / 20090146590 - CONTROLLER OF MULTI-PHASE ELECTRIC MOTOR | 1 |
Masahiro Hamasaki | JP | Shizuoka | 2009-06-25 / 20090159229 - Functional Composition, and Method for Improvement in Detachability of Wet Paper Using the Same | 1 |
Kota Hamasaki | JP | Kamisu-City | 2009-07-02 / 20090165948 - CURABLE COMPOSITION | 2 |
Shinichi Hamasaki | JP | Fukushima | 2009-08-06 / 20090197158 - NONAQUEOUS ELECTROLYTE BATTERY | 1 |
Koji Hamasaki | JP | Osaka | 2009-09-24 / 20090238932 - Deodorized plant colorant derived from Ipomoea Batatas | 1 |
Naotaka Hamasaki | JP | Fukuoka | / - | 1 |
Koji Hamasaki | JP | Hyogo | 2014-08-28 / 20140240472 - 3D SUBTITLE PROCESS DEVICE AND 3D SUBTITLE PROCESS METHOD | 4 |
Koji Hamasaki | JP | Toyonaka-Shi, Osaka | 2009-12-31 / 20090324787 - DEODORIZED PLANT COLORANT DERIVED FROM IPOMOEA BATATAS (as amended) | 1 |
Hiroki Hamasaki | JP | Himeji-Shi | 2010-01-07 / 20100000838 - BANKNOTE DISCRIMINATION APPARATUS AND BANKNOTE DISCRIMINATION METHOD | 1 |
Ryo Hamasaki | JP | Ohtsu-Shi | 2010-03-11 / 20100063204 - HYPER-BRANCHED POLYMER OF AN ESTER TYPE, AS WELL AS A TONER FOR ELECTROPHOTOGRAPHY AND A PIGMENT MASTER BATCH USING THE SAME | 2 |
Ryo Hamasaki | JP | Minami-Ashigara-Shi | 2010-04-01 / 20100078593 - LIQUID CRYSTAL COMPOSITION AND RETARDATION FILM | 1 |
Takeshi Hamasaki | JP | Osaka | 2015-02-26 / 20150055862 - IMAGE PROCESSING APPARATUS | 7 |
Kimio Hamasaki | JP | Tokyo-To | 2010-06-24 / 20100157726 - THREE-DIMENSIONAL ACOUSTIC PANNING DEVICE | 1 |
Hiroki Hamasaki | JP | Hyogo | 2014-07-17 / 20140198967 - PAPER SHEET PROCESSING APPARATUS | 7 |
Ryosuke Hamasaki | JP | Kawasaki | 2010-08-19 / 20100208626 - Inter-Multipoint Voice Conversation Apparatus | 1 |
Hiroki Hamasaki | JP | Hyogo-Ken | 2010-09-02 / 20100219580 - PAPER SHEET HANDLING MECHANISM AND METHOD FOR HANDLING PAPER SHEETS | 1 |
Masaharu Hamasaki | JP | Kanagawa | 2010-09-23 / 20100238340 - SOLID-STATE IMAGE PICKUP ELEMENT INCLUDING A THINNING METHOD TO DISCHARGE UNNECESSARY IMAGE DATA | 1 |
Satoru Hamasaki | JP | Yamato-Shi | 2012-08-23 / 20120212637 - SOLID-STATE IMAGING APPARATUS, METHOD OF MANUFACTURING SAME, AND CAMERA | 3 |
Hiroshi Hamasaki | JP | Kanagawa-Ken | 2015-10-22 / 20150303361 - METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE | 5 |
Masamitsu Hamasaki | JP | Kasugai-Shi | 2011-11-10 / 20110273122 - MOTOR DRIVE DEVICE | 4 |
Hiroyuki Hamasaki | JP | Kanagawa | 2012-12-27 / 20120327260 - PARALLEL OPERATION HISTOGRAMMING DEVICE AND MICROCOMPUTER | 4 |
Masaharu Hamasaki | JP | Aichi | 2011-01-20 / 20110014078 - OIL PUMP | 1 |
Motoshi Hamasaki | JP | Kawasaki | 2014-10-02 / 20140297972 - MEMORY CONTROL DEVICE AND MEMORY CONTROL METHOD | 5 |
Ryuji Hamasaki | JP | Yokohama-Shi | 2011-09-15 / 20110221121 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 3 |
Daisuke Hamasaki | JP | Odawara-Shi | 2010-06-03 / 20100132663 - Multiple throttle device | 1 |
Ryoji Hamasaki | JP | Hikari-Shi | 2010-06-10 / 20100140224 - Plasma Processing Apparatus And Plasma Processing Method | 2 |
Yuji Hamasaki | JP | Kawasaki-Shi | 2015-02-12 / 20150042715 - PRINTING APPARATUS AND CONTROL METHOD | 10 |
Hiroyuki Hamasaki | JP | Kodaira | 2013-08-15 / 20130212362 - IMAGE PROCESSING DEVICE AND DATA PROCESSOR | 4 |
Tomohiro Hamasaki | JP | Kurume-Shi | 2015-04-16 / 20150105443 - SINGLE-STRANDED NUCLEIC ACID MOLECULE FOR REGULATING EXPRESSION OF GENE HAVING DELIVERING FUNCTION | 3 |
Takanari Hamasaki | JP | Kanagawa | 2015-07-16 / 20150201099 - IMAGE DISTRIBUTION SYSTEM, IMAGE PROCESSING APPARATUS, AND RECORDING MEDIUM STORING A CONTROL PROGRAM | 4 |
Hitoshi Hamasaki | JP | Kanagawa | 2010-09-16 / 20100230248 - BELT DEVICE AND FIXING DEVICE | 1 |
Kyoko Hamasaki | JP | Kyoto | 2014-08-07 / 20140220626 - Peptide, Use of the Peptide, Method for the Production of the Peptide, Solid Support Having the Peptide Immobilized Thereon, and Method for Production of the Solid Support | 1 |
Ryo Hamasaki | JP | Ashigarakami-Gun | 2015-06-04 / 20150152332 - LIQUID CRYSTAL COMPOSITION, POLYMER, FILM, AND CHOLESTERIC LIQUID CRYSTAL | 5 |
Chizu Hamasaki | JP | Kawasaki | 2010-12-23 / 20100325296 - AUTHENTICATION APPARATUS, AUTHENTICATION METHOD, AND DATA USING METHOD | 1 |
Shinichi Hamasaki | JP | Yamatokooriyama-Shi | 2011-04-07 / 20110081473 - FOOD INGREDIENT HAVING MILK TASTE-ENHANCING ACTION, PRODUCTION METHOD THEREOF, METHOD OF ENHANCING MILK TASTE OF FOOD OR SEASONING AND MILK TASTE-ENHANCED MILK-BASED HARD CANDY | 1 |
Takashi Hamasaki | JP | Kagoshima-Shi | 2011-04-21 / 20110090543 - IMAGE READING SYSTEM, IMAGE READING DEVICE AND IMAGE READING METHOD | 1 |
Momoko Hamasaki | JP | Hyogo | 2012-06-07 / 20120142050 - NOVEL EXPRESSION VECTOR | 1 |
Koshin Hamasaki | JP | Tokyo | 2016-01-07 / 20160003814 - BIOANALYSIS DEVICE AND BIOMOLECULE ANALYZER | 4 |
Shinya Hamasaki | JP | Tokyo | 2015-12-03 / 20150345788 - COMBUSTION BURNER AND PRESSURIZED GASIFICATION FURNACE | 5 |
Manabu Hamasaki | JP | Tokyo | 2009-02-05 / 20090034675 - FUEL ASSEMBLY OF PRESSURIZED WATER REACTOR AND METHOD OF DESIGNING FUEL ASSEMBLY | 1 |
Naoko Hamasaki | JP | Tokyo | 2010-04-22 / 20100096328 - METHOD OF TESTING INTEGRITY OF MICROPOROUS MEMBRANE | 1 |
Hiroyuki Hamasaki | JP | Tokyo | 2016-04-21 / 20160110842 - SEMICONDUCTOR DEVICE, ELECTRONIC APPARATUS, AND IMAGE PROCESSING METHOD | 8 |
Akie Hamasaki | JP | Tokyo | 2010-12-09 / 20100311226 - Die-Sorting Sheet and Method for Transporting Chips Having Adhesive Layer | 1 |
Toshimitsu Hamasaki | JP | Suita-Shi | 2013-02-28 / 20130053731 - MUSCLE TONUS MEASURING APPARATUS | 1 |
Masafumi Hamasaki | JP | Tokyo | 2014-10-23 / 20140311852 - ELECTROMAGNETIC CLUTCH AND METHOD FOR PRODUCING ARMATURE FOR ELECTROMAGNETIC CLUTCH | 3 |
Masaru Hamasaki | JP | Kariya-Shi | 2013-07-11 / 20130175768 - SEAL CAP | 1 |
Glenn T. Hamasaki, Jr. | US | San Clemente | 2015-10-22 / 20150296903 - ULTRAVIOLET SHIELD GLOVE | 1 |
Kiyomi Hamasako | JP | Kanagawa | 2011-02-24 / 20110043323 - FAULT MONITORING CIRCUIT, SEMICONDUCTOR INTEGRATED CIRCUIT, AND FAULTY PART LOCATING METHOD | 1 |
Kaoru Hamashima | JP | Fukuoka | 2009-03-19 / 20090076201 - (METH) ACRYLOYLOXYTETRAHYDROFURANS AND PROCESS FOR PRODUCTION THEREOF | 1 |
Hiroshi Hamashima | JP | Kagoshima | 2010-02-18 / 20100037456 - Vacuum Suction Nozzle and Electric Component Mounting Apparatus | 1 |
Yoshihiko Hamashima | JP | Isehara-Shi | 2010-08-19 / 20100208483 - VEHICLE LIGHTING SYSTEM | 1 |
Yoshihiko Hamashima | JP | Tokyo | 2010-12-23 / 20100321947 - VEHICLE LIGHTING DEVICE | 1 |
Muneki Hamashima | JP | Kanagawa | 2009-02-12 / 20090039262 - ELECTRON BEAM APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE APPARATUS | 1 |
Muneki Hamashima | JP | Saitama | 2009-02-26 / 20090050822 - Electron beam apparatus and method of manufacturing semiconductor device using the apparatus | 1 |
Kazuo Hamashima | JP | Tokyo | 2013-01-17 / 20130014543 - THERMAL SPRAYED COATING OF JIG FOR PRODUCING GLASS SHEET, AND JIG FOR PRODUCING GLASS SHEET | 2 |
Kazuo Hamashima | JP | Yokohama-Shi | 2011-01-13 / 20110005631 - METHOD FOR AIRTIGHTLY JOINING REINFORCED PLATINUM HOLLOW TUBE WITH PLATINUM FLANGE | 1 |
Mitsuhiro Hamashima | JP | Shinjuku-Ku | 2012-09-06 / 20120224380 - OPTICAL SHEET, SURFACE LIGHT SOURCE DEVICE AND TRANSMISSION-TYPE DISPLAY DEVICE | 1 |
Syunsuke Hamashima | JP | Kagoshima | 2015-04-30 / 20150121159 - SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Muneki Hamashima | JP | Fukaya | 2014-01-30 / 20140027653 - OPTICAL ELEMENT, OPTICAL DEVICE, MEASUREMENT DEVICE, AND SCREENING APPARATUS | 1 |
Muneki Hamashima | JP | Fukaya-Shi | 2016-04-28 / 20160119608 - IMAGING APPARATUS | 15 |
Muneki Hamashima | JP | Fukaya-Shi | 2016-04-28 / 20160119608 - IMAGING APPARATUS | 15 |
Kouki Hamata | JP | Tsukuba-Shi | 2010-07-08 / 20100171146 - OPTICAL SEMICONDUCTOR-SEALING COMPOSITION | 1 |
Robert K. Hamatake | US | Durham | 2012-11-22 / 20120295961 - MODULATION OF HEPATITIS B VIRUS (HBV) EXPRESSION | 2 |
Yasuo Hamatake | JP | Toyokawa-Shi | 2011-04-21 / 20110091297 - THROWAWAY ROTARY CUTTING TOOL | 2 |
Bret Hamatake | US | Grantsville | 2015-07-02 / 20150182168 - Electrically Conductive Pathway in a Closed-Ended Catheter | 18 |
Yasuo Hamatake | JP | Aichi | 2009-11-05 / 20090275263 - Neck Portion Grinding Apparatus, Grinding Device Employed in the Neck Portion Grinding Apparatus, and Neck Portion Grinding Process | 3 |
Masafumi Hamatake | JP | Kanagawa | 2012-12-27 / 20120327467 - APPARATUS, METHOD AND PROGRAM FOR IMAGE PROCESSING CAPABLE OF ENHANCING USABILITY OF IMAGE DATA | 1 |
Hisashi Hamatake | JP | Hitachi | 2008-12-11 / 20080301939 - Gas turbine and manufacturing process of gas turbine | 2 |
Robert Hamatake | US | Research Triangle Park | 2014-09-04 / 20140249143 - Compounds And Methods For Enhancing Innate Immune Responses | 1 |
Robert Hamatake | US | 2008-10-09 / 20080249131 - NON-NUCLEOSIDE REVERSE TRANSCRIPTASE INHIBITORS | 1 | |
Bret Hamatake | US | Grantsville | 2015-07-02 / 20150182168 - Electrically Conductive Pathway in a Closed-Ended Catheter | 18 |
Toshiji Hamatani | JP | Kanagawa | 2014-10-30 / 20140319499 - Light Emitting Device, Method of Manufacturing the Same, and Manufacturing Apparatus Therefor | 10 |
Shogo Hamatani | JP | Nagoya-Shi | 2008-11-20 / 20080282771 - APPARATUS AND METHOD FOR DETECTING COMBUSTIBLE GAS WITHIN ATMOSPHERE TO BE DETECTED | 1 |
Chinami Hamatani | JP | Yokohama-Shi | 2008-12-25 / 20080316529 - Service Supplied by Multifunction Peripheral (MFP) | 1 |
Kazuhiko Hamatani | JP | Yokohama-Shi | 2009-03-12 / 20090066933 - SURFACE INSPECTION APPARATUS AND SURFACE INSPECTION METHOD FOR STRAINED SILICON WAFER | 1 |
Hideki Hamatani | JP | Chiba | 2009-06-25 / 20090158889 - Weld Joint Formed with Stainless Steel-Based Weld Metal for Welding a Zinc-Based Alloy Coated Steel Sheet | 1 |
Kiyoshi Hamatani | JP | Kobe-Shi | 2012-10-18 / 20120262396 - OPERATION APPARATUS | 3 |
Tsuyoshi Hamatani | JP | Shiga | 2009-07-23 / 20090184428 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tutomu Hamatani | JP | Tokyo | 2013-07-11 / 20130177734 - Woven Tape for Slide Fastener | 2 |
Masato Hamatani | JP | Kounosu-Shi | 2011-09-15 / 20110222037 - Exposure apparatus, exposure method, and device manufacturing method | 2 |
Takashi Hamatani | JP | Aichi-Ken | 2010-05-13 / 20100116575 - ELECTRICALLY POWERED VEHICLE, CONTROL DEVICE FOR ELECTRICALLY POWERED VEHICLE, AND COMPUTER READABLE MEDIUM | 2 |
Takashi Hamatani | JP | Nishikamo-Gun | 2010-08-19 / 20100207598 - VOLTAGE CONVERSION DEVICE | 2 |
Yutaro Hamatani | JP | Chiyoda-Ku | 2014-06-19 / 20140169960 - FUEL PUMP | 1 |
Satoshi Hamatani | JP | Higashimurayama-Shi | 2011-11-24 / 20110288838 - DEVICE FOR PREDICTING DEFORMATION BEHAVIOR OF RUBBER MATERIAL AND METHOD FOR PREDICTING DEFORMATION BEHAVIOR OF RUBBER MATERIAL | 1 |
Yoshiki Hamatani | JP | Tokyo | 2015-08-20 / 20150236619 - VIBRATORY GENERATOR DEVICE AND POWER SOURCE MODULE | 4 |
Yutarou Hamatani | JP | Tokyo | 2010-11-25 / 20100296080 - OPTICAL FIBER SENSOR | 1 |
Hideki Hamatani | JP | Tokyo | 2016-03-24 / 20160082543 - SPOT-WELDED JOINT AND SPOT WELDING METHOD | 9 |
Yutaro Hamatani | JP | Tokyo | 2010-04-01 / 20100080502 - OPTICAL FIBER SENSOR | 2 |
Chihiro Hamatani | JP | Tokyo | 2009-05-07 / 20090119585 - IMAGE DISPLAY APPARATUS, IMAGE DISPLAY METHOD, IMAGE DISPLAY PROGRAM AND RECORDING MEDIUM | 2 |
Toshiji Hamatani | JP | Kanagawa | 2014-10-30 / 20140319499 - Light Emitting Device, Method of Manufacturing the Same, and Manufacturing Apparatus Therefor | 10 |
Toshiji Hamatani | JP | Tochigi | 2011-04-21 / 20110090656 - TERMINAL STRUCTURE, ELECTRONIC DEVICE, AND MANUFACTURING METHOD THEREOF | 3 |
Hideki Hamatani | JP | Tokyo | 2016-03-24 / 20160082543 - SPOT-WELDED JOINT AND SPOT WELDING METHOD | 9 |
Toshiji Hamatani | JP | Atsugi | 2016-05-12 / 20160133683 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Takashi Hamatani | JP | Toyota-Shi | 2015-05-14 / 20150131350 - ELECTRICAL POWER CONVERTER | 3 |
János Hamatos | HU | Budapest | 2014-11-20 / 20140341037 - Rerouting Technique | 1 |
Makoto Hamatsu | JP | Yokohama-Shi | 2010-02-04 / 20100030990 - External memory management apparatus and external memory management method | 1 |
Makoto Hamatsu | JP | Tokyo | 2015-11-26 / 20150338945 - INFORMATION PROCESSING DEVICE AND INFORMATION UPDATING PROGRAM | 4 |
Makoto Hamatsu | JP | Kanagawa | 2015-08-13 / 20150227103 - IMAGE DETECTION DEVICE, AND IMAGE FORMING APPARATUS | 5 |
Taichi Hamatsuka | JP | Shiga | 2012-06-21 / 20120157899 - MASSAGER | 2 |
Kazuhiko Hamatsuka | JP | Nagoya-City | 2016-05-19 / 20160136633 - HONEYCOMB FORMED BODY EXTRUDING DIE | 6 |
Yasuhiro Hamatsuka | JP | Yokohama | 2011-09-01 / 20110213632 - ENVIRONMENTAL INFORMATION DOCUMENTING APPARATUS AND ENVIRONMENTAL INFORMATION DOCUMENTING METHOD | 3 |
Osamu Hamaue | JP | Kawasaki | 2010-04-08 / 20100085904 - POWER CONTROL SYSTEM IN RADIO COMMUNICATION | 3 |
Osamu Hamaue | JP | Kawasaki-Shi | 2011-05-05 / 20110105029 - COMMUNICATION TERMINAL APPARATUS, SOFTWARE ACQUIRING METHOD, AND RECORDING MEDIUM | 1 |
Itsuki Hamaue | JP | Kobe-Shi | 2014-04-17 / 20140107866 - VEHICLE CONTROLLER | 2 |
Yuji Hamaue | JP | Okaya-Shi | 2010-04-29 / 20100104396 - THREAD, FASTENING SYSTEM, PIPE FITTING AND METHOD FOR MANUFACTURING THREAD | 4 |
Nobuyuki Hamaura | JP | Osaka | 2011-07-07 / 20110166253 - ANTIFOULING COATING COMPOSITION, ANTIFOULING COATING FILM FORMED FROM THE COMPOSITION, COATED OBJECT HAVING THE COATING FILM ON SURFACE, AND METHOD OF ANTIFOULING BY FORMING THE COATING FILM | 1 |
Takeshi Hamaura | JP | Kanagawa | 2010-03-11 / 20100062070 - Pulverzed crystals of olmesartan medoxomil | 3 |
Takeshi Hamaura | JP | Hiratsuka-Shi | 2011-11-17 / 20110281823 - Pharmaceutical preparation containing an angiotensin II Receptor antagonist, a calcium channel blocker and polyvinyl alcohol | 1 |
Kaoru Hamaura | JP | Tokyo | 2015-04-30 / 20150118944 - POLISHING APPARATUS, METHOD FOR ATTACHING POLISHING PAD, AND METHOD FOR REPLACING POLISHING PAD | 3 |
Nagayuki Hamaura | JP | Hyogo | 2010-08-05 / 20100192572 - CLOSED-CYCLE PLANT | 1 |
Akira Hamauzu | JP | Wako-Shi | 2012-09-20 / 20120235639 - CHARGING SYSTEM | 1 |
Akira Hamauzu | JP | Saitama | 2012-07-26 / 20120188777 - MOTORCYCLE | 2 |
Takashi Hamauzu | JP | Tokushima | 2010-05-13 / 20100119826 - SODIUM HEXATITANATE AND METHOD FOR PRODUCTION THEREOF | 1 |
Yoshiyuki Hamauzu | JP | Tokyo | 2010-03-18 / 20100070011 - DISPOSABLE ELECTRODE AND AUTOMATIC INFORMATION RECOGNITION APPARATUS | 1 |
Tomonori Hamawaki | JP | Izumisano-Shi | 2011-02-03 / 20110028567 - SMOOTH MUSCLE CONTRACTION INHIBITORS | 2 |
Benjamin John Hamawy | US | New Orleans | 2012-01-05 / 20120000038 - JEWELRY CLASP AND METHODS THEREOF | 1 |
Kazushi Hamaya | JP | Saitama | 2016-02-25 / 20160051435 - WALKING ASSIST DEVICE | 9 |
Kazuhiro Hamaya | JP | Tokyo | 2011-08-04 / 20110187370 - GRADIENT FIELD POWER SUPPLY AND MAGNETIC RESONANCE IMAGING APPARATUS | 1 |
Tadao Hamaya | JP | Bunkyo--Ku | 2011-04-28 / 20110098358 - HUMAN BETA3 ADRENERGIC RECEPTOR LIGAND, AND FOOD OR PHARMACEUTICAL PRODUCT CONTAINING THE SAME | 1 |
Yasunari Hamaya | JP | Fukushima | 2014-03-20 / 20140077459 - VALVE STEM SEAL DEVICE | 1 |
Noriaki Hamaya | JP | Tokyo | 2012-04-12 / 20120088034 - WAFER | 4 |
Yasushi Hamaya | JP | Hamamatsu-Shi | 2013-04-11 / 20130090258 - METHOD FOR DETECTING COLORECTAL TUMOR | 2 |
Kazushi Hamaya | JP | Wako-Shi | 2012-12-13 / 20120316477 - BATTERY PACK ENCASING STRUCTURE AND WALKING ASSISTANCE DEVICE USING SAME | 5 |
Kazuya Hamaya | JP | Tokyo | 2011-10-20 / 20110256367 - PREPREG, METHOD FOR MANUFACTURING PREPREG, SUBSTRATE, AND SEMICONDUCTOR DEVICE | 3 |
Kazushi Hamaya | JP | Saitama | 2016-02-25 / 20160051435 - WALKING ASSIST DEVICE | 9 |
Kazushi Hamaya | JP | Iruma-Gun | 2009-05-28 / 20090134724 - BRUSHLESS MOTOR | 6 |
Masahito Hamaya | JP | Nagoya-Shi | 2014-08-28 / 20140241743 - Image Forming Apparatus | 20 |
Zenichi Hamaya | JP | Utsunomiya-Shi | 2014-07-17 / 20140198307 - INTERFEROMETER SYSTEM, LITHOGRAPHY APPARATUS, AND ARTICLE MANUFACTURING METHOD | 5 |
Tsuyoshi Hamaya | JP | Tokyo | 2014-05-08 / 20140126894 - METHOD OF ANNEALING METAL MEMBER | 2 |
Takashi Hamaya | JP | Kanagawa | 2011-06-02 / 20110127158 - MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Kohei Hamaya | JP | Fukuoka-Shi | 2012-05-31 / 20120133007 - MAGNETIZATION REVERSAL DEVICE, MEMORY ELEMENT, AND MAGNETIC FIELD GENERATION DEVICE | 1 |
Masahito Hamaya | JP | Nagoya, Aichi | 2013-10-03 / 20130259494 - PRINTING APPARATUS | 1 |
Masahito Hamaya | JP | Nagoya | 2015-02-05 / 20150037060 - IMAGE FORMING APPARATUS AND MANUFACTURING METHOD FOR THE SAME | 5 |
Noriaki Hamaya | JP | Takefu-Shi | 2009-08-06 / 20090196996 - COATED MEMBER AND METHOD OF MANUFACTURE | 1 |
Takashi Hamaya | JP | Tokyo | 2016-03-24 / 20160086779 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SPUTTERING APPARATUS | 1 |
Noriaki Hamaya | JP | Echizen-Shi | 2015-12-17 / 20150361540 - RARE EARTH ELEMENT OXYFLOURIDE POWDER SPRAY MATERIAL AND SPRAYED ARTICLE | 7 |
Satoshi Hamaya | JP | Tokyo | 2011-06-09 / 20110135354 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Hironori Hamazaki | JP | Yokohama-Shi | 2010-10-07 / 20100255233 - COMPOSITION FOR PLUG IN BASE-ISOLATED STRUCTURE, PLUG FOR BASE-ISOLATED STRUCTURE AND BASE-ISOLATED STRUCTURE | 1 |
Hiromitsu Hamazaki | JP | Mie | 2015-10-22 / 20150300435 - CLUTCH UNIT | 4 |
Masanori Hamazaki | JP | Tokyo | 2014-04-03 / 20140094659 - ENDOSCOPE | 1 |
Mitsunori Hamazaki | JP | Tokyo | 2012-02-16 / 20120041030 - PYRIDINE THIO DERIVATIVE, AND PHARMACEUTICAL COMPOSITION WHICH CONTAINS SAME AND HAS ANTI-HELICOBACTER PYLORI ACTION | 1 |
Akihiko Hamazaki | JP | Saitama | 2013-08-29 / 20130220259 - INTAKE AIR ROUTING DEVICE FOR AN ENGINE, AND ENGINE INCORPORATING SAME | 2 |
Masahi Hamazaki | KR | Busan | 2011-05-05 / 20110100699 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 1 |
Yuichi Hamazaki | JP | Nagoya-City | 2014-12-18 / 20140370235 - PLUGGED HONEYCOMB STRUCTURE | 2 |
Hirofumi Hamazaki | JP | Osaka-Shi | 2013-03-07 / 20130058321 - COMMUNICATION DEVICE, DISTRIBUTION SYSTEM, DISTRIBUTION DATA PROCESSING METHOD, AND DISTRIBUTION DATA PROCESSING PROGRAM | 1 |
Hiroshi Hamazaki | JP | Chiyoda-Ku | 2013-01-31 / 20130031603 - SECURITY METHOD FOR ENGINEERING TOOLS AND INDUSTRIAL PRODUCTS, AND SECURITY SYSTEM | 1 |
Kazuo Hamazaki | JP | Tokyo | 2016-03-17 / 20160075804 - METHOD FOR PRODUCING FLUORINATED POLYMER | 4 |
Hiromitsu Hamazaki | JP | Matsusaka-Shi | 2012-12-06 / 20120305359 - CLUTCH UNIT | 1 |
Chihiro Hamazaki | JP | Saitama-Ken | 2014-09-11 / 20140251178 - VISCOSITY MODIFIER FOR HIGH CONCENTRATION DISPERSION OF INORGANIC FINE PARTICLES AND HIGH CONCENTRATION DISPERSION OF INORGANIC FINE PARTICLES WHICH CONTAINS THE SAME | 1 |
Ryoichi Hamazaki | JP | Yokohama-Shi | 2013-03-21 / 20130070886 - HOLDING DEVICE | 2 |
Ryoji Hamazaki | JP | Yamanashi | 2008-12-04 / 20080301617 - COMPUTER READABLE RECORDING MEDIUM WITH A WIRING DESIGN PROGRAM STORED THEREON AND WIRING DESIGN DEVICE | 1 |
Kazuo Hamazaki | JP | Chiyoda-Ku | 2009-01-01 / 20090004527 - POLYMER, POLYMER ELECTROLYTE MEMBRANE FOR POLYMER ELECTROLYTE FUEL CELL, AND MEMBRANE/ELECTRODE ASSEMBLY | 1 |
Yuji Hamazaki | JP | Kyoto | 2009-02-12 / 20090042235 - PHOTOREGULATED PEPTIDE, AND METHOD FOR REGULATION OF PEPTIDE-PROTEIN COMPLEX FORMATION USING THE PHOTOREGULATED PEPTIDE | 1 |
Kenichi Hamazaki | JP | Schimoniikawa-Gun | 2009-04-16 / 20090095942 - Positive Electrode Material for Lithium Secondary Battery | 1 |
Masahiro Hamazaki | JP | Shinagawa | 2009-05-14 / 20090124134 - CONNECTOR UNIT FOR DIFFERENTIAL TRANSMISSION | 3 |
Hiroshi Hamazaki | JP | Iruma-Shi | 2009-05-28 / 20090136354 - BLADE | 1 |
Ryoji Hamazaki | JP | Tokyo | 2009-09-03 / 20090222781 - METHOD FOR DESIGNING CIRCUIT LAYOUT CAPABLE OF PROPAGATING SIGNALS SYNCHRONOUSLY WITHOUT SIGNIFICANT ALTERATION OF LAYOUT | 1 |
Toshinobu Hamazaki | JP | Kanagawa | 2015-09-10 / 20150251451 - DRYING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Tatsuo Hamazaki | JP | Tokyo | 2009-11-26 / 20090291492 - METHOD FOR FORMING ORGAN | 1 |
Hiroshi Hamazaki | JP | Tokyo | 2016-01-07 / 20160004242 - LADDER-PROGRAM DISPLAY PROGRAM AND LADDER-PROGRAM DISPLAY APPARATUS | 6 |
Shin Hamazaki | JP | Tokushima-Shi | 2010-09-09 / 20100224508 - Ink composition for sensing carbon dioxide gas, carbon dioxide indicator using the same, package provided with the carbon dioxide indicator, and method for sensing pinhole using the same | 1 |
Ryoichi Hamazaki | JP | Kanagawa | 2012-12-27 / 20120328067 - NUCLEAR POWER PLANT | 3 |
Masanori Hamazaki | JP | Hachioji-Shi | 2010-12-02 / 20100305400 - MEDICAL DEVICE AND ENDOSCOPE | 2 |
Ryoichi Hamazaki | JP | Kanagawa-Ken | 2010-12-30 / 20100329409 - DRAIN SUMP OF NUCLEAR REACTOR CONTAINMENT VESSEL | 1 |
Kazunori Hamazaki | JP | Tochigi | 2012-09-06 / 20120222808 - MOUNTING DEVICE AND METHOD FOR MANUFACTURING ELECTRONIC MODULE | 5 |
Minoru Hamazaki | JP | Shizuoka | 2009-01-01 / 20090004647 - Method of Judging Grade of Malignancy of Carcinoma Cell | 1 |
Yuichi Hamazaki | JP | Nagoya-Shi | 2014-10-23 / 20140311112 - SEALED HONEYCOMB STRUCTURE | 2 |
Akihisa Hamazawa | JP | Osaka | 2012-02-16 / 20120037405 - FLEXIBLE CIRCUIT BOARD AND METHOD FOR MANUFACTURING SAME | 1 |
Youichi Hamazu | JP | Kariya-Shi | 2010-08-26 / 20100213844 - DISCHARGE LAMP UNIT | 1 |
Travis L. Hambach | US | Warrenton | 2015-10-29 / 20150308011 - METHODS FOR PRODUCING RECTANGULAR SEEDS FOR INGOT GROWTH | 2 |
Gregori Garnadi Hambali | ID | Bogor | 2009-04-02 / 20090089905 - AGLAONEMA PLANT NAMED 'TWYAG0031' | 9 |
Andor Hambalkó | DE | Furstenfeldbruck | 2012-08-16 / 20120204617 - LATERAL WALL FOR A ROLLER PRESS | 1 |
Levon Hambardzumyan | US | Glendale | 2015-03-12 / 20150071749 - LIFT GATE AND MOUNTING SYSTEM | 3 |
Eduard Hambardzumyan | KR | Suwon-Si | 2010-08-12 / 20100201419 - Apparatus and method for generating random number | 2 |
Minas Hambardzumyan | US | Plano | 2011-06-23 / 20110154275 - METHOD AND SYSTEM FOR DEFINING GENERIC TOPOLOGIES FOR USE IN TOPOLOGY MATCHING ENGINES | 1 |
Anders Hamberg | SE | Bagarmossen | 2014-08-28 / 20140242651 - ENZYMATICALLY CATALYZED METHOD OF PREPARING MONO-ACYLATED POLYOLS | 2 |
Max Hamberg | FI | Vantaa | 2011-01-20 / 20110016315 - METHOD AND SYSTEM FOR MULTIMEDIA TAGS | 2 |
Peder Hamberg | US | Tukwila | 2015-02-26 / 20150053782 - ELECTRONIC THERMOSTAT | 1 |
Stephen R. Hamberg | US | Cincinnati | 2013-12-05 / 20130318710 - USER INTERFACE FOR POWER DRIVE SYSTEM OF A PATIENT SUPPORT APPARATUS | 6 |
Lonnie R. Hamberg | US | Phoenix | 2009-10-01 / 20090242071 - VALVE ACTUATOR FOR ELEVATED WATER TANKS | 1 |
Per Hamberg | SE | Kisa | 2008-08-21 / 20080198644 - Data Storage Device | 1 |
James P. Hamberg | US | Tipp City | 2011-08-18 / 20110198787 - FLUID DAMPER WITH INTERNAL COMPRESSION SPRING | 1 |
Vicki Hamberg | US | Tacoma | 2016-03-24 / 20160085004 - DISAPPEARING IMAGE SCREEN PROTECTOR | 1 |
Gary Hamberg | US | Van Wert | 2011-04-21 / 20110089797 - MULTI-MATERIAL CABINET | 1 |
Lars Hamberg | SE | Göteborg | 2016-04-28 / 20160113318 - A METHOD AND SYSTEM FOR PROVIDING A HEAT TREATED LIQUID PRODUCT | 1 |
Mark Edward Hamberger | US | Bay Shore | 2014-07-31 / 20140209803 - METHODS, ELECTRICAL POWER CONTROL SYSTEM, AND POWER CONTROL CIRCUIT FOR MAINTAINING OR PROVIDING CONSTANT OR SUBSTANTIALLY CONSTANT POWER, FOR REDUCING AND/OR MINIMIZING POWER DECAY, AND FOR IMPROVING AN INFRARED SOURCE DRIVER, AND METHODS OF USING SAME | 1 |
Peter M. Hamberger | DE | Stephanskirchen | 2014-10-02 / 20140290173 - CONNECTION FOR ELASTIC OR PANEL-TYPE COMPONENTS, PROFILED SLIDE, AND FLOOR COVERING | 2 |
Peter Hamberger | AT | Kirchschlag | 2010-08-05 / 20100194373 - Electrical Transformer with Unidirectional Flux Compensation | 1 |
Karl Fredrik Hamberger | US | Sunnyvale | 2016-04-21 / 20160109956 - Sensor and Tag to Determine a Relative Position | 1 |
Werner Hamberger | DE | Lenting | 2015-09-17 / 20150258895 - METHOD FOR CONTROLLING A FUNCTIONAL DEVICE OF A MOTOR VEHICLE | 3 |
John Hamberger | US | Milford | 2015-06-04 / 20150150964 - HERPESVIRUS COMPOSITIONS AND RELATED METHODS | 2 |
Peter Hamberger | AT | Kirchschlag Bei Linz | 2016-05-05 / 20160125999 - Apparatus for Reducing a Magnetic Unidirectional Flux Component in the Core of a Transformer | 4 |
Wilfried Hamberger | DE | Ludwigshafen | 2010-10-28 / 20100273739 - Aminotetraline Derivatives, Pharmaceutical Composition, Containing Them, and Their Use in Therapy | 1 |
Guenther Hambitzer | DE | Pfinztal | 2014-12-25 / 20140377642 - Electrochemical Battery Cell | 4 |
Eric J. Hamblen | US | Beaverton | 2010-03-11 / 20100058996 - Tether for use with autistic children | 1 |
Frederick H. Hambleton | US | Orchard Lake | 2011-10-20 / 20110258128 - RECYCLE PROGRAM | 1 |
William Thomas Hambleton | US | Hudson | 2015-12-03 / 20150346052 - LOW SPEED WIND TUNNEL DESIGN FOR AGRICULTURAL SPRAY PARTICLE ANALYSIS | 4 |
Myra A. Hambleton | US | Plano | 2010-08-26 / 20100217603 - Method, System, and Apparatus for Enabling Adaptive Natural Language Processing | 1 |
Kerry Louisa Hambleton | GB | Northwich | 2010-03-04 / 20100055073 - TREATMENT OF PERVASIVE DEVELOPMENTAL DISORDERS | 1 |
Richard S. Hambleton | US | Cambridge | 2010-10-14 / 20100262635 - ELECTRONIC APPLIANCE FOR COLLECTING AND DISTRIBUTING DIGITAL MEDIA | 1 |
Julie Hambleton | US | San Francisco | 2016-03-10 / 20160067307 - METHODS OF TREATING CANCER | 4 |
Mark Hambleton | GB | Surrey | 2012-07-12 / 20120180063 - Method and Apparatus for Providing Management of Parallel Library Implementation | 1 |
Scott Lawson Hambleton | CA | London | 2015-09-17 / 20150262180 - SYSTEM AND METHOD FOR AUTHORIZING A DEBIT TRANSACTION WITHOUT USER AUTHENTICATION | 1 |
Jordan Patrick Hambleton | US | San Jose | 2014-08-07 / 20140222843 - Systems, Methods, and computer Program Products to Ingest, Process, and Output Large Data | 1 |
William B. Hambleton | US | Wilmington | 2016-02-04 / 20160032422 - PROCESS FOR PREFERENTIAL DISSOLUTION OF IRON IN THE PRESENCE OF TITANIUM | 1 |
Bill Hambleton | US | Woodville | 2013-07-18 / 20130180325 - NOVEL LOW SPEED WIND TUNNEL DESIGN FOR AGRICULTURAL SPRAY PARTICLE ANALYSIS | 1 |
Ian Hamblett | GB | Bolton | 2013-01-24 / 20130023663 - SUBSTITUTED STILBENES AND THEIR REACTIONS | 1 |
Christopher Hamblett | US | West Roxbury | 2013-05-23 / 20130131041 - SPIROCYCLIC COMPOUNDS | 1 |
Christopher Hamblett | US | Boston | 2010-08-12 / 20100204230 - PIPERAZINE DERIVATIVES FOR TREATMENT OF AD AND RELATED CONDITIONS | 5 |
Kevin J. Hamblett | US | Seattle | 2014-10-23 / 20140314667 - METHODS OF TREATING EPIDERMAL GROWTH FACTOR DELETION MUTANT VIII RELATED DISORDERS | 1 |
Christopher Laurence Hamblett | US | Boston | 2009-05-07 / 20090118291 - Histone deacetylase inhibitors | 1 |
Christohpher L. Hamblett | US | Boston | 2011-10-13 / 20110251172 - PURINE DERIVATIVES FOR TREATMENT OF ALZHEIMER'S DISEASE | 1 |
Christopher L. Hamblett | US | Boston | 2010-04-15 / 20100093665 - SILYLATED PIPERIDINE DERIVATIVES | 2 |
Jonathan Hamblett | US | Scottsdale | 2015-07-16 / 20150199622 - METHOD AND SYSTEM FOR FACILITATING ANCILLARY SERVICES | 2 |
Anthony A. Hambley | US | Milford | 2015-10-01 / 20150274445 - DE-STACKING PROCESS FOR THE SEPARATION OF LUBRICATED ALUMINUM SHEETS | 1 |
Trevor Hambley | AU | Ashbury | 2009-02-12 / 20090042848 - COPPER COMPLEXES | 1 |
Trevor William Hambley | AU | Ashbury | 2015-02-05 / 20150037252 - Method for the Treatment of a Solid Tumour | 1 |
Julie Nicole Hamblin | GB | Hertfordshire | 2012-02-23 / 20120046286 - Novel Compounds | 4 |
Paul Andrew Hamblin | GB | Hertfordshire | 2012-04-19 / 20120095193 - POLYPEPTIDES AND METHOD OF TREATMENT | 16 |
Paul Andrew Hamblin | GB | Stevenage | 2014-05-29 / 20140147435 - NOGO-A Neutralizing Immunoglobulins for the Treatment of Neurological Diseases | 12 |
Jeffrey B. Hamblin | US | Issaquah | 2013-10-10 / 20130269025 - RESOURCE ACCESS BASED ON MULTIPLE SCOPE LEVELS | 8 |
Julie Nicole Hamblin | GB | Stevenage | 2015-11-19 / 20150328226 - Novel Compounds | 14 |
Chris Hamblin | US | League City | 2014-07-24 / 20140204115 - SYSTEM AND METHOD FOR AUTOMATICALLY AND DYNAMICALLY VARYING THE FEEDBACK TO ANY OPERATOR BY AN AUTOMATED SYSTEM | 8 |
Paul Andrew Hamblin | GB | Hertfordshire | 2012-04-19 / 20120095193 - POLYPEPTIDES AND METHOD OF TREATMENT | 16 |
Paul Andrew Hamblin | GB | Stevenage Hertfordshire | 2011-03-03 / 20110054149 - NOGO-A Neutralizing Immunoglobulins for the Treatment of Neurological Diseases | 1 |
Paul Andrew Hamblin | GB | Stevenage | 2014-05-29 / 20140147435 - NOGO-A Neutralizing Immunoglobulins for the Treatment of Neurological Diseases | 12 |
Stacey S. Hamblin | US | Millville | 2015-10-22 / 20150296812 - EDIBLE COLLAGEN CASINGS FINISHED WITH POLYSORBAT | 1 |
Ryan Hamblin | US | Apache Junction | 2014-08-28 / 20140237730 - PILL TRAY SPATULA | 1 |
Stacie Hamblin | US | Farmington | 2015-08-20 / 20150230682 - Floor and Mat Surface Cleaning Apparatus | 2 |
Kevin J. Hamblin | US | Clearfield | 2011-10-06 / 20110241366 - PIPE LIFTING AND HANDLING TOOL | 1 |
Steven W. Hamblin | US | Daleville | 2012-03-22 / 20120066913 - Trimmer Head with Automatic Trimmer Line Feed Mechanism | 2 |
Steven Hamblin | US | Daleville | 2015-02-12 / 20150040587 - METHOD AND APPARATUS FOR INSTANT ICE MAKING | 1 |
Michael R. Hamblin | US | Revere | 2013-05-09 / 20130115133 - INACTIVATION OF MICROORGANISMS WITH MULTIDRUG RESISTANCE INHIBITORS AND PHENOTHIAZINIUMS | 6 |
Andrew Simon Hamblin | GB | Portishead | 2012-05-03 / 20120102684 - STABPLATE CONNECTIONS | 1 |
Jeffrey B. Hamblin | US | Issaquah | 2013-10-10 / 20130269025 - RESOURCE ACCESS BASED ON MULTIPLE SCOPE LEVELS | 8 |
Colter Hamblin | US | Farmington | 2015-08-20 / 20150230682 - Floor and Mat Surface Cleaning Apparatus | 2 |
Mark Arthur Hamblin | US | San Francisco | 2015-10-29 / 20150309641 - TOUCH SCREEN STACK-UP PROCESSING | 4 |
Christopher Hamblin | GB | Worthing Sussex | 2010-06-17 / 20100150503 - MALE CONTACT FOR OPTICAL FIBER | 1 |
Chris Hamblin | GB | Worthing/west Sussex | 2010-07-08 / 20100172648 - Installation for Conveying a First Plurality of Electrical Signals Carried by a First Triaxial Cable to a Second Triaxial Cable | 1 |
Julie Nicole Hamblin | GB | Stevenage | 2015-11-19 / 20150328226 - Novel Compounds | 14 |
Paul Andrew Hamblin | US | King Of Prussia | 2013-10-31 / 20130287781 - IMMUNOGLOBULINS | 1 |
Ray Hamblin | US | Northville | 2010-08-05 / 20100193314 - Housing-Piston Assembly for a Coupling Device and Assembly Method | 1 |
Julie Nicole Hamblin | GB | Stevanage | 2009-12-17 / 20090312325 - Quinoline Derivatives As Phosphodiesterase Inhibitors | 1 |
Andrew S. Hamblin | GB | Portishead | 2011-04-21 / 20110088909 - STABPLATE CONNECTIONS | 1 |
Paul Hamblin | GB | Stevenage | 2014-09-25 / 20140286935 - ANTIGEN BINDING PROTEINS | 1 |
Christopher Hamblin | GB | Sussex | 2014-02-27 / 20140055637 - Installation for Conveying Signals Between a Video Camera Equipment and a Remote Equipment | 1 |
Nathan John Hamblin | US | Marshalltown | 2015-07-16 / 20150198187 - EROSION-RESISTANT FLUID PRESSURE REDUCTION DEVICE | 1 |
Christopher Hamblin | GB | Worthing | 2013-06-27 / 20130162845 - Installation for Conveying Electrical Signals Between a First Triaxial Cable and a Second Triaxial Cable | 1 |
Michael W. Hamblin | US | Stow | 2009-09-03 / 20090218498 - METHOD OF AND SYSTEM FOR OBTAINING LINEAR DATA FOR OBJECT SCANNED USING NON-COLLIMATED, POLY-ENERGETIC X-RAYS | 3 |
Chris Hamblin | US | League City | 2014-07-24 / 20140204115 - SYSTEM AND METHOD FOR AUTOMATICALLY AND DYNAMICALLY VARYING THE FEEDBACK TO ANY OPERATOR BY AN AUTOMATED SYSTEM | 8 |
Julie Nicole Hamblin | GB | Stevenage, Hertfordshire, | 2013-01-31 / 20130029985 - Novel Compounds | 2 |
Stephen W. Hamblin | US | Little Rock | 2014-02-13 / 20140041937 - High Speed Bypass Cable Assembly | 1 |
Peter H. Hambling | US | Bellevue | 2014-05-15 / 20140131103 - ESTABLISHING POSITIONS OF LOCATING FIELD DETECTORS AND PATH MAPPING IN UNDERGROUND BORING TOOL APPLICATIONS | 4 |
Pablo Hambly | US | San Mateo | 2013-05-30 / 20130138120 - TISSUE ACQUISITION DEVICES AND METHODS | 1 |
Don Hambly | CA | Mississauga | 2013-05-02 / 20130105589 - TANK-TANKLESS WATER HEATER | 2 |
Pablo R. Hambly | US | San Mateo | 2014-08-21 / 20140231489 - MULTI-FIRE STAPLING SYSTEMS | 5 |
Stephen L. Hambly | US | Oregon City | 2013-04-04 / 20130081661 - KEG WASHERS | 1 |
Jan-Oliver Hamborg | DE | Heilbronn | 2013-07-04 / 20130173133 - Method for assisting in the calculation of a vehicle speed in a vehicle | 1 |
Marlyn A. Hamborg | US | Downers Grove | 2014-10-02 / 20140296602 - ISOMERIZATION PROCESS WITH MTW CATALYST | 2 |
Espen Steinseth Hamborg | NL | Enschede | 2012-04-19 / 20120090466 - Method for Enhancing the Performance of Processes for the Removal of Acid Gas | 1 |
Felix Hamborg | DE | Stuttgart | 2014-09-11 / 20140258312 - INSIGHT DETERMINATION AND EXPLANATION IN MULTI-DIMENSIONAL DATA SETS | 2 |
Felix Hamborg | DE | Giessen | 2015-03-26 / 20150088831 - FILE RECOVERY ON CLIENT SERVER SYSTEM | 1 |
Gustav Hambraeus | SE | Malmo | 2011-12-01 / 20110293779 - BARLEY AND MALT-DERIVED BEVERAGES WITH LOW DMS LEVEL | 1 |
William R. Hambrecht | US | San Francisco | 2010-01-21 / 20100017344 - Auction System and Method for Pricing and Allocation During Capital Formation | 2 |
Elissa Hambrecht | US | San Francisco | 2008-12-11 / 20080305226 - Press and Sip Device | 1 |
Ralf Hambrecht | DE | Fockbek | 2015-10-08 / 20150285224 - COMPONENT ARRANGEMENT FOR A WIND TURBINE, METHOD OF ASSEMBLY AND OPERATING METHOD | 1 |
Kerstin Hambrecht | DE | Heidelberg | 2009-10-01 / 20090248473 - Managing Consistent Interfaces for Business Objects Across Heterogeneous Systems | 1 |
Gerhard Hambrecht | DE | Frankfurt | 2015-05-21 / 20150141933 - INJECTION DEVICE WITH HOLDING MEANS TO PREVENT UNINTENTIONAL MOVEMENTS OF PISTON ROD | 2 |
Stephen A. Hambric | US | State College | 2015-06-04 / 20150152878 - EXPANDABLE IMPELLER PUMP | 6 |
Larry Hambrick | US | Lubbock | 2011-07-14 / 20110167562 - Tilting Bed | 1 |
Robert Dean Hambrick | US | Washington | 2015-11-19 / 20150331422 - Autonomous Vehicle Interface System | 1 |
Geoffrey Martin Hambrick | US | Round Rock | 2008-09-18 / 20080229330 - Method and Apparatus for Implementing Container Managed Batch Jobs in an Enterprise Java Bean Environment | 1 |
Samuel Hambrick | US | Levittown | 2009-02-26 / 20090053372 - RESEALABLE FOOD BAGS AND METHOD THEREOF | 1 |
Geoffrey M. Hambrick | US | Round Rock | 2016-05-19 / 20160140479 - ADAPTIVE TASK ASSIGNMENT TO IMPROVE SKILL GROWTH AND BALANCE SERVICE LEVEL AGREEMENTS | 6 |
Craig B. Hambrick | US | Beavercreek | 2009-06-18 / 20090157598 - SYSTEMS AND PROCESSES FOR EVALUATING DATABASE COMPLEXITIES | 1 |
Chris Hambrick | US | League City | 2011-05-05 / 20110100482 - CONTINUOUS GAS SERVICE RESTORATION AND METER CHANGE-OUT SYSTEM | 1 |
Matthew D. Hambrick | US | Raleigh | 2014-06-05 / 20140156779 - DYNAMIC DETECTION AND REDUCTION OF UNALIGNED I/O OPERATIONS | 2 |
Geoffrey Martin Hambrick | US | Austin | 2011-12-22 / 20110314369 - SPECIFYING AND PROCESSING COMPOSED PATTERNS | 1 |
Victor Hambridge | US | Cypress | 2010-09-16 / 20100232701 - SYSTEM AND METHOD FOR IDENTIFYING WALL FACES IN AN OBJECT MODEL | 2 |
Philip James Hambridge | GB | Potters Barn | 2016-04-28 / 20160116922 - METHOD AND APPARATUS FOR COOLING A DEVICE BASED ON PRODUCTIVITY OF THE DEVICE | 1 |
Philip James Hambridge | GB | Hertfordshire | 2015-12-03 / 20150347367 - USER EXPERIENCE FEEDBACK RECORDER | 1 |
Christopher A. Hambridge | US | Raleigh | 2011-11-10 / 20110277012 - SYSTEM FOR AUGMENTING ACCESS TO RESOURCES | 1 |
Eric P. Hambright | US | Raleigh | 2011-12-29 / 20110321075 - DYNAMICALLY MODIFYING MEDIA CONTENT FOR PRESENTATION TO A GROUP AUDIENCE | 1 |
Ludger Hambrock | DE | Borken | 2011-04-21 / 20110088780 - Solar Component for Solar Thermal Installations, Solar Thermal Installation, Method for Operating a Solar Thermal Installation, and Parts of a Solar Component for Solar Thermal Installations | 1 |
Joanne Hambrook | AU | Andrews | 2012-03-29 / 20120076769 - HERBAL COMPOSITION FOR CANCER TREATMENT | 2 |
Joel T. Hambruch | US | Newfane | 2015-11-19 / 20150330683 - DUAL CIRCUIT REFRIGERANT CONDENSER | 1 |
Joel T. Hambruch | US | Burt | 2015-02-05 / 20150034282 - FACE PLUMBING ADAPTER FOR A HEAT EXCHANGER ASSEMBLY | 3 |
Joel Thomas Hambruch | US | Burt | 2010-06-24 / 20100154188 - Method For Securing A Heat Exchanger Bracket | 1 |
Mark C. Hamburg | US | Woodinville | 2015-07-02 / 20150186021 - Non-Destructive Collaborative Editing | 5 |
Steven P. Hamburg | US | Providence | 2013-10-17 / 20130270995 - LIGHTING APPARATUS FOR CAPTURING AND STABILIZING MERCURY | 5 |
Keith Martin Hamburg | US | Reston | 2015-10-08 / 20150288635 - SYSTEMS AND METHODS FOR FORWARDING ELECTRONIC MAIL | 3 |
Michael A. Hamburg | US | San Francisco | 2015-06-25 / 20150180652 - MODULAR EXPONENTIATION OPTIMIZATION FOR CRYPTOGRAPHIC SYSTEMS | 1 |
Richard D. Hamburg | US | Setauket | 2010-03-18 / 20100065066 - ORAL APPLIANCE | 1 |
Aric Hamburg | US | Fond Du Lac | 2012-02-02 / 20120023882 - UNIVERSAL MOUNTING STRUCTURE FOR A LAWN STRIPER | 1 |
Mark Hamburg | US | Scotts Valley | 2008-12-25 / 20080317388 - Smart Erasure Brush | 1 |
Michael Hamburg | US | San Francisco | 2015-11-12 / 20150326567 - MODULES TO SECURELY PROVISION AN ASSET TO A TARGET DEVICE | 3 |
Ron Wayne Hamburg | US | Sacramento | 2012-05-31 / 20120136513 - Accelerometer autopilot system | 3 |
Jonathon Hamburg | CA | Oakville | 2009-04-30 / 20090112762 - METHOD FOR FUNDING A CHARITY USING A PREPAID CARD | 1 |
William Hamburgen | US | Palo Alto | 2015-07-23 / 20150207351 - MOBILE CHARGING DEVICE FOR A MOBILE DEVICE | 20 |
Paul Hamburger | US | Los Angeles | 2015-12-24 / 20150366411 - DOMESTIC APPLIANCE COMMUNICATION SYSTEM | 1 |
Anne Hamburger | US | Baltimore | 2008-10-30 / 20080269133 - COMPOSITIONS AND METHODS OF USE OF EPB1, AND ERBB3 BINDING PROTEIN | 1 |
Mary P. Hamburger | US | Hemdon | 2009-02-12 / 20090043339 - UNIVERSAL TRANSVERSE CONNECTOR DEVICE | 1 |
Mary P. Hamburger | US | Herndon | 2010-05-06 / 20100114170 - MULTI-PLANAR TAPER LOCK SCREW WITH INCREASED ROD FRICTION | 1 |
Oded Hamburger | IL | Haifa | 2014-06-12 / 20140161577 - METHOD AND SYSTEM FOR LOCALLY CONTROLLING SUPPORT OF A FLAT OBJECT | 2 |
Agnes Eva Hamburger | US | Thousand Oaks | 2010-11-11 / 20100285131 - FGF21 MUTANTS AND USES THEREOF | 1 |
Agnes Eav Hamburger | US | Newbury Park | 2014-08-28 / 20140243503 - FGF21 MUTANTS AND USES THEREOF | 1 |
Jacob A. Hamburger | US | Perry | 2011-05-12 / 20110108350 - Multiple Function Control System For Work Machine | 2 |
Agnes Eva Hamburger | US | Newbury Park | 2016-02-11 / 20160039939 - HUMAN PAC1 ANTIBODIES | 10 |
Agnes Eva Hamburger | US | Newbury Park | 2016-02-11 / 20160039939 - HUMAN PAC1 ANTIBODIES | 10 |
Kathrin Hamburger | DE | Kuhardt | 2014-01-02 / 20140006233 - Consistent Interface for Financial Accounting View of Cost Object Expense List and Financials View of Contract | 1 |
Menny Hamburger | IL | Nes Tziona | 2012-03-29 / 20120078866 - Method For Managing Lock Resources in a Distributed Storage System | 2 |
David W. Hamby | US | Andover | 2015-12-24 / 20150369658 - LIGHT ASSEMBLY EMPLOYING UNCHARACTERIZED LIGHT SOURCES | 9 |
Brent Hamby | US | Oakland | 2015-03-26 / 20150088860 - Location Searching with Category Indices | 1 |
Eric S. Hamby | US | Webster | 2015-09-24 / 20150269453 - METHODS AND SYSTEMS FOR EFFICIENT HANDWRITTEN CHARACTER SEGMENTATION | 10 |
John L. Hamby | US | Seattle | 2013-10-03 / 20130263099 - COMMON INTERMEDIATE REPRESENTATION FOR DATA SCRIPTING LANGUAGE | 4 |
James Hamby | US | Ann Arbor | 2013-12-19 / 20130338372 - Substituted Imidazoline Compounds | 2 |
Eric Scott Hamby | US | Fairport | 2010-12-02 / 20100303493 - APPARATUS AND METHOD FOR ADJUSTMENT OF A PRINTER FUSER NIP | 11 |
Payge Marie Hamby | US | Brea | 2010-09-02 / 20100219146 - SHELVING DECK | 1 |
Aubrey Eugene Hamby | US | Amarillo | 2012-05-03 / 20120108158 - SOLAR ENERGY INTERCEPT AND WASTE HEAT RECOVERY SYSTEM | 1 |
Eric S. Hamby | US | Fairport | 2011-10-06 / 20110246107 - IMAGING APPARATUS AND METHOD OF PREDICTING THE PHOTORECEPTOR REPLACEMENT INTERVAL | 5 |
Kenneth W. Hamby | US | The Woodlands | 2016-01-28 / 20160024308 - PELLETIZATION OF PYROLYZED RUBBER PRODUCTS | 3 |
John H. Hamby | US | Cromwell | 2011-06-23 / 20110153481 - SYSTEM AND METHOD FOR MANAGING FINANCIAL ACCOUNTS AND COMPARING COMPETITIVE GOODS AND/OR SERVICES RENDERED THERETO | 1 |
John Lawrence Hamby | US | Seattle | 2015-10-01 / 20150277899 - HIERARCHICAL DIRECTIVES-BASED MANAGEMENT OF RUNTIME BEHAVIORS | 3 |
Kenneth Wesley Hamby | US | The Woodlands | 2013-01-31 / 20130029065 - PYROLYZED RUBBER PRODUCTS AND PROCESSES | 3 |
W. Daniel Hamby | US | Valdese | 2016-04-14 / 20160103115 - SCANNING SYSTEM FOR WOOD | 5 |
John C. Hamby | US | Roanoke | 2009-12-03 / 20090299697 - SYSTEM AND METHOD FOR TRIP EVENT DATA ACQUISITION AND WIND TURBINE INCORPORATING SAME | 1 |
David Hamby | US | Andover | 2015-06-11 / 20150159812 - SUBSTRATES WITH STIFFENERS FOR LIGHTING AND ELECTRONIC APPLICATIONS | 12 |
Eric S. Hamby | US | Webster | 2015-09-24 / 20150269453 - METHODS AND SYSTEMS FOR EFFICIENT HANDWRITTEN CHARACTER SEGMENTATION | 10 |
David W. Hamby | US | Andover | 2015-12-24 / 20150369658 - LIGHT ASSEMBLY EMPLOYING UNCHARACTERIZED LIGHT SOURCES | 9 |
Eric Scott Hamby | US | Webster | 2015-09-24 / 20150269862 - METHODS AND SYSTEMS FOR PROVIDING PENMANSHIP FEEDBACK | 9 |
Jake Hamby | US | Mountain View | 2014-09-18 / 20140274172 - PREMIUM MESSAGING CHALLENGES | 1 |
Dave Hamby | US | Andover | 2015-11-12 / 20150325755 - TECHNIQUES FOR ADHERING SURFACE MOUNT DEVICES TO A FLEXIBLE SUBSTRATE | 1 |
Eric Scott Hamby | US | Webster | 2015-09-24 / 20150269862 - METHODS AND SYSTEMS FOR PROVIDING PENMANSHIP FEEDBACK | 9 |
Joseph Hamby | US | Woodstock | 2014-09-18 / 20140271904 - METHODS FOR PREPARING TISSUE IMPLANTS | 6 |
Benjamin J. Hamby | US | Atlanta | 2015-04-23 / 20150112539 - ACCELEROMETER AND VOLTAGE BASED KEY-ON AND KEY-OFF DETECTION | 1 |
Brent E. Hamby | US | 2009-03-05 / 20090063437 - Secure hosted databases | 1 | |
Jason Hamby | US | Alpharetta | 2016-05-05 / 20160125487 - OPTIMIZATION OF UTILITY CONSUMPTION FOR PROPERTY | 1 |
Donny Gene Hamby | US | Boca Raton | / - | 1 |
James Hamby | US | Knoxville | 2009-04-02 / 20090084882 - Device and Method for Coiling a Flexible Material | 1 |
William O. Hamby | US | Sandwich | 2012-11-29 / 20120298854 - Mass Analysis Variable Exit Aperture | 1 |
Payge Hamby | US | Brea | 2013-08-15 / 20130206715 - RISER DECK | 2 |
Steve Hamby | US | Hoschton | 2015-05-28 / 20150149659 - SYSTEMS AND COMPUTER IMPLEMENTED METHODS FOR SEMANTIC DATA COMPRESSION | 1 |
Brian Samuel Hamby | US | Athens | 2012-12-06 / 20120305687 - METHOD AND APPARATUS FOR STARTING MOVING ITEMS INTO A PROCESSING MACHINE | 1 |
David Hamby | US | Andover | 2015-06-11 / 20150159812 - SUBSTRATES WITH STIFFENERS FOR LIGHTING AND ELECTRONIC APPLICATIONS | 12 |
David M. Hamby | US | Corvallis | 2011-05-05 / 20110101228 - Skin Contamination Dosimeter | 1 |
Johnny Dene Hamby | US | Boca Raton | / - | 1 |
Nader Hamda | US | Torrance | 2013-08-29 / 20130225041 - TWILIGHT DEVICE | 1 |
Nader Hamda | US | Redondo Beach | 2015-02-26 / 20150057805 - ROBOTIC ACTIVITY SYSTEM USING POSITION SENSING | 2 |
Kenichi Hamda | JP | Ibaraki | 2009-06-18 / 20090152383 - THERMOPLASTIC POLYMER POWDER | 1 |
Mutaz M. Hamdan | SA | Dhahran | 2014-07-03 / 20140188273 - CONTROL METHOD FOR MOBILE PARALLEL MANIPULATORS | 1 |
Marv Hamdan | US | North Olmsted | 2013-05-23 / 20130131873 - DETECTION OF BLOCKED AIR LINE FOR ELECTRIC COMPRESSOR AT START UP | 4 |
Fadi Hamdan | CA | Baie D'Urfe | 2013-03-14 / 20130065238 - SYNGAP1 DYSFUNCTIONS AND USES THEREOF IN DIAGNOSTIC AND THERAPEUTIC APPLICATIONS FOR MENTAL RETARDATION | 2 |
Fadi Adel Hamdan | US | Austin | 2012-05-10 / 20120112813 - Latch Circuits with Synchronous Data Loading and Self-Timed Asynchronous Data Capture | 1 |
Adam Hamdan | DE | Geesthacht | 2015-11-12 / 20150321435 - ROTARY PRESS | 1 |
Fadi A. Hamdan | US | Raleigh | 2012-03-15 / 20120065955 - Modeling Output Delay of a Clocked Storage Element(s) | 1 |
Majed M. Hamdan | US | North Olmsted | 2014-07-17 / 20140200761 - BRAKE CHAMBER WITH SENSOR | 1 |
Fadi A. Hamdan | US | Austin | 2014-07-17 / 20140201494 - OVERLAP CHECKING FOR A TRANSLATION LOOKASIDE BUFFER (TLB) | 1 |
Fadi Adel Hamdan | US | Cary | 2013-02-07 / 20130033292 - CIRCUITS AND METHODS FOR LATCH-TRACKING PULSE GENERATION | 1 |
Majed M. Hamdan | US | North Olmstead | 2014-03-20 / 20140078302 - Backward Movement Indicator Apparatus for a Vehicle | 1 |
Mohammed Hamdan | SA | Riyadh | 2014-09-18 / 20140275301 - VINYL POLYMERS PREPARED VIA SUSPENSION POLYMERIZATION AND METHODS THEREOF | 2 |
Mouin Hamdan | DE | Celle | 2013-08-22 / 20130214779 - METHOD AND SYSTEM TO CHARACTERIZE A PROPERTY OF AN EARTH FORMATION | 4 |
Fadi Adel Hamdan | US | Raleigh | 2010-01-28 / 20100019815 - Circuits and Methods Employing a Local Power Block for Leakage Reduction | 2 |
Marv M. Hamdan | US | North Olmsted | 2010-05-06 / 20100111707 - ROBUST PID CONTROL FOR BLDC MOTOR CONTROLLER | 1 |
Mahmoud N. Hamdan | US | Pleasant Prairie | 2013-09-19 / 20130245503 - Patient Monitoring System with Unitary Structure and Method | 2 |
Mohamed Hussein Hamdan | US | Salt Lake City | 2010-08-26 / 20100217343 - METHODS AND SYSTEMS FOR TREATING VENTRICULAR ARRHYTHMIAS | 2 |
Monjid Hamdan | US | Worcester | 2011-11-03 / 20110266142 - Unitized electrolyzer apparatus | 4 |
Walid Hamdane | CA | Ottawa | 2015-10-22 / 20150303929 - LOW SPURIOUS SYNTHESIZER CIRCUIT AND METHOD | 3 |
Rachid Hamdani | FR | Maisons Alfort | 2012-02-02 / 20120026071 - PORTABLE AUGMENTED-REALITY HEAD-UP DISPLAY DEVICE | 1 |
Hagit Hamdani | IL | Haifa | 2012-11-08 / 20120284323 - PUBLIC BOT MANAGEMENT IN PRIVATE NETWORKS | 2 |
Amnon Hamdani | IL | Ashdod | 2012-08-16 / 20120209162 - PELVIC SPLINT | 2 |
Nacera Hamdani | FR | Colombes | 2015-11-19 / 20150328181 - USE OF DRONEDARONE FOR THE PREPARATION OF A MEDICAMENT FOR USE IN THE PREVENTION OF CARDIOVASCULAR HOSPITALIZATION OR OF MORTALITY | 6 |
Nacéra Hamdani | FR | Colombes | 2011-09-22 / 20110230552 - USE OF DRONEDARONE FOR THE PREPARATION OF A MEDICAMENT FOR THE PREVENTION OF STROKE OR TRANSIENT ISCHEMIC ATTACK | 3 |
Hagit Hamdani | IL | Ashdod | 2012-10-11 / 20120259918 - BUSINESS PROCESS MANAGEMENT SYSTEM WITH IMPROVED COMMUNICATION AND COLLABORATION | 1 |
Nacera Hamdani | FR | Paris | 2011-07-07 / 20110166220 - DRONEDARONE FOR THE PREVENTION OF PERMANENT ATRIAL FIBRILLATION | 1 |
Nordine Hamdi | LU | Sanem | 2008-12-11 / 20080302983 - Fluid control valve | 1 |
Louenas Hamdi | CA | Quebec | 2011-03-24 / 20110072070 - ASYNCHRONOUS INVOCATION OF WEB SERVICES USING MOBILE CLIENT APPLICATIONS | 1 |
Mounir Hamdi | CN | Clear Water Bay | 2009-03-26 / 20090080403 - WIRELESS LAN DATA RATE ADAPTATION | 1 |
Karama Hamdi | CN | Hong Kong | 2011-12-22 / 20110312368 - POWER CONTROL IN COGNITIVE RADIO SYSTEMS BASED ON SPECTRUM SENSING SIDE INFORMATION | 2 |
Rabah S. Hamdi | US | Spring | 2016-03-31 / 20160094463 - NETWORK SWITCHING SYSTEM USING SOFTWARE DEFINED NETWORKING APPLICATIONS | 18 |
Chadlia Hamdi | TN | Enogra, Etthadhamen | 2013-03-14 / 20130064796 - METHODS FOR THE PREVENTION AND CONTROL OF PATHOGENIC INFECTIONS IN BEES AND RELATIVE COMPOSITION | 1 |
Mounir Hamdi | CN | Hong Kong | 2012-06-07 / 20120140755 - WIRELESS LAN DATA RATE ADAPTATION | 2 |
Rabah Hamdi | US | Spring | 2015-05-21 / 20150139243 - System and Method for Utilizing a Unique Identifier while Registering a Device in a Network | 2 |
Moktar Hamdi | TN | Tunis | 2013-08-01 / 20130193066 - METHOD OF THE CLEANUP OF CONTAMINATED SITE/SEDIMENTS | 1 |
Karama Hamdi | QA | Doha | 2013-11-21 / 20130310104 - POWER CONTROL IN COGNITIVE RADIO SYSTEMS BASED ON SPECTRUM SENSING SIDE INFORMATION | 2 |
Abdullah Jamal Hamdi | SA | Mecca | 2015-10-29 / 20150311859 - SMART DUST-CLEANER AND COOLER FOR SOLAR PV PANELS | 1 |
Maziyar Hamdi | CA | Vancouver | 2016-05-12 / 20160132902 - Search and Rank Organizations | 2 |
Fraj Hamdi | FR | Poissy | 2013-05-30 / 20130133734 - PHOTOVOLTAIC CELL | 1 |
Mounir Hamdi | HK | Kowloon | 2014-06-12 / 20140164641 - CONGESTION CONTROL FOR DATA CENTER TRAFFIC | 3 |
Rabah S. Hamdi | US | Spring | 2016-03-31 / 20160094463 - NETWORK SWITCHING SYSTEM USING SOFTWARE DEFINED NETWORKING APPLICATIONS | 18 |
Hamdi | US | 2013-01-03 / 20130005615 - OPTIMIZED ENHANCED OIL RECOVERY METHOD WITH POLYMER PRESERVATION THROUGH SACRIFICIAL COMPOUND ADDITION | 1 | |
Naser Hamdi | US | Chesterfield | 2014-11-13 / 20140337170 - Increasing Reliability of Information Available to Parties in Market Transactions | 1 |
Said Hamdioui | NL | Zoetermeer | 2013-04-04 / 20130086440 - GENERIC MARCH ELEMENT BASED MEMORY BUILT-IN SELF TEST | 1 |
Mahmoud Hamed Hamdy | US | Santa Cruz | 2008-10-16 / 20080251263 - Deformed pipe method of extinguishing oil fires | 1 |
Bassem Hamdy | CA | Toronto | 2009-10-22 / 20090265376 - DATA ENTRY SYSTEMS AND METHODS UTILIZING DATA ENTRY MESSAGES | 1 |
Walid Mostafa Hamdy | US | San Diego | 2012-05-17 / 20120120880 - SCHEDULING OF LOGICAL CHANNELS IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Walid M. Hamdy | US | San Diego | 2013-10-17 / 20130272123 - Systems and Methods for Traffic Policing | 4 |
Ahmed Hamdy | US | Soquel | 2015-03-26 / 20150086507 - Bruton's Tyrosine Kinase Inhibitors for Hematopoietic Mobilzation | 1 |
Frédéric Hameau | FR | Saint Nizier Du Moucherotte | 2015-10-01 / 20150280758 - RECONFIGURABLE MULTI-CHANNEL UWB RECEIVER | 2 |
Sebastien Hameau | FR | Lieusaint | 2015-04-23 / 20150110602 - INSTRUMENTED AIRFOIL | 1 |
Sebastien Hameau | FR | Moissy Cramayel Cedex | 2015-08-13 / 20150226082 - INSTRUMENTED VANE | 1 |
Saher Hamed | IL | Nazareth Llit | 2011-05-26 / 20110123481 - ERYTHROPOIETIN AND FIBRONECTIN COMPOSITIONS FOR THERAPEUTIC AND COSMETIC APPLICATIONS | 1 |
Ehab Hamed | US | Maple Grove | 2012-09-06 / 20120225122 - Abuse-Resistant Formulations | 3 |
Mohd Zurix B. Hamed | MY | Kuala Lumpur | 2014-08-07 / 20140216062 - APPARATUS FOR COOLING HOT CONDENSATE IN A PIPING | 1 |
Saher Hamed | IL | Nazareth Ilit | 2014-06-05 / 20140154205 - ERYTHROPOIETIN AND FIBRONECTIN COMPOSITIONS FOR THERAPEUTIC AND COSMETIC APPLICATIONS | 2 |
Afshin Hamed | CA | Calgary | 2016-03-03 / 20160060983 - WRENCH EXTENSION WITH FOOT SUPPORT | 1 |
Nejib Hamed | GB | Aberdeen | 2013-06-06 / 20130139568 - Injector and Method for Injecting a Sample into a Chromatography Column | 1 |
Siri Hamed | US | Westland | 2012-11-08 / 20120282885 - SYSTEM AND METHOD FOR AIRBAG DEPLOYMENT DETECTION | 1 |
Hazem N. Hamed | US | Long Beach | 2014-09-04 / 20140245881 - Force multiplication device | 1 |
Ehab Hamed | US | Concord | 2014-10-02 / 20140294953 - Abuse-Resistant Formulations | 7 |
Saher Hamed | IL | Nazareth Illit | 2016-02-04 / 20160030525 - ERYTHROPOIETIN AND FIBRONECTIN COMPOSITIONS FOR THERAPEUTIC AND COSMETIC APPLICATIONS | 1 |
Othman A. Hamed | US | Jesup | 2012-06-14 / 20120148518 - CELLULOSIC FIBERS WITH ODOR CONTROL CHARACTERISTICS | 1 |
Tarek H. Hamed | US | Long Beach | 2014-09-04 / 20140245881 - Force multiplication device | 1 |
Ezzeldin Hamed | US | Cambridge | 2015-05-28 / 20150146826 - INTEGRATED CIRCUIT IMPLEMENTATION OF METHODS AND APPARATUSES FOR MONITORING OCCUPANCY OF WIDEBAND GHz SPECTRUM, AND SENSING RESPECTIVE FREQUENCY COMPONENTS OF TIME-VARYING SIGNALS USING SUB-NYQUIST CRITERION SIGNAL SAMPLING | 1 |
Samia Mariam Hamed | US | Austin | 2013-10-10 / 20130267620 - Process for the production of expanded plastic materials, in particular PVC-based polymeric foams and a formulation of a polymeric blend for effecting said process | 2 |
Saher Hamed | IL | Nazareth Iiit | 2010-12-09 / 20100310626 - ERYTHROPOIETIN AND FIBRONECTIN COMPOSITIONS FOR BONE REGENERATION | 1 |
Orass Hamed | SA | Riyadh | 2015-04-09 / 20150099855 - GAS PHASE POLYMERISATION OF ETHYLENE | 2 |
Ehab Hamed | US | Brooklyn Park | / - | 2 |
Dalir Hamed | JP | Tokyo | 2016-02-11 / 20160043529 - MONOLITHICALLY INTEGRATED SURFACE EMITTING LASER WITH MODULATOR | 1 |
Mohammad Sadoghi Hamedani | US | White Plains | 2015-12-17 / 20150363455 - PREPLAYING TRANSACTIONS THAT MIX HOT AND COLD DATA | 8 |
Mohammad S. Hamedani | US | Chappaqua | 2016-04-14 / 20160103860 - SUPPORTING TRANSIENT SNAPSHOT WITH COORDINATED/UNCOORDINATED COMMIT PROTOCOL | 2 |
Mohammad Sadoghi Hamedani | US | White Plains | 2015-12-17 / 20150363455 - PREPLAYING TRANSACTIONS THAT MIX HOT AND COLD DATA | 8 |
Soheil Hamedani | DE | Grafing | 2009-01-15 / 20090015431 - Arrangement Comprising An Object Made At Least Partially Of Metal Or Precious Metal And An RFID Indetification Device | 1 |
Soheil Hamedani | DE | Munich | 2012-03-08 / 20120055996 - COIN HAVING INTEGRATED RFID IDENTIFICATION DEVICE AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Mohammad S. Hamedani | US | White Plains | 2015-12-03 / 20150347549 - Database Query Processing Using Horizontal Data Record Alignment of Multi-Column Range Summaries | 3 |
Parviz Hamedani | NJ | Califon | 2013-09-12 / 20130237550 - ARYLVINYLAZACYCLOALKANE COMPOUNDS FOR CONSTIPATION | 1 |
Hooman Hamedani | US | Philadelphia | 2016-02-11 / 20160038727 - SYSTEM FOR DELIVERY OF GASEOUS IMAGING CONTRAST AGENTS AND METHODS FOR USING SAME | 1 |
Allen Hamedany | US | Westford | 2010-07-22 / 20100185768 - RESOURCE ALLOCATION AND MODIFICATION USING STATISTICAL ANALYSIS | 1 |
Masoud Hamedi | US | Columbia | 2010-12-30 / 20100328105 - METHOD AND APPARATUS FOR ENERGY SELF SUFFICIENT AUTOMOBILE DETECTION AND REIDENTIFICATION | 1 |
Koshjar Hamedi | SE | Linkoping | 2011-03-03 / 20110050691 - REAL-TIME USER GUIDED OPTIMIZATION OF GENERAL 3D DATA | 1 |
Mahiar Hamedi | SE | Linkoping | 2010-11-11 / 20100283787 - CREATION AND RENDERING OF HIERARCHICAL DIGITAL MULTIMEDIA DATA | 3 |
Jehan Hamedi | US | South Boston | 2016-03-17 / 20160080485 - Systems and Methods for Determining Recommended Aspects of Future Content, Actions, or Behavior | 2 |
Mahiar Hamedi | SE | Norrkoping | 2010-11-04 / 20100277476 - BOUNDED SIMPLIFICATION OF GEOMETRICAL COMPUTER DATA | 1 |
Mahiar Max Hamedi | US | Cambridge | 2016-02-04 / 20160033438 - Paper-Based Reference Electrode And Potentiometric Ion Sensing | 1 |
Haris Hamedovic | DE | Schwieberdingen | / - | 2 |
Haris Hamedovic | DE | Moeglingen | 2016-03-24 / 20160084183 - METHOD FOR ADAPTING TRANSITION COMPENSATION | 15 |
Haris Hamedovic | DE | Moeglingen | 2016-03-24 / 20160084183 - METHOD FOR ADAPTING TRANSITION COMPENSATION | 15 |
Muhammad Rauf Hameed | DE | Heilbronn | 2012-02-16 / 20120041657 - METHOD FOR DETECTING THE CLUTCH CONDITION | 1 |
Muhammad Umar Hameed | PK | Bahawalpur | 2015-11-19 / 20150331752 - METHOD OF DATA STORAGE ON CLOUD DATA CENTER FOR REDUCING PROCESSING AND STORAGE REQUIREMENTS BY ENGAGING USER EQUIPMENT | 1 |
Sadiya Hameed | US | San Francisco | 2014-01-09 / 20140013237 - Extensible Framework to Expose Metametadata for Dynamically Generated User Interfaces | 1 |
Saad Hameed | US | Fremont | 2016-03-03 / 20160063560 - ACCELERATING ENGAGEMENT OF POTENTIAL BUYERS BASED ON BIG DATA ANALYTICS | 2 |
Farooq (muhammad) Hameed | US | Parkland | 2014-03-27 / 20140086125 - ENHANCED RATE PHYSICAL LAYER FOR BLUETOOTH.TM. LOW ENERGY | 2 |
Thajudeen Shahul Hameed | SG | Singapore | 2012-06-07 / 20120140069 - SYSTEMS AND METHODS FOR GATHERING VIEWERSHIP STATISTICS AND PROVIDING VIEWER-DRIVEN MASS MEDIA CONTENT | 1 |
Rehan Hameed | US | Rancho Santa Margarita | 2011-05-19 / 20110119520 - Hardware Function Generator Support in a DSP | 1 |
Shaaf Hameed | US | Grand Rapids | 2009-10-29 / 20090270829 - PACKAGED UNDERGARMENT AND CHANGING ACCESSORIES | 1 |
Salmaan Hameed | US | San Jose | 2015-08-06 / 20150216513 - FOOT-OPERATED CONTROL CONSOLE FOR WIRELESSLY CONTROLLING MEDICAL DEVICES | 3 |
Asif Hameed | US | St. Michael | 2008-11-06 / 20080275439 - CARDIAC ABLATION AND ELECTRICAL INTERFACE SYSTEM AND INSTRUMENT | 1 |
Zubair Hameed | US | Louisville | 2011-03-17 / 20110063202 - Reduced Energy Let Through Mode Indication and Delay in Switching Devices | 5 |
Tayyab Hameed | CA | Ontario | 2011-04-28 / 20110098415 - CROSSLINKING OF REACTIVE POLYOLEFIN PREPOLYMERS USING A COREACTANT | 1 |
Sohail Hameed | US | Houston | 2015-09-10 / 20150254019 - Zone Group Reassignment Using Storage Device Signatures | 16 |
Zohaib Hameed | CA | Edmonton | 2015-12-17 / 20150365013 - RF-DC Power Converter | 1 |
Sohail Hameed | US | Houston | 2015-09-10 / 20150254019 - Zone Group Reassignment Using Storage Device Signatures | 16 |
Sajith Abdul Hameed | IN | Bangalore | 2011-08-11 / 20110196560 - Antilock Braking For Vehicles | 1 |
Sahibzada Rashad Hameed | PK | Lahore | 2011-05-19 / 20110114273 - ENVIRONMENTALLY BENIGN TCF BLEACHING SEQUENCES FOR AS/AQ WHEAT STRAW PULP | 1 |
Abdul Hameed | PK | Islamabad | 2015-04-30 / 20150118293 - NOVEL DELIVERY SYSTEM FOR ANTICANCER DRUG BASED ON SHORT-CHAIN-LENGTH POLYHYDROXYALKANOATE NANOPARTICLES | 1 |
Mohamed Riyaz Hameed | IN | Tiruchirappalli | 2015-04-30 / 20150120679 - SYSTEM AND METHOD FOR IDENTIFYING AN INDIVIDUAL FROM ONE OR MORE IDENTITIES AND THEIR ASSOCIATED DATA | 1 |
Shakila Shahul Hameed | US | San Carlos | 2012-11-01 / 20120278080 - COMMUNICATION DEVICE FOR DETERMINING CONTEXTUAL INFORMATION | 1 |
Rehan Hameed | US | Palo Alto | 2015-03-26 / 20150086134 - LOW POWER PROGRAMMABLE IMAGE PROCESSOR | 1 |
Tapio Hameen-Anttila | FI | Espoo | 2012-02-09 / 20120036223 - SYSTEM, APPARATUS AND METHOD FOR ASSOCIATING AN ANTICIPATED SUCCESS INDICATION WITH DATA DELIVERY | 3 |
Tapio Hameen-Anttila | FI | Helsinki | 2009-04-30 / 20090111433 - Method, System, and Program for Transmission of Multimedia Data | 1 |
Pirkko Hameenoja | FI | Espoo | 2009-02-26 / 20090053756 - METHOD FOR MONITORING AND PROMOTING THE NUTRITION AND WELL-BEING AS WELL AS THE PRODUCTIVITY OF ANIMALS | 1 |
Paz Hameiri | IL | Rehovot | 2015-05-21 / 20150138927 - Wireless Clock System and Method | 2 |
Ziv Hameiri | AU | New South Wales | 2015-11-05 / 20150318413 - PHOTOVOLTAIC DEVICE STRUCTURE AND METHOD | 1 |
Yoav Hameiri | IL | Rehovot | 2010-09-09 / 20100227290 - ORTHODONTIC DEVICE | 1 |
Larry Hamel | US | Pacific Grove | 2015-04-16 / 20150105424 - SMALL VOLUME ORAL TRANSMUCOSAL DOSAGE FORMS CONTAINING SUFENTANIL FOR TREATMENT OF PAIN | 4 |
Bradley Joseph Hamel | US | Sunnyvale | 2015-05-14 / 20150131220 - PORTABLE COMPUTING DEVICE | 6 |
Roger G. Hamel | US | Newtown | 2012-07-05 / 20120172403 - LOW TEMPERATURE STABLE SOLUTION | 1 |
Gilles Hamel | CA | Donnaconna | 2012-11-08 / 20120283125 - Ovarian Markers of Oocyte Competency and Uses Thereof | 1 |
Mélanie Hamel | CA | Saint-Augustin-De-Desmaures | 2012-11-08 / 20120283125 - Ovarian Markers of Oocyte Competency and Uses Thereof | 1 |
Cotinica Hamel | CH | Bussigny-Pres-Lausanne | 2011-11-17 / 20110280808 - Novel Compounds for the Treatment of Diseases Associated with Amyloid or Amyloid-Like Proteins | 2 |
Philippe Hamel | CH | St-Aubin Sauges | 2011-12-08 / 20110299824 - METHOD FOR PRODUCING AND PROCESSING A PREFORM, PREFORM AND OPTICAL FIBER | 2 |
David Hamel | CH | Chernex | 2011-07-21 / 20110177220 - DEVICE FOR IN-CUP-PREPARATION OF A BEVERAGE | 2 |
Michael R. Hamel | US | Brea | 2010-06-03 / 20100136277 - Printing Stock For Use In Printing Composite Signs, Methods And Apparatus For Printing Such Signs, And Methods For Manufacturing Such Printing Stock | 1 |
Lary Hamel | US | Mountain View | 2010-05-27 / 20100130551 - Sufentanil Solid Dosage Forms Comprising Oxygen Scavengers and Methods of Using the Same | 1 |
Bradley J. Hamel | US | Sunnyvale | 2013-12-12 / 20130329359 - PORTABLE COMPUTING DEVICE WITH A HOUSING SECURED BY A MAGNETIC ELEMENT AND RELATED METHOD | 9 |
Andrew J. Hamel | US | San Mateo | 2012-01-05 / 20120002394 - DISPOSABLE ATTACHABLE LIGHT SOURCE UNIT FOR AN ENDOSCOPE | 7 |
Bradley Hamel | US | Sunnyvale | 2013-08-22 / 20130214659 - SEAMLESS INSERT MOLDING TECHNIQUES | 7 |
Larry Hamel | US | Mountian View | 2009-01-08 / 20090010992 - Drug formulations for oral transmucosal delivery to pediatric patients | 1 |
Anthony Guy Hamel | US | Encinitas | 2009-02-05 / 20090034475 - Soft Handoff Using A Multi-Beam Antenna System | 3 |
Lawrence Arthur Hamel | US | Menlo Park | 2012-04-19 / 20120095850 - SYSTEM AND METHOD FOR IMPLEMENTING CLICK-THROUGH FOR BROWSER EXECUTED SOFTWARE INCLUDING AD PROXY AND PROXY COOKIE CACHING | 2 |
Larry Hamel | US | Mountain View | 2011-11-24 / 20110288128 - Oral Transmucosal Administration of Sufentanil | 5 |
Lawrence G. Hamel | US | Mountain View | 2010-08-05 / 20100196425 - OROS PUSH-STICK FOR CONTROLLED DELIVERY OF ACTIVE AGENTS | 7 |
Ross Jonathan Hamel | US | West Chester | 2015-11-05 / 20150313746 - FLEXIBLE MAXILLO-MANDIBULAR FIXATION DEVICE | 8 |
Bradley J. Hamel | US | Sunnyvale | 2013-12-12 / 20130329359 - PORTABLE COMPUTING DEVICE WITH A HOUSING SECURED BY A MAGNETIC ELEMENT AND RELATED METHOD | 9 |
Philippe Dupuis Hamel | CA | Lavel | 2010-07-01 / 20100169165 - METHOD FOR UPDATING REGRESSION COEFFICIENTS IN A CAUSAL PRODUCT DEMAND FORECASTING SYSTEM | 1 |
Philippe Hamel | CA | Lavel | 2010-09-16 / 20100235225 - AUTOMATIC DETECTION OF SYSTEMATIC SALES PATTERNS USING AUTOCORRELATION TECHNIQUE | 1 |
Greg Hamel | CA | Calgary | 2014-01-16 / 20140019491 - COMPUTING PLATFORM BASED ON A HIERARCHY OF NESTED DATA STRUCTURES | 2 |
Pierre-Alexandre Hamel | CA | Ste-Marie De Beauce | 2010-02-25 / 20100045029 - Axially-tensioned pipe joint | 1 |
Ghislain Hamel | CA | Jonquière | 2015-12-03 / 20150344988 - PROCESS FOR REDUCING OF LEACHABLE FLUORIDES AND CONTROLLING PH OF ALUMINUM WASTE PRODUCTS | 1 |
Pierre Hamel | US | Watkinsville | 2013-01-31 / 20130025250 - FRONT MOUNT ROTARY CUTTER WITH DISCHARGE CHUTES | 1 |
Shayne Hamel | US | Eugene | 2012-01-12 / 20120010847 - ADJUSTABLE HIGH PRECISION SURVEYING DEVICE | 1 |
Bradley J. Hamel | US | Cupertino | 2015-12-03 / 20150349619 - Linear Actuator | 1 |
Michael Hamel | US | Essex Junction | 2016-05-05 / 20160123821 - TORQUEMETER WITH IMPROVED ACCURACY AND METHOD OF USE | 1 |
Patrick Hamel | US | Waterbury | 2015-02-19 / 20150047236 - STATUS-INDICATING DEVICE | 1 |
Ross Hamel | US | Wester Chester | 2012-11-22 / 20120296441 - Graft Collection and Containment System for Bone Defects | 1 |
Daniel Roger Hamel | US | Ludlow | 2015-11-05 / 20150314212 - TOY BUILDING ELEMENT | 3 |
Arnaud Hamel | CH | Bussignych-Sur-Lausanne | 2014-03-27 / 20140088138 - CRYSTALLINE FORMS OF FUSED AMINO PYRIDINES AS HSP90 INHIBITORS | 1 |
Michael Raymond Hamel | CA | Courtice | 2016-03-10 / 20160068212 - BICYCLE SEAT WITH ADJUSTABLE NOSE | 1 |
Jeffrey Hamel | US | Maineville | 2015-10-15 / 20150291276 - UNDUCTED THRUST PRODUCING SYSTEM ARCHITECTURE | 1 |
Kenneth L. Hamel | US | Louisville | 2016-01-07 / 20160007082 - System and Method for Providing Video Program Information or Video Program content to a User | 2 |
Patrick Hamel | CA | St-Charles-De-Drummond | 2012-07-26 / 20120186111 - Plow | 1 |
Stephen Hamel | US | West Upland | 2013-03-21 / 20130067769 - FOOTWEAR DEVICE | 1 |
Logan J. Hamel | US | Northglenn | 2009-03-05 / 20090057057 - LADDER ACCESSORY | 1 |
Jay Hamel | US | Troy | 2009-03-19 / 20090076960 - METHOD, SYSTEMAND COMPUTER PROGRAM PRODUCT FORDETECTING AND PREVENTING FRAUDULENT HEALTH CARE CLAIMS | 1 |
Harvey C. Hamel | US | Poughkeepsie | 2009-06-11 / 20090145973 - STRUCTURE FOR IMPLEMENTING SECURE MULTICHIP MODULES FOR ENCRYPTION APPLICATIONS | 1 |
Scott M. Hamel | US | Wilton | 2009-08-20 / 20090206069 - Heating element systems | 1 |
Alan Hamel | US | Simpsonville | 2009-09-03 / 20090220343 - HUB PITCH GEAR REPAIR METHOD | 1 |
Jay A. Hamel | US | Troy | 2008-11-20 / 20080288407 - METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR DETECTING AND PREVENTING FRAUDULENT HEALTH CARE CLAIMS | 2 |
Gregg L. Hamel | US | Plantsville | 2011-07-14 / 20110172131 - SELF-LUBRICATING SURFACE COATING COMPOSITION | 2 |
Michael J. Hamel | US | Essex Junction | 2009-12-31 / 20090322557 - MINIATURE STIMULATING AND SENSING SYSTEM | 1 |
Ross Jonathan Hamel | US | West Chester | 2015-11-05 / 20150313746 - FLEXIBLE MAXILLO-MANDIBULAR FIXATION DEVICE | 8 |
Peter M. Hamel | US | Liberty Lake | 2010-04-15 / 20100094671 - PIN-less Debit Payment Processing | 1 |
Alain Hamel | US | North Bend | 2012-07-12 / 20120177105 - SYSTEMS AND METHODS FOR SELECTING BUFFERING TIME FOR MEDIA DATA | 4 |
Timothy Allen Hamel | US | Asheville | 2011-01-20 / 20110011109 - DUAL EVAPORATOR DEFROST SYSTEM FOR AN APPLIANCE | 1 |
Paul E. Hamel | US | Enfield | 2016-03-24 / 20160084264 - VARIABLE DIFFUSER VANE | 4 |
Michael J. Hamel | US | Essex Jet | 2011-03-10 / 20110060535 - Method of Operating a Rotatable Part | 1 |
Michael John Hamel | US | Williston | 2013-11-07 / 20130293358 - REMOTELY POWERED AND REMOTELY INTERROGATED WIRELESS DIGITAL SENSOR TELEMETRY SYSTEM TO DETECT CORROSION | 3 |
Ross J. Hamel | US | West Chester | 2011-04-28 / 20110098760 - Soft Tissue Spacer | 1 |
Michael John Hamel | US | Essex Junction | 2011-11-24 / 20110285527 - Wireless Structural Health Monitoring System with Synchronized Timekeeper | 4 |
Greg Hamel | US | Livonia | 2011-12-15 / 20110304401 - Bi-Directional and Adjustable Current Source | 2 |
Kory P. Hamel | US | Bloomington | 2015-11-19 / 20150327971 - Surgical Needle and Anchor System with Retractable Features | 11 |
Timothy A. Hamel | US | Ashville | 2012-01-26 / 20120019378 - APPLIANCE MONITORING SYSTEM AND METHOD | 1 |
Pierre Hamel | CA | Verdun | 2014-10-23 / 20140314319 - METHOD AND SYSTEM USING TWO PARALLEL OPTICAL CHARACTER RECOGNITION PROCESSSES | 4 |
Yvan Hamel | CA | Laval | 2016-03-17 / 20160081155 - LED LIGHTING SYSTEMS | 10 |
Max Hamel | US | Poway | 2015-08-27 / 20150241393 - Ultrasonic Authenticating Button | 1 |
Ross Hamel | US | West Chester | 2014-12-11 / 20140364961 - Graft Collection and Containment System for Bone Defects | 1 |
Bradley J. Hamel | US | Redwood City | 2016-02-18 / 20160048163 - INTERNAL COMPONENTS OF A PORTABLE COMPUTING DEVICE | 9 |
Mathieu Hamel | CA | Magog | 2012-09-13 / 20120232430 - UNIVERSAL ACTIGRAPHIC DEVICE AND METHOD OF USE THEREFOR | 1 |
Stefan Hamel | DE | Wenden | 2014-05-15 / 20140134086 - Method and Multi-Component Nozzle for Reducing Unwanted Substances in a Flue Gas | 23 |
Hans-Dieter Hamel | DE | Koln | 2008-09-04 / 20080214632 - Parasiticidal Compositions | 1 |
Jorg Hamel | DE | Landau | 2008-10-02 / 20080243329 - Detection and Compensation of Periodic Disturbances in a Motor Vehicle Steering Device | 1 |
Margareta Hamel | DE | Ebergoetzen | 2009-06-04 / 20090142524 - Fine laminar barrier protective layer | 2 |
Joerg Hamel | DE | Rheinland-Pfalz | 2010-08-19 / 20100211264 - DEVICE AND METHOD FOR CONTROLLING A STEERING SYSTEM IN A VEHICLE | 1 |
Margareta Hamel | DE | Ebergotzen | 2010-11-11 / 20100283390 - PLASMA LAMP | 1 |
Andrew J. Hamel | US | Portola Valley | 2015-08-06 / 20150216513 - FOOT-OPERATED CONTROL CONSOLE FOR WIRELESSLY CONTROLLING MEDICAL DEVICES | 2 |
Josée Hamel | CA | Sillery | 2013-04-18 / 20130095498 - NOVEL GROUP B STREPTOCOCCUS ANTIGENS | 2 |
Stefan Hamel | DE | Wenden | 2014-05-15 / 20140134086 - Method and Multi-Component Nozzle for Reducing Unwanted Substances in a Flue Gas | 23 |
Dominic Hamel | CA | St-Augustin-De-Desmaures | 2015-10-01 / 20150272254 - BICYCLE HELMET | 1 |
Kory P. Hamel | US | Bloomington | 2015-11-19 / 20150327971 - Surgical Needle and Anchor System with Retractable Features | 11 |
Timothy Allen Hamel | US | Louisville | 2012-06-14 / 20120145095 - RESIDENTIAL HEAT PUMP WATER HEATER | 9 |
Denis Hamel | CA | Baie D'Urfe | 2014-11-27 / 20140345224 - DRY-CAST CONCRETE BLOCK | 1 |
Leo Hamel | CA | Sawyerville | 2011-08-11 / 20110197325 - Abies plant named 'Bernadine Gold' | 1 |
Jeffrey M. Hamel | US | Townsend | 2016-05-12 / 20160131962 - ELECTRICALLY ADJUSTABLE OPTICAL DIFFUSION FOR LUMINAIRES | 1 |
Pierre Alexandre Hamel | CA | Scott | 2012-04-05 / 20120079652 - REVERSIBLE LOW PROFILE SHOWER BASE | 2 |
Eric P. Hamel | FR | Paris | 2012-05-03 / 20120108287 - Scrambling Code Allocation and Hand-In for Wireless Network Radio Access Points | 5 |
Eric Hamel | FR | Issy Les Moulineaux | 2010-08-26 / 20100217821 - APPLICATION SERVER-CENTRIC QUALITY OF SERVICE MANAGEMENT IN NETWORK COMMUNICATIONS | 1 |
Jean-Bernard Hamel | FR | Saint Cassien | 2014-04-24 / 20140110297 - "Medical Articles Coated With Organopolysiloxane Containing a Protein Solution" | 2 |
Stefan Hamel | DE | Bundesland | 2011-11-17 / 20110277673 - METHOD AND SYSTEM FOR HOMOGENIZING THE DELIVERY OF FUELS IN A GASIFIER FOR GENERATING SYNGAS | 1 |
David Hamel | CH | Chemex | 2015-05-14 / 20150128812 - DEVICE AND METHOD FOR PRODUCING A FROTHED LIQUID FROM SOLUBLE INGREDIENTS AND DILUENT | 2 |
Dominic Hamel | CA | Saint Ferreol Les Neiges | 2015-04-09 / 20150096113 - SPORT HELMET | 2 |
Lawrence G. Hamel | US | Palo Alto | 2015-05-07 / 20150125493 - METHODS AND DEVICES FOR PROVIDING PROLONGED DRUG THERAPY | 3 |
Philippe Hamel | CA | Laval | 2010-07-01 / 20100169166 - DATA QUALITY TESTS FOR USE IN A CAUSAL PRODUCT DEMAND FORECASTING SYSTEM | 2 |
Josee Hamel | CA | Sillery | 2015-01-15 / 20150017684 - STREPTOCOCCUS PYOGENES ANTIGENS AND CORRESPONDING DNA FRAGMENTS | 10 |
Melanie Hamel | CA | St-Augustin-De-Desmaures | 2012-06-28 / 20120164636 - MAMMALIAN OOCYTE DEVELOPMENT COMPETENCY GRANULOSA MARKERS AND USES THEREOF | 2 |
Damon Hamel | US | San Diego | 2015-10-22 / 20150299330 - Human Anti-CD27 Antibodies, Methods and Uses | 3 |
Christian Hamel | DE | Ellingen | 2013-03-28 / 20130074689 - DEVICE FOR THE ADSORPTION TREATMENT OF A FLUID OR FLUID STREAM | 1 |
Matthieu Hamel | FR | Cherbourg | 2010-12-16 / 20100314556 - 1,8-NAPHTHALIMIDE DERIVATIVES AS SCINTILLATION AGENTS, IN PARTICULAR FOR DISCRIMINATING BETWEEN FAST NEUTRONS AND GAMMA RAYS | 1 |
Philippe Hamel | FR | Caen | 2010-11-04 / 20100278377 - METHODS FOR ELECTRONICALLY ANALYSING A DIALOGUE AND CORRESPONDING SYSTEMS | 1 |
Eric Hamel | FR | Paris | 2015-10-29 / 20150312834 - HANDLING CONNECTED MODE MOBILITY FROM AREAS BOUNDING MULTI-OPERATOR CORE NETWORK AND NON-MULTI-OPERATOR CORE NETWORK SHARED INFRASTRUCTURE | 7 |
Patrice Hamel | FR | Fontaine La Mallet | 2010-02-04 / 20100029525 - ANTIWEAR HYDRAULIC FLUID COMPOSITION WITH USEFUL EMULSIFYING AND RUST PREVENTION PROPERTIES | 1 |
Pierre Hamel | CA | Vimont-Laval | 2009-11-19 / 20090286772 - 2-(Phenyl or Heterocyclic)-1H-Phenanthro[9,10-D]Imidazoles | 2 |
Gerard Hamel | FR | Villejuif | 2009-04-09 / 20090091090 - HYDRAULIC SYSTEM | 1 |
Pierre Hamel | CA | Quebec | 2009-10-15 / 20090255050 - MAGNETIZED APRON ASSEMBLY | 1 |
Martine Hamel | CA | Laval | 2009-06-11 / 20090148850 - Methods for identifying modulators of P2RY14 | 1 |
Francine Hamel | CA | St-Hubert | 2008-10-16 / 20080254439 - Plant Extract and Use Thereof as a Cryoprotective Agent | 1 |
Denis Louis Hamel | CA | Baie-D'Urfe | 2008-09-18 / 20080222986 - EXTERIOR WALL STRUCTURE OF A BUILDING | 1 |
Lawrence Hamel | US | Pacific Grove | 2015-02-05 / 20150038898 - METHODS FOR ADMINISTERING SMALL VOLUME ORAL TRANSMUCOSAL DOSAGE FORMS USING A DISPENSING DEVICE | 4 |
Bradley Hamel | US | Redwood City | 2013-04-18 / 20130095690 - SPRING-LOADED CONTACTS | 1 |
Jeffrey Anthony Hamel | US | Maineville | 2015-12-31 / 20150380999 - PERMANENT MAGNET MACHINE | 1 |
James A. Hamel | US | New Britain | 2014-01-30 / 20140026879 - NATURAL FUEL HEATING SYSTEM | 1 |
Sebastien Hamel | US | Livermore | 2014-05-08 / 20140124677 - MIXED CRYSTAL ORGANIC SCINTILLATORS | 2 |
Matthieu Hamel | FR | Cherbourg Octeville | 2014-10-23 / 20140312236 - METHOD FOR PROCESSING A SIGNAL FROM A PHOSWICH SCINTILLATOR, AND ASSOCIATED SCINTILLATION DETECTOR | 2 |
Josee Hamel | CA | Sillery | 2015-01-15 / 20150017684 - STREPTOCOCCUS PYOGENES ANTIGENS AND CORRESPONDING DNA FRAGMENTS | 10 |
Bradley J. Hamel | US | 2013-02-14 / 20130040470 - MAGNETIC INSERT AND RECEPTACLE FOR CONNECTOR SYSTEM | 1 | |
William N. Hamel | US | Honey Brook | 2015-04-30 / 20150121236 - TELEPRESENCE MANAGEMENT SYSTEM AND METHOD | 1 |
Steven Hamel | US | Covington | 2012-02-16 / 20120038293 - LED Lighting Device | 1 |
Bradley J. Hamel | US | Redwood City | 2016-02-18 / 20160048163 - INTERNAL COMPONENTS OF A PORTABLE COMPUTING DEVICE | 9 |
Harvey Hamel | US | Cedarville | 2014-08-21 / 20140235027 - SILICON CARRIER SPACE TRANSFORMER AND TEMPORARY CHIP ATTACH BURN-IN VEHICLE FOR HIGH DENSITY CONNECTIONS | 2 |
Carina Hamel | US | Portland | 2015-12-31 / 20150374070 - STROBEL LASTED INJECTED FOOTWEAR | 2 |
Gregg Hamel | US | Plantsville | 2012-03-08 / 20120058923 - Self-Lubricating Surface Coating Composition for Low Friction or Soft Substrate Applications | 1 |
Remi Hamel | CA | Laval | 2014-01-02 / 20140000279 - SYSTEM AND METHOD FOR OPERATING A PRECOOLER IN AN AIRCRAFT | 1 |
Stephane Hamel | CA | Longueuil | 2013-10-17 / 20130272457 - GLOBAL NAVIGATION SATELLITES SYSTEM (GNSS) RECORDING SYSTEM | 1 |
Yvan Hamel | CA | Labal | 2013-09-26 / 20130250569 - CONFIGURABLE LIGHT EMITTING DIODE LIGHTING UNIT | 1 |
Andrew Hamel | US | San Mateo | 2013-10-10 / 20130267779 - CONTROL FOR SURGICAL FLUID MANAGEMENT PUMP SYSTEM | 2 |
Yvan Hamel | CA | Laval | 2016-03-17 / 20160081155 - LED LIGHTING SYSTEMS | 10 |
Pierre Hamel | CA | Verdun (québec) | 2014-10-23 / 20140314338 - OPTICAL CHARACTER RECOGNITION OF TEXT IN AN IMAGE ACCORDING TO A PRIORITIZED PROCESSING SEQUENCE | 1 |
Brendan Hamel-Bissell | US | Stanford | 2016-05-19 / 20160142150 - DATA COMMUNICATION USING MULTIPLE CHANNELS | 2 |
Heino Hameleers | NL | Kerkrade | 2015-05-14 / 20150135190 - Method and Devices for Dynamic Management of a Server Application on a Server Platform | 2 |
Hubertus Victor Marie Hamelers | NL | Leeuwarden | 2011-09-29 / 20110236724 - DEVICE AND METHOD FOR PERFORMING A BIOLOGICALLY CATALYZED ELECTROCHEMICAL REACTION | 1 |
Hubertus Victor Hamelers | NL | Leeuwarden | 2015-01-15 / 20150017089 - METHOD FOR NITROGEN RECOVERY FROM AN AMMONIUM COMPRISING FLUID AND BIO-ELECTROCHEMICAL SYSTEM | 1 |
Hubertus Victor Marie Hamelers | NL | Heelsum | 2010-09-09 / 20100227203 - Device Comprising a New Cathode and Method for Generating Electrical Energy with Use Thereof | 2 |
Hubertus Victor M. Hamelers | NL | Heelsum | 2010-12-16 / 20100317071 - Method for the Enzymatic Production of Fatty Alcohol and/or Fatty Acid | 1 |
Olivier Hamelin | FR | Champagnier | 2013-05-16 / 20130122598 - PROCESS FOR THE SPECIFIC ISOTOPIC LABELING OF METHYL GROUPS OF VAL, LEU AND ILE | 1 |
Michael Hamelin | US | Suwanne | 2013-09-19 / 20130247169 - METHOD AND SYSTEM FOR MANAGEMENT OF SECURITY RULE SET | 1 |
Antoine Hamelin | FR | Grenoble | 2015-07-09 / 20150192628 - INFRARED DETECTION DEVICE AND METHOD | 1 |
Jean Pierre Hamelin | FR | Rueil Malmaison | 2015-12-03 / 20150345108 - METHOD FOR DETERMINING THE POSITION OF A CUTTING DEVICE IN THE GROUND USING A MOBILE CARRIAGE | 2 |
Catherine Hamelin | CH | Basel | 2016-05-12 / 20160128359 - NOVEL USE OF CANTHAXANTHIN | 2 |
Estelle Hamelin | GB | Oxon | 2009-08-27 / 20090215749 - THIAZOLIDINE CARBOXAMIDE DERIVATIVES AS MODULATORS OF THE PROSTAGLANDIN F RECEPTOR | 2 |
Stephen Hamelin | CA | L'Ile Cadieux | 2014-04-03 / 20140094086 - Rotating Water Play Device | 2 |
Michael Hamelin | US | Suwanee | 2014-03-20 / 20140082196 - Method of Managing Connectivity Between Resources in a Computer Network and System Thereof | 3 |
Thomas Hamelin | US | Cardiff By The Sea | 2011-07-07 / 20110163854 - SURGICAL OBJECT TRACKING SYSTEM | 1 |
Yves Hamelin | FR | Evry | 2010-10-07 / 20100255243 - CONCERNING A FACING BOARD, NOTABLY A PLASTER BOARD | 1 |
Thomas Hamelin | US | Georgetown | 2013-03-14 / 20130061878 - HIGH THROUGHPUT PROCESSING SYSTEM FOR CHEMICAL TREATMENT AND THERMAL TREATMENT AND METHOD OF OPERATING | 5 |
Annabelle Hamelin | FR | Saint-Cheron | 2011-11-24 / 20110288442 - Multipurpose Male Fluidic Coupling For A Coupling Device And Device Such As This Incorporating It | 1 |
Marie-Eve Hamelin | CA | Quebec | 2014-12-25 / 20140378502 - Par1 Inhibitors for Use in the Treatment or Prevention of Paramyxoviridae Infections | 1 |
Richard Hamelin | FR | Paris | 2009-12-24 / 20090317815 - Mononucleotide repeats microsatellite markers for detecting microsatellite instability | 1 |
Jean-Pierre Hamelin | FR | Nanterre | 2008-12-04 / 20080298901 - Soil Consolidating Method and Composition Therefor | 1 |
Michel J. Hamelin | US | Jersey City | 2008-11-20 / 20080286770 - DNA Molecules Encoding L-Glutamate-Gated Chloride Channels From Rhipicephalus Sanguineus | 1 |
Bettina Hamelin | CA | Sillery | 2013-04-18 / 20130096106 - COMPOUNDS AND METHODS FOR THE TREATMENT OR PREVENTION OF FLAVIVIRUS INFECTIONS | 2 |
Susan Hamelin | US | Dracut | 2014-09-18 / 20140277378 - STENT DEVICE HAVING REDUCED FORESHORTENING AND RECOIL AND METHOD OF MAKING SAME | 1 |
Bertrand Noel Hamelin | BE | Brussels | 2008-09-25 / 20080234168 - Laundry detergent composition with a reactive dye | 1 |
Celine Hamelin | FR | Rontalon | 2014-01-16 / 20140017710 - PROCESS AND KIT FOR IN VITRO DIAGNOSIS OF A PROSTATE CANCER | 1 |
Regis Hamelin | FR | Castelmaurou | 2013-10-31 / 20130287053 - METHOD AND DEVICE FOR EMITTING A LASER BEAM IN A HOUSING | 2 |
Philippe Hamelin | CA | Saint-Jean-Sur-Richelieu | 2011-08-25 / 20110203823 - Robot for machining a part of structure under water | 1 |
Rheal Hamelin | CA | Bromont | 2013-01-31 / 20130025802 - Door structure for temporary shelters | 1 |
Mathieu Hamelin | CA | Montreal | 2015-02-19 / 20150048020 - ROTOR ELEMENT AND A ROTOR FOR A SCREENING APPARATUS | 2 |
Roger Francisus Mattheus M Hamelinck | NL | Delft | 2014-03-20 / 20140076105 - GANTRY APPARATUS | 1 |
Roger Francisus Mattheus Maria Hamelinck | NL | Delft | 2012-04-26 / 20120099101 - Gantry Apparatus | 1 |
Roger Franciscus Mattheus Maria Hamelinck | NL | Dongen | 2008-10-16 / 20080252403 - Actuator | 1 |
Dirk Hamelinck | BE | Beerzel | 2008-12-04 / 20080298188 - Device for and Method of Recording Information on a Record Carrier | 2 |
Dirk Hamelinck | BE | Hasselt | 2009-05-28 / 20090135690 - METHOD OF AND APPRATUS FOR IMMEDIATELY WRITING OR READING FILES ON A DISC-LIKE RECORDING MEDIUM HAVING CONTROL INFORMATION ON DEFECT MANAGEMENT STORED IN A PREDEFINED LOCATION AND SUCH A DISC-LIKE RECODING MEDIUM | 1 |
Roger Franciscus Mattheus Maria Hamelinck | NL | 'S-Gravenhage | 2015-09-03 / 20150248959 - RELUCTANCE TRANSDUCER | 1 |
Robert L. Hamelink | US | Hamilton | 2012-11-08 / 20120280529 - COMPRESSION FORMED TRIM PANEL WITH AUDIO DEVICE | 2 |
Cornelis Pieter Hamelink | NL | Hw Amsterdam | 2012-06-28 / 20120160134 - MODIFIED SULPHUR COMPOSITION AND PRODUCT COMPRISING MODIFIED SULPHUR COMPOSITION AS BINDER | 2 |
L.robert Hamelink | US | Holland | 2008-12-25 / 20080315614 - Visor and Method for Making a Visor | 1 |
Cornelis Hamelink | NL | Amsterdam | 2010-09-30 / 20100242807 - SULPHUR CEMENT PRE-COMPOSITION AND PROCESS FOR PREPARING SUCH SULPHUR CEMENT PRE-COMPOSITION | 1 |
Gerard Hamelink | NL | Deventer | 2009-05-28 / 20090134583 - Dual seal assembly | 1 |
L. Robert Hamelink | US | Hamilton | 2012-06-07 / 20120140126 - ROOF SYSTEM FOR A VEHICLE | 1 |
Lawrence Robert Hamelink | US | Holland | 2014-04-03 / 20140094228 - VEHICLE HANDS FREE TELEPHONE SYSTEM WITH ACTIVE NOISE CANCELLATION | 1 |
Robert Hamelink | US | Hamilton | 2010-07-15 / 20100178460 - MULTI-FINISH DEEP DRAW MOLD BEHIND FILM | 1 |
Lawrence Robert Hamelink | US | Hamilton | 2015-04-30 / 20150116197 - SYSTEMS AND METHODS FOR DISPLAYING THREE-DIMENSIONAL IMAGES ON A VEHICLE INSTRUMENT CONSOLE | 2 |
Cornelis Pieter Hamelink | NL | Amsterdam | 2015-11-26 / 20150337620 - METHODS OF MAKING AND USING CEMENT COATED SUBSTRATE | 1 |
Eckard Hamelmann | DE | Berlin | 2012-07-19 / 20120184485 - USES OF CYSTATIN | 1 |
Stefan Hamels | DE | Mutlangen | 2012-06-21 / 20120153604 - AIRBAG MODULE FOR A VEHICLE OCCUPANT RESTRAINT SYSTEM | 1 |
Stefan Hamels | DE | Aschaffenburg | 2011-07-07 / 20110163522 - AIRBAG MODULE WITH AN AIRBAG AND A TUBULAR GAS GENERATOR THAT CAN BE FASTENED TO A MODULE HOUSING | 6 |
Sandrine Hamels | BE | Ways | 2010-04-22 / 20100099860 - CAPTURE MOLECULES FOR THE DETECTION OF AMPLICONS WITH HIGH SENSITIVITY | 2 |
Sandrine Hamels | BE | Joncret | 2010-05-06 / 20100113301 - METHOD FOR THE IDENTIFICATION AND/OR THE QUANTIFICATION OF A TARGET COMPOUND OBTAINED FROM A BIOLOGICAL SAMPLE UPON CHIPS | 2 |
Douglas H. Hamelwright, Jr. | US | Bedford | 2013-05-09 / 20130112810 - SKID LANDING GEAR SYSTEM | 1 |
Edward L. Hamemik | US | Fridley | 2014-09-11 / 20140251455 - PRESSURIZED GAS CONTAINING SYSTEM | 1 |
Kevin M. Hamer | US | St. Paul | 2016-02-18 / 20160046822 - FLUOROPOLYMER COATINGS SUITABLE FOR FILMS OF PHOTOVOLTAIC MODULES | 7 |
Christopher Hamer | US | Westport | 2015-12-31 / 20150380052 - METHOD AND SYSTEM FOR CAPTURING, SYNCHRONIZING, AND EDITING VIDEO FROM A PRIMARY DEVICE AND DEVICES IN PROXIMITY TO THE PRIMARY DEVICE | 2 |
Kenneth L. Hamer | US | Seattle | 2016-02-25 / 20160057128 - STRENGTH-BASED PASSWORD EXPIRATION | 3 |
Jeffrey L. Hamer | US | Springville | 2015-11-26 / 20150335490 - Push-To-Fit Earplug Having An Array of Cavities | 10 |
Graig E. Hamer | US | Woodbury | 2012-04-05 / 20120083570 - METHOD OF MAKING A HOT MELT PRESSURE-SENSITIVE ADHESIVE | 1 |
Craig E. Hamer | US | Woodbury | 2015-11-12 / 20150322304 - METHOD OF MAKING PACKAGE VISCOELASTIC COMPOSITIONS BY POLYMERIZING ETHYLENICALLY-UNSATURATED MATERIALS USING IONIZING RADIATION | 18 |
Dean Hamer | US | Washington | 2013-08-15 / 20130209407 - LIVE MICROBIAL MICROBICIDES | 1 |
Paul S. Hamer | US | San Ramon | 2011-03-31 / 20110075304 - SYSTEM AND METHOD FOR POLYPHASE GROUND-FAULT CIRCUIT-INTERRUPTERS | 1 |
Robert Jan Hamer | NL | Van Noortlaan 120 | 2014-12-11 / 20140363550 - CONTAINER CLOSURE DEVICE CAPABLE OF DISPENSING METERED AMOUNTS OF LIQUID | 1 |
Phillip George Hamer | AU | Kensington | 2015-05-14 / 20150132881 - ADVANCED HYDROGENATION OF SILICON SOLAR CELLS | 2 |
Rochelle M. Hamer | US | Flagstaff | 2014-01-23 / 20140025162 - SIDE BRANCHED ENDOLUMINAL PROSTHESES AND METHODS OF DELIVERY THEREOF | 7 |
Mary M. Hamer | US | Norco | 2011-05-05 / 20110104263 - CLAUDIN-4 BINDING PEPTIDES, COMPOSITIONS AND METHODS OF USE | 1 |
Patricia A. Hamer | US | Springfield | 2013-12-05 / 20130325729 - REPORT GENERATOR FOR ALLOWING A FINANCIAL ENTITY TO MONITOR SECURITIES CLASS ACTION LAWSUITS AND POTENTIAL MONETARY CLAIMS RESULTING THEREFROM | 4 |
Peter J. Hamer | US | Reading | 2014-04-03 / 20140093890 - QUANTITATIVE ASSAYS FOR RAS P21 IN BODY FLUIDS | 7 |
Jeffrey L. Hamer | US | Springville | 2015-11-26 / 20150335490 - Push-To-Fit Earplug Having An Array of Cavities | 10 |
Colin Hamer | US | Noblesville | 2015-12-17 / 20150364246 - IGNITION COIL | 37 |
James Hamer | US | Naples | 2011-08-18 / 20110202023 - REMOVABLE SUCTION ASSEMBLY FOR MEDICAL HANDPIECES | 3 |
Peter Hamer | US | Reading | 2011-11-17 / 20110281279 - CIRCULATING Epha2 RECEPTOR | 2 |
Colin Hamer | US | El Paso | 2011-03-10 / 20110056449 - IGNITION COIL FOR VEHICLE | 1 |
Philip Edward Hamer | US | Alpharetta | 2016-05-19 / 20160142434 - AUTOMATED SECURITY TESTING | 6 |
John Hamer | US | Rochester | 2016-02-25 / 20160056223 - High-Yield Fabrication of Large-Format Substrates with Distributed, Independent Control Elements | 2 |
Robert Jan Hamer | NL | Vlaardingen | 2014-11-13 / 20140335234 - CONTAINER CLOSURE DEVICE CAPABLE OF DISPENSING METERED AMOUNTS OF LIQUID | 1 |
Andrew Hamer | US | Columbia | 2011-10-06 / 20110239654 - ANGLED SEAL COOLING SYSTEM | 1 |
John Hamer | GB | London | 2010-05-06 / 20100111929 - METHOD OF TREATING PERIPHERAL NERVE DISORDERS | 2 |
Peter Van Den Hamer | CH | Geneva | 2011-02-24 / 20110044205 - AUTOMATIC NETWORK MAPPING FROM A HOST CONTROL DEVICE | 1 |
Robert Jan Hamer | NL | Amersfoort | 2012-04-19 / 20120093983 - FLOUR-BASED FOOD PRODUCT WITH INHOMOGENEOUS TASTANT BULK DISTRIBUTION AND METHOD FOR MAKING SUCH FOOD PRODUCT | 5 |
Robert Jan Hamer | NL | Amersfoot | 2011-02-24 / 20110045157 - FOOD PRODUCT WITH INHOMOGENEOUS TASTANT BULK DISTRIBUTION AND METHOD FOR MAKING SUCH FOOD PRODUCT | 1 |
Peter James Hamer | GB | Cheshire | 2010-03-11 / 20100059129 - FLUID FLOW CONTROL APPARATUS | 1 |
John Hamer | GB | Reading Berkshire | 2009-08-20 / 20090209459 - Method of Treating Myasthenia Gravis | 1 |
Louis-Nicolas Hamer | CA | Verdun | 2009-07-16 / 20090183224 - SYSTEM FOR SELECTING A VIDEO OR AUDIO PATH | 1 |
Peter Hamer | GB | Bishops Stortford | 2008-11-20 / 20080288427 - FORMING A SIGNATURE OF PARAMETERS EXTRACTED FROM INFORMATION | 1 |
Gunhild Hamer | DE | Hamburg | 2008-09-18 / 20080226616 - Emulsifier Combination for Cosmetics | 1 |
Colin J. Hamer | US | Noblesville | 2013-10-10 / 20130264034 - ELECTRIC MACHINE MODULE COOLING SYSTEM AND METHOD | 6 |
Colin J. Hamer | US | El Paso | 2010-06-03 / 20100132677 - IGNITION APPARATUS WITH CYLINDRICAL CORE AND LAMINATED RETURN PATH | 3 |
Kevin M. Hamer | US | Saint Paul | 2015-02-12 / 20150040977 - BACKSHEET FILM WITH IMPROVED HYDROLYTIC STABILITY | 1 |
Henning Hamer | DE | Darmstadt | 2016-04-28 / 20160117833 - ONLINE BACKGROUND MODEL EXTRACTION | 3 |
Colin Hamer | US | Noblesville | 2015-12-17 / 20150364246 - IGNITION COIL | 37 |
Henning Hamer | CH | Zurich | 2015-10-01 / 20150281653 - SYSTEM AND METHOD FOR SELECTING SENSORS IN SURVEILLANCE APPLICATIONS | 1 |
Andrew J. Hamer | US | Columbia | 2009-02-12 / 20090042151 - SYSTEM AND METHOD FOR FLAME STABILIZATION AND CONTROL | 1 |
Rene Hamer | US | Louisville | 2009-12-17 / 20090310473 - OPTICAL DATA CARRIER AND METHOD FOR READING/RECORDING DATA THEREIN | 2 |
John W. Hamer | US | Rochester | 2015-04-23 / 20150108917 - Color-Tunable OLED Lighting Device | 40 |
Colin James Hamer | US | Noblesville | 2012-07-26 / 20120187784 - MACHINE WITH HIGH VOLTAGE ENCLOSURE COVER | 2 |
Jeffrey Lee Hamer | US | Springville | 2010-01-28 / 20100018987 - UNIVERSAL DISPENSER FOR SAFETY PROTECTION DEVICES, PACKAGING FOR USE THEREWITH, AND METHOD OF DISPENSING | 1 |
Craig E. Hamer | US | Woodbury | 2015-11-12 / 20150322304 - METHOD OF MAKING PACKAGE VISCOELASTIC COMPOSITIONS BY POLYMERIZING ETHYLENICALLY-UNSATURATED MATERIALS USING IONIZING RADIATION | 18 |
Malcolm Hamer | GB | Inverness | 2016-03-10 / 20160071467 - HAND-HELD TEST METER WITH DISPLAY ILLUMINATION ADJUSTMENT CIRCUIT BLOCK | 2 |
Stephen Hamer | CA | Kaministiquia | 2016-02-11 / 20160039435 - Rail Brake with Guide Wheels | 1 |
Ziv Hameri | AU | Hillsdale | 2012-05-24 / 20120125424 - PHOTOVOLTAIC DEVICE STRUCTURE AND METHOD | 1 |
Steven C. Hamerle | US | Richmond | 2014-10-30 / 20140321501 - HOT BEARING DETECTION SYSTEM AND METHOD | 1 |
Steven Hamerle | US | Richmond | 2008-11-13 / 20080281532 - METHOD AND APPARATUS FOR MONITORING BEARINGS | 1 |
Steven Conrad Hamerle | US | Richmond | 2014-05-01 / 20140118152 - AUTOMATED CALIBRATION METHOD FOR A DRAGGING EQUIPMENT DETECTOR | 1 |
Michael E. Hamerly | US | Vadnais Heights | 2015-08-13 / 20150226872 - MAGNETOMECHANICAL MARKERS FOR MARKING STATIONARY ASSETS | 10 |
Edward L. Hamernik | US | Fridely | 2009-12-10 / 20090301581 - Pressurized gas containing system | 1 |
Edward L. Hamernik | US | Fridley | 2014-09-11 / 20140251459 - PRESSURIZED GAS CONTAINING SYSTEM | 3 |
Bart Hamers | NL | Vg Horst | 2015-11-26 / 20150336093 - PROCESS FOR CATALYTIC PREPARATION OF ALDEHYDES FROM OLEFINS USING MONOPHOSPHITE MIXTURES | 4 |
Luc Hamers | DE | Aachen | 2012-07-12 / 20120179424 - SENSOR AND SENSOR NETWORK AND METHOD FOR THE OPERATION THEREOF | 2 |
Christoph Hamers | DE | Ludwigshafen | 2016-03-24 / 20160083909 - FINELY DIVIDED, STARCH-CONTAINING POLYMER DISPERSIONS, PROCESSES FOR THEIR PREPARATION AND USE AS SIZES IN PAPERMAKING | 8 |
Christoph Hamers | DE | Ludwigshafen | 2016-03-24 / 20160083909 - FINELY DIVIDED, STARCH-CONTAINING POLYMER DISPERSIONS, PROCESSES FOR THEIR PREPARATION AND USE AS SIZES IN PAPERMAKING | 8 |
Robert J. Hamers | US | Madison | 2015-09-24 / 20150270573 - HALOGENATED ORGANOSILICON ELECTROLYTES, METHODS OF USING THEM, AND ELECTROCHEMICAL DEVICES CONTAINING THEM | 11 |
Mario Hamers | DE | Donauwoerth | 2011-04-07 / 20110079678 - Method of and Device for Actively Damping Vertical Oscillations in a Helicopter Carrying a Suspended External Payload | 1 |
Michael Hamers | DE | Biedenkopf | 2010-06-17 / 20100147809 - Method of Laser Welding | 3 |
Bart Hamers | DE | Dorsten | 2013-07-11 / 20130178657 - ENERGY EFFICIENT SYNTHESIS OF ALIPHATIC ALDEHYDES FROM ALKENES AND CARBON DIOXIDE | 2 |
A.c.m. Hamers | NL | Berkel-Enschot | 2009-10-29 / 20090271558 - PROGRAMMABLE CHANNEL | 1 |
Christoph Hamers | DE | Lugwigshafen | 2008-10-16 / 20080255301 - Low-Blister Paper Coating Slips | 1 |
Gerald J. Hamers | CA | Woodbridge | 2015-09-03 / 20150247558 - VENT STRUCTURE FOR TENSIONER | 8 |
Robert John Hamers | US | Madison | 2015-10-22 / 20150299870 - METHODS AND SYSTEMS FOR THE REDUCTION OF MOLECULES USING DIAMOND AS A PHOTOREDUCTION CATALYST | 1 |
Bart Hamers | NL | Horst | 2016-03-24 / 20160082393 - SEPARATION OF HOMOGENEOUS CATALYSTS BY MEANS OF A MEMBRANE SEPARATION UNIT UNDER CLOSED-LOOP CONTROL | 3 |
Michael Hamers | DE | Marburg | 2015-11-12 / 20150321239 - METHOD FOR CONNECTING TWO COMPONENTS | 2 |
Gerald J. Hamers | CA | Woodbridge | 2015-09-03 / 20150247558 - VENT STRUCTURE FOR TENSIONER | 8 |
Raymond Hamers | BE | Sint-Genesius-Rode | 2011-10-20 / 20110257372 - IMMUNOGLOBULINS DEVOID OF LIGHT CHAINS | 1 |
Markus Hamers | DE | Lennestadt | 2012-07-05 / 20120170402 - METERING APPARATUS AND METHOD FOR INTRODUCING A POWDERY MEDIUM INTO A FLUID | 4 |
Martijn Robert Hamers | NL | 'S-Hertogenbosch | 2010-04-15 / 20100091262 - POSITIONING SYSTEM, METHOD, AND LITHOGRAPHIC APPARATUS | 3 |
Adrianus Cornelis Maria Hamers | NL | Berkel-Enschot | 2011-08-04 / 20110191497 - METHOD FOR SYNCHRONIZING EXECUTION OF MULTIPLE PROCESSING DEVICES AND RELATED SYSTEM | 1 |
Johannes Cornelis Adriaan Hamers | NL | Eindhoven | 2016-05-19 / 20160143162 - FLEXIBLE DISPLAY WITH DISPLAY SUPPORT | 10 |
Wolfgang Hamers | DE | Juelich | 2011-02-24 / 20110042174 - Oscillation Damper Having a Backpack Valve | 3 |
Wolfgang Hamers | DE | Julich | 2015-11-05 / 20150316118 - DAMPING VALVE FOR A SHOCK ABSORBER | 2 |
Johannes Cornelis Adriaan Hamers | NL | Eindhoven | 2016-05-19 / 20160143162 - FLEXIBLE DISPLAY WITH DISPLAY SUPPORT | 10 |
Johannes Cornelis Adriaan Hamers | NL | Breugel | 2015-12-31 / 20150378391 - SUPPORT STRUCTURES FOR A FLEXIBLE ELECTRONIC COMPONENT | 12 |
Robert J. Hamers | US | Madison | 2015-09-24 / 20150270573 - HALOGENATED ORGANOSILICON ELECTROLYTES, METHODS OF USING THEM, AND ELECTROCHEMICAL DEVICES CONTAINING THEM | 11 |
Zdenko Hamersak | HR | Zagreb | 2015-08-20 / 20150233937 - METHOD OF DETECTION OF AMINO ACID SEQUENCE AND/OR IDENTIFICATION OF PEPTIDES AND PROTEINS, BY USE OF A NEW DERIVATIZATION REAGENT AND SYNTHESIS OF 5-FORMYL-BENZENE-1,3-DISULPHONIC ACID AS DERIVATIZATION REAGENT | 2 |
Gregg Hamerschlag | US | Pleasantville | 2012-11-08 / 20120281820 - METHOD FOR PROCESSING A WIRELESS OR LAND LINE CALL FROM A TELEPHONE CALLER OVER A PSTN NETWORK THROUGH AN IVR TO PERMIT DELIVERY OF SMS TEXT SHORT MESSAGES OR MMS INFORMATION INCLUSIVE OF VIDEO TO A MOBILE DEVICE OF THE CALLER WHILE THE CALL IS BEING PROCESSED OR PLACED ON HOLD | 1 |
Roman Jan Hamerski | US | Overland Park | 2009-02-12 / 20090039384 - POWER RECTIFIERS AND METHOD OF MAKING SAME | 1 |
Roman J. Hamerski | US | Overland Park | 2008-09-11 / 20080217721 - HIGH EFFICIENCY RECTIFIER | 1 |
Andre Hamerski | BR | Nova Prata | 2009-08-13 / 20090203278 - SEPARATE TOROIDAL BODY SUPPORT FOR PNEUMATIC COVERINGS | 2 |
Mark William Hamersky | US | Hamilton | 2015-11-05 / 20150315350 - Compositions in the Form of Dissolvable Solid Structures | 10 |
Mark William Hamersky | US | Hamilton | 2015-11-05 / 20150315350 - Compositions in the Form of Dissolvable Solid Structures | 10 |
Mark William Hamersky | US | Indian Springs | 2015-09-10 / 20150250701 - Method for Colouring Hair | 16 |
Brian John Hamerslag | GB | Cheshire | 2013-07-04 / 20130171224 - TREATMENT OF BIOFILMS | 1 |
Brian John Hamerslag | GB | Higher Runcorn | 2013-11-07 / 20130296818 - WOUND DRESSING | 1 |
Richard Alan Hamersley | US | Buda | 2008-12-04 / 20080301422 - Method and Apparatus for Remote BIOS Configuration Control | 1 |
Alan Bruce Hamersley | US | Newbury Park | 2014-11-27 / 20140347968 - METHOD AND SYSTEM FOR DISC AUTHENTICATION AND SECURITY | 13 |
Alan Bruce Hamersley | US | Newbury Park | 2014-11-27 / 20140347968 - METHOD AND SYSTEM FOR DISC AUTHENTICATION AND SECURITY | 13 |
Alan Bruce Hamersley | US | Newburry Park | 2010-12-23 / 20100322055 - OPTICAL DISC WITH PRE-RECORDED AND RECORDABLE REGIONS AND METHOD OF FORMING THE DISC | 1 |
Alan Hamersley | US | Newbury Park | 2009-02-19 / 20090049302 - System And Method For Processing Conent For Later Insertion Of Digital Watermark And Other Data | 1 |
Johannes Antonius Maria Hamersma | NL | Oss | 2013-10-17 / 20130272956 - (11beta, 17alpha)-11-(4-(2-11C-ACETYL)PHENYL)-17,23-EPOXY-19,24-DINORCHOLA- -4,9,20-TRIEN-3-ONE | 1 |
Ante Hamersmit | DE | Waiblingen | 2014-01-09 / 20140007435 - PORTABLE, ELECTRIC WORK APPARATUS | 2 |
Cheryl Hamersmith-Stewart | US | North Miami | 2013-01-31 / 20130025165 - ATTACHING OBJECTS TO FOOTWEAR | 1 |
Monika Hamers-Schneider | DE | Freudenberg | 2013-12-05 / 20130323851 - CARBOXAMIDE-SUBSTITUTED DYES FOR ANALYTICAL APPLICATIONS | 5 |
Lance Hamerton | SE | Tumba | 2015-12-10 / 20150351360 - MILKING DEVICE COMPRISING A SUPPORT DEVICE | 1 |
Richard Gary Hamerton | GB | Chipping Norton | 2011-03-10 / 20110056595 - Aluminium alloy for lithographic sheet | 1 |
Richard Hamerton | US | Kennesaw | 2016-03-17 / 20160076126 - Alloys for Highly Shaped Aluminum Products and Methods of Making the Same | 1 |
Greg Hames | US | Raleigh | 2009-06-11 / 20090145227 - PENDULOUS ACCELEROMETER AND METHOD FOR THE MANUFACTURE THEREOF | 1 |
Sean E. Hames | US | Weston | 2016-03-24 / 20160083097 - SEAT PAN ASSEMBLY WITH ENCASED COMFORT SPRING | 1 |
Alexander David Hames | US | Austin | 2013-11-14 / 20130305237 - Grandfathering Configurations in a Distributed Environment | 1 |
Jean-Baptiste Yves Hames | FR | Nice | 2013-09-05 / 20130230359 - Retractable chain connector | 2 |
Bonnie Hames | US | Newbury Park | 2014-02-13 / 20140046641 - Materials and Methods for Use in Biomass Processing | 2 |
Bernd Hames | DE | Henstedt-Ulzburg | 2016-02-04 / 20160032946 - ROTATIONAL SPEED LIMITATION DEVICE FOR A MOTOR | 1 |
Peter Hames | GB | London | 2014-08-07 / 20140222720 - Interactive System for Sleep Improvement | 1 |
Kate Hames | US | San Francisco | 2012-08-30 / 20120220923 - Iontophoresis Methods | 2 |
Sean Hames | US | Weston | 2010-01-14 / 20100007185 - ONE-PIECE DRESS COVER FOR AIRCRAFT SEAT | 2 |
Robert William Hames, Jr. | US | Columbus | 2011-08-25 / 20110206189 - System for Automatic TTY Equipment Detection and for Notification of the Hearing Impaired | 1 |
Pavel Hamet | CA | Ville Mont-Royal | 2012-05-31 / 20120134981 - GENES LINKING SEVERAL COMPLICATIONS OF TYPE-2 DIABETES (T2D) | 6 |
Pavel Hamet | CA | Quebec | 2008-10-23 / 20080262088 - METHODS, COMPOUNDS, AND COMPOSITIONS FOR TREATING METABOLIC DISORDERS AND DIABETES | 1 |
Pavel Hamet | CA | Montreal | 2014-01-23 / 20140023635 - SINGLE NUCLEOTIDE POLYMORPHISMS AND GENES ASSOCIATED WITH T2D-RELATED COMPLICATIONS | 1 |
Dieter Hametner | DE | Karlsruhe | 2012-02-09 / 20120035913 - MULTI-LANGUAGE BUFFERING DURING MEDIA PLAYBACK | 1 |
Christa Hametner | AT | Wien | 2010-12-02 / 20100303752 - USE OF POLYMERIC GUANIDINES FOR CONTROLLING MICROORGANISMS | 1 |
Albert L. Hametner | US | Burien | 2015-03-12 / 20150068008 - AUTOMATED TUBE STRAIGHTENING APPARATUS | 1 |
Christoph Hametner | AT | Wien | 2016-03-03 / 20160063151 - Method for Determining a Model of an Output Quantity of a Technical System | 3 |
Benjamin Hamey | AU | Barton | 2010-03-04 / 20100058207 - Interactivity Platform System and Method | 1 |
Rhye Hamey | US | Newtown | 2015-04-30 / 20150119317 - ORAL SOLID DOSAGE FORMULATION OF 1,1-DIMETHYLETHYL [(1S)-1-CARBAMOYL)PYRROLIDIN-1-YL]CARBONYL}-2,2-DIMETHYLPROPYL]CARBAMATE | 1 |
Leonard George Chardborn Hamey | AU | Queensland | 2010-12-23 / 20100322465 - PANTOGRAPH DAMAGE AND WEAR MONITORING SYSTEM | 1 |
Benjamin Hamey | US | Redwood City | 2016-03-03 / 20160066387 - COLOR SELECTION | 1 |
Nathan Hamey | AU | Barton | 2010-03-04 / 20100058207 - Interactivity Platform System and Method | 1 |
Kay Hameyer | BE | Heverlee | 2010-09-16 / 20100234941 - LINEAR DRIVE AND PUMP SYSTEM, IN PARTICULAR AN ARTIFICIAL HEART | 1 |
Eunice Hameyie | US | Havertown | 2015-04-23 / 20150112497 - FACILITATING REVENUE GENERATION FROM WHOLESALE ELECTRICITY MARKETS USING AN ENGINEERING-BASED ENERGY ASSET MODEL | 2 |
Arthur T. Hamfeldt | US | Poughquag | 2015-12-24 / 20150369743 - DETERMINING PERCENT SOLIDS IN SUSPENSION USING RAMAN SPECTROSCOPY | 2 |
Tomiharu Hamguchi | JP | Minato-Ku | 2012-10-25 / 20120270529 - COMMUNICATION SYSTEM AND APPARATUS FOR PROVIDING SUPPLEMENTARY SERVICE IN FEMTO CELL | 1 |
Ronen Hamias | IL | Holon | 2009-02-26 / 20090055466 - GRID-BASED SYSTEM FOR PROVIDING BUSINESS SERVICES IN DATA NETWORKS | 1 |
Timothy John Hamick | US | Dallas | 2013-01-10 / 20130014033 - SYSTEMS AND METHODS FOR FACILITATING USER INTERACTION BETWEEN MULTIPLE VIRTUAL ENVIRONMENTS | 2 |
Jabbar Hamid | KR | Yongin-Si | 2009-10-01 / 20090243541 - METHOD AND APPARATUS FOR RECHARGING BATTERY OF MOBILE STATION USING ELECTROMAGNETIC RESONANT WAVE | 1 |
Azydee Hamid | MY | Penang | 2013-10-03 / 20130262734 - MODULAR SCALABLE PCI-EXPRESS IMPLEMENTATION | 2 |
Rabb Hamid | US | Ellicott City | 2009-09-03 / 20090220526 - ACUTE RENAL INJURY | 1 |
Ahmed Mohamed Hamid | US | West Lafayette | 2014-02-20 / 20140048697 - ION GENERATION USING WETTED POROUS MATERIAL | 3 |
Hamdan Hamid | MY | Selangor | / - | 1 |
Muhammad Hamid | US | Thornton | 2016-01-28 / 20160026848 - GLOBAL-SCALE OBJECT DETECTION USING SATELLITE IMAGERY | 1 |
Sharifah Binti Hamid | MY | Shah Alam | 2015-05-21 / 20150140027 - Antigens and Vaccines Directed Against Human Enteroviruses | 2 |
Syed Hamid | US | Redmond | 2014-04-17 / 20140108975 - PORTAL FOR SUBMITTING BUSINESS METADATA FOR SERVICES | 2 |
Ahmed Mohamed Hamid | EG | Cairo | 2015-05-28 / 20150147776 - MASS SPECTROMETRY ANALYSIS OF MICROORGANISMS IN SAMPLES | 2 |
Muhajir Hamid | MY | Serdang | 2013-12-12 / 20130330432 - USES OF CURCULIGO LATIFOLIA (C. LATIFOLIA) EXTRACTS | 1 |
Syed Hamid | US | Carrollton | 2013-12-19 / 20130333890 - METHODS OF REMOVING A WELLBORE ISOLATION DEVICE USING A EUTECTIC COMPOSITION | 2 |
Syed A. Hamid | US | Redmond | 2015-04-30 / 20150121343 - TEST IMPACT ANALYSIS USING CROSS REFERENCE DATA AND METADATA | 1 |
Shihab Hassan Hamid | AU | Sydney | 2014-08-21 / 20140237389 - EVENT LISTENING INTEGRATION IN A COLLABORATIVE ELECTRONIC INFORMATION SYSTEM | 4 |
Ahmed M. Hamid | US | West Lafayette | 2014-05-22 / 20140141466 - ION GENERATION USING WETTED POROUS MATERIAL | 1 |
Tamim Said Hamid | US | Pleasanton | 2012-03-08 / 20120059440 - PORTABLE LIGHT HAIR RESTORATION HELMET | 1 |
Masoumeh Hamid | IR | Khoramabad | 2012-12-13 / 20120315301 - Preparation of vesicle-type carbon nanotubes | 1 |
Muhammad Raffay Hamid | US | Seattle | 2016-04-28 / 20160117572 - EXTRACTION OF IMAGE FEATURE DATA FROM IMAGES | 2 |
Gabriel Hamid | GB | Loughton | 2012-07-26 / 20120188366 - INSPECTION APPARATUS AND METHOD USING A GRAPHICAL USER INTERFACE | 3 |
Muhajir Hamid | MY | Eslangor Darul Ehsan | 2011-09-15 / 20110223270 - USES OF CURCULIGO LATIFOLIA (C. LATIFOLIA) EXTRACTS | 1 |
Shariq Hamid | US | Plano | 2014-08-21 / 20140233356 - Mobile Communication Watch Utilizing Projected Directional Sound | 2 |
Laurence Hamid | CA | Ottawa | 2016-05-12 / 20160134642 - SECURE CONTENT AND ENCRYPTION METHODS AND TECHNIQUES | 38 |
Muhammad Hamid | US | Seattle | 2016-03-17 / 20160078273 - GLOBAL-SCALE DAMAGE DETECTION USING SATELLITE IMAGERY | 1 |
Qudus Hamid | US | Philadelphia | 2012-04-05 / 20120080814 - Integratable Assisted Cooling System for Precision Extrusion Deposition in the Fabrication of 3D Scaffolds | 1 |
Muhammad Raffay Hamid | US | San Jose | 2014-09-11 / 20140254927 - EXTRACTION OF IMAGE FEATURE DATA FROM IMAGES | 3 |
Salih Hamid | US | Austin | 2012-05-17 / 20120124424 - ENHANCED DEBUG/TEST CAPABILITY TO A CORE RESET PROCESS | 1 |
Tamim Hamid | US | Pleasanton | 2015-10-22 / 20150297914 - LASER PHOTOTHERAPY DEVICE | 1 |
Adnan Hamid | US | Fremont | 2015-10-22 / 20150302126 - TESTING SOC WITH PORTABLE SCENARIO MODELS AND AT DIFFERENT LEVELS | 3 |
Muhammed Aquil Hamid | US | Canton | 2015-09-10 / 20150252997 - MICRO-CHANNEL HEAT SINK FOR LED HEADLAMP | 1 |
Syed Hamid | US | Dallas | 2015-12-10 / 20150356210 - WELL TOOL SCALE BUILDUP TEST, MODEL AND MITIGATION | 17 |
Suhaimi Hamid | MY | Pasir Gudang Johor | 2010-05-20 / 20100122909 - METHOD OF PREPARING CHROMIUM PLATING BATH AND METHOD OF FORMING PLATING FILM | 1 |
Ahmed Abdul Hamid | EG | Cairo | 2012-10-04 / 20120253785 - TRANSLATION OF MULTILINGUAL EMBEDDED PHRASES | 1 |
Umi Marshida Abd Hamid | GB | Oxford | 2011-06-16 / 20110143351 - GLYOSYLATION MARKERS FOR CANCER AND CHRONIC INFLAMMATION | 1 |
Rahim Hamid | DE | Freiburg | 2012-10-04 / 20120248068 - Process Module for the Inline-Treatment of Substrates | 1 |
Zeeshan Hamid | CA | Milton | 2010-12-09 / 20100313002 - PRELOADING MODULES FOR PERFORMANCE IMPROVEMENTS | 1 |
Muhammad Ishkandar Bin Abdul Hamid | MY | Shah Alam | 2013-11-07 / 20130291283 - HIGHLY ABSORBANT FOAMED LINING | 1 |
Ahmed Mohamed Abdul Hamid | EG | Cairo | 2010-11-25 / 20100296571 - Composite Video Generation | 1 |
Mohammed R. Hamid | US | New York | 2016-02-11 / 20160042486 - LOGO-ENABLED INTERACTIVE MAP INTEGRATING SOCIAL NETWORKING APPLICATIONS | 5 |
Muhammed Aqil Hamid | US | Canton | 2012-06-07 / 20120140500 - Automotive Headlamp With Staircase Circulator | 1 |
Jake Hamid | US | Oakwood Hills | 2013-08-15 / 20130209947 - Work Load Lifting System for a Vertical Vacuum Furnace | 4 |
Sibtain Hamid | US | Canton | 2010-03-04 / 20100056406 - Hydraulic Fluid and Method of Preventing Vapor Phase Corrosion | 1 |
Al A. Hamid | US | Columbus | 2010-02-04 / 20100031226 - WORK PACKET DELEGATION IN A SOFTWARE FACTORY | 1 |
Anis Ben Hamida | SE | Malmo | 2014-12-18 / 20140368646 - MONITORING METHOD AND CAMERA | 1 |
Tarek Hamida | CA | Calgary | 2015-02-19 / 20150047833 - THERMALLY ASSISTED GRAVITY DRAINAGE (TAGD) | 2 |
Amina Hamida | FR | Saint-Martin Longueau | 2015-09-03 / 20150248979 - ELECTRICAL PYROTECHNIC SWITCH | 1 |
Elyes Ben Hamida | FR | Grenoble | 2013-08-29 / 20130225200 - Method and Apparatus for the cooperative localization of transmitters and/or receivers on a mobile body | 3 |
Abtin Hamidi | US | Palo Alto | 2016-04-14 / 20160104110 - TRANSPORTATION SERVICE MATCHING WITH ARRIVAL ESTIMATION ADJUSTED FOR EXTERNAL FACTORS | 4 |
Abtin Hamidi | US | Mountain View | 2016-04-14 / 20160104111 - OBTAINING LOADS FOR NEXT LEG OR BACKHAUL | 1 |
Seyed Hamidi | US | Milpitas | 2014-04-17 / 20140105014 - Method and System for Extended Reach Copper Transceiver | 4 |
Jam Hamidi | CA | Victoria | 2009-03-12 / 20090066540 - Centralized route calculation for a multi-hop streetlight network | 1 |
Mehrdad Hamidi | IR | Tehran | 2015-01-22 / 20150024035 - METHOD AND SYSTEM FOR SYNTHESIZING NANOCARRIER BASED LONG ACTING DRUG DELIVERY SYSTEM FOR METHADONE | 5 |
Morteza Hamidi | US | Laguna Hills | 2016-02-04 / 20160036951 - PROTECTIVE CASE FOR MOBILE DEVICE WITH INTEGRATED MOUNTING SYSTEM | 1 |
Madjid Hamidi | US | San Diego | 2010-05-20 / 20100124264 - System and Method for Identifying a Non-Predetermined Input Data Rate | 1 |
Vahid Hamidi | US | Aliso Viejo | 2015-02-26 / 20150057609 - Suction tip for surgical instruments | 4 |
Hoodin Hamidi | US | Pleasant Hill | 2012-08-16 / 20120206832 - TAPE HEAD ACTUATOR | 1 |
Sean S. Hamidi | US | Lafayette | 2016-02-11 / 20160044048 - AUTOMATED SECONDARY LINKING FOR FRAUD DETECTION SYSTEMS | 1 |
Daryoush Hamidi Alamdari | GR | Thessaloniki | 2009-05-14 / 20090123956 - Measurement of the oxidants-antioxidants balance in liquids | 1 |
Zohreh Hamidi-Esfahani | IR | Tehran | 2010-08-12 / 20100203626 - SOLID STATE FERMENTATION IN MODIFIED ZYMOTIS PACKED BED BIOREACTOR | 1 |
Shahab Hamidi-Rad | US | Sunnyvale | 2012-01-05 / 20120005716 - SYSTEMS AND METHODS FOR VIDEO STATISTICAL MULTIPLEXING ADAPTING TO INTERNET PROTOCOL NETWORKS | 1 |
Muhammad Hamidullah | SG | Singapore | 2013-12-19 / 20130338538 - GUIDE WIRE ARRANGEMENT | 2 |
Seyed Mahdi Hamidzadeh | IR | Tehran | 2010-12-09 / 20100308231 - method and system for discrimination pulse shape | 1 |
Mohamed S. Hamieh | US | Dearborn | 2010-09-23 / 20100237676 - ACTIVE HEAD RESTRAINT HAVING MULTIPLE HORIZONTAL PIVOT POINTS | 1 |
Ismail Hamieh | CA | Windsor | 2015-01-29 / 20150028995 - METHODS AND APPARATUS FOR OPTIMIZING BATTERY LIFE IN A REMOTE DEVICE | 1 |
Noel Hamil | GB | Belfast | 2008-08-21 / 20080200724 - Process and Compound | 1 |
Earl Jay Hamil | US | Greer | 2009-01-01 / 20090000267 - SYSTEM AND METHODS FOR HEAT RECOVERY STEAM GENERATORS HOT PURGING | 1 |
Wesley F. Hamil | US | Centennial | 2010-04-15 / 20100094748 - Adjustable risk mitigation system and process for managing a construction loan | 1 |
Ridha Hamila | QA | Doha | 2013-09-26 / 20130251057 - SYSTEM AND METHODS FOR COMPENSATION OF I/Q IMBALANCE IN BEAMFORMING OFDM SYSTEMS | 1 |
Aaron Hamill | US | Madison | 2014-07-17 / 20140200921 - Method for Minimizing Entry of Medically Similar Orders in a Computerized Medical Records System | 1 |
Terence G. Hamill | US | Landsdale | 2009-10-29 / 20090269278 - Radiolabeled Glycine Trasporter Inhibitors | 1 |
Robert Hamill | US | West Milford | 2012-10-25 / 20120271002 - METHOD OF RECYCLING PAINTS AS A COMPONENT OF AN IMMISCIBLE POLYMER BLEND | 2 |
Thom Hamill | US | New York | 2011-07-21 / 20110178939 - SYSTEM AND METHOD FOR DEVELOPING, IMPLEMENTING, AND MONITORING A DYNAMIC SCALABLE PHILANTHROPIC INFRASTRUCTURE THAT MOTIVATES AND EMPOWERS INDIVIDUALS TO ENGAGE IN AND MANAGE DESIRED CUSTOMIZABLE PHILANTHROPIC ACTIVITIES | 1 |
Chester Hamill | US | Colorado Springs | 2013-02-28 / 20130054635 - PROCURING COMMUNICATION SESSION RECORDS | 1 |
James J. Hamill | US | Knoxville | 2014-08-07 / 20140217294 - Method for Using LSO Background Radiation as a Transmission Source Using Time of Flight Information | 7 |
Noel Hamill | GB | Belfast | 2014-03-27 / 20140088138 - CRYSTALLINE FORMS OF FUSED AMINO PYRIDINES AS HSP90 INHIBITORS | 1 |
Katie Marcella Hamill | US | Austin | 2013-09-05 / 20130228138 - PET EXERCISE AND ENTERTAINMENT DEVICE | 1 |
Deborah Lynn Hamill | US | Austin | 2013-09-05 / 20130228138 - PET EXERCISE AND ENTERTAINMENT DEVICE | 1 |
John Hamill | IE | Dublin | 2012-06-21 / 20120154389 - Hardware Accelerated Graphics for Network Enabled Applications | 4 |
Kristan Lisa Hamill | US | Nokomis | 2014-10-30 / 20140325394 - INTERACTIVE TRACKING VIRTUAL WORLD SYSTEM | 3 |
Andrew I. Hamill | US | Goleta | 2012-11-01 / 20120274415 - WIDE BANDWIDTH INTEGRATED 2X4 RF DIVIDER | 1 |
William C. Hamill | NZ | Halswell | 2014-05-08 / 20140123978 - CURVED VORTEX TUBE | 1 |
Pat Hamill | US | St. Louis | 2011-01-13 / 20110005208 - METHOD OF CLEANING A CATALYTIC CONVERTER | 1 |
Lee Elizabeth Hamill | US | Austin | 2013-09-05 / 20130228138 - PET EXERCISE AND ENTERTAINMENT DEVICE | 1 |
John Hamill | IE | Co. Monaghan | 2011-08-11 / 20110196750 - METHOD AND APPARATUS FOR ONLINE ADVERTISING | 1 |
Denny Hamill | US | Austin | 2011-02-17 / 20110038974 - Electrical Plating and Catalytic Uses of Metal Nanomaterial Compositions | 1 |
Brendan James Hamill | GB | Fife | 2011-02-03 / 20110028340 - POLYNUCLEOTIDE ANALYSIS USING COMBINATORIAL PCR | 1 |
Andrea C. Hamill | US | Falls Church | 2009-03-19 / 20090075318 - USING PHOTO-RESPONSIVE SURFACTANTS TO REVERSIBLY CONTROL PROTEIN AGGREGATION WITH LIGHT ILLUMINATION | 1 |
Jordan Hamill | IE | Belfast | 2016-02-11 / 20160043928 - SYSTEM AND METHOD FOR REMOTE MANAGEMENT OF SALE TRANSACTION DATA | 1 |
Brian Hamill | US | Warminster | 2011-04-14 / 20110083943 - Conveyor Cargo Handling System and Method of Use | 1 |
Lucy Rejahl Hamill | US | Austin | 2013-09-05 / 20130228138 - PET EXERCISE AND ENTERTAINMENT DEVICE | 1 |
Andrew Hamill | CA | Calgary | 2015-09-17 / 20150262572 - METHOD, SYSTEM AND APPARATUS FOR ASSEMBLING A RECORDING PLAN AND DATA DRIVEN DIALOGS FOR AUTOMATED COMMUNICATIONS | 1 |
Terence G Hamill | US | Lansdale | 2013-01-31 / 20130030000 - PHARMACEUTICAL COMPOSITIONS FOR THE TREATMENT OF PAIN AND OTHER INDICATIONS | 2 |
Michael J. Hamill | US | Wellesley | 2015-10-29 / 20150307562 - ENGINEERED SECRETED PROTEINS AND METHODS | 5 |
Michael Hamill | US | Wellesley | 2015-01-08 / 20150011482 - Nutritive Fragments, Proteins and Methods | 3 |
Jared Hamill | US | Mckinney | 2014-06-05 / 20140154385 - Aerated Sauce and Methods for Manufacturing and Dispensing the Same | 1 |
Grant Patrick Hamill | US | Austin | 2013-09-05 / 20130228138 - PET EXERCISE AND ENTERTAINMENT DEVICE | 1 |
Patrick Mark Hamill | IE | County Tyrone | 2009-10-15 / 20090258581 - DOUBLE CONCENTRIC SOLID WHEEL DIAMOND DRESSERS | 2 |
Owen P. Hamill | US | Galveston | 2008-12-11 / 20080305520 - Mechanosensitive ion channels and methods of use | 1 |
Noel A. Hamill | GB | Huddersfield | 2008-10-02 / 20080237538 - Process for Preparing Lithium Amide and a Composition Obtainable by Said Process | 1 |
Dennis W. Hamill | US | Austin | 2013-09-05 / 20130228138 - PET EXERCISE AND ENTERTAINMENT DEVICE | 1 |
Joseph M. Hamilla | US | Sanford | 2012-06-07 / 20120142382 - SYSTEM AND METHOD FOR POLICING SPECTRUM USAGE | 8 |
Alan J. Hamilton | BE | Duisburg | 2011-05-26 / 20110124757 - RIGID POLYURETHANE FOAM AND SYSTEM AND METHOD FOR MAKING THE SAME | 2 |
Edward Keith Hamilton | GB | Edlington | 2010-03-25 / 20100071113 - EXERCISE GARMENT | 1 |
Christopher James Hamilton | GB | Edlington | 2010-03-25 / 20100071113 - EXERCISE GARMENT | 1 |
William Wright Hamilton | GB | Fife | 2009-12-10 / 20090301791 - SYSTEM AND METHOD FOR COUNT BY WEIGHT CORRECTION | 1 |
Andrew John Hamilton | GB | Norfolk | 2014-11-06 / 20140331361 - GENE SILENCING | 4 |
Rick Hamilton | GB | Southampton | 2009-10-22 / 20090262284 - TRANSFLECTIVE LIQUID CRYSTAL DISPLAY | 3 |
Anita Hamilton | GB | Aberdeen | 2009-07-30 / 20090191187 - ANTIBODIES AGAINST INTERLEUKIN-1 RECEPTOR AND USES THEREOF | 1 |
Edwin Stuart Hamilton | GB | Sudbury | 2009-07-09 / 20090173342 - EMERGENCY BREATHING APPARATUS | 1 |
Carol J. Hamilton | GB | Wormit | 2009-06-25 / 20090164250 - Device management portal, system and method | 1 |
Alan Lewis Hamilton | GB | Buckinghamshire | 2009-01-29 / 20090029866 - Libraries of oligomers labeled with different tags | 1 |
Nigel Hamilton | GB | Kilburn | 2014-01-30 / 20140032519 - METHOD AND SYSTEM FOR RECORDING SEARCH TRAILS ACROSS ONE OR MORE SEARCH ENGINES IN A COMMUNICATIONS NETWORK | 2 |
Lesley Michelle Hamilton | GB | Syresham | 2009-01-15 / 20090017315 - HEAT RESISTANT COATING | 1 |
Lloyd George Hamilton | GB | Nottingham | 2011-08-04 / 20110189323 - COSMETIC COMPOSITIONS | 2 |
Robin Hamilton | GB | Renfrewshire | 2008-12-25 / 20080317306 - Methods of and apparatus for forming a biometric image | 1 |
Gordon Blackwood Hamilton | GB | Woodbridge | 2008-12-11 / 20080303318 - Personal Seated Resting Support | 1 |
Matthew Micheal Hamilton | US | Hackettstown | 2009-04-09 / 20090093497 - Diacylglycerol Acyltransferase Inhibitors | 1 |
Michael (jake) Hamilton | US | Hillsborough | 2011-04-28 / 20110098724 - Antimicrobial Coatings with Preferred Microstructure for Medical Devices | 1 |
Matthew Hamilton | US | Hackettstown | 2015-03-05 / 20150065500 - Modulators of ATP-Binding Cassette Transporters | 19 |
Barbara Ann Hamilton | US | Sparta | 2010-03-04 / 20100054442 - TELEPHONE NETWORK CONTROL SYSTEM AND METHOD | 1 |
Robert Hamilton | US | Bergenfield | 2012-11-15 / 20120289765 - PORTABLE AND MODULAR TRANSPORTATION UNIT WITH IMPROVED TRANSPORT CAPABILITIES | 2 |
Scott Hamilton | US | Phillipsburg | 2011-03-17 / 20110065151 - NUCLEIC ACID AMPLIFICATION WITH SINGLE STRAND DNA BINDING PROTEIN | 2 |
Matthew Michael Hamilton | US | Hackettsown | 2010-05-06 / 20100113782 - Diacylglycerol Acyltransferase Inhibitors | 1 |
Michael Hamilton | US | Somerset | 2013-07-25 / 20130189422 - SURGICAL NEEDLE COATINGS AND METHODS | 4 |
Ryan Hamilton | US | Smyrna | 2012-04-19 / 20120095784 - MEDICATION INSURANCE SYSTEMS AND METHODS | 1 |
Paul Taylor Hamilton | US | Houston | 2011-07-14 / 20110170843 - GROUPED EXPOSED METAL HEATERS | 1 |
Bradford S. Hamilton | DE | Biberach An Der Riss | 2016-03-10 / 20160067227 - PHARMACEUTICAL COMBINATIONS FOR THE TREATMENT OF METABOLIC DISORDERS | 9 |
Gary Martin Hamilton | US | Rapid City | 2012-01-26 / 20120017440 - METHOD OF REINFORCING A MOTORCYCLE FRAME DURING CONVERSION TO A MOTORIZED TRICYCLE | 1 |
Robert William Hamilton | US | San Francisco | 2016-04-07 / 20160100101 - RECOMMENDING TRANSFORMATIONS FOR PHOTOGRAPHY | 4 |
Marilyn J. Hamilton | US | Carmel | 2013-05-16 / 20130123568 - UNIVERSAL CLOSED-LOOP ELECTRICAL STIMULATION SYSTEM | 1 |
Jonathan K. Hamilton | US | Collegeville | 2011-09-29 / 20110232039 - Enhanced Disconnect Handle Operators | 1 |
Peter John Hamilton | NZ | Kaikoura | 2015-05-21 / 20150135941 - MECHANISMS FOR FIRING PROJECTILES AND METHODS OF THEIR USE | 1 |
Robert Hamilton | GB | London | 2012-02-02 / 20120029672 - CONTINUOUS AUDIO INTERACTION WITH INTERRUPTIVE AUDIO | 2 |
Kyle Hamilton | US | Norcross | 2014-09-25 / 20140285874 - METHOD FOR CONTROLLING SIGNAL GAIN OF A RAMAN AMPLIFIER | 2 |
J. Adam Hamilton | US | Fergus Falls | 2012-04-19 / 20120095515 - Cannulated Screw and Core Assembly | 1 |
Ian Hamilton | US | San Jose | 2015-08-27 / 20150239518 - BIKE LIGHT MOUNTING SYSTEM | 6 |
Samuel J. Hamilton | US | Mount Horeb | 2015-11-05 / 20150316350 - MULTIPLE KNOB TURRET | 9 |
Robert John Hamilton | GB | Woking | 2012-07-26 / 20120187207 - RAILWAY RAIL PAD | 3 |
James R. Hamilton | US | Bellevue | 2013-05-16 / 20130124811 - DYNAMIC STORAGE HIERARCHY MANAGEMENT | 36 |
Alan J. Hamilton | GB | Bourton | 2016-03-31 / 20160090460 - Rigid Polyurethane Foam and System and Method for Making the Same | 5 |
Andrew Hamilton | GB | Oxfordshire | 2013-07-25 / 20130190355 - DUAL INHIBITORS OF FARNESYLTRANSFERASE AND GERANYLGERANYLTRANSFERASE I | 1 |
Andrew D. Hamilton | GB | Oxfordshire | 2013-11-07 / 20130295185 - COMPOUNDS AND METHODS FOR INDUCING APOPTOSIS IN CANCER CELLS USING A BH3 ALPHA-HELICAL MIMETIC | 1 |
Arnott Hamilton | GB | Paisley | 2013-05-02 / 20130104409 - COMPASS | 1 |
Christian Alexander Hamilton | GB | Basingstoke | 2014-04-03 / 20140092873 - Method, Apparatus and Computer Program for Controlling a Communications State of an Apparatus | 1 |
David Alexander Hamilton | GB | London | 2015-04-02 / 20150089890 - HOTEL ROOMS | 2 |
Kevin M. Hamilton | GB | Belfast | 2015-11-26 / 20150341215 - CONFIGURATION COMMAND TEMPLATE CREATION ASSISTANT USING CROSS-MODEL ANALYSIS TO IDENTIFY COMMON SYNTAX AND SEMANTICS | 7 |
Stephen W. Hamilton | US | Pembroke Pines | 2013-12-12 / 20130329842 - SYNCHRONIZER WITH A TIMING CLOSURE ENHANCEMENT | 12 |
James Hamilton | US | Ann Arbor | 2016-04-07 / 20160095580 - LUNG VENTILATION MEASUREMENTS USING ULTRASOUND | 1 |
Russell G. Hamilton | US | Santa Fe | 2012-01-19 / 20120011773 - PLANTER BAG | 1 |
Jocelyn Siu Luan Hamilton | US | Mebane | 2012-04-12 / 20120089614 - Computer-Implemented Systems And Methods For Matching Records Using Matchcodes With Scores | 2 |
Mary Hamilton | US | San Francisco | 2012-04-12 / 20120089429 - SYSTEMS AND METHODS FOR GATHERING AND ANALYZING SOCIAL MEDIA DATA | 1 |
Jeffrey Allen Hamilton | US | Broomfield | 2012-04-12 / 20120089304 - Tracking Carbon Output in Agricultural Applications | 1 |
Rick Allen Hamilton | US | Charlottesville | 2011-03-03 / 20110055344 - SYSTEM FOR CREATING AND MODIFYING LISTS FOR ELECTRONIC DISTRIBUTION | 25 |
Jonathan Hamilton | CA | Toronto | 2013-12-19 / 20130340043 - Distribution of dynamic structured content | 1 |
Scott Hamilton | US | China Spring | 2014-09-18 / 20140279636 - SYSTEM AND METHOD FOR USING THREE DIMENSIONAL GRAPHICAL FIGURES IN AN ASSESSMENT | 2 |
Shawn M. Hamilton | US | Boulder Creek | 2015-12-31 / 20150377481 - CONFIGURABLE LIQUID PRECURSOR VAPORIZER | 3 |
Jed M. Hamilton | US | Spring Branch | 2014-10-16 / 20140308080 - Arctic Telescoping Mobile Offshore Drilling Unit | 1 |
James D. Hamilton | US | Lubbock | 2015-12-17 / 20150362745 - Eyeglass Positioning Device | 1 |
Matthew Hamilton | US | Hackettstown | 2015-03-05 / 20150065500 - Modulators of ATP-Binding Cassette Transporters | 19 |
John D. Hamilton | US | Racine | 2011-11-24 / 20110289012 - PRODUCT EFFICIENCY CALCULATOR SYSTEM AND METHOD | 2 |
Theodore Kent Hamilton | CH | Ruschlikon | 2014-09-11 / 20140257923 - VIDEO AWARE PAGES | 3 |
Hugh Hamilton | US | Troy | 2015-03-05 / 20150066292 - METHOD AND SYSTEM FOR OPERATING VEHICLE ACCESSORIES | 9 |
Christopher Howard Hamilton | CA | Montreal | 2015-07-30 / 20150212802 - DERIVE SIZE CONTRIBUTION OF FEATURES | 1 |
John F. Hamilton | US | Rochester | 2008-10-23 / 20080259004 - PASSIVE MATRIX ELECTRO-LUMINESCENT DISPLAY SYSTEM | 3 |
Stewart A. Hamilton | US | Lebanon | 2014-07-03 / 20140182591 - PROXIMAL CONTROL VALVE | 1 |
Erin Kimberlee Hamilton | CA | Campbell River | 2015-07-30 / 20150208872 - K9 clean | 1 |
Dale E. Hamilton | US | Rochester | 2009-04-16 / 20090098382 - POROUS PARTICLES WITH NON-POROUS SHELL | 2 |
James P. Hamilton | US | Baltimore | 2008-12-04 / 20080299566 - Methylation of Gene Promoters as a Predictor of Effectiveness of Therapy | 1 |
Alistair Hamilton | US | Stony Brook | 2011-09-15 / 20110221673 - SYSTEM AND METHOD FOR MONITORING A MOBILE COMPUTING PRODUCT/ARRANGEMENT | 4 |
Mark Hamilton | CA | Toronto | 2015-07-30 / 20150209633 - LEISURE GOLF HOLE APPARATUS | 1 |
Ronald Hillis Hamilton | CA | Campbell River | 2015-07-30 / 20150208872 - K9 clean | 1 |
Carol Hamilton | US | New York | 2010-10-07 / 20100254924 - METHODS AND KITS FOR MAINTAINING THE CONDITION OF COLORED HAIR | 1 |
William C. Hamilton | US | Queensbury | 2014-10-16 / 20140309579 - BALLOON CATHETER METHOD FOR REDUCING RESTENOSIS VIA IRREVERSIBLE ELECTROPORATION | 3 |
Carl Hamilton | US | Woodinville | 2016-05-05 / 20160124669 - PROVIDING SNAPSHOTS OF VIRTUAL STORAGE DEVICES | 1 |
James A. Hamilton | US | Rochester | 2010-12-09 / 20100309350 - COLOR FILTER ARRAY PATTERN HAVING FOUR-CHANNELS | 5 |
Kurt Hamilton | US | Orange | 2008-10-09 / 20080245026 - JOINT CEMENT-FREE DRYWALL JOINT CONSTRUCTION AND METHOD | 1 |
Eric W. Hamilton | US | Mountain View | 2008-10-30 / 20080270739 - Management of copy-on-write fault | 1 |
Greg Hamilton | US | San Francisco | 2009-01-29 / 20090029876 - CAPILLARY PINS FOR HIGH-EFFICIENCY MICROARRAY PRINTING DEVICE | 1 |
Ken Hamilton | US | San Diego | 2009-04-30 / 20090113414 - Computer administration deployment system | 1 |
James M. Hamilton | US | Sunnyvale | 2014-11-13 / 20140331930 - METHODS AND SYSTEMS FOR ELECTRIC FIELD DEPOSITION OF NANOWIRES AND OTHER DEVICES | 8 |
Leslie Hamilton | US | Santa Ana | 2009-06-04 / 20090143059 - System and method remote servicing of a wireless data processing device | 1 |
John Dennis Hamilton | US | Frederick | 2016-05-05 / 20160125743 - INTERACTIVE AND CUSTOMIZABLE FLIGHT PLANNING TOOL | 3 |
Bruce Hamilton | US | Menlo Park | 2009-06-11 / 20090150862 - USER-SPECIFIED SEMANTICS FOR PARALLEL OPERATIONS WITH A TIME-EXPLICIT PROGRAMMING LANGUAGE | 5 |
Scott Hamilton | US | Santa Paula | 2015-10-29 / 20150305345 - Compositions and Methods for Controlling Algae Growth | 3 |
Rex Rilen Hamilton | US | Ben Lomond | 2012-12-13 / 20120317079 - Systems and methods of data replication of a file system | 2 |
Craig C. Hamilton | US | Sunnyvale | 2012-01-26 / 20120021767 - UPDATING POSITION ASSIST DATA ON A MOBILE COMPUTING DEVICE | 5 |
Chuck Hamilton | US | Redondo Beach | 2009-10-08 / 20090254398 - Computer system for managing orders for and deliveries of goods | 1 |
Henry Hamilton | US | Hillsborough | 2009-11-26 / 20090292300 - Suturing Device, System, and Method | 1 |
John R. Hamilton | US | Emeryville | 2013-09-19 / 20130239855 - RAIL AND DESK WITH SLIDING TOP AND POWER ACCESS (C:SCAPE) | 2 |
John Hamilton | US | San Rafael | 2015-04-02 / 20150090848 - Support Device | 2 |
Stefan Hamilton | US | Sandy | 2012-11-15 / 20120286062 - NETWORK BASED SPRINKLER CONTROLLER | 1 |
John R. Hamilton | US | San Rafael | 2015-03-12 / 20150068134 - Partition Assembly | 2 |
Bobbi Hamilton | US | Santa Monica | 2012-01-19 / 20120015334 - METHOD AND APPARATUS FOR INTEGRATING PHYSICAL EXERCISE AND INTERACTIVE MULTIMEDIA | 2 |
Graham Hamilton | US | Palo Alto | 2010-07-01 / 20100169869 - Supporting method references in the JAVA language | 1 |
Amy Hamilton | US | San Francisco | 2014-07-10 / 20140193812 - SINGLE-CELL NUCLEIC ACID ANALYSIS | 2 |
Scott Hamilton | US | Los Angeles | 2010-08-05 / 20100199084 - SECURE CONTENT DISTRIBUTION SYSTEM | 1 |
Robert M. Hamilton | US | Brea | 2010-08-12 / 20100199985 - PORTABLE GAS POWERED POSITIVE PRESSURE BREATHING APPARATUS AND METHOD | 1 |
Thomas A. Hamilton | US | Milpitas | 2010-08-26 / 20100218058 - FAULT INJECTION | 2 |
Eric Hamilton | US | Durham | 2014-03-20 / 20140082281 - EXTENSION OF WRITE ANYWHERE FILE SYSTEM LAYOUT | 2 |
John W. Hamilton | US | Rock Hill | 2012-05-17 / 20120118124 - Guitar pitch stability system with saddle clamps | 1 |
Julie Hamilton | US | Tracy | 2010-09-16 / 20100229384 - Flexible Electrode Array for Artificial Vision | 1 |
Henry H. Hamilton | US | Hillsborough | 2015-07-09 / 20150190132 - Suturing Device, System and Method | 7 |
Ward Hamilton | US | Amherst | 2015-04-02 / 20150094625 - UNIVERSAL DEFIBRILLATION ELECTRODE PAD ASSEMBLY FOR ADULT AND PEDIATRIC SUBJECTS | 4 |
Craig Hamilton | US | Sunnyvale | 2010-11-11 / 20100285817 - APPARATUS AND METHODS FOR PROVIDING LOCATION-BASED SERVICES TO A MOBILE COMPUTING DEVICE HAVING A DUAL PROCESSOR ARCHITECTURE | 4 |
Robert M. Hamilton | US | Menifee | 2010-12-30 / 20100326442 - RESUSCITATION/RESPIRATION SYSTEM | 1 |
Mary Elizabeth Hamilton | US | San Francisco | 2013-02-28 / 20130054509 - EXTENDED COLLABORATION EVENT MONITORING SYSTEM | 2 |
Stephen Hamilton | US | Enfield | 2014-07-17 / 20140200180 - METHOD FOR PRODUCING PROTEINS IN PICHIA PASTORIS THAT LACK DETECTABLE CROSS BINDING ACTIVITY TO ANTIBODIES AGAINST HOST CELL ANTIGENS | 10 |
Richard Joseph Hamilton | US | Jenkintown | 2009-02-26 / 20090054896 - CONTROL OF MUCUS MEMBRANE BLEEDING WITH COLD PLASMA | 1 |
Jeffrey S. Hamilton | US | Doylestown | 2009-03-12 / 20090067510 - Method and Apparatus for Inserting Digital Media Advertisements into Statistical Multiplexed Streams | 1 |
David Hamilton | US | Erie | 2014-02-06 / 20140039815 - Fire Hose Testing Apparatus and Method | 2 |
Damon T. Hamilton | US | Wilkes-Barre | 2010-03-04 / 20100050573 - SPECIALIZED PACKAGING | 1 |
Thomas C. Hamilton | US | Perkasie | 2010-04-01 / 20100083391 - Cancer Compositions, Animal Models, and Methods of Use Thereof | 1 |
Kelly Hamilton | US | North Wales | 2009-01-08 / 20090012061 - A Method of Treating Cancer | 1 |
James Patrick Hamilton | US | Port Matilda | 2015-07-30 / 20150210901 - POLYMERIC FIBER WEBS WITH BINDER COMPRISING SALT OF INORGANIC ACID | 4 |
Andrew Hamilton | US | Denver | 2013-08-15 / 20130210579 - METHOD AND APPARATUS FOR VISUAL SIMULATION OF EXERCISE | 1 |
Jennifer H. Hamilton | US | Northridge | 2011-02-17 / 20110036915 - HIDDEN TAG FOR PROVIDING INFORMATION ABOUT ASSOCIATED GOODS AND SERVICES | 1 |
Samuel J. Hamilton | US | Mount Horeb | 2015-11-05 / 20150316350 - MULTIPLE KNOB TURRET | 9 |
Douglas Campbell Hamilton | US | Lexington | 2015-04-23 / 20150110534 - Backup Roll Having Axial Constraints and Fuser Therefor | 5 |
Martha Hamilton | US | St. Paul | 2016-03-03 / 20160058862 - MICROBIOLOGICALLY SOUND AND STABLE SOLUTIONS OF GAMMA-HYDROXYBUTYRATE SALT FOR THE TREATMENT OF NARCOLEPSY | 8 |
Alistair Robert Hamilton | US | Sammamish | 2016-03-17 / 20160077733 - METHOD AND DEVICE HAVING TOUCHSCREEN KEYBOARD WITH VISUAL CUES | 19 |
W. Scott Hamilton | US | S. Charleston | 2011-02-10 / 20110034657 - Reactor Systems and Processes for Using the Same | 3 |
Nathan Hamilton | US | Incline Village | 2013-07-25 / 20130190667 - METHODS AND APPARATUS FOR ADJUSTING BLOOD CIRCULATION | 4 |
Ian Hamilton | US | Scappoose | 2009-02-12 / 20090038580 - OIL COOLER FOR MOTOR VEHICLES | 1 |
Devin Hamilton | US | Chicago | 2010-12-09 / 20100312380 - SYSTEM AND METHOD FOR REGULATING VENDIBLE MEDIA PRODUCTS | 1 |
Roger Duane Hamilton | US | Rochester | 2008-11-20 / 20080282539 - Electrically Connecting Two Substrates Using a Resilient Wire Bundle Captured in an Aperture of an Interposer by a Retention Member | 3 |
Mary Jo Hamilton | US | Moscow | 2010-10-21 / 20100266627 - ALLELIC EXCHANGE MUTAGENESIS IN MAP | 1 |
Craig Hamilton | US | Nashville | 2010-07-08 / 20100171826 - METHOD FOR MEASURING RETAIL DISPLAY AND COMPLIANCE | 2 |
Roger D. Hamilton | US | Rochester | 2014-09-18 / 20140268575 - IMPLEMENTING HEAT SINK LOADING HAVING MULTIPOINT LOADING WITH ACTUATION OUTBOARD OF HEATSINK FOOTPRINT | 2 |
Stephen Robin Hamilton | US | Enfield | 2011-03-03 / 20110053214 - PRODUCTION OF GALACTOSYLATED GLYCOPROTEINS IN LOWER EUKARYOTES | 1 |
Gregory Hamilton | US | Catonsville | 2014-08-07 / 20140221305 - Compositions and Methods for Treating Cancer | 5 |
Marissa Hamilton | US | Derry | 2008-10-02 / 20080237288 - Safe sack | 1 |
Lawrence S. Hamilton | US | Roswell | / - | 1 |
Benjamin Russell Hamilton | US | Auburn | 2011-06-23 / 20110149929 - METHODS AND APPARATUS FOR MULTIPLE-ANTENNA COMMUNICATION OF WIRELESS SIGNALS WITH EMBEDDED PILOT SIGNALS | 2 |
Steven D. Hamilton | US | Greenfield | 2012-09-06 / 20120226183 - EVACUATED AIR CHAMBER | 2 |
Cory Hamilton | US | Elyria | 2014-08-28 / 20140238364 - Method to Enhance Gas Recirculation in Turbocharged Diesel Engines | 1 |
Duane Howard Hamilton | US | Washington | 2010-05-06 / 20100111872 - System and method for modulating and optimizing immunotherapy | 1 |
Paul D. Hamilton | US | St. Louis | 2010-05-06 / 20100113535 - TREATMENT OF PRESBYOPIA WITH ALPHA- PICOLINIC ACID AND ITS ANALOGS | 1 |
Brandon C. Hamilton | US | Cedar Rapids | 2012-05-17 / 20120118623 - GLASS THICK FILM EMBEDDED PASSIVE MATERIAL | 2 |
Thomas S. Hamilton | US | Priest River | 2010-03-18 / 20100066158 - Wheel Rim Assembly with Integral Air Cooled Lubricant Cavity and Hub | 1 |
Michael John Hamilton | US | Rochester | 2012-02-02 / 20120030533 - IMPLEMENTING SWITCHING FACTOR REDUCTION IN LBIST | 3 |
W. Shannon Hamilton | US | Klamath Falls | 2009-12-24 / 20090317886 - Apparatus and Methods for Separating, Concentrating and Isolating Algae | 1 |
Lance E. Hamilton | US | Lawrenceburg | 2009-12-10 / 20090304193 - Devices and Methods for Testing the Operability of Audio Speakers | 2 |
Paul Hamilton | US | New York | 2009-12-31 / 20090327160 - PAIRED BASIS SWAP RISK AND CREDIT MITIGATION SYSTEM AND COLLATERAL MINIMIZATION SYSTEM | 1 |
Paul Hamilton | US | Cary | 2009-10-01 / 20090246251 - COATING COMPOSITIONS HAVING IMPROVED PERFORMANCE | 6 |
Paul Hamilton | GB | Witham | 2009-02-05 / 20090032418 - Carrying bag containing a separate compartment configured to carry a sports product | 1 |
Matthew Hamilton | US | Gainesville | 2013-12-05 / 20130325131 - REVERSE SHOULDER HUMERAL ADAPTER TRAYS | 1 |
Guy Richard Hamilton | US | Coral Gables | 2015-07-23 / 20150204040 - Flood Barrier System | 1 |
Brian H. Hamilton | US | Charlotte | 2016-01-28 / 20160023082 - Bicycle Trainer with Variable Magnetic Resistance to Pedaling | 13 |
Keith Hamilton | GB | Fife | 2016-05-19 / 20160139086 - COUPLANT AND ARRANGEMENT OF COUPLANT, TRANSDUCER, AND CONSTRUCTION COMPONENT | 2 |
Sharon K. Hamilton | US | Nashville | 2015-11-05 / 20150315174 - Dendritic Molecular Intracellular Transporters and Methods of Making and Using Same | 4 |
Peter Worthington Hamilton | US | Cincinnati | 2009-09-03 / 20090220746 - Storage Wrap Material | 1 |
Linda A. Hamilton | US | Walbridge | 2011-12-15 / 20110302691 - PATIENT GOWN | 2 |
Robert G. Hamilton | US | Baldwin | 2010-08-19 / 20100209947 - Free human serum IgE immunoenzymetric assay and methods of use | 1 |
Lyle Hamilton | US | Cincinnati | / - | 1 |
Thomas Herman Hamilton | US | Indianapolis | 2009-07-09 / 20090178079 - ADVERTISEMENT PRESENTATION AND TRACKING IN A TELEVISION APPARATUS | 1 |
Wendy L. Hamilton | US | Neenah | 2009-06-11 / 20090149827 - Disposable Undergarment with Stretch Areas for Optimal Fit | 1 |
James D. Hamilton | US | Spencerville | 2009-06-04 / 20090144113 - SYSTEM AND METHOD FOR ASSISTING IN THE FINANCIAL MANAGEMENT OF PHYSICIAN PRACTICES | 1 |
Neil Hamilton | US | Covington | 2014-03-20 / 20140076298 - SUPER ABSORBENT POLYMER PROJECTILE LAUNCHING DEVICE | 4 |
David Hamilton | US | Auburn | 2014-10-23 / 20140313050 - UTILITY DEVICE INTERFACE | 2 |
Timothy Hamilton | US | Griffin | 2009-03-12 / 20090068071 - APPARATUS AND PROCESS FOR STERILIZATION AND PRESERVATION OF OBJECTS | 1 |
Michael J. Hamilton | US | Rochester | 2015-12-03 / 20150346279 - MANAGING REDUNDANCY REPAIR USING BOUNDARY SCANS | 14 |
Todd W. Hamilton | US | Lake Lotawana | 2016-03-10 / 20160071059 - INFRASTRUCTURE MANAGEMENT, MODEL, AND DELIVERABLE CREATION SYSTEM AND METHOD OF USE | 1 |
Douglas C. Hamilton | US | Lexington | 2009-02-19 / 20090047047 - Fuser Assembly Having Compliant End Cap | 1 |
Stuart W.j. Hamilton | US | Canton | 2009-02-12 / 20090039700 - SOLID REAR AXLE FOR AN AUTOMOTIVE VEHICLE | 1 |
Jarrett I. Hamilton | US | Saint Louis | 2009-02-05 / 20090034826 - Payee Detection | 1 |
Darin Edward Hamilton | US | Creve Coeur | 2009-02-05 / 20090037869 - System and method for evaluating a product development process | 1 |
Dwayne Hamilton | US | Cleveland | 2009-01-08 / 20090008299 - Screen identification device for screening machines | 1 |
Ryan E. Hamilton | US | Bear | 2008-11-27 / 20080294528 - Method of providing for rental of clothing | 1 |
Lael W. Hamilton | US | Chicago | 2008-11-20 / 20080286480 - Method For Forming A Container With Improved Release Properties | 1 |
Choo Y. Hamilton | US | Knoxville | 2008-10-30 / 20080268525 - Removal of mercury from coal via a microbial pretreatment process | 1 |
Marcus N. Hamilton | US | Idaho Falls | 2008-10-16 / 20080254168 - Dried Food Compositions | 1 |
Thomas P. Hamilton | US | Cranston | 2008-10-02 / 20080241312 - MODELING COMPOUND DISPLAY TOYS AND METHODS | 2 |
Randall B. Hamilton | US | Hillsboro | 2008-09-25 / 20080231356 - Voltage margining with a low power, high speed, input offset cancelling equalizer | 1 |
Melissa M. Hamilton | US | Gaithersburg | 2009-06-11 / 20090149381 - Methods of regulating angiogenesis through stabilization of PEDF | 1 |
James Hamilton | US | Boston | 2013-08-08 / 20130202173 - CLASSIFICATION OF BIOLOGICAL TISSUE BY MULTI-MODE DATA REGISTRATION, SEGMENTATION AND CHARACTERIZATION | 1 |
Mary Hamilton | US | Chicago | 2016-03-10 / 20160072741 - METADATA BASED USER DEVICE COMMUNICATIONS | 6 |
Amy Jo Hamilton | US | Mason | 2008-09-11 / 20080216707 - Compositions for imparting images on fibrous structures | 1 |
Maureen A. Hamilton | US | Littleton | 2014-02-20 / 20140051089 - METHOD FOR DETECTING MICROORGANISMS | 1 |
Ralph Hamilton | US | Stevensville | 2009-05-28 / 20090135668 - Stirrer Tool With Radially and Distally Extending Flexible Projections | 1 |
Lawrence D. Hamilton | CA | White Rock | 2014-12-11 / 20140363234 - APPARATUS AND METHOD FOR SOIL REMEDIATION | 1 |
Michael George Hamilton | AU | Victoria | 2010-07-01 / 20100168934 - Robotic Golf Caddy | 1 |
Chad Hamilton | US | Fremont | 2011-04-21 / 20110089357 - Diverter Valve | 3 |
Alexander Rudolf Hamilton | AU | Forestville | 2011-03-03 / 20110049475 - Solid state charge qubit device | 1 |
Scot Fraser Hamilton | US | Dublin | 2015-10-29 / 20150310525 - PRODUCT IDENTIFICATION USING MULTIPLE SERVICES | 7 |
James Hamilton | US | Sunnyvale | 2008-11-27 / 20080293244 - Methods of Positioning and/or Orienting Nanostructures | 2 |
Gerard Francis Hamilton | IE | Dublin | 2010-02-04 / 20100027267 - REFRACTOR AND LIGHTING APPARATUS | 1 |
Gregory S. Hamilton | US | Catonsville | 2015-07-30 / 20150210730 - CERTAIN COMPOUNDS, COMPOSITIONS AND METHODS | 6 |
Collin Hamilton | US | Brea | 2014-03-20 / 20140077055 - BRACING ASSEMBLY | 3 |
Janice Hamilton | CA | Oakville | 2014-03-20 / 20140076568 - USE OF AQUEOUS ALKALI ALUMINOSILICATE FOR PROFILE MODIFICATION, WATER CONTROL AND STABILIZATION | 1 |
John Hamilton | AU | Aberfeldie | 2012-07-12 / 20120177654 - Method of treatment and agents useful for same | 2 |
Daryl S. Hamilton | US | Upper Marlboro | 2015-08-06 / 20150221058 - INTELLIGENT BARCODE SYSTEMS | 8 |
James R. Hamilton | US | Seattle | 2015-09-03 / 20150248341 - MONITORING AND ANALYSIS OF OPERATING STATES IN A COMPUTING ENVIRONMENT | 34 |
Stephen R. Hamilton | US | Lebanon | 2015-02-19 / 20150051381 - COMBINATORIAL DNA LIBRARY FOR PRODUCING MODIFIED N-GLYCANS IN LOWER EUKARYOTES | 2 |
Eric Hamilton | US | Mountain View | 2009-07-30 / 20090193162 - COORDINATED ACTIONS OF KERNEL AND USERSPACE COMPONENTS | 1 |
John Allan Hamilton | AU | Aberfeldie | 2015-01-15 / 20150017180 - TREATMENT OF CHRONIC INFLAMMATORY CONDITIONS | 2 |
Beverly Lynn Hamilton | US | Parkville | / - | 1 |
Sam P. Hamilton | US | Los Altos | 2013-02-21 / 20130045778 - ADVERTISEMENTS ON MOBILE DEVICES USING INTEGRATIONS WITH MOBILE APPLICATIONS | 11 |
D.w. Wilson Hamilton | US | New Alexandria | 2014-07-31 / 20140214502 - FOOTWEAR DISPLAY APPARATUS AND METHOD | 1 |
Robert W. Hamilton | US | San Carlos | 2010-04-08 / 20100087624 - Methods for making recombinant proteins using apoptosis inhibitors | 1 |
Ken Hamilton | US | Menifee | 2010-06-17 / 20100147786 - Gate Removal Assembly and Related Storage Systems for Use with Hauling and Transport Vehicles | 1 |
Matthew Hamilton | US | New Jersey | 2010-08-19 / 20100210638 - MODULATORS OF ATP-BINDING CASSETTE TRANSPORTERS | 1 |
Eric Hamilton | US | Los Gatos | 2010-09-09 / 20100226430 - Robust Single-Pass Variable Bit Rate Encoding | 1 |
Jon Hamilton | US | Alameda | 2013-07-11 / 20130176548 - Z-STAGE WITH DYNAMICALLY DRIVEN STAGE MIRROR AND CHUCK ASSEMBLY | 2 |
Eric R. Hamilton | US | Los Gatos | 2010-12-30 / 20100332724 - Accessing a Serial Number of a Removable Non-Volatile Memory Device | 1 |
Joseph G. Hamilton | US | San Diego | 2011-01-06 / 20110002264 - APPARATUS AND METHODS FOR DIGITAL-TO-ANALOG CONVERSION WITH VECTOR QUANTIZATION | 1 |
David Brooks Hamilton | US | Milpitas | 2013-01-03 / 20130002700 - Color and Symbol Coded Visual Cues for Relating Screen Items to Each Other | 2 |
Shawn Hamilton | US | Boulder Creek | 2016-04-14 / 20160102398 - INTERNALLY HEATED POROUS FILTER FOR DEFECT REDUCTION WITH LIQUID OR SOLID PRECURSORS | 6 |
Sam Peter Hamilton | US | Los Altos | 2015-04-23 / 20150112817 - SYSTEMS AND METHODS FOR SHARING DATA TO ENHANCE A CONSUMER EXPERIENCE | 2 |
Ethan M. Hamilton | US | Raleigh | 2015-11-05 / 20150313754 - INFANT WARMER | 1 |
Matthew Carl Hamilton | US | Pacifica | 2012-12-20 / 20120323611 - METHOD AND APPARATUS PERTAINING TO METRICS-BASED PRIORITIZATION OF BILLING EXCEPTIONS | 4 |
Jim Hamilton | US | Sunnyvale | 2014-05-29 / 20140144042 - FURNACE INCLUDING MULTIPLE TRAYS AND PHASE-CHANGE HEAT TRANSFER | 2 |
Michelle A. Hamilton Hamilton | JM | Kingston | 2009-09-17 / 20090232938 - Xanthan Gum Production from Sugarcane Fluids | 1 |
Bruce Hamilton | GB | Cambridge | 2013-07-25 / 20130189255 - FUSIONS AND CONJUGATES OF INSULINOTROPIC AGENTS | 2 |
Karl James Hamilton | US | Cherry Valley | 2013-04-04 / 20130082685 - FAST AC VOLTAGE DETECTOR | 1 |
Scott E. Hamilton | US | Los Angeles | 2010-06-10 / 20100145158 - Pod Connected Data Monitoring System | 1 |
David B. Hamilton | US | Milpitas | 2014-12-25 / 20140380176 - Method and System for Generating a Network Monitoring Display with Animated Utilization Information | 9 |
Edward Hamilton | US | San Jose | 2010-05-06 / 20100114645 - SYSTEMS AND METHODS FOR AUTOMATIC SCHEDULING OF A WORKFORCE | 2 |
Daniel E. Hamilton | US | Mont Vernon | 2016-02-11 / 20160038133 - MEDICAL DEVICES AND RELATED METHODS OF USE | 1 |
Ian Hamilton | GB | North Yorkshire | 2013-02-28 / 20130053984 - PROSTHETIC APPARATUS AND ARTIFICIAL JOINT | 1 |
Geraldine A. Hamilton | US | Cambridge | 2015-07-30 / 20150209783 - REMOVING BUBBLES IN MICROFLUIDIC SYSTEMS | 4 |
Michelle Hamilton | US | Englewood | 2013-06-27 / 20130163746 - VOICE RESPONSE UNIT (VRU) OPTIMIZATION | 1 |
Geraldine Hamilton | US | Cambridge | 2014-07-03 / 20140186414 - METHODS AND USES FOR EX VIVO TISSUE CULTURE SYSTEMS | 1 |
Richard E. Hamilton | US | Flint | 2011-06-23 / 20110146077 - Tire and Wheel Mounting System and Method | 1 |
Colleen Elizabeth Hamilton | US | Kirkland | 2015-11-12 / 20150324753 - SCHEDULING CONFLICT NOTIFICATION | 2 |
Ian Christopher Hamilton | US | Fort Wayne | 2015-11-05 / 20150318065 - DEVICE FOR CONVERTING RADIATION ENERGY TO ELECTRICAL ENERGY | 1 |
Christopher C. Hamilton | US | New Orleans | 2015-03-05 / 20150061870 - Portable Security System | 1 |
Mark Hamilton | US | San Jose | 2011-07-28 / 20110185074 - REAL-TIME PUBLISH-SUBSCRIBE SYSTEM | 1 |
Bryan W. Hamilton | US | Vancouver | 2015-12-17 / 20150360073 - ADJUSTABLE DUMBBELL SYSTEM | 1 |
Keith Hamilton | US | Brick | 2016-02-11 / 20160041181 - NMR ASSAY TO SCREEN PROTEIN-PROTEIN INTERACTION INHIBITORS | 1 |
Katherine Ann Hamilton | US | Auburn | 2014-07-10 / 20140190911 - Device for securing chopsticks to dishwasher rack | 1 |
Kim Hamilton | US | Seattle | 2012-07-12 / 20120179752 - SYSTEMS AND METHODS FOR CONSUMER-GENERATED MEDIA REPUTATION MANAGEMENT | 1 |
Joseph Bruce Hamilton | US | Dunn | 2013-05-09 / 20130116818 - Pill Dispensing Assembly | 1 |
John Hamilton | GB | Stirling | 2011-05-19 / 20110113977 - Emulsion Ink | 1 |
Thomas Joe Hamilton | US | Holland | 2012-04-05 / 20120081162 - AUTOMATIC DARKENING FILTER (ADF) EYE PROTECTION DEVICE WITH IMPROVED DRIVE CIRCUITRY | 1 |
Bruce J. Hamilton | GB | Cambridgeshire | 2014-07-10 / 20140193407 - DRUG FUSIONS AND CONJUGATES | 1 |
Jason L. Hamilton | US | Dartmouth | 2013-04-04 / 20130085325 - HAND-MOUNTED, VIDEO-GUIDED SYSTEM FOR TREATING PERITONITIS AND OTHER MEDICAL CONDITIONS | 1 |
Jane Hamilton | US | Torrance | 2014-04-17 / 20140107602 - My Best Friend Forever Panty | 1 |
Christian Hamilton | GB | Basingstoke | 2015-11-12 / 20150327101 - METHODS, APPARATUS AND COMPUTER PROGRAMS FOR CONTROLLING A USER EQUIPMENT | 3 |
Timothy C. Hamilton | US | Lenoir City | 2014-06-26 / 20140178123 - RATCHET FOR A FRAME SYSTEM | 1 |
Dougal Hamilton | GB | Cheshire | 2013-05-09 / 20130112868 - ION DETECTION ARRANGEMENT | 1 |
Samuel J. Hamilton | US | Mt. Horeb | 2015-10-01 / 20150276346 - DUAL FOCAL PLANE RETICLES FOR OPTICAL SIGHTING DEVICES | 1 |
April Hamilton | US | Jackson | / - | 1 |
James Patrick Hamilton | US | Horseheads | 2014-03-13 / 20140069886 - DELAMINATION RESISTANT GLASS CONTAINERS WITH HEAT-TOLERANT COATINGS | 4 |
John Michael Hamilton | US | Mansfield | 2008-09-11 / 20080216628 - Sectioning device with adjustable cutting filament | 1 |
Gene P. Hamilton | US | Austin | 2008-10-02 / 20080243741 - METHOD AND APPARATUS FOR DEFINING AN ARTIFICIAL BRAIN VIA A PLURALITY OF CONCEPT NODES CONNECTED TOGETHER THROUGH PREDETERMINED RELATIONSHIPS | 1 |
Colleen Elizabeth Hamilton | US | Seattle | 2015-07-16 / 20150199649 - COMPLEMENTARY AND SHADOW CALENDARS | 1 |
Gerard M. Hamilton | IE | Limerick | 2013-01-31 / 20130030389 - METHOD OF INSERT MOLDING A CONNECTION FOR CATHETER WITH VARYING DIAMETERS | 1 |
Phillip Guy Hamilton | US | Sugar Land | 2015-04-16 / 20150105593 - PROCESS FOR PRODUCING VOLATILE ORGANIC COMPOUNDS FROM BIOMASS MATERIAL | 8 |
Jennifer G. Hamilton | US | Laredo | 2010-11-25 / 20100299222 - ONLINE PURCHASING METHOD | 1 |
Bruce S. Hamilton | US | Hampstead | 2015-12-10 / 20150352317 - REMOVABLE ANGLED GUIDEWIRE STORAGE DEVICE | 1 |
Kerry Hamilton | US | Manvel | 2011-03-31 / 20110073325 - TORQUE RESISTANT COUPLING FOR OILWELL TOOLSTRING | 1 |
Jacqueline Fiona Hamilton | GB | York | 2011-06-16 / 20110143952 - CHROMATOGRAPHIC DEVICE AND METHOD OF FABRICATION AND CHROMATOGRAPHIC METHODS | 1 |
Jeffrey W. Hamilton | US | Austin | 2015-10-15 / 20150296546 - TECHNIQUES FOR AUTOMATICALLY ESTABLISHING A LONG-LASTING CONNECTION ACROSS COMPUTING DEVICES CONFIGURED FOR SHORT-RANGE WIRELESS COMMUNICATION | 4 |
Ken Hamilton | US | Dallas | 2010-05-06 / 20100111105 - DATA CENTER AND DATA CENTER DESIGN | 1 |
David Morris Hamilton | US | Sugar Land | 2008-08-28 / 20080207858 - CATALYST, ITS PREPARATION AND USE | 2 |
Diane Hamilton | US | Indian Rocks Beach | 2013-02-07 / 20130031712 - In Swimming Pool Chair with Adjustable Angle and Height | 1 |
Edward C. Hamilton | US | Amarillo | 2008-10-23 / 20080257611 - Integrated Aircraft Scale and Leveling Apparatus and Methods for Use | 1 |
William R. Hamilton | US | Conroe | 2009-02-12 / 20090038857 - Apparatus for stabbing pipe when using an iron roughneck | 2 |
Robert N. Hamilton | US | San Antonio | 2009-09-24 / 20090235805 - Stringed Instrument for Producing Precise Rhythmic Strumming | 1 |
Thomas H. Hamilton | US | Manor | 2009-10-01 / 20090244997 - Method for Training Dynamic Random Access Memory (DRAM) Controller Timing Delays | 1 |
David L. Hamilton | US | Sachse | 2009-12-17 / 20090309211 - Compliant Wirebond Pedestal | 1 |
Carl D. Hamilton | US | Round Rock | 2010-03-11 / 20100059526 - Device and method for cleaning, whitening and sanitizing cutting boards | 1 |
Walt D. Hamilton | US | Katy | 2010-08-05 / 20100192573 - USING HEAT FROM PRODUCED FLUIDS OF OIL AND GAS OPERATIONS TO PRODUCE ENERGY | 1 |
Mark Hamilton | US | Budd Lake | 2016-03-31 / 20160093203 - NETWORK DIAGNOSTIC TOOL FOR TESTING AND COMMISSIONING BUILDING SYSTEMS | 1 |
Vernon Alexander Hamilton | US | Jersey City | 2013-10-03 / 20130262564 - INTERACTIVE MEDIA DISTRIBUTION SYSTEMS AND METHODS | 1 |
Mark Hamilton | US | Upton | 2011-06-16 / 20110142200 - Small Spot X-Ray Fluorescence (XRF) Analyzer | 8 |
Scott Hamilton | US | Littleton | 2009-08-20 / 20090210036 - Laser ionization therapy system and method | 1 |
Steve Hamilton | US | Littleton | 2009-08-20 / 20090210036 - Laser ionization therapy system and method | 1 |
Jeffery Allen Hamilton | US | Broomfield | 2011-03-24 / 20110070893 - METHOD AND A SYSTEM FOR COMMUNICATING INFORMATION TO A LAND SURVEYING ROVER LOCATED IN AN AREA WITHOUT CELLULAR COVERAGE | 1 |
Matthew Hamilton | US | Hackettestown | 2014-03-20 / 20140080825 - Modulators of ATP-binding cassette transporters | 1 |
John Robert Hamilton | US | Centennial | 2010-04-29 / 20100106220 - TIMING COORDINATION OF IMPLANTABLE MEDICAL SENSOR MODULES | 2 |
Michael T. Hamilton | US | Fort Collins | 2008-11-13 / 20080279208 - SYSTEM AND METHOD FOR BUFFERING DATA RECEIVED FROM A NETWORK | 1 |
J. Steve Hamilton | US | Littleton | 2009-08-20 / 20090210036 - Laser ionization therapy system and method | 1 |
Jeffrey A. Hamilton | US | Westminster | 2012-12-06 / 20120310532 - COLLABORATIVE SHARING WORKGROUP | 5 |
Jeffery A. Hamilton | US | Westminster | 2008-11-20 / 20080289033 - Method and system for GNSS receiver login protection and prevention | 1 |
Mark A. Hamilton | US | Superior | 2008-09-18 / 20080225756 - Method for synchronizing U-APSD trigger frames | 1 |
Kevin Hamilton | US | Newton | 2013-06-27 / 20130165193 - Discharge Shield | 1 |
Victor Hamilton | US | Ashburn | 2011-08-25 / 20110206251 - METHOD OF CHARACTERIZING AN IMAGE SOURCE UTILIZING PREDETERMINED COLOR SPACES | 7 |
Rick A. Hamilton | US | Richmond | 2014-04-10 / 20140099069 - ACTIVITIES DUPLICATION VIA RECORDED VIDEO | 3 |
Rich Allen Hamilton | US | Charlottesville | 2008-09-11 / 20080219600 - Associating Attributes to Captured Input Within a Digital Pen | 2 |
Alan J. Hamilton | US | Spring | 2009-12-17 / 20090312446 - LIGHT COLORED FOAM FOR USE IN MARINE APPLICATIONS | 1 |
Kathrin Friederike Hamilton | DE | Forchheim | 2015-04-02 / 20150092909 - X-RAY-GENERATING MEDICAL APPARATUS AND ACQUISITION WINDOW THEREFOR WITH A RELEASABLE ATTACHMENT TO THE MEDICAL APPARATUS | 1 |
Gregory L. Hamilton | US | San Mateo | 2014-11-27 / 20140350253 - ASYMMETRIC ELECTROPHILIC FLUORINATION USING AN ANIONIC CHIRAL PHASE-TRANSFER CATALYST | 1 |
Abla L. Hamilton | US | San Francisco | 2014-08-07 / 20140222681 - ONLINE PAYMENT SYSTEM AND METHOD | 3 |
Ray Hamilton | US | Swainsboro | 2013-07-18 / 20130180450 - MULTIFUNCTIONAL MANUFACTURING PLATFORM AND METHOD OF USING THE SAME | 1 |
Jennifer L. Hamilton | US | Indianapolis | 2015-07-02 / 20150184254 - GENETIC LOCI ASSOCIATED WITH MAL DE RIO CUARTO VIRUS IN MAIZE | 4 |
David A. Hamilton | CA | Toronto | 2014-05-29 / 20140149270 - HVAC CONTROLLER WITH INTEGRATED METERING | 1 |
Paul Hamilton | GB | Eastleigh | 2014-05-29 / 20140148625 - Processes Utilizing Solvent Extraction | 1 |
Daniel N. Hamilton | US | Napa | 2016-04-28 / 20160114172 - CONTACT LENS FOR INCREASING TEAR PRODUCTION | 3 |
Michael J. Hamilton | US | Rochester | 2015-12-03 / 20150346279 - MANAGING REDUNDANCY REPAIR USING BOUNDARY SCANS | 14 |
Mark Hamilton | GB | Pershore | 2012-03-29 / 20120076702 - Sterilisation and Decontamination Device | 1 |
Brendon Hamilton | CA | Dunmore | 2015-08-20 / 20150233217 - COMBINED PERFORATING AND FRACKING TOOL | 2 |
Justin Hamilton | US | Bellevue | 2013-07-04 / 20130173603 - DYNAMIC DEFINITIVE IMAGE SERVICE | 3 |
Jennifer Jane Hamilton | US | Frederick | 2013-10-17 / 20130269924 - HYBRID COOLER WITH BIFURCATED EVAPORATIVE SECTION | 1 |
John Allan Hamilton | AU | Victoria | 2012-01-05 / 20120003234 - Osteoarthritis treatment | 2 |
Robert T. Hamilton | US | Seattle | 2013-08-15 / 20130210965 - Composite Polymer | 9 |
Hayden Hamilton | US | Portland | 2012-12-13 / 20120311865 - MINERAL BLADE AND RAZOR FOR USE WITH SAME | 2 |
Jeffrey R. Hamilton | US | Pittsboro | 2016-03-24 / 20160085614 - ADDRESS VERIFICATION ON A BUS | 21 |
Michael L. Hamilton | US | San Angelo | 2012-06-07 / 20120142259 - SYSTEMS AND METHODS FOR GRINDING REFRACTORY METALS AND REFRACTORY METAL ALLOYS | 1 |
Mark Samuel Hamilton | NZ | Auckland | 2015-04-09 / 20150096560 - USABILITY FEATURES FOR RESPIRATORY HUMIDIFICATION SYSTEM | 1 |
Jennifer D. Hamilton | US | Hopewell Junction | 2015-09-03 / 20150246973 - Methods for treating skin infection by administering an IL-4R antagonist | 1 |
Jeffrey Hamilton | US | Agawam | 2015-11-12 / 20150323315 - BICYCLE ALIGNMENT TOOL | 1 |
Henry H. Hamilton | US | Hillsbourgh | 2013-08-15 / 20130205571 - METHODS FOR INSTALLING AN ANCHOR BOLT | 3 |
Rick Hamilton | US | Charlottesville | 2014-09-18 / 20140278410 - TEXT PROCESSING USING NATURAL LANGUAGE UNDERSTANDING | 4 |
Michael Hamilton | US | San Angelo | 2013-08-15 / 20130209664 - SURGICAL NEEDLE COATINGS AND METHODS | 1 |
Matthew Hamilton | US | Missouri City | 2015-01-22 / 20150025076 - MODULATORS OF ATP-BINDING CASSETTE TRANSPORTERS | 2 |
Phillip Guy Hamilton | US | Sugar Land | 2015-04-16 / 20150105593 - PROCESS FOR PRODUCING VOLATILE ORGANIC COMPOUNDS FROM BIOMASS MATERIAL | 8 |
Darlene Gay Hamilton | US | Husum | 2010-06-03 / 20100135082 - MOVING PROGRAM VERIFY LEVEL FOR PROGRAMMING OF MEMORY | 1 |
Darlene Hamilton | US | Lacey | 2012-03-08 / 20120056149 - METHODS FOR ADJUSTING THE CONDUCTIVITY RANGE OF A NANOTUBE FABRIC LAYER | 3 |
Jeffrey Wayne Hamilton | US | Renton | 2015-04-16 / 20150101176 - Method and Apparatus for Fitting a Sleeve | 1 |
Robert T. Hamilton | US | Seattle | 2013-08-15 / 20130210965 - Composite Polymer | 9 |
Darlene Gay Hamilton | US | White Salmon | 2010-06-10 / 20100142284 - DETERMINISTIC-BASED PROGRAMMING IN MEMORY | 3 |
Darlene Hamilton | US | Lyle | 2012-11-22 / 20120294103 - CONTROLLING AC DISTURBANCE WHILE PROGRAMMING | 3 |
Jonathan Hamilton | ZA | Honeydew | 2009-02-12 / 20090043385 - Prosthesis and Method of Manufacturing a Prosthesis | 2 |
James Hamilton | US | Lambertsville | 2015-12-31 / 20150374176 - CARVING PIN FOR CARVING A COOKED ROAST, AND METHODS AND SYSTEMS | 1 |
David Benjamin Hamilton | US | Kansas City | 2016-03-31 / 20160092347 - MEDICAL SYSTEM TEST SCRIPT BUILDER | 1 |
Colleen Hamilton | US | Kirkland | 2015-07-16 / 20150199523 - PRIVACY-BASED DEGRADATION OF ACTIVITY SIGNALS AND AUTOMATIC ACTIVATION OF PRIVACY MODES | 3 |
Scott Robert Hamilton | US | Spokane | 2012-06-21 / 20120153618 - Energy Systems, Energy Devices, Energy Utilization Methods, and Energy Transfer Methods | 3 |
Justin Hamilton | US | Redmond | 2009-12-31 / 20090327236 - VISUAL QUERY SUGGESTIONS | 1 |
Darlene Hamilton | US | Husum | 2009-06-25 / 20090161462 - CONTROLLING AC DISTURBANCE WHILE PROGRAMMING | 1 |
David Hamilton | US | Tallassee | 2013-04-11 / 20130088368 - Fixed Network for an Automatic Utility Meter Reading System | 3 |
Theodore Kent Hamilton | CH | Küsnacht | 2013-04-25 / 20130104024 - OPTIMIZATION OF WEB PAGE CONTENT INCLUDING VIDEO | 1 |
Darlene G. Hamilton | US | Lyle | 2009-06-25 / 20090161466 - EXTENDING FLASH MEMORY DATA RETENSION VIA REWRITE REFRESH | 1 |
Jennifer Hamilton | US | Indianapolis | 2013-08-15 / 20130210006 - PERICARP DNA EXTRACTION AND MATRILINEAGE DETERMINATION | 1 |
Bruce Hamilton | US | Escondido | 2013-09-05 / 20130229314 - DEPOSITION ANTENNA APPARATUS AND METHODS | 1 |
John Allan Hamilton | AU | Carlton | 2015-12-24 / 20150368348 - METHOD OF TREATMENT AND AGENTS USEFUL FOR SAME | 2 |
Bruce Hamilton | US | Noblesville | 2013-12-26 / 20130344359 - MODULAR ENERGY STORAGE SYSTEM | 1 |
David M. Hamilton | US | Middleton | 2014-02-13 / 20140041277 - ADVANCED ILLUMINATED RETICLE | 1 |
Ryan Hamilton | US | Kansas City | 2014-04-10 / 20140100884 - OUTREACH PROGRAM | 4 |
John C. Hamilton | US | Santa Clarita | 2016-04-07 / 20160096059 - Exercise Machine Carriage Handle System | 3 |
Dave Norman Hamilton | NZ | Upper Hutt | 2008-11-13 / 20080276818 - Shock Tube Initiator | 1 |
Brett Hamilton | US | Heltonville | 2015-03-05 / 20150067422 - FUSION OF MULTIPLE MODALITIES FOR DETERMINING A UNIQUE MICROELECTRONIC DEVICE SIGNATURE | 3 |
Brett J Hamilton | US | Heltonville | 2016-05-12 / 20160131699 - APPARATUS AND METHOD FOR INTEGRATED CIRCUIT FORENSICS | 3 |
Darlene G. Hamilton | US | White Salmon | 2008-11-13 / 20080279014 - MULTI-PHASE WORDLINE ERASING FOR FLASH MEMORY | 1 |
James Robert Hamilton | US | Bellevue | 2013-05-16 / 20130125249 - Remote Access Control Of Storage Devices | 3 |
Bryan West Hamilton | US | Vancouver | 2010-09-30 / 20100242851 - Systems and Methods for Aquatic Electrified Barriers | 1 |
Alistair Hamilton | US | Sammamish | 2010-09-30 / 20100250794 - REMOVABLE ACCESSORY FOR A COMPUTING DEVICE | 3 |
Darlene Hamilton | US | White Salmon | 2009-06-18 / 20090154235 - REDUCED STATE QUADBIT | 2 |
John Hamilton | US | Solsberry | 2015-04-30 / 20150121272 - PROCESS AND SYSTEM FOR GRAPHICAL RESOURCING DESIGN, ALLOCATION, AND/OR EXECUTION MODELING AND VALIDATION | 2 |
Geraldine M. Hamilton | US | Spring | 2016-05-05 / 20160125968 - X-RAY INTENSIFYING SCREENS INCLUDING MICRO-PRISM REFLECTIVE LAYER FOR EXPOSING X-RAY FILM, X-RAY FILM CASSETTES, AND X-RAY FILM ASSEMBLIES | 1 |
Nicole A. Hamilton | US | Redmond | 2014-04-17 / 20140105578 - SYSTEM AND METHOD FOR AUTOMATICALLY CREATING A MEDIA ARCHIVE FROM CONTENT ON A RECORDING MEDIUM | 3 |
John Hamilton | US | Santa Clarita | 2014-05-01 / 20140120497 - DENTAL SEPARATOR APPARATUS AND METHOD | 2 |
Jason S. Hamilton | US | Kirkland | 2008-12-25 / 20080317240 - ALPHA CHARACTER SUPPORT AND TRANSLATION IN DIALER | 1 |
Jennifer Hamilton | IE | Cork | 2008-10-16 / 20080253370 - MAINTENANCE OF BANDWIDTH ALLOCATION FOR TRAFFIC INCLUDING MULTICASTS | 1 |
Keith S. Hamilton | US | Redmond | 2008-12-11 / 20080307436 - Distributed publish-subscribe event system with routing of published events according to routing tables updated during a subscription process | 1 |
Judd Hamilton | US | Seattle | 2013-10-24 / 20130277616 - CHEMICALLY BONDED CERAMIC RADIATION SHIELDING MATERIAL AND METHOD OF PREPARATION | 2 |
Alistair Robert Hamilton | US | Sammamish | 2016-03-17 / 20160077733 - METHOD AND DEVICE HAVING TOUCHSCREEN KEYBOARD WITH VISUAL CUES | 19 |
Wesley O. Hamilton | US | Indianapolis | 2013-05-23 / 20130127243 - POWER CONVERTER WITH DIAGNOSTIC UNIT POWER SUPPLY OUTPUT | 1 |
Timothy Hamilton | US | Xenia | 2013-08-08 / 20130202802 - METHOD FOR MANUFACTURE OF MACROBEADS | 1 |
Charles Hamilton | US | Denver | 2016-03-03 / 20160058127 - DEVICES AND METHODS FOR ENHANCING THE FIT OF BOOTS AND OTHER FOOTWEAR | 1 |
Jeffrey Hamilton | US | Broomfield | 2016-03-03 / 20160057920 - Three-Dimensional Elevation Modeling For Use In Operating Agricultural Vehicles | 1 |
Robert Hamilton | US | Palo Alto | 2013-07-18 / 20130180385 - SYNTHETIC MULTI-STRING MUSICAL INSTRUMENT WITH SCORE CODED PERFORMANCE EFFECT CUES AND/OR CHORD SOUNDING GESTURE CAPTURE | 1 |
Alexander R. Hamilton | AU | Killarney Heights | 2014-05-08 / 20140124738 - HIGH TEMPERATURE SUPERFLUIDITY SYSTEM | 1 |
John S. Hamilton | US | Solsberry | 2015-10-01 / 20150276597 - CONTROLLED RAIN AND FOG TESTING APPARATUS | 1 |
Kris P. Hamilton | US | Bellingham | 2008-09-25 / 20080229704 - ARCHITECTURAL MEMBRANE STRUCTURES AND METHODS FOR PRODUCING THEM | 1 |
Judd Douglas Hamilton | US | Seattle | 2008-08-28 / 20080206128 - Process for recycling industrial waste magnesium oxide/magnesium hydroxide for use in magnesium oxide based cement/concrete and method of preparation | 1 |
Mark Hamilton | GB | Orkney | 2011-06-09 / 20110131970 - WATER CURRENT POWERED GENERATING APPARATUS | 1 |
Jennifer Davidson Hamilton | US | Hopewell Junction | 2014-03-13 / 20140072583 - METHODS FOR TREATING ATOPIC DERMATITIS BY ADMINISTERING AN IL-4R ANTAGONIST | 2 |
Bradley R. Hamilton | US | Canton | 2013-06-20 / 20130153612 - Aerodynamic Underbody Spare Tire Cover | 1 |
Gavin Hamilton | US | San Diego | 2015-10-29 / 20150309137 - MRI-BASED FAT DOUBLE BOND MAPPING | 1 |
William D.o. Hamilton | GB | Cobham | 2016-03-03 / 20160058745 - CASEIN KINASE 1DELTA (CK 1DELTA) INHIBITORS | 4 |
Matthew James Hamilton | US | Burnsville | 2015-12-31 / 20150374761 - FREEZE DRIED FECAL MICROBIOTA FOR USE IN FECAL MICROBIAL TRANSPLANTATION | 1 |
Marcie Hamilton | US | San Francisco | 2016-03-03 / 20160063698 - DEVICES AND METHODS FOR DETERMINING MENSTRUAL BLOOD LOSS | 2 |
Craig Hamilton | GB | Glasgow | 2014-01-16 / 20140016185 - SEMICONDUCTOR DISK LASER FOR NONLINEAR MICROSCOPY APPLICATIONS IN LIVING ORGANISMS | 1 |
Paul Hamilton | US | Sea Cliff | 2011-08-18 / 20110202448 - Agency payment system | 1 |
Keith Alistair Hamilton | GB | Walton-On-Thames | 2012-12-13 / 20120313781 - SURVEILLANCE SYSTEM AND METHOD | 1 |
David B. Hamilton | US | Milpitas | 2014-12-25 / 20140380176 - Method and System for Generating a Network Monitoring Display with Animated Utilization Information | 9 |
Anthony D. Hamilton | US | Newburgh | 2013-10-03 / 20130257254 - CRISPER PAN GUIDE SYSTEM FOR A DOMESTIC REFRIGERATOR | 1 |
Neil Farquhar Hamilton | CA | Kanata | 2015-10-22 / 20150301957 - SECURED MEMORY SYSTEM AND METHOD THEREFOR | 3 |
Scott Andrew Hamilton | CA | Toronto | 2014-11-27 / 20140351305 - System And Method For Dynamic Tuning Feedback Control For Random Number Generator | 2 |
Ruth Hamilton | US | Goshen | 2014-02-27 / 20140054405 - SEAT BELT WEB RETRACTORS AND ASSOCIATED SYSTEMS AND METHODS | 1 |
Joseph A. Hamilton | US | Friendswood | 2014-07-24 / 20140203961 - Debris Examination Using Ballistic and Radar Integrated Software | 1 |
Matthew J. Hamilton | US | Minneapolis | 2014-05-29 / 20140147417 - COMPOSITIONS AND METHODS FOR TRANSPLANTATION OF COLON MICROBIOTA | 1 |
Brian K. Hamilton | US | Mesa | 2011-01-06 / 20110001018 - COMPOSITE MATERIAL FOR GEOMETRIC MORPHING WING | 2 |
Vernon D. Hamilton | US | Tucson | 2013-10-24 / 20130277616 - CHEMICALLY BONDED CERAMIC RADIATION SHIELDING MATERIAL AND METHOD OF PREPARATION | 2 |
James Hamilton | US | Platteville | 2011-05-19 / 20110117361 - Method And Apparatus For Identifying And Characterizing Material Solvents And Composited Matrices And Methods Of Using Same | 1 |
Daryl Hamilton | US | Hyattsville | 2012-01-05 / 20120004765 - SYSTEM AND METHOD FOR TRACKING OF MAIL USING A UNIVERSAL CODING SYSTEM | 1 |
Jeffrey Hugh Hamilton | US | Lincoln University | 2012-09-20 / 20120237778 - AQUEOUS COMPOSITION FOR CORROSION RESISTANT FASTENER COATINGS | 1 |
Douglas Hamilton | CA | London | 2015-10-01 / 20150273019 - METHOD OF TREATING WOUNDS | 2 |
Thomas A. Hamilton | US | San Jose | 2013-08-15 / 20130211613 - Smart Bulb System | 1 |
Henry H. Hamilton | US | 2013-08-15 / 20130205571 - METHODS FOR INSTALLING AN ANCHOR BOLT | 1 | |
Brianne Hamilton | US | Alpine | 2014-02-06 / 20140039483 - Microwave Coagulation Applicator and System | 2 |
Thomas Hamilton | US | Cedar Rapids | 2013-12-12 / 20130327430 - Vapor recovery line flow meter | 1 |
Russell J. Hamilton | US | Tucson | 2013-10-10 / 20130267758 - METHODS AND DEVICES FOR MINIMALLY-INVASIVE EXTRAOCULAR DELIVERY OF RADIATION TO THE POSTERIOR PORTION OF THE EYE | 5 |
Jennifer Hamilton | US | Seattle | 2013-09-19 / 20130246417 - MEMORY USAGE DATA COLLECTION AND ANALYSIS FOR DYNAMIC OBJECTS | 1 |
Al Hamilton | US | Southborough | 2011-08-25 / 20110205087 - Gen II meter system | 1 |
Jonathan K. Hamilton | US | Williamsburg | 2013-07-25 / 20130190968 - ELECTRIC VEHICLE SUPPLY EQUIPMENT TESTING APPARATUS | 1 |
David Hamilton | US | Orange | 2013-07-25 / 20130186122 - Hot Water Heater Pre-Heating Apparatus | 1 |
Arthur I. Hamilton | US | Maryville | 2015-12-31 / 20150375929 - THERMAL BOX AND TRANSPORT SYSTEM | 1 |
Keith Hamilton | GB | Dunfermline, Fife | 2015-12-24 / 20150369697 - METHOD, COMPUTER PROGRAM PRODUCT & SYSTEM | 1 |
Michael Hamilton | US | Beverly | 2009-03-12 / 20090070734 - SYSTEMS AND METHODS FOR MONITORING SOFTWARE APPLICATION QUALITY | 1 |
Molly Hamilton | US | Potomac | 2011-11-17 / 20110278196 - CUSTOMIZABLE SERVING TRAY | 1 |
Christopher E. Hamilton | US | Los Alamos | 2012-03-22 / 20120068071 - FLUORESCENT SINGLE WALLED NANOTUBE/SILICA COMPOSITE MATERIALS | 1 |
Gerald W. Hamilton | CA | Winnipeg | 2011-11-17 / 20110278025 - Template Device and Method for Trimming Equine Animal Hooves | 1 |
John Allan Hamilton | AU | Aberfeldie/victoria | 2014-07-24 / 20140205608 - Use of c-Fms antagonists | 1 |
Craig Alan Hamilton | US | Lewisville | 2013-04-11 / 20130090548 - AUTOMATED RENAL EVALUATION SYSTEMS AND METHODS USING MRI IMAGE DATA | 1 |
Eric C. Hamilton | US | Durharn | 2013-12-26 / 20130346810 - USE OF APPLICATION-LEVEL CONTEXT INFORMATION TO DETECT CORRUPTED DATA IN A STORAGE SYSTEM | 1 |
Michael T. Hamilton | US | Kernersville | 2014-05-01 / 20140117161 - AIRCRAFT DOOR MECHANISM WITH HANDLE ACTUATED DESCENDER | 1 |
Anthony Carl Hamilton | GB | Hitchin | 2016-03-10 / 20160067597 - INFORMATION DISPLAY MODULE AND FAIRING | 1 |
Michael C. Hamilton | US | Redmond | 2012-10-04 / 20120251107 - WDM Router | 1 |
Terry Lee Hamilton | US | Danbury | 2012-02-09 / 20120031809 - DISPLAY PACKAGE | 1 |
Andrea Hamilton | US | Charlotte | 2012-08-30 / 20120220705 - Environmentally Friendly, Polymer Dispersion-Based Coating Formulations and Methods of Preparing and Using Same | 1 |
Travis T. Hamilton | US | Westland | 2013-08-01 / 20130197788 - METHOD OF SETTING A CONTROL PARAMETER FOR EMISSIONS ROBUSTNESS | 1 |
Stanton Mark Hamilton | US | Littleton | 2012-10-04 / 20120253554 - RC Car Anti-Flip System and Methods | 1 |
James R. Hamilton | US | Seattle | 2015-09-03 / 20150248341 - MONITORING AND ANALYSIS OF OPERATING STATES IN A COMPUTING ENVIRONMENT | 34 |
Jeffrey Allen Hamilton | US | Westminster | 2013-09-19 / 20130244694 - METHOD AND A SYSTEM FOR COMMUNICATING INFORMATION TO A LAND SURVEYING ROVER LOCATED IN AN AREA WITHOUT CELLULAR COVERAGE | 1 |
Gabe Hamilton | US | Arvada | 2013-05-30 / 20130139073 - SYSTEM AND METHOD FOR CHANGING FUNCTIONALITIES OF WEBSITES USING WIDGETS | 1 |
Scott Hamilton | US | Spokane | 2013-05-16 / 20130119769 - Energy Systems And Energy Storage System Charging Methods | 1 |
Jerome Hamilton | US | Woodbury | 2012-10-25 / 20120266973 - Automatic basin temperature and level control | 1 |
George A. Hamilton | US | Peoria | 2009-11-12 / 20090278762 - Antenna Modular Sub-array Super Component | 1 |
John Hamilton | GB | Portlethen Aberdeenshire | 2013-08-01 / 20130195557 - METHOD OF MANUFACTURING A MECHANICALLY LINED PIPE | 1 |
Lindsey A. Hamilton | US | San Jose | 2013-06-27 / 20130165029 - SYSTEMS FOR RECYCLING SLURRY MATERIALS DURING POLISHING PROCESSES | 2 |
Gary E. Hamilton | US | Gilbert | 2016-02-25 / 20160055445 - Methods and Apparatus for Interactive Workflow for Patient Scheduling | 1 |
Jimmie Wade Hamilton | US | Pasadena | 2013-01-24 / 20130020770 - Brush seal | 1 |
Bradford S. Hamilton | DE | Biberach | 2012-05-10 / 20120115853 - Aryl- and Heteroarylcarbonyl derivatives of substituted nortropanes, medicaments containing such compounds and their use | 10 |
Lidia Hamilton | US | Hasbrouck Heights | 2012-05-24 / 20120125939 - FLUID PRESSURE VESSEL EMPLOYING FILTER BAGS | 1 |
Colin Henry Hamilton | DE | Blaustein | 2010-10-07 / 20100253567 - Device, system and method of protecting aircrafts against incoming threats | 1 |
William Hamilton | US | Greensboro | 2013-07-18 / 20130185101 - System, Method, and Computer Program Product for Underwriting Mortgage Loan Insurance | 1 |
Christoffer Hamilton | DE | Munich | 2009-10-15 / 20090259960 - IMAGE-BASED CONTROLLING METHOD FOR MEDICAL APPARATUSES | 3 |
Terry L. Hamilton | US | Danbury | 2010-05-13 / 20100119930 - Alkaline cell with improved separator | 1 |
Christoffer Hamilton | DE | Munchen | 2009-10-01 / 20090241437 - EMBEDDING UNIT FOR DISPLAY DEVICES | 1 |
Colin Hamilton | DE | Blaustein | 2009-01-15 / 20090015458 - IR Jamming System For Defense Against Missiles With IR-Sensitive Homing Heads | 1 |
Robert Hamilton | US | Torrington | 2013-08-15 / 20130209689 - Sulfonation of Plastic and Composite Materials | 5 |
Chris Hamilton | US | Montclair | 2014-04-03 / 20140096157 - HOME MEDIA SERVER CONTROL | 4 |
Matthew Michael Hamilton | US | Hackettstown | 2015-10-29 / 20150306130 - CHITOSAN COVALENTLY LINKED WITH SMALL MOLECULE INTEGRIN ANTAGONIST FOR TARGETED DELIVERY | 21 |
Andrew D. Hamilton | US | Guilford | 2011-09-15 / 20110223661 - INHIBITORS OF STAT3 | 5 |
Heather Hamilton | US | Santa Monica | 2014-09-18 / 20140277226 - EXTERNALLY-SECURED MEDICAL DEVICE | 1 |
Andrew Hamilton | US | Guilford | 2010-11-25 / 20100297608 - Systems and Methods for CMOS-Compatible Silicon Nano-Wire Sensors with Biochemical and Cellular Interfaces | 3 |
Martha Hamilton | US | St. Paul | 2016-03-03 / 20160058862 - MICROBIOLOGICALLY SOUND AND STABLE SOLUTIONS OF GAMMA-HYDROXYBUTYRATE SALT FOR THE TREATMENT OF NARCOLEPSY | 8 |
James Hamilton | US | Brighton | 2015-01-22 / 20150023561 - DYNAMIC ULTRASOUND PROCESSING USING OBJECT MOTION CALCULATION | 10 |
Daniel Hamilton | US | Mont Vernon | 2016-05-19 / 20160136412 - Connection Apparatus for a Medical Device | 3 |
Stuart Hamilton | US | Livermore | 2012-05-31 / 20120136975 - SIMPLE HOME NETWORKING | 1 |
Cory J. Hamilton | US | Spencer | 2015-09-10 / 20150251645 - Air Dryer Purge Controller and Method | 1 |
Meg D. Hamilton | US | Beverly | / - | 1 |
Matthew Hamilton | CA | Toronto | 2015-12-31 / 20150379644 - SYSTEMS AND METHODS FOR IDENTIFYING AND REMEDYING ACCOUNT ERROR EVENTS IN NETWORKED COMPUTER SYSTEMS | 2 |
Malcolm Hamilton | GB | Littlehampton | 2016-04-07 / 20160099916 - SYSTEMS AND METHODS FOR PROTECTING NETWORK DEVICES | 1 |
Mark Hamilton | GB | Hopewell Junction | 2011-09-01 / 20110212053 - PHOSPHATIDYLINOSITOL 3 KINASE INHIBITORS | 1 |
Andrea Hamilton | GB | Wiltshire | 2012-08-16 / 20120208192 - NUCLEIC ACID AMPLIFICATION EMPLOYING TEMPERATURE OSCILLATION | 2 |
John Hamilton | US | Wilmington | 2016-01-07 / 20160001110 - COMMUNICATION AND SPEECH ENHANCEMENT SYSTEM | 2 |
Bradford S. Hamilton | DE | Biberach An Der Riss | 2016-03-10 / 20160067227 - PHARMACEUTICAL COMBINATIONS FOR THE TREATMENT OF METABOLIC DISORDERS | 9 |
Jason A. Hamilton | US | Shaker Heights | 2014-10-02 / 20140295442 - MODULATION OF MICROGLIA ACTIVATION | 3 |
Helena Joy Hamilton | US | Washington | 2011-09-01 / 20110213722 - AUTOMATED ACCREDITATION SYSTEM | 1 |
Elliott Hamilton | US | Hyattsville | 2015-12-31 / 20150382165 - Automatic Call Forwarding to In-Vehicle Telematics System | 2 |
James P. Hamilton | US | Platteville | 2014-05-29 / 20140147398 - Thermodynamic Solutions of Metal Chalcogenides and Mixed Metal Oxides and Chalcogenides | 3 |
Jeffrey R. Hamilton | US | Pittsboro | 2016-03-24 / 20160085614 - ADDRESS VERIFICATION ON A BUS | 21 |
Craig A. Hamilton | US | Lewisville | 2014-05-08 / 20140126798 - SYSTEMS WITH WORKSTATIONS AND CIRCUITS FOR GENERATING IMAGES OF GLOBAL INJURY | 7 |
Jason Hamilton | US | Dartmouth | 2015-12-31 / 20150374407 - COMPRESSION EXPANDED CANNULA | 4 |
Brian H. Hamilton | US | Charlotte | 2016-01-28 / 20160023082 - Bicycle Trainer with Variable Magnetic Resistance to Pedaling | 13 |
Tony G. Hamilton | US | Durham | 2010-09-30 / 20100250989 - METHOD AND APPARATUS FOR A COMPUTING SYSTEM HAVING AN ACTIVE SLEEP MODE CPU THAT USES THE CACHE OF A NORMAL ACTIVE MODE CPU | 1 |
Paul Theodore Hamilton | US | Cary | 2011-09-15 / 20110223142 - METHODS AND COMPOSITIONS FOR SOFT TISSUE REPAIR | 6 |
Jeffrey William Hamilton | US | Austin | 2016-05-19 / 20160142862 - TECHNIQUES FOR EFFECTUATING A NEAR-FIELD COMMUNICATION SESSION | 15 |
Kimberly M. Hamilton | US | Charlotte | 2015-11-26 / 20150335815 - Radiopaque Marker Tool and Method for Use in a Radiological Medical Imaging Process | 2 |
Patrick Hamilton | US | Charlotte | 2013-12-12 / 20130331260 - Odour-Control Superabsorbent | 5 |
Rosanna Lea Hamilton | US | Charlotte | 2010-08-05 / 20100198631 - SUPPLIER STRATIFICATION | 1 |
Robert Hamilton | US | Cornelius | 2010-01-28 / 20100018888 - Container for Displaying and Storing Linens | 1 |
Jason Everette Hamilton | CA | Cambridge | 2011-04-28 / 20110096514 - SHIELD FOR A COMPONENT FOR AN ELECTRONIC DEVICE AND METHOD FOR ASSEMBLING COMPONENTS IN THE DEVICE | 2 |
Brendon Hamilton | CA | Medicine Hat | 2009-12-17 / 20090308602 - COMBINED THREE-IN-ONE FRACTURING SYSTEM | 2 |
Phillip Nolan Hamilton | US | Buckeye | 2014-02-20 / 20140047774 - Heat recovery steam generator access door kit | 1 |
Iain Hamilton | US | Youngsville | 2009-04-23 / 20090104726 - LED Fabrication Via Ion Implant Isolation | 1 |
Emily Hamilton | CA | Verdun | 2015-08-13 / 20150223748 - METHOD AND APPARATUS FOR MONITORING A FETUS DURING LABOR | 4 |
Andrew D. Hamilton | US | Guildford | 2010-08-19 / 20100210516 - Growth Factor Binding Molecules | 2 |
Stacy M. Hamilton | US | Avondale | 2012-03-15 / 20120066045 - System and Method for Dynamically Identifying, Prioritizing and Offering Reward Categories | 1 |
Jason Hamilton | CA | Cambridge | 2010-08-26 / 20100212146 - CABLE CONNECTOR GRASPING APPARATUS | 1 |
Garry Ernest John Hamilton | CA | Rosenort | 2009-12-31 / 20090321184 - PORTABLE ANCHOR ASSEMBLY | 3 |
G. Andrew Y. Hamilton | CA | Kingston | 2009-08-20 / 20090209857 - Method and system for identifying and quantifying particles in flow systems | 1 |
Craig J. Hamilton | CA | Toronto | 2009-07-02 / 20090166399 - Laminar flow well | 1 |
James F. Hamilton | CA | Calgary | 2009-03-12 / 20090065258 - METHOD AND APPARATUS FOR DIRECTIONAL DRILLING WITH VARIABLE DRILL STRING ROTATION | 1 |
Patrick Hamilton | CA | Calgary | 2009-03-05 / 20090061897 - TRACKING, SECURITY AND MONITORING SYSTEM FOR CARGOS | 1 |
Jon Hamilton | CA | Kitchener | 2009-02-26 / 20090051349 - WHEEL SPEED SENSOR | 1 |
Jeremy Hamilton | CA | Ottawa | 2008-12-25 / 20080314808 - Portable, refillable water dispenser serving batches of water purified of organic and inorganic pollutants | 1 |
George Timothy Hamilton | US | Orange | 2015-06-25 / 20150180593 - Antenna Tuning Unit | 1 |
David Hamilton | NZ | Upper Hutt | 2012-08-02 / 20120192744 - REMOTE INITIATOR BREACHING SYSTEM | 1 |
Andrew D. Hamilton | US | New Haven | 2009-12-24 / 20090318684 - ROCK INHIBITORS AND USES THEREOF | 1 |
David S. Hamilton | US | Carrboro | 2016-04-07 / 20160096791 - DIRECT ANTI-MARKOVNIKOV ADDITION OF ACIDS TO ALKENES | 2 |
Rasean L. Hamilton | US | Santa Clara | 2011-09-01 / 20110213413 - ANEURYSM SENSING DEVICES AND DELIVERY SYSTEMS | 1 |
Chris A. Hamilton | US | Montclair | 2011-12-01 / 20110296457 - CONVERGED COMMUNICATION SERVER WITH TRANSACTION MANAGEMENT | 2 |
John V. Hamilton | US | Foxborough | 2012-03-15 / 20120065594 - SPINAL FLUID INTRODUCTION | 2 |
Lei Hamilton | US | Lexington | 2016-02-25 / 20160055124 - SYSTEMS AND METHODS FOR LOW-RANK MATRIX APPROXIMATION | 1 |
Daniel V. Hamilton | US | Centralia | 2015-06-04 / 20150152620 - BENT BLADE SCREW GROUND ANCHOR | 3 |
David A. Hamilton | US | Royal Oak | 2015-11-12 / 20150321665 - METHOD AND APPARATUS FOR PREDICTING MOST PROBABLE PATH OF VEHICLE TRAVEL AND VEHICLE CONTROL LOSS PREVIEW | 1 |
David Joel Hamilton | US | Kailua-Kona | 2013-07-25 / 20130191115 - Methods and Systems for Transcribing or Transliterating to an Iconphonological Orthography | 1 |
Laurence J. Hamilton | US | Penfield | 2013-07-25 / 20130189460 - Articles with Applied Recycled Souvenir Particulate and Method of Manufacture | 1 |
Brian K. Hamilton | US | San Jose | 2011-05-26 / 20110126332 - Raspberry plant named 'DrisRaspTwo' | 1 |
Terry Wayne Hamilton | US | Bulverde | 2011-11-10 / 20110272529 - Hamilton H.N2 laminar flow diskette wing | 1 |
Rick A. Hamilton | US | Charlottesville | 2016-04-21 / 20160109250 - EMERGENCY RESPONSE RE-ROUTER | 33 |
Jared Hamilton | US | Manchester | 2016-02-25 / 20160051757 - INFUSION SET FOR A FLUID PUMP | 1 |
Brian L. Hamilton | US | Newton | 2010-09-16 / 20100234277 - COADMINISTRATION OF ALPHA-FETOPROTEIN AND A DISEASE MODIFYING ANTI-RHEUMATIC DRUG FOR TREATING INFLAMMATORY ARTHRITIC DISEASE | 1 |
Stephen R. Hamilton | US | Enfield | 2015-07-23 / 20150203890 - PRODUCTION OF SIALYLATED N-GLYCANS IN LOWER EUKARYOTES | 15 |
Barbara Kerr Hamilton | US | Garrison | 2013-11-14 / 20130302738 - METHODS AND APPARATUS TO CONTROL COMBUSTION PROCESS SYSTEMS | 1 |
Donald Wagner Hamilton | US | Burnt Hills | 2014-05-01 / 20140117077 - METHOD AND SYSTEM FOR IDENTIFICATION OF ASSETS | 1 |
Paul T. Hamilton | US | Cary | 2011-11-03 / 20110269687 - IFBM'S TO PROMOTE THE SPECIFIC ATTACHMENT OF TARGET ANALYTES TO THE SURFACE OF ORTHOPEDIC IMPLANTS | 13 |
James P. Hamilton | US | Horseheads | 2014-01-02 / 20140001143 - DELAMINATION RESISTANT GLASS CONTAINERS WITH HEAT-TOLERANT COATINGS | 2 |
Sam P. Hamilton | US | Los Altos | 2013-02-21 / 20130045778 - ADVERTISEMENTS ON MOBILE DEVICES USING INTEGRATIONS WITH MOBILE APPLICATIONS | 11 |
Katherine Ann Hamilton | US | Manchester | 2016-03-10 / 20160067075 - FOOT DROP DEVICE | 1 |
Andrew R. Hamilton | GB | Dundee | 2013-01-10 / 20130013516 - SOCIAL NETWORK FINANCIAL PORTAL | 1 |
Lawrence E. Hamilton | US | Mt. Prospect | 2012-03-15 / 20120061546 - Mouse Platform with Warning Track | 1 |
Daryl S. Hamilton | US | Hyattsville | 2014-02-13 / 20140046867 - SYSTEMS AND METHODS FOR PRODUCING AND MANAGING A TRACKING LABEL IN AN ITEM DELIVERY SYSTEM | 1 |
Robert William Hamilton | GB | London | 2012-11-15 / 20120290669 - Zero-Click Sharing of Application Context Across Devices | 1 |
Jason M. Hamilton | US | Lancaster | 2015-06-25 / 20150175477 - INORGANIC FIBER WITH IMPROVED SHRINKAGE AND STRENGTH | 1 |
Robert Hamilton | US | Springfield | 2011-11-03 / 20110270767 - Systems, Methods, and Computer Program Products for Facilitating a Disaster Recovery Effort to Repair and Restore Service Provider Networks Affected by a Disaster | 1 |
Jeffrey William Hamilton | US | Austin | 2016-05-19 / 20160142862 - TECHNIQUES FOR EFFECTUATING A NEAR-FIELD COMMUNICATION SESSION | 15 |
Doug Hamilton | US | Phoenix | 2012-11-15 / 20120290529 - SYSTEMS AND METHODS FOR UPDATING A DATABASE AND HANDLING INTERRUPTIONS | 1 |
John V. Hamilton | US | Foxboro | 2014-05-01 / 20140121667 - Delivery of Apparatus and Methods for Vertebrostenting | 3 |
Justin David Hamilton | US | Bellevue | 2012-06-28 / 20120162244 - IMAGE SEARCH COLOR SKETCH FILTERING | 2 |
Alan Hamilton | CA | Waterdown | 2014-08-21 / 20140235627 - 2-ADRENOCEPTOR AGONIST FOR IMPROVEMENT OF EXERCISE TOLERANCE | 1 |
John Hamilton | US | Grand Rapids | 2008-12-04 / 20080295745 - TABLE CONSTRUCTION | 1 |
Brennan Todd Hamilton | US | Birmingham | 2012-08-23 / 20120215396 - SYSTEM AND METHOD FOR EMULATING VEHICLE IGNITION-SWITCHED POWER | 2 |
Don Hamilton | US | Commerce | 2009-01-08 / 20090007407 - APPARATUS FOR SECURING A TRIM COVER WITH A FOAM PAD AND METHOD OF USING THE SAME | 1 |
Larry Hamilton | US | Marine City | 2009-01-08 / 20090007407 - APPARATUS FOR SECURING A TRIM COVER WITH A FOAM PAD AND METHOD OF USING THE SAME | 1 |
James Gordon Campbell Hamilton | GB | Cheshire | 2012-10-18 / 20120263773 - INSECT ATTRACTANTS AND THEIR USE IN METHODS OF INSECT CONTROL | 1 |
Thomas J. Hamilton | US | Holland | 2011-05-26 / 20110122473 - MICROPROCESSOR BASED AUTOMATICALLY DIMMABLE EYE PROTECTION DEVICE WITH INTERRUPTION PREVENTION | 3 |
James T. Hamilton | US | Naperville | 2012-11-29 / 20120298160 - WALKER FOR USE ON STAIRS | 1 |
James A. Hamilton | US | Newton | 2010-06-03 / 20100137711 - Apparatus and Method of Analyzing Arterial Plaque | 1 |
Brian Hamilton | US | Glendale | 2014-05-01 / 20140122046 - METHODS AND SYSTEMS FOR EMULATING SPACECRAFT PROXIMITY OPERATIONS IN A LABORATORY | 5 |
Margaret Ann Hamilton | US | Clearwater | 2014-09-18 / 20140263813 - Hygienic Tissue Dispenser | 1 |
Paul Hamilton | BE | Brussels | 2012-11-08 / 20120283465 - Oligomerisation Process | 4 |
Sheila Hamilton | GB | Kilmacolm | 2013-09-19 / 20130242510 - Photoimaging Method and Apparatus | 6 |
Allan J. Hamilton | US | Tucson | 2013-08-29 / 20130224709 - Portable Low Cost Computer Assisted Surgical Trainer and Assessment System | 1 |
Alfred Hamilton | US | Southborough | 2011-06-23 / 20110148302 - Remotely Monitored And Controlled Distributed Emergency Power System | 2 |
Andrew D. Hamilton | GB | Oxford | 2012-02-09 / 20120035184 - METHODS FOR INDUCING TUMOR REGRESSION, INHIBITING TUMOR GROWTH, AND INDUCING APOPTOSIS IN BREAST TUMORS WITH GERANYLGERANYLTRANSFERASE I INHIBITORS | 2 |
Brian J. Hamilton | US | Glendale | 2009-05-14 / 20090121399 - VIBRATION REDUCTION SYSTEM EMPLOYING ACTIVE BEARING MOUNTS | 2 |
David Brooks Hamilton | US | San Jose | 2012-10-18 / 20120262480 - Color and Symbol Coded Visual Cues for Relating Screen Items to Each Other | 1 |
James Robert Hamilton | US | Seattle | 2014-11-27 / 20140348510 - DATA CENTER WITH FREE-SPACE OPTICAL COMMUNICATIONS | 2 |
Alistair Robert Hamilton | US | Sammanish | 2013-05-02 / 20130111581 - COMBINED PASSCODE AND ACTIVITY LAUNCH MODIFIER | 1 |
Daniel B. Hamilton | US | Grand Blanc | 2011-05-12 / 20110107744 - Apparatus for Maintaining a Urea Solution in a Liquid State for Treatment of Diesel Exhaust | 2 |
Brian K. Hamilton | US | Richmond | 2014-02-13 / 20140047596 - Raspberry plant named 'Drisraspsix' | 4 |
James Hamilton | US | Brighton | 2015-01-22 / 20150023561 - DYNAMIC ULTRASOUND PROCESSING USING OBJECT MOTION CALCULATION | 10 |
Jonathon Bradley Hamilton | US | Littleton | 2015-08-20 / 20150232810 - METHODS OF PREPARING PLURIPOTENT STEM CELLS | 1 |
Patrick Hamilton | US | Ypsilanti | 2010-11-11 / 20100284073 - System and method for mounting a polarizer | 1 |
Jason Hamilton | US | Highland | 2015-03-12 / 20150069806 - SEAT ASSEMBLY HAVING FORWARD ROTATING SEATBACK WITH LINKAGE INTERCONNECTED AND FORWARD COLLAPSIBLE SEAT BOTTOM, IN COMBINATION WITH POWERED UPRIGHT RESETTING OF BOTH SEAT BOTTOM AND BACK | 4 |
James M. Hamilton | US | Sunnyvale | 2014-11-13 / 20140331930 - METHODS AND SYSTEMS FOR ELECTRIC FIELD DEPOSITION OF NANOWIRES AND OTHER DEVICES | 8 |
Loyd R. Hamilton | US | Mcgregor | 2014-11-27 / 20140345979 - Vehicle Elevator Systems And Methods | 2 |
Duane Hamilton | US | Cary | 2016-02-18 / 20160048566 - TECHNIQUES FOR INTERACTIVE DECISION TREES | 1 |
James Patrick Hamilton | US | Horsheads | 2014-10-30 / 20140323286 - PHOTOVOLTAIC MODULE PACKAGE | 1 |
Theodore Kent Hamilton | CH | Küsnacht | 2013-04-25 / 20130104024 - OPTIMIZATION OF WEB PAGE CONTENT INCLUDING VIDEO | 1 |
Timothy J. Hamilton | US | New York | 2015-04-30 / 20150120545 - NON-COMPLIANT PAYMENT CAPTURE SYSTEMS AND METHODS | 1 |
Stanton M. Hamilton | US | Littleton | 2014-08-21 / 20140236773 - 3D Printer Based Product Delivery System and Methods | 1 |
James Hamilton | GB | West Yorkshire | 2014-09-18 / 20140261778 - High Integrity Pressure Protection System (HIPPS) Manifold System and Method | 1 |
Keith Hamilton | GB | Dunfermline | 2015-09-10 / 20150253219 - METHOD AND ARRANGEMENT OF MEASURING A MECHANICAL BEARING OSCILLATION | 6 |
Peter A. Hamilton | US | East Bridgewater | 2012-10-25 / 20120271408 - Low Profile Medical Stent | 2 |
John R. Hamilton | US | Grand Rapids | 2008-12-04 / 20080297015 - STORAGE UNIT BACK STOP AND METHOD | 2 |
Justin D. Hamilton | US | Bellevue | 2012-05-03 / 20120110453 - Display of Image Search Results | 2 |
Kimberly C. Hamilton | US | Edison | 2013-01-10 / 20130012719 - Convenient Synthesis of Azolines to Azoles | 1 |
Mckenzie L. Hamilton | US | Littleton | 2014-08-21 / 20140236773 - 3D Printer Based Product Delivery System and Methods | 1 |
Madison A. Hamilton | US | Littleton | 2014-08-21 / 20140236773 - 3D Printer Based Product Delivery System and Methods | 1 |
Christopher C. Hamilton | US | Kirkland | 2014-09-18 / 20140268477 - PERSONAL DEFENSE ACCESSORY FOR A MOBILE COMMUNICATIONS DEVICE | 1 |
Jennifer A. Hamilton | US | Seattle | 2013-05-23 / 20130132930 - CAPTURING TELEMETRY DATA BY DYNAMIC LANGUAGE ENGINE | 1 |
Drew Hamilton | US | Dallas | 2013-03-07 / 20130055486 - Facial cover | 1 |
Jacob D. Hamilton | US | Littleton | 2014-08-21 / 20140236773 - 3D Printer Based Product Delivery System and Methods | 1 |
Holly Bruce Hamilton | CA | Deep River | 2014-04-10 / 20140099440 - Processes and Devices for Applying Coatings to the Interior of Tubes | 2 |
Alistair Robert Hamilton | US | Sammimish | 2015-09-10 / 20150254518 - TEXT RECOGNITION THROUGH IMAGES AND VIDEO | 1 |
Timothy F. Hamilton | US | Griffin | 2014-06-12 / 20140158909 - APPARATUS AND PROCESS FOR STERILIZATION AND PRESERVATION OF OBJECTS | 2 |
Elaine T. Hamilton | US | Kansas City | 2015-07-16 / 20150196079 - BUTTON REPAIR SYSTEM AND METHOD | 2 |
Christopher Chad Hamilton | US | Kirkland | 2014-08-21 / 20140236847 - Synchronized Wearable Electronic Elements | 1 |
Carl H. Hamilton | US | Galloway | 2011-10-13 / 20110249204 - ROOF AND SIDE MOUNTED MEDIA CENTER FOR VEHICLES | 1 |
Lyle E. Hamilton | US | Howell | 2009-01-29 / 20090030585 - CAM PHASING CONTROL SYSTEM FOR IMPROVING REGENERATION EFFICIENCY | 1 |
Mark Hamilton | US | Upton | 2011-06-16 / 20110142200 - Small Spot X-Ray Fluorescence (XRF) Analyzer | 8 |
Paul Hamilton | US | Louisville | 2014-10-09 / 20140300916 - PRINT RECOVERY FOR PRINTING SYSTEMS | 2 |
David Hamilton | US | Bear Lake | 2009-10-29 / 20090266097 - MECHANISM FOR MAINTAINING A DESIRED TEMPERATURE IN A TRUCK CAB INCLUDING AN AUXILIARY MOTOR FOR OPERATING A VEHICLE AIR CONDITIONING PUMP AS WELL AS A SECONDARY GENERATOR FOR PROVIDING EITHER POWER WHEN THE VEHICLE IS PARKED OR A CONVECTIVE HEAT TRANSFER VIA A FLUID JACKET COMMUNICATING WITH A VEHICLE MOUNTED CONVECTIVE HEAT TRANSFER NETWORK | 2 |
Larry Hamilton | US | Mt. Prospect | 2012-08-23 / 20120210914 - KEYBOARD AND MOUSE SUPPORT | 1 |
Gary Hamilton | US | Middletown | 2014-05-22 / 20140137724 - STRUCTURAL BALLISTIC PROTECTION PANEL | 2 |
Hugh Hamilton | US | Troy | 2015-03-05 / 20150066292 - METHOD AND SYSTEM FOR OPERATING VEHICLE ACCESSORIES | 9 |
Richard Louis Hamilton | US | Novi | 2010-08-26 / 20100212252 - TRIM FLAP-LIKE CLOSEOUT EDGE ATTACHMENT | 1 |
Kimberly Hamilton | US | Old Bridge | 2016-01-07 / 20160002456 - Sequentially Polymerized Hybrid Latex | 1 |
James Hamilton | GB | Monmouthsire | 2013-08-08 / 20130200226 - INFLATABLE APPARATUS FOR HOLDING A DEVICE | 2 |
Frederick C. Hamilton | US | Wyoming | 2011-09-15 / 20110224053 - SHAFT-MOUNTED CLAMP | 1 |
Matthew M. Hamilton | US | Missouri City | 2016-01-07 / 20160002204 - GLS1 INHIBITORS FOR TREATING DISEASE | 1 |
Matthew Timothy Hamilton | US | Novi | 2013-05-16 / 20130124144 - PRESSURE DIAGNOSTIC SYSTEM AND METHOD | 2 |
Robert William Hamilton | US | Santa Clara | 2016-05-19 / 20160142862 - TECHNIQUES FOR EFFECTUATING A NEAR-FIELD COMMUNICATION SESSION | 5 |
Zachary Hamilton | US | San Antonio | 2014-02-06 / 20140038136 - MARKSMANSHIP TRAINING AID | 1 |
Rodney L. Hamilton | US | Gainesville | 2013-06-06 / 20130142656 - Body Cooling System | 1 |
Brian S. Hamilton | US | Ithaca | 2016-02-04 / 20160032344 - POLYSIALIC ACID, BLOOD GROUP ANTIGENS AND GLYCOPROTEIN EXPRESSION IN PROKARYOTES | 3 |
Maxwell W. Hamilton | US | Warren | 2011-11-03 / 20110265586 - GLOVE BOX ACTUATOR | 1 |
Stephen Hamilton | US | Enfield | 2014-07-17 / 20140200180 - METHOD FOR PRODUCING PROTEINS IN PICHIA PASTORIS THAT LACK DETECTABLE CROSS BINDING ACTIVITY TO ANTIBODIES AGAINST HOST CELL ANTIGENS | 10 |
Bradford S. Hamilton | DE | Biberach | 2012-05-10 / 20120115853 - Aryl- and Heteroarylcarbonyl derivatives of substituted nortropanes, medicaments containing such compounds and their use | 10 |
Daniel Hamilton | US | Napa | 2012-05-24 / 20120130488 - INTRAOCULAR LENS | 2 |
Keith A. Hamilton | GB | Claygate | 2013-10-31 / 20130291030 - MULTIZONE AUDIO-VISUAL SYSTEM | 1 |
Merci A. Hamilton | US | Easton | 2013-06-06 / 20130144100 - SYNTHESIS OF HIGH ACTIVITY LARGE CRYSTAL ZSM-5 | 2 |
Charles P. Hamilton | US | Ithaca | 2011-10-27 / 20110262442 - COMPOSITIONS FOR TREATING CNS DISORDERS | 1 |
James Mark Hamilton | US | Provo | 2013-10-10 / 20130268564 - GENEALOGY INVESTIGATION AND DOCUMENTATION SYSTEMS AND METHODS | 2 |
Jamie Hamilton | US | Ann Arbor | 2014-10-23 / 20140316266 - LUNG VENTILLATION MEASUREMENTS USING ULTRASOUND | 1 |
Ronald Shane Hamilton | US | St. Petersburg | 2016-03-17 / 20160078440 - INTERACTIVE NETWORKING SYSTEMS WITH USER CLASSES | 17 |
Ronald Hamilton | GB | Glasgow | 2014-02-06 / 20140035176 - CONTACT LENS MANUFACTURING METHOD | 1 |
Charles W. Hamilton | US | Watertown | 2009-11-05 / 20090274613 - Hydrogen Production Using Ammonia Borane | 1 |
Scott A. Hamilton | US | Lexington | 2016-05-12 / 20160134377 - Method and Apparatus for Transmitting Phase Shift Keyed Optical Signals | 4 |
Bruce Hamilton | US | Lowell | 2012-01-19 / 20120016405 - Medical Device Balloons Containing Thermoplastic Elastomers | 2 |
Dennison R. Hamilton | US | Leawood | 2011-10-27 / 20110264181 - Spinal Cord Stimulator Lead Anchor | 2 |
Lyle H. Hamilton | US | Cincinnati | 2011-10-27 / 20110260081 - DISCHARGE FLOW CONTROL VALVE | 1 |
Erin Hamilton | AU | Bundoora | 2014-10-30 / 20140322106 - METHOD FOR THE TREATMENT OF ORE MATERIAL | 1 |
Robert Douglas Hamilton | US | Hanover | 2011-10-06 / 20110244000 - ANTIMICROBIAL FILM LAMINATE ASSEMBLIES AND METHODS OF USING AND MANUFACTURING THE SAME | 1 |
Mark A. Hamilton | US | Upton | 2014-09-18 / 20140268132 - OPTICAL EMISSION SPECTROSCOPIC (OES) INSTRUMENT WITH AUTOMATIC TOP AND BOTTOM SLIT CURTAINS | 5 |
Justin Hamilton | US | Lakewood | 2013-08-15 / 20130207385 - PUSH TO CONNECT CONDUIT FITTING WITH FERRULE | 2 |
Douglas George Hamilton | US | Dalton | 2010-06-03 / 20100137500 - NEUTRAL STATIC POLYCARBONATES, METHODS OF MAKING, AND ARTICLES FORMED THEREFROM | 1 |
Peter Hamilton | US | East Bridgewater | 2013-05-09 / 20130116702 - Flexible Vacuum Grabber for Holding Lesions | 2 |
Douglas M. Hamilton | US | Littleton | 2013-10-03 / 20130262788 - Systems and Methods for External Priority Controlled Data Transfer | 2 |
Paul Hamilton | GB | Eastleigh, Hampshire | 2015-10-29 / 20150307414 - Processes for Treating Olefin Feedstreams and Related Oligomerization Processes | 1 |
Patrick Hamilton | JP | Machida | 2008-09-04 / 20080215660 - Three-Term Input Floating-Point Adder-Subtractor | 1 |
Ronald Hamilton | US | Cleveland | 2013-08-15 / 20130207385 - PUSH TO CONNECT CONDUIT FITTING WITH FERRULE | 2 |
Matt Hamilton | US | Gainesville | 2012-08-16 / 20120209392 - ADJUSTABLE REVERSE SHOULDER PROSTHESES | 1 |
Donald Hamilton | US | New Windsor | 2009-07-30 / 20090188650 - LIQUID DISTRIBUTION IN AN EVAPORATIVE HEAT REJECTION SYSTEM | 1 |
Steven R. Hamilton | US | Waukegan | 2011-09-22 / 20110226506 - INPUT/OUTPUT MODULE FOR BUILDING AUTOMATION SYSTEM WITH MOUNTING PLATE | 1 |
Christopher T. Hamilton | US | Midland | 2014-03-20 / 20140081024 - PROCESS FOR THE PREPARATION OF 2-AMINO-5,8-DIMETHOXY[1,2,4]TRIAZOLO[1,5-c]PYRIMIDINE FROM 4-AMINO-2,5-DIMETHOXYPYRIMIDINE | 7 |
Marilyn Jean Hamilton | US | Oakton | 2012-02-23 / 20120042450 - ADJUSTABLE DROP LENGTH CRIB SKIRT OR BED SKIRT | 1 |
Keith Hamilton | US | Bellevue | 2016-03-17 / 20160080334 - SECURE DISTRIBUTED PUBLISH/SUBSCRIBE SYSTEM | 1 |
Hamish William Hamilton | NZ | Oxford | 2015-01-15 / 20150013534 - ACTUATION SYSTEM | 4 |
Anita A. Hamilton | GB | Aberdeen | 2015-05-21 / 20150141626 - REDUCING THE IMMUNOGENICITY OF FUSION PROTEINS | 8 |
Raymond Scott Hamilton | US | Lebanon | 2016-04-14 / 20160100999 - ADULT DISPOSABLE ABSORBENT ARTICLES AND ARRAYS OF ABSORBENT ARTICLES COMPRISING IMPROVED DESIGNS | 8 |
Lidia T. Hamilton | US | Hasbrouck Hts. | 2013-10-10 / 20130263435 - FLUID PRESSURE VESSEL EMPLOYING FILTER BAGS | 1 |
William Eugene Gunnther Hamilton | US | Placerville | 2013-06-20 / 20130159496 - Normalizing Network Performance Indexes | 1 |
Neil Hamilton | CA | Kanata | 2016-02-18 / 20160048455 - Memory Data Transfer Method and System | 6 |
Timothy Hamilton | US | Lenoir City | 2015-10-15 / 20150291259 - Stowable Bimini Top | 3 |
Ian Kennedy Hamilton | CA | Ottawa | 2014-01-30 / 20140032503 - SYSTEM AND METHOD FOR SENDING AND/OR RECEIVING DIGITAL CONTENT BASED ON A DELIVERY SPECIFICATION | 1 |
Henry H. Hamilton | US | Burlingame | 2015-05-07 / 20150127025 - REPLACEABLE TIP SUTURING DEVICES, SYSTEM, AND METHODS FOR USE WITH DIFFERING NEEDLES | 2 |
Kyle Hamilton | US | Danville | 2016-05-05 / 20160120741 - SPA HAVING INTEGRATED ICE BUCKET AND LID | 1 |
Paul Hamilton | US | Atlantic Beach | 2014-09-18 / 20140270658 - SHIELDED BARREL | 1 |
Craig J. Hamilton | GB | Glasgow | 2014-09-18 / 20140269787 - WAVELENGTH VERSATILE VECSEL RAMAN LASER | 1 |
Joseph Hamilton | US | Sweetwater | 2015-10-15 / 20150291259 - Stowable Bimini Top | 3 |
Lee Hamilton | US | Tampa | 2014-12-25 / 20140375783 - Electronic Magnification Device | 6 |
Ronald Shane Hamilton | US | St. Petersburg | 2016-03-17 / 20160078440 - INTERACTIVE NETWORKING SYSTEMS WITH USER CLASSES | 17 |
Stephen Hamilton | US | Pembroke Pines | 2010-12-16 / 20100318946 - VARIOUS METHODS AND APPARATUSES FOR ESTIMATING CHARACTERISTICS OF AN ELECTRONIC SYSTEMS DESIGN | 1 |
Charles Christopher Hamilton | US | Cape Coral | 2010-09-02 / 20100218449 - Lateral strenthening of poles | 1 |
Pamela Jane Hamilton | US | Seminole | 2011-05-26 / 20110121827 - SLOTTED CURRENT TRANSDUCER USING MAGNETIC FIELD POINT SENSORS | 3 |
Cornelius Hamilton | US | Wesley Chapel | 2009-10-01 / 20090243535 - Multi-Input Relay Board | 1 |
Mark H. Hamilton | US | Northport | 2009-08-20 / 20090205895 - FRONT WHEEL SUSPENSION ON A TWO-WHEEL DRIVE MOTORCYCLE | 1 |
Dawn M. Hamilton | US | Pensacola | 2009-08-13 / 20090199774 - Anti-spilling, self-righting horse feeder | 1 |
William D.o. Hamilton | GB | Royston | 2014-08-28 / 20140245496 - COMPOSITIONS AND METHODS FOR THE BIOSYNTHESIS OF VANILLAN OR VANILLIN BETA-D-GLUCOSIDE | 1 |
Christoffer Hamilton | DE | Gronsdorf | 2013-08-08 / 20130204428 - METHOD AND DEVICE FOR CONTROLLING APPARATUS | 2 |
Cory J. Hamilton | US | Elyria | 2014-06-12 / 20140158099 - System and Method for Improved Emissions Control | 1 |
Alfred Hamilton | US | Charlotte | 2016-05-19 / 20160140604 - CUSTOMER TRANSACTION PROMPTING ADVERTISEMENT PRESENTMENT AND IMPRESSIONS | 21 |
Clive Alexander Hamilton | GB | Swainby | 2016-04-21 / 20160108173 - THERMOSIPHON ESTERIFIER | 6 |
Gordon Blackwood Hamilton | GB | Suffolk | 2012-01-19 / 20120012431 - PERSONAL SEATED RESTING SUPPORT | 1 |
Maggie Hamilton | US | Bradenton | 2008-09-18 / 20080223892 - CARRYING DEVICE ADAPTED TO BE WORN ON THE ARM | 1 |
Ian M. Hamilton | US | San Jose | 2013-09-19 / 20130244463 - MODULAR CONNECTOR FOR BICYCLE COMPONENTS | 2 |
Jonathan Keller Hamilton | US | Williamsburg | 2014-09-04 / 20140247539 - ENCLOSURE FOR ELECTRICAL DISTRIBUTION EQUIPMENT AND ELECTRICAL DISTRIBUTION APPARATUS EMPLOYING THE SAME | 1 |
Gary Hamilton | US | Rapid City | 2012-07-26 / 20120186933 - CLUTCH AND A METHOD OF MODIFYING A CLUTCH | 1 |
Dennis Hamilton | US | Adrian | 2011-06-30 / 20110156546 - RESISTANT SINK BASE CABINET | 1 |
James Hamilton | US | Seattle | 2012-12-27 / 20120330954 - System And Method For Implementing A Scalable Data Storage Service | 1 |
Drew Hamilton | US | Hamilton | 2015-08-06 / 20150216369 - HAND CLEANING STATION | 1 |
Raymond Scott Hamilton | US | Lebanon | 2016-04-14 / 20160100999 - ADULT DISPOSABLE ABSORBENT ARTICLES AND ARRAYS OF ABSORBENT ARTICLES COMPRISING IMPROVED DESIGNS | 8 |
Vicki Hamilton | US | Roswell | 2011-07-07 / 20110167018 - Prioritizing and Tracking Investments | 1 |
Alexander Samson Hamilton | GB | Lancashire | 2013-04-18 / 20130096884 - SENSOR DATA PROCESSING | 1 |
Mark David Hamilton | US | San Diego | 2015-02-26 / 20150056946 - SMS COMMUNICATION DURING EMERGENCIES | 1 |
David O. Hamilton | US | Ramona | 2015-04-23 / 20150111493 - SECURE WIRELESS PEER-PEER CONNECTION USING NEAR-FIELD COMMUNICATION | 2 |
Christoffer Hamilton | DE | Aschheim | 2016-05-12 / 20160132122 - Gesture Feedback for Non-Sterile Medical Displays | 2 |
Daryl S. Hamilton | US | Upper Marlboro | 2015-08-06 / 20150221058 - INTELLIGENT BARCODE SYSTEMS | 8 |
Cameron Hamilton | US | Arlington | 2011-12-15 / 20110307806 - MULTIPLE PARTY DECISION PROCESS | 1 |
Eric Hamilton | US | Bothell | 2015-11-05 / 20150319113 - MANAGING MODALITY VIEWS ON CONVERSATION CANVAS | 4 |
Richard Sewell Hamilton | US | Los Altos | 2014-06-26 / 20140174518 - ENHANCED ADHESION OF SEED LAYER FOR SOLAR CELL CONDUCTIVE CONTACT | 1 |
Cynthia Louise Hamilton | US | Port Charlotte | 2015-10-15 / 20150290044 - Bubble bandage for wound protection | 1 |
Randy Hamilton | US | Three Rivers | 2014-03-13 / 20140074343 - System and Method for Automated Vehicle Selection and Automated Fix Detection | 1 |
Scott R. Hamilton | US | St. Lous Park | 2013-01-24 / 20130024261 - METHOD OF GENERATING AND REDEEMING COUPONS | 2 |
Guy Michael Gary Hamilton | GB | Glasgow | 2011-06-30 / 20110158948 - Treatment Using Herpes Simplex Virus | 2 |
Andrew Hamilton | GB | Oxford | 2011-03-17 / 20110064694 - ANTI-HEPATITIS C ACTIVITY OF MESO-TETRAKIS-PORPHYRIN ANALOGUES | 1 |
Sheila Hamilton | GB | Renfrewshire | 2011-01-13 / 20110005550 - ADHESIVE SHEETED ROLL | 2 |
David Hamilton | GB | Edinburgh | 2014-07-24 / 20140203954 - ADC TESTING | 3 |
James Gordon Campbell Hamilton | GB | Alderley Edge | 2010-12-09 / 20100310620 - INSECT ATTRACTANTS AND THEIR USE IN METHODS OF INSECT CONTROL | 1 |
Kelvin Hamilton | GB | Edinburgh | 2010-12-02 / 20100306588 - Intelligent Integrated Diagnostics | 1 |
Anita A. Hamilton | GB | Aberdeen | 2015-05-21 / 20150141626 - REDUCING THE IMMUNOGENICITY OF FUSION PROTEINS | 8 |
William Dennys Ormrod Hamilton | GB | Cambridge | 2010-10-14 / 20100261732 - 1, 2, 4 -TRIAZOLE DERIVATIVES AS SEROTONERGIC MODULATORS | 1 |
Hugh Gavin Charles Hamilton | GB | Reading | 2012-10-11 / 20120258008 - METHOD OF CONTROLLING THE CARBON OR OXYGEN CONTENT OF A POWDER INJECTION | 3 |
Robert John Hamilton | GB | Surrey | 2010-08-19 / 20100206958 - RAILWAY RAIL PAD | 4 |
Nicola May Hamilton | GB | West Sussex | 2010-06-17 / 20100147208 - Road Safety Device | 1 |
John George Hamilton Banks | ZA | Alberton | 2009-05-28 / 20090133346 - Drywalls Joint | 1 |
Jeffrey Hamilton-Gahart | US | Santa Rosa | 2014-04-10 / 20140097001 - PRESSURE BALANCED CONNECTOR TERMINATION | 1 |
Jeff Hamilton-Gahart | US | Santa Rosa | 2010-01-07 / 20100003032 - Integration of WDM channels with disparate bit rates | 1 |
Jeffrey Paul Hamilton-Gahart | US | Santa Rosa | 2015-11-05 / 20150315877 - PRESSURE-BLOCKING FEEDTHRU WITH PRESSURE-BALANCED CABLE TERMINATIONS | 1 |
John Michael Hamilton-Hall | ZA | Centurion | 2010-08-26 / 20100213157 - FEEDING BOTTLE FOR BABIES | 1 |
Rick A. Hamilton, Ii | US | Charlotlesville | 2011-06-02 / 20110131508 - INFORMING USERS OF A VIRTUAL UNIVERSE OF REAL WORLD EVENTS | 1 |
Rick A. Hamilton, Ii | US | Charlottesvile | 2009-03-26 / 20090083623 - CREATING DOCUMENTS FROM GRAPHICAL OBJECTS IN A VIRTUAL UNIVERSE | 1 |
Rick Hamilton, Ii | US | Charlottesville | 2012-11-22 / 20120297407 - CONTENT RECORDER MULTI-ANGLE VIEWING AND PLAYBACK | 2 |
Rick A. Hamilton, Ii | US | Charlottlesville | 2010-03-18 / 20100066733 - SYSTEM AND METHOD FOR MANAGING VIRTUAL WORLD ENVIRONMENTS BASED UPON EXISTING PHYSICAL ENVIRONMENTS | 1 |
Rick A. Hamilton, Ii | US | Chrlottesville | 2010-06-24 / 20100161456 - SHARING VIRTUAL SPACE IN A VIRTUAL UNIVERSE | 2 |
Rick A. Hamilton, Ii | US | Cary | 2009-11-19 / 20090286605 - EVENT DETERMINATION IN A VIRTUAL UNIVERSE | 1 |
Rick A. Hamilton, Ii | US | Charlotesville | 2010-07-01 / 20100169227 - ENERGY MANAGEMENT AND QUALITY OF SERVICE (QoS) | 4 |
Rick A. Hamilton, Ii | US | Charlottsville | 2016-05-12 / 20160132108 - ADAPTIVE MEDIA FILE REWIND | 4 |
Rick A. Hamilton, Ii | US | Chariottesville | 2009-10-29 / 20090271206 - VIRTUAL WORLD SUBGROUP DETERMINATION AND SEGMENTATION FOR PERFORMANCE SCALABILITY | 1 |
Rick A. Hamilton, Ii | US | Charlottesville | 2016-05-12 / 20160132545 - SYSTEM AND METHOD FOR ADAPTIVE SPELL CHECKING | 566 |
Rick A. Hamilton, Ii | US | Charlotteesville | 2010-05-13 / 20100121551 - METHOD, SYSTEM, AND PROGRAM PRODUCT FOR FACILITATING VEHICLE FUELING BASED ON VEHICLE STATE | 1 |
Rick Allen Hamilton, Ii | US | Charlottesville | 2015-12-10 / 20150356673 - Ghost Bidding and Serious Bidder Detection in Automated Auctions | 129 |
Rick A. Hamilton, Ii | US | Chartlottesville | 2016-03-03 / 20160066061 - USE OF PARALLEL DATA PROGRAMMING FOR DEVICE INTEGRATION | 2 |
Rick A. Hamilton, Ii | US | Richmond | 2015-05-07 / 20150128072 - FEEDBACK MECHANISM | 16 |
Rick A. Hamilton, Ii | US | Chartottesville | 2015-11-19 / 20150334078 - SYSTEMS AND METHODS FOR USING SOCIAL NETWORK ANALYSIS TO SCHEDULE COMMUNICATIONS | 1 |
David Lee Hamilton, Ii | US | Chatsworth | 2015-02-05 / 20150033611 - Power Generating Fishing Reel and Remote Control Lure System | 2 |
Thomas E. Hamilton, Iii | US | Sudbury | 2015-12-24 / 20150373015 - AUTHENTICATION AND AUTHORIZATION USING DEVICE-BASED VALIDATION | 5 |
Robert B. Hamilton, Iii | US | Orlando | 2016-03-24 / 20160081841 - ADJUSTABLE LORDOSIS ORTHOPEDIC INSERT FOR A BACK BRACE | 1 |
Joseph Hamilton, Iii | US | Sweetwater | 2014-06-26 / 20140178123 - RATCHET FOR A FRAME SYSTEM | 1 |
Rick A. Hamilton, Iii | US | Charlottesville | 2016-01-28 / 20160028471 - DEPLOYMENT CRITERIA FOR UNMANNED AERIAL VEHICLES TO IMPROVE CELLULAR PHONE COMMUNICATIONS | 2 |
George B. Hamilton, Iv | US | Laredo | 2010-11-25 / 20100299222 - ONLINE PURCHASING METHOD | 1 |
Matthew H. Hamilton-Jones | US | Endwell | 2010-05-06 / 20100108114 - Gable Closure System for Tents | 1 |
Matthew H. Hamilton-Jones | US | Endicott | 2011-10-06 / 20110240079 - Wire Tray and Tent Frame Incorporating Same | 3 |
David Morris Hamilton Jr. | US | Sugar Land | 2015-04-16 / 20150105597 - PROCESS FOR THE AROMATIZATION OF A METHANE-CONTAINING GAS STREAM | 2 |
Lynwood Hector Hamilton, Jr. | US | Hurst | 2016-01-28 / 20160023849 - WING PULLEY FOR BELT CONVEYOR | 1 |
William C. Hamilton, Jr. | US | Queensbury | 2016-02-04 / 20160030113 - METHOD OF MAKING AN ENDOVASCULAR LASER TREATMENT DEVICE FOR CAUSING CLOSURE OF A BLOOD VESSEL | 16 |
Robert Buck Hamilton, Jr. | US | Jackson | 2014-05-29 / 20140144063 - INTERCHANGEABLE BAIT HEAD AND SYSTEM FOR ARTIFICIAL BAIT | 1 |
Phillip Vernon Hamilton, Jr. | US | Clearwater | 2014-09-18 / 20140263813 - Hygienic Tissue Dispenser | 1 |
John F. Hamilton, Jr. | US | Rochester | 2013-01-17 / 20130016250 - PROCESSING COLOR AND PANCHROMATIC PIXELS | 14 |
James Naubert Hamilton, Jr. | US | Portland | 2013-12-05 / 20130318764 - QUICK RELEASE AND ATTACH WALL MOUNT DEVICE FOR HANGING AN OBJECT | 1 |
William C. Hamilton, Jr. | US | Queensbury | 2016-02-04 / 20160030113 - METHOD OF MAKING AN ENDOVASCULAR LASER TREATMENT DEVICE FOR CAUSING CLOSURE OF A BLOOD VESSEL | 16 |
John F. Hamilton, Jr. | US | Mendon | 2010-04-29 / 20100104209 - DEFECTIVE COLOR AND PANCHROMATIC CFA IMAGE | 2 |
Ricky Hamilton, Sr. | US | Lewisville | 2014-04-24 / 20140111646 - Cell Phone Safety Monitor With Interactive Camera | 1 |
Hamilton Sundstrand Corporation | US | Windsor Locks | 2014-04-24 / 20140109979 - Pressure Regulating Valve | 7 |
Hamilton Sundstrand Corporation | US | 2015-04-09 / 20150097709 - RESOLVER-TO-DIGITAL CONVERTER | 13 | |
Hamilton Sundstrand Corporation | US | 2015-04-09 / 20150097709 - RESOLVER-TO-DIGITAL CONVERTER | 13 | |
James Richard Hamilton Whyte | GB | Maidenhead | 2009-07-23 / 20090187546 - Method, System and Computer Program Product for Duplicate Detection | 1 |
Song Hamin | KR | Changwon-Si | 2014-05-01 / 20140115793 - LAUNDRY TREATMENT MACHINE AND METHOD OF OPERATING THE SAME | 1 |
Rick A. Haminton, Ii | US | Charlottesville | 2013-07-25 / 20130190910 - DIGITAL MEDIA USAGE IN RESPONSE TO IMPACT DATA | 1 |
Ran Hamish | IL | Haifa | 2011-12-08 / 20110296978 - FIRING MECHANISM SECURITY APPARATUS FOR REMOTELY CONTROLLED AUTOMATIC MACHINE GUN | 1 |
Shkumbin Hamiti | FI | Espoo | 2011-05-26 / 20110122839 - Inter-bss packet-switched handover | 1 |
Abd Elghani Hamiti | FR | Melun | 2014-09-11 / 20140251796 - MODULAR ASSEMBLY FOR COUPLING ELECTROCHEMICAL UNITS | 1 |
Shkumbin Hamiti | FI | Helsinki | 2011-04-21 / 20110090879 - Method and Apparatus of Providing a Frame Structure for Supporting Different Operational Modes | 1 |
Manish Hamkar | US | San Jose | 2012-11-01 / 20120276740 - METHODS FOR PRECLEANING A SUBSTRATE PRIOR TO METAL SILICIDE FABRICATION PROCESS | 1 |
Eric E. Hamke | US | Albuquerque | 2011-12-01 / 20110295569 - WIND ESTIMATION FOR AN UNMANNED AERIAL VEHICLE | 2 |
Jan H. Hamkens | DE | Berlin | 2010-08-12 / 20100202154 - METHOD FOR PRODUCING AN OPTICAL LENS ELEMENT, PARTICULARLY A HEADLIGHT LENS FOR A MOTOR VEHICLE HEADLIGHT | 1 |
Eric Peter Hamkins | US | Waukesha | 2009-11-26 / 20090288924 - HYDRAULIC VIBRATION DAMPER PISTON WITH AN INTEGRAL ELECTRICALLY OPERATED ADJUSTMENT VALVE | 1 |
Eric P. Hamkins | US | Waukesha | 2014-03-06 / 20140060032 - MULTIPLE FUNCTION HYDRAULIC SYSTEM WITH A VARIABLE DISPLACEMENT PUMP AND A HYDROSTATIC PUMP-MOTOR | 5 |
Kevin William Hamlen | US | Allen | 2015-05-21 / 20150143452 - SYSTEM AND METHOD FOR RETROFITTING APPLICATION CODE | 1 |
Cushing Hamlen | US | Edina | 2010-01-28 / 20100023004 - SYSTEMS AND METHODS FOR CARDIAC TISSUE ELECTROPORATION ABLATION | 1 |
Robert Hamlen | US | Holmdel | 2013-04-04 / 20130085556 - THERMAL BODY-CARE ELEMENT AND METHOD OF USE OF SAME | 4 |
Robert Cushing Hamlen | US | Edina | 2010-08-05 / 20100196439 - Angiogenesis Mechanism and Method, and Implantable Device | 1 |
Robert C. Hamlen | US | Edina | 2011-07-28 / 20110184379 - METHOD AND SYSTEM TO DEFINE PATIENT SPECIFIC THERAPEUTIC REGIMENS BY MEANS OF PHARMACOKINETIC AND PHARMACODYNAMIC TOOLS | 1 |
Jesse Hamler | US | Cookeville | 2012-06-21 / 20120152007 - TESTING PERFORMANCE OF A MATERIAL FOR USE IN A JET ENGINE | 2 |
Jerry C. Hamler | US | Phoenix | 2015-04-30 / 20150119592 - Process For Extracting Oil From Plants and Animal Matter | 2 |
Stacy M. Hamlet | US | Newark | 2013-08-01 / 20130192384 - METHOD FOR TESTING TENSILE STRENGTH OF AN ELECTRICALLY NONCONDUCTIVE MATERIAL | 1 |
Melissa Hamlet | US | Whitman | 2010-07-01 / 20100167626 - ATHLETIC UNDERGARMENT AND RIDING BREECHES HAVING SAME | 1 |
Jason R. Hamlet | US | Albuquerque | 2015-11-12 / 20150324497 - Approximate Circuits for Increased Reliability | 1 |
Bruce R. Hamlett | US | Howell | 2014-03-27 / 20140084095 - VERTICAL SUPPORT MEMBER FOR INTERMEDIATE YOKES ON COMMINUTOR CUTTER SHAFTS | 1 |
Marina L. Hamlett | US | Lake Orion | 2013-10-31 / 20130288098 - ENHANCED THERMAL CONTACT | 1 |
Christopher Hamlett | GB | Cambridge | 2010-08-19 / 20100210617 - Aryl-Alkylamines And Heteroaryl-Alkylamines As Protein Kinase Inhibitors | 4 |
Mark A. Hamlett | US | Richardson | 2011-06-16 / 20110140673 - Pulse width modulated battery charging | 1 |
Keiran Cornell Hamlett | US | Tempe | 2011-02-10 / 20110030233 - DRYER DEVICE WITH END OF USE INDICATOR | 1 |
Anthony Frank Hamlett | US | Franklin | 2015-12-31 / 20150375966 - Noise Abatement for Elevator Submersible Power Units | 3 |
Anthony Hamlett | US | Bartlett | 2012-01-12 / 20120006629 - ELEVATOR CAR DOOR INTERLOCK | 1 |
Christopher Charles Frederick Hamlett | GB | Cambridge | 2016-02-04 / 20160031856 - SUBSTITUTED QUINOXALINES AS FGFR KINASE INHIBITORS | 7 |
Lando Crispin Hamlett | US | Marysville | 2012-10-25 / 20120267882 - Method To Achieve Early/Robust G-Signal For Side Pole | 1 |
Thomas J. Hamlin | US | Vemon | 2009-12-17 / 20090308800 - WATER FILTER MATERIALS AND WATER FILTERS CONTAINING A MIXTURE OF MICROPOROUS AND MESOPOROUS CARBON PARTICLES | 1 |
Benjamin J. Hamlin | US | Severn | 2010-06-24 / 20100159425 - Method facilitating language learning | 1 |
Ryan Richard Hamlin | US | Redmond | 2013-10-31 / 20130290044 - SYSTEMS AND METHODS FOR PROVIDING A MARKETPLACE FOR SPACE RENTAL | 2 |
Drew W. Hamlin | US | San Francisco | 2016-03-17 / 20160080304 - ORGANIZING MESSAGES INTO CONVERSATION THREADS | 16 |
Jay Frederick Hamlin | US | Santa Cruz | 2015-11-26 / 20150335888 - TRANSDERMAL NEUROSTIMULATOR ADAPTED TO REDUCE CAPACITIVE BUILD-UP | 5 |
David Hamlin | US | Langhorne | 2015-06-11 / 20150157556 - Compositions and Methods for Alleviating Hyposalivation and for Providing Oral Comfort | 2 |
Gregory D. Hamlin | US | Crowley | 2014-07-03 / 20140183153 - INVERTED BOTTLE ASSEMBLY | 1 |
Thomas J. Hamlin | US | Vernon | 2016-05-19 / 20160138817 - FLEXIBLE LIQUID DESICCANT HEAT AND MASS TRANSFER PANELS | 10 |
Maureen Hamlin | US | Wellington | 2016-01-07 / 20160006872 - SYSTEM AND METHOD TO DIAGNOSE AND IMPROVE REPRESENTATIVE AND ORGANIZATIONAL PERFORMANCE | 2 |
David Bruce Hamlin | US | Flint | 2010-02-25 / 20100043631 - Compressor with Improved Lubrication | 1 |
Jay Fredrick Hamlin | US | Santa Cruz | 2008-09-11 / 20080218583 - VIDEO CONFERENCING APPARATUS AND METHOD | 1 |
Travis Hamlin | US | Bakersfield | 2013-09-05 / 20130228078 - HVAC REGISTER FILTER AND METHOD OF USING THE SAME | 1 |
Christopher Luis Hamlin | US | San Jose | 2015-09-24 / 20150271172 - Secure cloud computing system | 3 |
Daniel L. Hamlin | US | Round Rock | 2016-05-05 / 20160127364 - Apparatus and Method for Host Abstracted Networked Authorization | 7 |
Matt Hamlin | US | San Francisco | 2014-09-25 / 20140289701 - Enhanced Timelines in Application Development Environments | 2 |
Robert W. Hamlin | US | Monroe | 2016-04-28 / 20160117583 - RADIO FREQUENCY IDENTIFICATION TAG WITH HARDENED MEMORY SYSTEM | 11 |
Bradley Ray Hamlin | US | Canton | 2011-06-09 / 20110134627 - Appliance doors having integrated lighting and controls | 1 |
Russell Hamlin | US | Saginaw | 2012-12-06 / 20120311717 - SYSTEM AND METHOD FOR SYSTEMATICALLY REMOVING CUSTOMER PERSONAL INFORMATION FROM AN ELECTRONIC DEVICE | 1 |
George A. Hamlin | US | Laguna Niguel | 2010-07-29 / 20100188652 - QUANTUM DOT-MEDIATED OPTICAL FIBER INFORMATION RETRIEVAL SYSTEMS AND METHODS OF USE | 1 |
Gregory Hamlin | US | Northridge | 2009-08-06 / 20090193838 - Insulating Apparatus With Removable Thermal Adjustment Units | 2 |
Travis Alan Hamlin | US | Bakersfield | 2010-10-07 / 20100251893 - HVAC Register Filter and Method of Using the Same | 1 |
Christopher L. Hamlin | US | Los Gatos | 2010-06-03 / 20100138923 - 3-PRONG SECURITY/RELIABILITY/REAL-TIME DISTRIBUTED ARCHITECTURE OF INFORMATION HANDLING SYSTEM | 3 |
Michael Hamlin | US | Bedford | 2011-07-28 / 20110184514 - INTRAOCULAR MENISCUS LENS PROVIDING PSEUDO-ACCOMMODATION | 3 |
Robert Hamlin | US | Columbus | 2014-07-31 / 20140213921 - Method and Apparatus for Identifying Cardiac Risk | 3 |
Robert W. Hamlin | US | Monroe | 2016-04-28 / 20160117583 - RADIO FREQUENCY IDENTIFICATION TAG WITH HARDENED MEMORY SYSTEM | 11 |
Christopher Luis Hamlin | US | Los Gatos | 2013-05-23 / 20130133039 - Method for statistical object identification | 2 |
Gregory Hamlin | US | Presque Isle | 2009-03-05 / 20090063675 - Internet-based method of and system for monitoring space-time coordinate information | 1 |
Henry Lee Hamlin | US | Macon | 2008-09-11 / 20080216441 - Perimeter temperature controlled heating and cooling system | 2 |
Richard Hamlin | US | Newark | 2013-03-21 / 20130072884 - TRANSDERMAL COMPOSITIONS COMPRISING AN ACTIVE AGENT LAYER AND AN ACTIVE AGENT CONVERSION LAYER | 2 |
Vernon Hamlin | US | Lisle | 2009-11-12 / 20090280910 - Method and Apparatus For Use of Movement and Position Sensors With Portable Handheld Wagering Devices | 2 |
Thomas J. Hamlin | US | Saint Paul | 2015-03-05 / 20150060364 - Thin Film Composite Membrane Structures | 1 |
Timothy Owen Hamlin | US | Warren | 2008-11-27 / 20080290635 - Continuous side airbag seam | 1 |
Lance Hamlin | US | Durango | 2009-10-22 / 20090264934 - Bone plate system configurable as static or dynamic implant | 1 |
Michael Hamlin | US | Beverly Hills | 2011-12-29 / 20110320879 - Methods and systems for a mobile device testing framework | 1 |
Timothy O. Hamlin | US | Warren | 2009-01-01 / 20090001784 - Side airbag connector assembly | 1 |
Brian Hamlin | US | Mckinney | 2009-04-16 / 20090095751 - UTILITY POCKET WITH MAGNETIC CLOSURE | 1 |
Brian Hamlin | US | Oakland | 2011-05-26 / 20110126128 - FUTURE INSIGHT MAPS AND ASSOCIATED TOOLS | 1 |
Robert H. Hamlin | US | Mantorville | 2009-05-07 / 20090119306 - TRANSITIONING OF DATABASE SRVICE RESPONSIBILITY RESPONSIVE TO SERVER FAILURE IN A PARTIALLY CLUSTERED COMPUTING ENVIRONMENT | 1 |
Heather J. Hamlin | US | Gainesville | 2009-06-18 / 20090152192 - Novel Arrangement of Denitrification Reactors in a Recirculating Aquaculture System | 1 |
Michael Thomas Hamlin | US | Burnt Hills | 2012-11-15 / 20120285226 - Wear-Indicating System For Use With Turbine Engines and Methods Of Inspecting Same | 3 |
Bradley R. Hamlin | US | Canton | 2013-11-28 / 20130313293 - AERODYNAMIC UNDERBODY SPARE TIRE COVER | 3 |
Michael Hamlin | US | Albany | 2016-03-31 / 20160090361 - CONTINUOUS FLOW CARBOXYLATION REACTION | 1 |
Robert Hart Hamlin | US | Mantorville | 2009-10-01 / 20090249441 - GOVERNING THE TRANSFER OF PHYSIOLOGICAL AND EMOTIONAL USER DATA | 2 |
Matthew Hamlin | US | San Francisco | 2014-05-15 / 20140136944 - REAL TIME WEB DEVELOPMENT TESTING AND REPORTING SYSTEM | 4 |
Ronald C. Hamlin | US | Poway | 2014-05-01 / 20140117247 - DUAL MODE PIXEL ARRAY DETECTOR | 1 |
Thomas J. Hamlin | US | Vernon | 2016-05-19 / 20160138817 - FLEXIBLE LIQUID DESICCANT HEAT AND MASS TRANSFER PANELS | 10 |
John W. Hamlin | US | Port Angeles | 2016-02-18 / 20160044879 - Root Environment Control System and Method | 1 |
Richard D. Hamlin | US | Newark | 2016-02-25 / 20160051489 - Propynylaminoindan Transdermal Compositions | 5 |
Steven M. Hamlin | US | North Branch | 2015-12-10 / 20150353156 - TWO-WHEELED VEHICLE | 3 |
Lynn Hamlin | US | Chappaqua | 2012-01-05 / 20120003404 - ORNAMENTAL HANGER | 2 |
Daniel Hamlin | US | Round Rock | 2016-05-05 / 20160127332 - OFF-HOST AUTHENTICATION SYSTEM | 4 |
Donald K. Hamlin | US | Edgewood | 2016-02-25 / 20160053345 - PROCESS FOR ISOLATION AND PURIFICATION OF ASTATINE-211 | 1 |
Drew W. Hamlin | US | San Francisco | 2016-03-17 / 20160080304 - ORGANIZING MESSAGES INTO CONVERSATION THREADS | 16 |
Benjamin C. Hamlin | US | Seattle | 2014-01-02 / 20140006051 - EMERGENCY MEDICAL PROFILES | 1 |
Edward W. Hamlin | US | Altamonte Springs | 2015-10-29 / 20150305560 - GRILL CONVERSION METHOD AND APPARATUS | 3 |
Frank M. Hamlin | US | Dallas | 2014-11-06 / 20140330639 - Method and System for Evaluating, Reporting, and Improving On-Line Promotion Effectiveness | 1 |
Tami L. Hamlin | US | Exeter | 2015-03-26 / 20150088168 - IMPLANTABLE PROSTHESIS FOR SOFT TISSUE REPAIR | 6 |
Bradley R. Hamlin | US | Allendale | 2016-01-07 / 20160001706 - DISPLAY MIRROR ASSEMBLY | 7 |
Wade Hamlin | US | Ellensburg | 2015-04-30 / 20150115006 - Hands-Free Bow Carrier System | 1 |
James K. Hamlin | US | Mount Airy | 2012-06-14 / 20120150901 - Computerized System and Method for Tracking the Geographic Relevance of Website Listings and Providing Graphics and Data Regarding the Same | 1 |
Vernon W. Hamlin | US | Lisle | 2016-03-10 / 20160071364 - WAGERING GAME COMMUNITY ENVIRONMENT | 31 |
Daniel Thomas Hamling | US | Solana Beach | 2010-03-25 / 20100076779 - METHODS AND SYSTEMS FOR RECORDING AND MANAGING MANUFACTURING CAPACITY ATTRIBUTES | 1 |
Mike Hamling | US | Sandy | 2009-01-08 / 20090012873 - SYSTEMS FOR MANAGING DIGITAL MEDIA DISTRIBUTION | 1 |
Mark Hamling | US | Vinton | 2016-04-21 / 20160108594 - METHOD AND APPARATUS FOR REAL-TIME ADJUSTMENT OF THE SIZE AND DRAINAGE TILE CAPACITY OF DRAINAGE TILE SPOOLS AND FOR UTILIZING THE SAME | 1 |
Michael Hamling | US | Sandy | 2014-03-27 / 20140089140 - Individualized Digital Media Delivery Systems | 1 |
Christopher Murray Anthony Hamling | NZ | Auckland | 2011-10-06 / 20110241883 - METHOD FOR USING A TABLE OF DATA TO CONTROL ACCESS TO A SECURE CONTAINER | 1 |
Daniel Thomas Hamling | US | San Diego | 2009-10-01 / 20090248186 - Methods and Systems for Matching Configurable Manufacturing Capacity Requirements and Availability | 2 |
Michael D. Hamling | US | Sandy | 2010-07-08 / 20100174655 - DIGITAL CONTENT DISTRIBUTION USING IDENTIFICATION TAGS | 2 |
Henry Lee Hamlin, Iii | US | Forsyth | 2015-02-05 / 20150033652 - FOAM-FILLED, MEMBRANE-COVERED BARRIER FOR RAISING FLAT ROOF LOW AREAS | 2 |
Henry L. Hamlin, Iii | US | Macon | 2012-06-07 / 20120137629 - Method for installation of roof insulation and membrane roofing | 3 |
Henry Lee Hamlin, Iii | US | Macon | 2012-11-22 / 20120291988 - Perimeter Temperature Controlled Heating and Cooling System | 5 |
Timothy M. Hamlock | US | Macomb | 2015-08-27 / 20150239061 - PROCESS FOR WELDING WELD NUTS TO HIGH STRENGTH STEEL | 3 |
Richard Hamlyn | GB | Cambridgeshire | 2015-10-15 / 20150291573 - ARYL SUBSTITUTED HETEROCYCLYL SULFONES | 2 |
Richard John Hamlyn | GB | Ely | 2015-09-17 / 20150259282 - Potassium Channel Blockers | 5 |
Mark Hamlyn | US | Melissa | 2013-06-06 / 20130141059 - DYNAMIC BIAS SOFT START CONTROL APPARATUS AND METHODS | 4 |
Robert Hamlyn | US | Newcastle | 2014-11-13 / 20140336557 - BIOPOLYMER MULTI-LAYER MULTI-FUNCTIONAL MEDICAL DRESSING AND METHOD OF MAKING SAME | 3 |
Alexander Hamlyn | FR | Ploemeur | 2016-04-28 / 20160114540 - BI-DIRECTIONAL FIBER APPLICATION HEAD WITH TWO ROLLERS | 12 |
Richard John Hamlyn | GB | Pampisford | 2011-06-09 / 20110136860 - Compounds | 2 |
Richard Hamlyn | GB | Cambridge | 2010-08-12 / 20100204224 - AZACYCLIC COMPOUNDS AS INHIBITORS OF SENSORY NEURONE SPECIFIC SODIUM CHANNELS | 2 |
David James Hamm | US | Margate | 2015-12-17 / 20150362530 - L.E.D. Test Lead | 2 |
Stephen Thomas Hamm | US | Chagrin Falls | 2015-06-11 / 20150159704 - CLUTCH BACKER PLATE WITH RECESSED RIVETS | 1 |
Michael Edward Hamm | US | Everett | 2014-03-06 / 20140067442 - TRAVEL PACKAGES INCLUDING SEPARATELY PURCHASED TRAVEL ITEMS | 2 |
Robert Hamm | US | San Diego | 2014-09-25 / 20140289027 - METHOD OF REUSING SHIPPING AND PACKING MATERIALS | 4 |
Mark Hamm | US | Lynnfield | 2012-01-12 / 20120010466 - TRANSDUCER/SENSOR ASSEMBLY | 3 |
Keith H. Hamm | US | Oshkosh | 2011-10-20 / 20110255293 - Moisture Release Valve For An Outdoor Light Fixture | 1 |
German F. Hamm | DE | Frankfurt Am Main | 2014-07-31 / 20140213985 - Securing Means for a Drug Delivery Device | 1 |
Laurits Hamm | DE | Aachen | 2015-01-29 / 20150032857 - MIXER FOR PROVIDING MEDIA STREAMS TOWARDS A PLURALITY OF ENDPOINTS WHEREBY THE MEDIA STREAMS ORIGINATING FROM ONE OR MORE MEDIA SOURCE AND METHOD THEREFORE | 3 |
Thomas Hamm | DE | Ingelfinge | 2011-02-24 / 20110041936 - METERING UNIT | 1 |
Silvio Hamm | DE | Sulzfeld | 2010-12-02 / 20100300489 - AIR VOLUME FLOW AND PUSHING FORCE CONTROL DEVICE | 1 |
Michael Hamm | DE | Eningen U.a. | 2013-08-08 / 20130201712 - MOTOR VEHICLE LIGHTING DEVICE | 3 |
Richard Hamm | US | Akron | 2012-05-03 / 20120104275 - AIRCRAFT POTABLE WATER SYSTEM | 1 |
Markus Hamm | DE | Ismaning | 2014-04-17 / 20140103709 - Method for Controlling a Recuperation Device of a Vehicle | 4 |
Gary Hamm | US | Billerica | 2014-06-26 / 20140174936 - PLATING OF COPPER ON SEMICONDUCTORS | 10 |
Rainer Hamm | DE | Ingelheim Am Rhein | 2015-08-06 / 20150218200 - PROCESSES FOR PREPARING OF GLUCOPYRANOSYL-SUBSTITUTED BENZYL-BENZENE DERIVATIVES | 2 |
Wolfgang Alfred Hamm | DE | Bretten | 2012-02-23 / 20120042745 - Operating Control Device and Operating Method | 3 |
Christian Hamm | DE | Bad Nauheim | 2010-07-08 / 20100173321 - Making a diagnosis in cases of cardiac disease using a combination of markers | 1 |
Volker Hamm | DE | Bad Saeckingen | 2009-11-05 / 20090272937 - ALUMINIUM OXIDE POWDER, DISPERSION AND COATING COMPOSITION | 2 |
Hubert Hamm | DE | Herten | 2009-10-08 / 20090249780 - CONVERSION OF HEAT INTO MECHANICAL ENERGY BY MEANS OF A JET COMPRESSOR | 1 |
Richard Roy Hamm | US | Brooklin | 2015-10-01 / 20150279561 - PEAK TEMPERATURE ATTENUATION FILM | 1 |
Gerald Hamm | DE | Herrenberg | 2009-08-27 / 20090211342 - Method for the Quantitative Determination of an Aging Effect on Motor Oil | 1 |
Wolfgang Hamm | DE | Bretten | 2013-10-17 / 20130270094 - OPERATOR CONTROL DEVICE AND OPERATING METHOD | 3 |
Anton Hamm | DE | Neusaess | 2014-07-17 / 20140196621 - ROTARY OFFSET PRINTING MACHINE AND METHOD FOR PRINTING ON SUBSTRATES | 3 |
Caroline Marie Hamm | CA | Wheatley | 2015-01-08 / 20150010665 - Medicament Containing Taraxacum Plant Root Extract for Treatment or Prevention of Cancer, and Method for Preparing Same | 1 |
Mark K. Hamm | US | Cullman | 2015-03-05 / 20150059884 - Relief Valve With Directable Outlet | 8 |
Robert A. Hamm | CA | Redcliff | 2015-02-12 / 20150042071 - APPARATUS INCLUDING POWERED-ACTUATOR ASSEMBLY FOR MOVING LOAD-BEARING FRAME ASSEMBLY RELATIVE TO HITCH ASSEMBLY OF VEHICLE | 1 |
Rainer Hamm | DE | Ingelheim | 2011-09-29 / 20110237789 - PROCESSES FOR PREPARING OF GLUCOPYRANOSYL-SUBSTITUTED BENZYL-BENZENE DERIVATIVES | 3 |
Carsten Hamm | DE | Erlangen | 2016-02-25 / 20160056794 - CONTROL FACILITY WITH ADAPTIVE FAULT COMPENSATION | 10 |
Richard W. Hamm | US | Loveland | 2011-03-31 / 20110077927 - Generalized Constitutive Modeling Method and System | 1 |
David Hamm | CA | Surrey | 2010-05-06 / 20100114691 - MANAGING A MARKETING TEMPLATE USED IN AN E-MAIL MARKETING CAMPAIGN | 1 |
Caroline Hamm | FR | Octeville-Sur-Mer | 2011-01-27 / 20110017568 - GUIDE STRUCTURE FOR STOPPERS | 1 |
Michael L. Hamm | US | Villa Park | 2015-09-03 / 20150248475 - TEXT-SQL RELATIONAL DATABASE | 1 |
Valery Hamm | FR | La Fleche | 2013-10-03 / 20130256619 - LINE START/END POST OR CORNER POST FOR A FENCE | 2 |
Alain Hamm | CH | Lignieres | 2014-05-15 / 20140133282 - METHOD OF MANUFACTURING AN OLED DISPLAY DEVICE, THE RESULTING OLED DISPLAY DEVICE AND A TIMEPIECE COMPRISING THE SAME | 3 |
Didier Hamm | JP | Gifu-Ken | 2009-10-29 / 20090268371 - CAPACITOR ELECTRODE AND METHOD OF MANUFACTURING THE SAME | 1 |
Jean-Luc Hamm | FR | Kuttolsheim | 2011-07-21 / 20110173993 - MAGNETOCALORIC ELEMENT | 2 |
Carsten Hamm | DE | Erlangen | 2016-02-25 / 20160056794 - CONTROL FACILITY WITH ADAPTIVE FAULT COMPENSATION | 10 |
Richard Roy Hamm | US | Akron | 2014-11-06 / 20140326712 - PULSED ELECTROTHERMAL ICE PROTECTION SYSTEMS WITH COATED HEATING SUBSTRATES | 1 |
Heidi M. Hamm | US | Nashville | 2008-11-13 / 20080280308 - G protein coupled receptor signaling modulation | 1 |
Heidi E. Hamm | US | Nashville | 2016-03-24 / 20160083363 - Substituted Indoles as Selective Protease Activated Receptor 4 (PAR-4) Antagonists | 3 |
Grégory Hamm | FR | Lille | 2012-10-11 / 20120258485 - METHOD FOR DETECTING AND QUANTIFYING A TARGET MOLECULE IN A SAMPLE | 1 |
Hans D. Hamm | US | San Diego | 2014-11-27 / 20140348647 - EXHAUST DIFFUSER FOR A GAS TURBINE ENGINE EXHAUST SYSTEM | 1 |
Noel Hamm | US | Bentonville | 2014-11-27 / 20140351105 - SYSTEM AND METHOD FOR TAX FILING, DATA PROCESSING, DATA VERIFICATION AND RECONCILIATION | 1 |
Jon A. Hamm | US | Charlotte | 2015-03-05 / 20150066866 - DATA HEALTH MANAGEMENT | 2 |
James Cleveland Hamm | US | Imperial | 2016-03-03 / 20160059764 - Closed Top, Corrosion-Resistant Mobile Storage Tank | 1 |
Kevin Hamm | US | Rocklin | 2013-03-07 / 20130060576 - Systems and Methods For Enabling Telemedicine Consultations and Patient Referrals | 1 |
Sharon Hamm | US | Atlanta | 2015-06-25 / 20150174077 - CONTROLLED RELEASE COMPOSITIONS COMPRISING A COMBINATION OF ISOSORBIDE DINITRATE AND HYDRALAZINE HYDROCHLORIDE | 3 |
Michael Hamm | US | Maple Grove | 2011-10-13 / 20110248862 - USER INTERFACE FOR DEVICES WITH LIMITED INPUT/OUTPUT HARDWARE CAPABILITY | 2 |
Keith Hamm | US | Oshkosh | 2014-03-13 / 20140069618 - PORTABLE INTEGRATED POWER SUPPLY AND HVAC UNIT | 3 |
In Suk Hamm | KR | Daegu | 2015-12-10 / 20150353050 - SAFETY BELT SYSTEM FOR VEHICLE SEATS | 2 |
Damon Hamm | US | New York | 2012-04-12 / 20120086827 - APPARATUS AND METHOD FOR ASSISTING MONITORING OF MEDICATION ADHERENCE | 1 |
Christian Hamm | DE | Bad Homburg | 2013-01-03 / 20130004487 - SCD40L AND PLACENTAL GROWTH FACTOR (PIGF) AS BIOCHEMICAL MARKER COMBINATIONS IN CARDIOVASCULAR DISEASES | 1 |
Mark K. Hamm | US | Cullman | 2015-03-05 / 20150059884 - Relief Valve With Directable Outlet | 8 |
Stacee Hamm | US | Wixom | 2012-03-15 / 20120060747 - USE OF PIEZO MOTOR TO OPERATE A RING POINTER OVER DISPLAY | 1 |
Peter Hamm | DE | Goettingen | 2012-10-04 / 20120249770 - METHOD FOR AUTOMATICALLY FOCUSING A MICROSCOPE ON A PREDETERMINED OBJECT AND MICROSCOPE FOR AUTOMATIC FOCUSING | 1 |
Peter Hamm | CH | Zurich | 2015-09-17 / 20150260577 - MULTIDIMENSIONAL SPECTROMETER | 2 |
Thomas R. Hamm | US | Fond Du Lac | 2015-11-12 / 20150320007 - VERTICAL VAT WITH COUNTER ROTATING AGITATOR PANELS | 1 |
Walter Hamm | DE | Weiterstadt | 2013-10-03 / 20130261346 - PROCESS FOR THE PREPARATION OF DIRECTLY COMPRESSIBLE BETA MANNITOL | 3 |
Andrew R. Hamm | US | East Sandwich | 2016-03-10 / 20160070244 - USER-DEFINED SCENES FOR HOME AUTOMATION | 3 |
Adam Kenneth Hamm | US | Wake Forest | 2014-05-15 / 20140135326 - METHOD OF TREATMENT OF AGGRESSION | 1 |
Wolfgang Alfred Hamm | US | 2010-07-22 / 20100181175 - OPERATING DEVICE FOR AN ELECTRICAL APPLIANCE AND CONTROL PANEL | 1 | |
Andrew Hamm | US | Hyannis | 2014-08-28 / 20140245148 - VIDEO TILING | 1 |
Richard R. Hamm | US | Akron | 2015-02-26 / 20150053662 - HEATING ELEMENTS FOR AIRCRAFT HEATED FLOOR PANELS | 1 |
Gary Hamm | US | Billerica | 2014-06-26 / 20140174936 - PLATING OF COPPER ON SEMICONDUCTORS | 10 |
Derrick L. Hamm | US | Sparta | 2014-06-26 / 20140173864 - ULTRA HIGH SPEED TWIN HEADED BURNISHER WITH POLOGANIAL PADS AND METHODS | 3 |
Thomas Hamm | DE | Ingelfingen | 2016-03-31 / 20160091900 - MODULAR VALVE SYSTEM | 2 |
Elaine E. Hamm | US | Oklahoma City | 2015-04-16 / 20150104454 - ANTI-C.DIFFICILE TOXIN ANTIBODIES AND ASSOCIATED METHODS | 2 |
Klaus Hamm | DE | Weinstadt | 2013-07-04 / 20130172165 - METHOD FOR PRODUCING TRIANGULAR PACKAGING BAGS AND ASSEMBLY THEREFOR | 1 |
Dennis Hamm | US | Malibu | 2011-07-28 / 20110181413 - AUTOMATED VAULT MAPPING AND SECURITY SYSTEM | 1 |
Jason Hamm | US | Clark | 2009-02-12 / 20090043107 - Process for Synthesizing Compounds Useful for Treating Hepatitis C | 1 |
Frederic Byron Hamm | US | Onalaska | 2015-01-15 / 20150013360 - COAXIAL ECONOMIZER ASSEMBLY AND METHOD | 1 |
Wesley Bryan Hamm | US | San Francisco | 2014-04-17 / 20140106608 - Methods And Systems For Displaying A Product | 1 |
Steven D. Hamm | US | Austin | 2014-10-30 / 20140324398 - METHOD AND APPARATUS FOR ACCELERATING SPARSE MATRIX OPERATIONS IN FULL ACCURACY CIRCUIT SIMULATION | 3 |
German F. Hamm | DE | Bad Soden-Salmunster | 2012-11-29 / 20120302963 - METHOD FOR TREATING A PLASTIC PART, METHOD FOR MANUFACTURING A DRUG DELIVERY DEVICE AND DRUG DELIVERY DEVICE | 1 |
Michael D. Hamm | US | Sammamish | 2013-08-01 / 20130197119 - MICROCELLULAR FOAM MOLDING OF AIRCRAFT INTERIOR COMPONENTS | 1 |
Robert Wray Hamm | US | Pleasanton | 2011-07-21 / 20110176648 - PORTABLE LOW ENERGY NEUTRON SOURCE FOR HIGH SENSITIVITY MATERIAL CHARACTERIZATION | 1 |
Chris Hamm | US | Sellersburg | 2012-10-04 / 20120254720 - SYSTEMS AND METHODS FOR UPDATING RICH INTERNET APPLICATIONS | 1 |
Morgan C. Hamm | US | Bedminster | 2014-04-17 / 20140108222 - Rules engine having user activated rules of selectable scope and selectable outcomes | 1 |
Mark D. Hamm | US | Germantown | 2014-09-11 / 20140258166 - SENSOR BASED LOGISTICS SYSTEM | 4 |
Thomas R. Hamm | US | Wixom | 2015-11-19 / 20150330462 - SYNCHRONIZING DEVICE | 1 |
Mark A. Hamm | US | Lynnfield | 2013-06-06 / 20130144287 - LARGE AREA THERMAL ABLATION | 1 |
Richard William Hamm | US | Cincinnati | 2009-02-19 / 20090048815 - Generalized constitutive modeling method and system | 1 |
Darren R. Hamm | US | West Bend | 2010-04-22 / 20100096396 - Holder for Beverage Containers | 1 |
Dirk Hamm | CH | Taegerwilen | 2015-10-01 / 20150277558 - CONTROL DEVICE | 2 |
Richad William Hamm | US | Loveland | 2009-05-14 / 20090120308 - RESEARCH PRESS | 1 |
James E. Hamm | US | Grafton | 2015-12-03 / 20150343543 - SYSTEMS AND DEVICES FOR PERFORMING POWERED THREADING OPERATIONS | 13 |
Mark Kendrick Hamm | US | Cullman | 2015-03-12 / 20150068621 - Medical Gas Manifold | 1 |
Lawrence W. Hamm | US | Royal Oak | 2010-02-04 / 20100024539 - SNAP-IN TIRE VALVE | 1 |
Harold M. Hamm | US | Wisconsin Rapids | 2016-01-28 / 20160025456 - SIGHT | 2 |
Jaime Dion Hamm | US | Hillsborough | 2013-10-03 / 20130259818 - METHYL ETHYL HYDROXYETHYL CELLULOSE FOR PERSONAL CARE APPLICATIONS | 1 |
GrÉgory Hamm | FR | Lille | 2016-02-18 / 20160049284 - METHOD FOR DETECTING AND QUANTIFYING A TARGET ANALYTE IN A SAMPLE | 2 |
Robert W. Hamm | US | Pleasanton | 2012-05-24 / 20120126727 - Sub-Nanosecond Beam Pulse Radio Frequency Quadrupole (RFQ) Linear Accelerator System | 1 |
Donald Joseph Hamm | US | Englewood Cliffs | 2010-04-08 / 20100086658 - LOW FAT CONSUMER PRODUCT COMPRISING A NATURAL PRESERVATIVE SYSTEM AND A METHOD FOR MAKING THE SAME | 3 |
Christopher A. Hamm | US | Wisconsin Rapids | 2016-01-28 / 20160025456 - SIGHT | 2 |
Douglas W. Hamm | US | Austin | 2010-06-10 / 20100142328 - Projectile-Detection Collars and Methods | 1 |
James E. Hamm | US | Grafton | 2015-12-03 / 20150343543 - SYSTEMS AND DEVICES FOR PERFORMING POWERED THREADING OPERATIONS | 13 |
Brian H. Hamm | US | Wisconsin Rapids | 2016-01-28 / 20160025456 - SIGHT | 2 |
Paul Riley Hamm | US | Rock Springs | 2010-09-23 / 20100236773 - THERMOELECTRIC DRIVEN GAS WELL HEAT PUMP | 1 |
Richard William Hamm | US | Loveland | 2013-09-12 / 20130238301 - Systems and Methods for Material Modeling and Prediction | 2 |
Frank Hamma | DE | Oberteuringen | 2014-06-05 / 20140150908 - PRESSURE CONTROL VALVE APPARATUS HAVING A FLOW-GUIDING DEVICE | 4 |
Yamina Hamma | FR | Le Kremlin Bicetre | 2014-12-18 / 20140369987 - DERMASEPTIN B2 USED AS AN INHIBITOR OF THE GROWTH OF A TUMOR | 4 |
Soumana Hamma | US | Shrewsbury | 2012-05-31 / 20120131957 - Sheet Wafer Processing as a Function of Wafer Weight | 2 |
Heinz-Peter Hammacher | DE | Bamberg | 2015-03-12 / 20150068869 - Device and method for conveying lumpy products | 6 |
Carolin Hammacher | DE | Freiberg | 2016-01-28 / 20160023695 - Agricultural vehicle | 1 |
Amy L. Hammack | US | San Mateo | 2015-01-22 / 20150025411 - VASCULAR INTRODUCER WITH TEMPERATURE MONITORING PROBE AND SYSTEMS FOR ENDOVASCULAR TEMPERATURE CONTROL | 2 |
Amy Lee Hammack | US | Santa Clara | 2012-10-25 / 20120271272 - DEVICE FOR OCULAR ACCESS | 1 |
Kevin Hammack | US | League City | 2015-08-27 / 20150239738 - RECOVERY OF AQUEOUS HYDROGEN PEROXIDE IN AUTO-OXIDATION H202 PRODUCTION | 2 |
Carl D. Hammack | US | Lebanon Junction | 2013-08-22 / 20130213207 - STRINGED INSTRUMENT HAND REST | 1 |
Daniel Hammack | US | Fort Worth | 2014-10-30 / 20140317851 - Adjustable Pillow | 1 |
Glenn G. Hammack | US | Houston | 2011-07-07 / 20110166465 - SYSTEM, METHOD, AND PROGRAM PRODUCT FOR DELIVERING MEDICAL SERVICES FROM A REMOTE LOCATION | 2 |
Jerry Hammack | US | Fort Worth | 2014-10-30 / 20140317851 - Adjustable Pillow | 1 |
George D. Hammack | US | Goleta | 2015-04-30 / 20150116141 - ADAPTIVE DYNAMIC CLUSTER DEINTERLEAVING | 1 |
Stephen Gerard Hammack | US | Austin | 2014-04-17 / 20140108985 - Configurable User Displays in a Process Control System | 5 |
Jason L. Hammack | US | Seattle | 2010-12-02 / 20100305783 - ENHANCED VERTICAL SITUATION DISPLAY | 2 |
Anthony D. Hammack | US | Bloomington | 2015-01-29 / 20150031987 - LOCATING DEVICE | 4 |
George D. Hammack | US | Santa Barbara | 2014-01-09 / 20140009325 - METHOD FOR DE-INTERLEAVING RECEIVED RADAR PULSES USING DYNAMICALLY UPDATED WEIGHTS | 1 |
Scott J. Hammack | US | Naples | 2014-03-27 / 20140089047 - Leveraged Usage of Information Regarding Real Estate Offerings | 2 |
Steve M. Hammack | US | Encinitas | 2009-10-22 / 20090260150 - Apparatus Facilitating Application of Customized Portable Spa Surrounds by End Users | 1 |
James A. Hammack | US | Long Beach | 2012-03-22 / 20120069710 - Method and System for Real-time Automated Change Detection and Classification for Images | 3 |
Stephen G. Hammack | US | Austin | 2013-05-23 / 20130131844 - Method for Selecting Shapes in a Graphical Display | 5 |
Gary Hammack | US | Greensburg | 2015-12-17 / 20150364285 - Dead Tank Circuit Breaker With Surge Arrester Connected Across The Bushing Tops Of Each Pole | 1 |
Aaron Tynes Hammack | US | Berkeley | 2016-03-10 / 20160073539 - FLEXIBLE PRINTED CIRCUIT BOARD ASSEMBLY FOR ELECTRONIC DEVICES | 3 |
Bakr Hammad | SA | Al-Khobar | 2014-06-05 / 20140154408 - ECONOMICAL HEAVY CONCRETE WEIGHT COATING FOR SUBMARINE PIPELINES | 2 |
Tarek Hammad | US | Laurel | 2009-04-02 / 20090087503 - Use of anabolic agents, anti-catabolic agents, antioxidant agents and analgesics for protection, treatment and repair of connective tissues in humans and animals | 1 |
Ayman Hammad | US | Pleasanton | 2016-05-19 / 20160140542 - MULTIPLE TOKENIZATION FOR AUTHENTICATION | 183 |
Mostafa Hammad | US | Irvine | 2014-06-19 / 20140167851 - CURRENT-MODE LINE DRIVER | 1 |
Hanaa Hammad | EG | Cairo | 2014-01-23 / 20140026151 - DETERMINING THE PROCESSING ORDER OF A PLURALITY OF EVENTS | 3 |
Hamida Hammad | BE | Ronse | 2015-11-05 / 20150315262 - NOVEL INTERLEUKIN-33 INHIBITORS | 1 |
Jamal F. Hammad | US | Boynton Beach | 2015-09-17 / 20150257590 - Slow Cooking Appliance with Cammed Lid Latching Arrangement | 4 |
Kamal Hammad | CA | Fort Mcmurray | 2009-12-31 / 20090321326 - PRIMARY FROTH RECYCLE | 1 |
Dima Hammad | IL | Jerusalem | 2015-07-16 / 20150200767 - EYE WIDTH MEASUREMENT AND MARGINING IN COMMUNICATION SYSTEMS | 2 |
Ahmed Hammad | CA | Ottawa | 2015-02-05 / 20150039749 - DETECTING TRAFFIC ANOMALIES BASED ON APPLICATION-AWARE ROLLING BASELINE AGGREGATES | 1 |
Tarek Hammad | US | Baltimore | 2010-12-30 / 20100330198 - AMINOSUGAR, GLYCOSAMINOGLYCAN, AND S-ADENOSYLMETHIONINE COMPOSITION FOR THE TREATMENT AND REPAIR OF CONNECTIVE TISSUE | 3 |
Mostafa Mohammad Hany Ali Hammad | US | Irvine | 2015-05-21 / 20150137887 - RAIL-TO-RAIL LINE DRIVER USING DIFFERENTIAL CASCODE BOOTSTRAPING | 3 |
Mazen Hammad | US | Irving | 2015-10-15 / 20150296242 - SOCIAL TELEVISION SYSTEM | 1 |
Ayman Hammad | US | Foster City | 2014-01-16 / 20140019364 - ANYTIME VALIDATION TOKENS | 1 |
Jamal Hammad | US | Boynton Beach | 2015-02-05 / 20150033951 - Auto Stir Cooking Appliance | 4 |
Ayman A. Hammad | US | Pleasanton | 2015-06-04 / 20150154602 - PROCESSING TRANSACTIONS OF DIFFERENT PAYMENT DEVICES OF THE SAME ISSUER ACCOUNT | 15 |
Moustafa A. Hammad | US | San Jose | 2013-06-13 / 20130151501 - INDEX-SIDE SYNONYM GENERATION | 1 |
Ahmad D. Hammad | SA | Dhahran | 2016-04-07 / 20160097138 - CARBON DIOXIDE CONVERSION TO HYDROCARBON FUEL VIA SYNGAS PRODUCTION CELL HARNESSED FROM SOLAR RADIATION | 7 |
Adnan A. Hammad | US | Bloomfield | 2010-11-18 / 20100287903 - Leaf Gathering Apparatus | 1 |
Ahamd D. Hammad | SA | Dhahran | 2014-04-17 / 20140102987 - PLANT BASED MATERIAL USEFUL IN ADSORBING PETROLEUM PRODUCTS, PROCESSES FOR MAKING THESE, AND USES THEREOF | 1 |
Ayman Hammad | US | Pleasanto | 2011-08-04 / 20110187642 - Interaction Terminal | 1 |
Tarik Hammadou | AU | Nsw | 2009-03-12 / 20090066790 - SMART NETWORK CAMERA SYSTEM-ON-A-CHIP | 1 |
Tarik Hammadou | AU | Surry Hills | 2015-07-16 / 20150199897 - METHOD AND SYSTEM FOR CONFIGURABLE SECURITY AND SURVEILLANCE SYSTEMS | 5 |
Jeffrey Robert Hammaker | US | San Diego | 2015-12-31 / 20150376136 - COMPOUNDS USEFUL AS MODULATORS OF TRPM8 | 3 |
Sarah Hamm-Alvarez | US | Los Angeles | 2012-07-19 / 20120183568 - BIOASSAY FOR THE EARLY DETECTION OF AUTOIMMUNE DISEASES | 3 |
Sarah Hamm-Alvarez | US | Pasadena | 2016-01-21 / 20160017004 - METHODS AND THERAPEUTICS COMPRISING LIGAND-TARGETED ELPs | 2 |
Sarah F. Hamm-Alvarez | US | Pasadena | 2015-08-27 / 20150238431 - ICAM-1 TARGETING ELPS | 2 |
Tarik Hammam | SE | Kista | 2015-12-31 / 20150378718 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR A SOFTWARE BUILD AND LOAD PROCESS USING A COMPILATION AND DEPLOYMENT SERVICE | 7 |
Kamel Hammami | FR | Jonquieres | 2016-01-07 / 20160003592 - A MUNITION WITH A VARIABLE EXPLOSIVE POWER | 1 |
Amer Hammami | CA | Mont-Royal | 2009-02-19 / 20090045373 - COMPOUNDS, IONIC LIQUIDS, MOLTEN SALTS AND USES THEREOF | 1 |
Ahmed Hammami | CA | Edmonton | 2012-03-08 / 20120055242 - DOWNHOLE SAMPLING | 9 |
Amer Hammami | CA | Quebec | 2009-06-18 / 20090151779 - Redox Couples, Compositions and Uses Thereof | 1 |
Rasha Hammamieh | US | Gaithersburg | 2015-02-19 / 20150051094 - Biomarkers of immune dysfunction in response to chronic stress, methods of use and diagnostic kits | 1 |
James E. Hamman | US | Washburn | 2014-05-15 / 20140135741 - NOISE ATTENUATING HIGH-VOLUME SUCTION TIP WITH AUTOMATIC INTEGRAL ON DEMAND VACUUM RELEASE VALVE MECHANISM | 1 |
Carl R. Hamman | US | Mt Sterling | 2015-11-26 / 20150337987 - Method and Structure for in situ Field Repair of Severed Drain Tile | 4 |
Tarik Hamman | SE | Kista | 2011-08-25 / 20110209000 - Systems and Methods for Allocating Network Resources From One Address Realm to Clients in a Different Address Realm | 1 |
Martin Hamman | FR | Palaiseau | 2013-11-21 / 20130305559 - METHOD FOR CONTROLLING A PROTECTIVE GAS ATMOSPHERE IN A PROTECTIVE GAS CHAMBER FOR THE TREATMENT OF A METAL STRIP | 1 |
Richard Alan Hamman | ZA | Cape Town | 2016-03-10 / 20160072910 - Caching of Machine Images | 3 |
Steve Hamman | US | Carlsbad | 2013-10-03 / 20130255585 - AQUACULTURE METHODS & SYSTEMS | 1 |
Robert D. Hamman | US | Dallas | 2013-03-14 / 20130062420 - METHOD AND SYSTEM FOR THE GENERATION AND VALIDATION OF PERSONAL IDENTIFICATION NUMBERS | 2 |
Ned M. Hamman | US | Leesburg | 2015-10-15 / 20150290369 - Inertial Cell Washing Device | 10 |
Henry Hamman | US | Sewanee | 2015-11-19 / 20150332281 - METHODS AND APPARATUS FOR COMPUTER CONDONIMIUM | 1 |
Gary Hamman | US | Garland | 2015-03-19 / 20150075336 - Power Tool To Spring Torsioner Converter | 1 |
Ned M. Hamman | US | Leesburg | 2015-10-15 / 20150290369 - Inertial Cell Washing Device | 10 |
William J. Hamman | US | Winona Lake | 2015-03-12 / 20150073561 - MODULAR RADIAL HEAD PROSTHESIS | 6 |
Gregory Joseph Hamman | US | Sugar Land | / - | 1 |
Ned Hamman | US | Leesburg | 2015-11-05 / 20150315544 - BLOOD SEPARATION FILTER | 1 |
Emmanuel Hamman | FR | Palaiseau | 2008-08-21 / 20080200127 - Impulse Noise Correction | 1 |
Robert Hamman | US | Dallas | 2009-01-15 / 20090018942 - SYSTEM AND METHOD FOR ONLINE AUCTION | 2 |
Baron L. Hamman | US | Dallas | 2012-10-25 / 20120271336 - SYSTEM AND METHOD FOR MEASURING A VESSEL IN A VASCULAR ENVIRONMENT | 7 |
Douglas Hamman | US | San Mateo | 2014-04-24 / 20140115495 - SYSTEMS AND METHODS FOR PROCESSING AND ORGANIZING ELECTRONIC CONTENT | 3 |
Gary L Hamman | US | Garland | 2015-07-09 / 20150190907 - Power Tool to Spring Torsioner Converter | 1 |
Gregory Hamman | US | Grand Blanc | 2013-10-10 / 20130263692 - STEERING WHEEL WITH SWITCH ASSEMBLY | 1 |
John P. Hamman | US | Baltimore | 2010-05-13 / 20100119692 - Modifying undesirable tastes | 1 |
Emmanuel Hamman | FR | Marcoussis | 2010-11-18 / 20100293429 - PACKET INTERLEAVING METHOD | 1 |
Christian Hamman | FR | Nice | 2009-03-05 / 20090056083 - Slide for life-line | 1 |
Frances K. Hamman | US | Alexandria | 2014-05-15 / 20140135741 - NOISE ATTENUATING HIGH-VOLUME SUCTION TIP WITH AUTOMATIC INTEGRAL ON DEMAND VACUUM RELEASE VALVE MECHANISM | 1 |
Henry L. Hamman | US | Miami | 2014-04-10 / 20140101319 - METHOD FOR UNIFORM NETWORK ACCESS | 6 |
John Frederick Hamman, Jr. | US | Indianapolis | 2014-02-20 / 20140049043 - DRYER DUCT CONNECTOR | 1 |
Axel Hammann | DE | Althengstett | 2015-12-03 / 20150343873 - METHOD AND DEVICE FOR AUTOMATICALLY OR SEMI-AUTOMATICALLY ADJUSTING A CHASSIS | 1 |
Benjamin Hammann | DE | Frankfurt | 2016-03-03 / 20160059488 - MULTICOLOURED FUSED DEPOSITION MODELLING PRINT | 4 |
Werner Hammann | DE | Neustadt An Der Weinstrasse | 2012-05-17 / 20120123565 - METHOD FOR OPERATING MACHINERY USING A BROWSER | 1 |
Harold Paul Hammann | US | Seattle | 2015-01-15 / 20150016270 - SYSTEM MONITORING AND MANAGEMENT | 1 |
Heinrich Hammann | DE | Teschenmoschel | 2016-02-11 / 20160039316 - EASY-ENTRY SYSTEM FOR A VEHICLE SEAT, AND VEHICLE SEAT | 11 |
Ralph E. Hammann | US | Champaign | 2010-09-23 / 20100236594 - INTEGRATED PORTABLE SHELTER | 1 |
Gerhard Hammann | DE | Korntal-Muechingen | 2010-11-25 / 20100296546 - OPTICAL GUIDE WITH TEMPERATURE SENSING MATRIX | 2 |
Gerhard Hammann | DE | Korntal-Muenchingen | 2014-05-22 / 20140138363 - Method for Processing a Workpiece and Processing Device | 4 |
Heinrich Hammann | DE | Teschenmoschel | 2016-02-11 / 20160039316 - EASY-ENTRY SYSTEM FOR A VEHICLE SEAT, AND VEHICLE SEAT | 11 |
Michael Hammann | DE | Oberderdingen-Flehingen | 2013-11-07 / 20130295285 - PRINTING TABLE ASSEMBLY, METHOD FOR OPERATING A PRINTING TABLE ASSEMBLY | 2 |
Achim Hammann | DE | Oberhausen | 2012-10-04 / 20120251294 - Shaft Seal for Steam Turbines | 2 |
Jerald Hammann | US | Eden Prairie | 2013-10-17 / 20130275430 - System and Method for Visually Representing Data | 1 |
John Hammar | US | Newtown | 2015-05-07 / 20150122922 - FOOD WASTE DISPOSER | 2 |
Jarod R. Hammar | US | Fairport | 2010-04-08 / 20100084782 - GASKET MATERIAL | 1 |
Richard H. Hammar | US | Utica | 2011-08-18 / 20110198169 - BI-METAL DISC BRAKE ROTOR AND METHOD OF MANUFACTURING | 2 |
Peter Hammar | SE | Molndal | 2013-08-22 / 20130215576 - Microwave Module | 1 |
Mattias Hammar | SE | Stockholm | 2015-11-05 / 20150318666 - VERTICAL-CAVITY SURFACE-EMITTING TRANSISTOR LASER, T-VCSEL AND METHOD FOR PRODUCING THE SAME | 1 |
Jarod R. Hammar | US | Cypress | 2012-03-22 / 20120072133 - Testing Apparatus and Method | 1 |
Magnus Hammar | SE | Falun | 2011-10-20 / 20110253122 - SAW DRIVE ARRANGEMENT | 1 |
Fredrik Hammar | SE | Molnlycke | 2009-12-17 / 20090310587 - GENERIC PARALLEL SPREADING | 2 |
Jeremy R. Hammar | US | Germantown Hills | 2015-02-05 / 20150034222 - TIRE AND SYSTEM FOR ACQUIRING DATA ASSOCIATED WITH TIRE | 2 |
Terence Hammar | US | East Falmouth | 2010-08-05 / 20100198547 - DIFFERENTIAL PRESSURE SYSTEMS AND METHODS FOR MEASURING HYDRAULIC PARAMETERS ACROSS SURFACE WATER-AQUIFER INTERFACES | 1 |
Richard Harry Hammar | US | Shelby Township | 2013-08-15 / 20130205854 - Elevated temperature forming method and preheater apparatus | 3 |
Jarod Hammar | US | Cypress | 2010-09-30 / 20100242577 - Test Sample Heating Apparatus and Method | 1 |
Tommi Hammarberg | FI | Joutseno | 2014-12-25 / 20140374363 - METHOD AND APPARATUS FOR REDUCING THE THICKNESS OF A PRECOAT LAYER OF A DISC FILTER | 1 |
Karl J. Hammarling | GB | Daventry | 2014-03-27 / 20140089862 - DESTINATION ROUTING IN A VIRTUAL WORLD | 1 |
Per Hammarlund | US | 2012-07-26 / 20120192000 - METHOD AND APPARATUS FOR COST AND POWER EFFICIENT, SCALABLE OPERATING SYSTEM INDEPENDENT SERVICES | 1 | |
Marc Hammarlund | US | Hamden | 2013-07-25 / 20130190388 - Methods and Compositions Related to DLK-1 and the P38 MAPK Pathway in Nerve Regeneration | 2 |
Per Hammarlund | US | Hillsboro | 2016-03-31 / 20160095060 - METHOD AND APPARATUS FOR POWER OPTIMIZED IoT COMMUNICATION | 29 |
Per Hammarlund | US | Hillsboro | 2016-03-31 / 20160095060 - METHOD AND APPARATUS FOR POWER OPTIMIZED IoT COMMUNICATION | 29 |
Erika Hammarlund | US | Hillsboro | 2014-11-13 / 20140335115 - SUPPRESSORS OF MATURE T CELLS | 4 |
Susanna Hammarroth | SE | Landvetter | 2008-12-04 / 20080296178 - Package for Distributing and Storing a Plurality of Sanitary Products | 1 |
Marie-Louise Hammarskjold | US | Earlysville | 2008-12-25 / 20080318959 - Compounds that Inhibit Hiv Particle Formation | 1 |
Marie-Louise Hammarskjöld | US | Earlysville | 2011-06-23 / 20110152301 - Compounds that Inhibit HIV Particle Formation | 1 |
Erik Hammarstrand | SE | Uppsala | 2010-09-16 / 20100230340 - SEALING ASSEMBLY FOR A CHROMATOGRAPHY COLUMN | 1 |
Lars Hammarstrand | SE | Varekil | 2012-08-09 / 20120203436 - ONBOARD PERCEPTION SYSTEM | 2 |
Karin Hammarstrand | SE | Kode | 2014-10-23 / 20140315718 - Agricultural formulations with amides and acyl morpholines | 1 |
Ola Hammarstroem | SE | Lerdala | 2012-04-26 / 20120101409 - BENDING RESISTANT MALE CONNECTOR FOR A GUIDE WIRE | 2 |
Lennart Hammarstrom | SE | Huddinge | 2013-12-05 / 20130323819 - EXPRESSION OF ANTIBODY OR A FRAGMENT THEREOF IN LACTOBACILLUS | 3 |
Ola Hammarstrom | SE | Lerdala | 2009-05-07 / 20090118643 - Sensor and Guide Wire Assembly | 1 |
Per Hammarstrom | SE | Linkoping | 2009-08-06 / 20090197343 - METHODS FOR DETECTION OF PATHOGENIC PRION PROTEINS ASSOCIATED WITH PRION DISEASES, USING CONJUGATED POLYELECTROLYTES | 1 |
Lars Hammarstrom | SE | Djursholm | 2010-09-16 / 20100234298 - MATRIX PROTEIN COMPOSITIONS FOR INDUCTION OF APOPTOSIS | 1 |
Lars-Göran Lennart Hammarström | US | 2012-06-07 / 20120141503 - Heavy Chain and Domain Antibodies | 1 | |
Per, Jonas Hammarström | SE | Linkoping | 2012-02-02 / 20120026332 - Vision Method and System for Automatically Detecting Objects in Front of a Motor Vehicle | 2 |
Jonas Hammarström | SE | Linkoping | 2014-03-20 / 20140078291 - Driver Assisting System and Method for a Motor Vehicle | 2 |
Lennart Hammarström | SE | Huddinge | 2011-12-22 / 20110311475 - MEANS AND METHOD FOR THE TREATMENT OF ANTIBODY DEFICIENCY DISEASES BASED ON IL-21 AND IL-21 VARIANTS | 1 |
Lennart Hammarström | SE | Huddinge | 2011-12-22 / 20110311475 - MEANS AND METHOD FOR THE TREATMENT OF ANTIBODY DEFICIENCY DISEASES BASED ON IL-21 AND IL-21 VARIANTS | 1 |
Per, Jonas Hammarström | SE | Linkoping | 2012-02-02 / 20120026332 - Vision Method and System for Automatically Detecting Objects in Front of a Motor Vehicle | 2 |
Lars-Göran Lennart Hammarström | SE | Huddinge | 2009-09-10 / 20090226418 - Food Products Comprising Probiotic Micro-Organisms and Antibodies | 2 |
Lars-Göran Lennart Hammarström | US | 2012-06-07 / 20120141503 - Heavy Chain and Domain Antibodies | 1 | |
Lars-Göran Lennart Hammarström | SE | Huddinge | 2009-09-10 / 20090226418 - Food Products Comprising Probiotic Micro-Organisms and Antibodies | 2 |
Lars-Göran Lennart Hammarström | SE | Huddinge | 2011-05-26 / 20110123546 - Compositions Comprising Antibodies or Antibody Fragments | 2 |
Lars-Göran Lennart Hammarström | SE | Huddinge | 2011-05-26 / 20110123546 - Compositions Comprising Antibodies or Antibody Fragments | 2 |
David Hammarwall | SE | Stockholm | 2014-12-11 / 20140362937 - System and Method for Allocating Transmission Resources | 25 |
David Hammarwall | SE | Vallentuna | 2016-05-19 / 20160143039 - Methods and Devices for Controlling Resource Usage | 46 |
Ashton T. Hamme | US | St. Louis | 2009-06-18 / 20090156685 - (R)-CHIRAL HALOGENATED SUBSTITUTED FUSED HETEROCYCLIC AMINO COMPOUNDS USEFUL FOR INHIBITING CHOLESTEROL ESTER TRANSFER PROTEIN ACTIVITY | 1 |
Arton Hamme | US | Abbottstown | 2014-08-07 / 20140215954 - PAPERBOARD SHELL FOR SUPPORTING CONCRETE REINFORCEMENT ELEMENT DURING CONCRETE POUR | 1 |
Damian Hamme | US | Horsham | 2013-06-20 / 20130155968 - METHOD FOR CHANNEL ESTIMATION AND PILOT RECEPTION FOR REMOTE RADIO HEAD (RRH) DEPLOYMENTS AND MULTI-ANTENNA DOWNLINK MIMO | 1 |
Damian C. Hamme | US | Horsham | 2013-08-01 / 20130195044 - Method For Transmission Of An E-DCH Control Channel In MIMO Operations | 2 |
Albert W. Hammeke | US | Tolland | 2013-04-25 / 20130101423 - AIRFOIL DEVICES, LEADING EDGE COMPONENTS, AND METHODS OF MAKING | 1 |
Devon Beau Hammel | US | St. Paul | 2011-07-28 / 20110180112 - METHOD OF REMOVING/PREVENTING REDEPOSITION OF PROTEIN SOILS | 2 |
Allan D. Hammel | US | Grant | 2015-12-24 / 20150367287 - SPLICED FIBER-REINFORCED OUTER SHELL FOR CYLINDRICAL FILTRATION ELEMENT | 1 |
Ernst Hammel | DE | Wien | 2009-01-08 / 20090008062 - Heat Transport Medium and Heating or Cooling System with the Medium | 1 |
Charles F. Hammel | US | Escondido | 2010-03-11 / 20100059428 - System for Removal of Metals from Aqueous Solutions | 2 |
Bent Hammel | NO | Oslo | 2011-07-14 / 20110168212 - WAFER STACK CLEANING | 1 |
Philipe Hammel | CH | Geneva | 2014-07-17 / 20140199237 - METHODS AND COMPOSITIONS FOR MODULATION OF OLFML3 MEDIATED ANGIOGENESIS | 1 |
Howard S. Hammel | US | 2009-11-12 / 20090278097 - Compositions and Methods for Reducing Fire Hazard of Flammable Refrigerants | 1 | |
Maguelonne Hammel | FR | Montigny Le Bretonneux | 2009-05-21 / 20090130615 - Method for Calcination of a Material with Low NOchi Emissions | 1 |
David Hammel | IL | Kiryat Tivon | 2012-08-23 / 20120210853 - UAV SYSTEM AND METHOD | 1 |
Dana Carmel Hammel | US | Georgetown | 2009-02-05 / 20090036523 - PRODRUGS OF CANNABIDIOL, COMPOSITIONS COMPRISING PRODRUGS OF CANNABIDIOL AND METHODS OF USING THE SAME | 2 |
Ernst Hammel | AT | Vienna | 2009-01-08 / 20090010822 - APPARATUS FOR THE PRODUCTION OF CARBON NANOTUBES | 2 |
Philippe Hammel | CH | Geneva | 2015-10-22 / 20150299306 - METHODS AND COMPOSITIONS FOR MODULATION OF OLFML3 MEDIATED ANGIOGENESIS | 6 |
Wolfgang Hammel | DE | Bruchsal | 2015-12-17 / 20150365028 - Method for Determining the Rotor Position of a Synchronous Machine Operated in Field-Oriented Manner | 7 |
Jeffrey L. Hammel | US | Birch Run | 2009-02-26 / 20090052179 - Race Track Lighting Fixture and Race Track lighting System | 1 |
Maguelonne Hammel | FR | Saint Maurice | 2011-04-14 / 20110084236 - Steam Reforming Process With Improved Flue Gas Flow | 1 |
Jason Hammel | US | Neenah | 2011-02-17 / 20110037241 - AUTOMATIC PNEUMATIC/ELECTRICAL COUPLER SYSTEM FOR TRACTOR-TRAILER COMBINATION VEHICLES | 1 |
Ernst Hammel | AT | Wien | 2014-11-06 / 20140328749 - USE OF A PROCESS FOR HYDROGEN PRODUCTION | 6 |
Lori Hammel | US | Springfield | 2008-10-02 / 20080238011 - Mobile article transporter | 1 |
Howard S. Hammel | US | Bear | 2013-12-12 / 20130327996 - COMPOSITIONS AND METHODS FOR REDUCING FIRE HAZARD OF FLAMMABLE REFRIGERANTS | 4 |
Jeff Hammel | US | York | 2012-06-28 / 20120160251 - Electronic rechargeable smoking unit | 1 |
Devon Beau Hammel | US | Minneapolis | 2015-07-30 / 20150210962 - HIGH MOLECULAR WEIGHT POLYACRYLATES FOR ALUMINUM PROTECTION IN WAREWASH APPLICATIONS | 5 |
William S. Hammel | US | Franksville | 2014-09-18 / 20140265955 - Autotransformer System Reducing Total Harmonic Distortion | 2 |
Wallace J. Hammel | US | Ringgold | 2008-11-06 / 20080271840 - Floor Covering Containing Polyvinyl Butyral and Method of Making the Same | 1 |
Christian Hammel | DE | Pfedelbach-Oberohrn | 2015-12-24 / 20150369247 - RADIAL- ODER DIAGONALVENTILATOR | 5 |
Andreas Hammel | DE | Wenzenbach | 2012-07-26 / 20120187839 - LUMINOUS MEANS | 1 |
Kenneth Hammel | US | Springfield | 2008-10-02 / 20080238011 - Mobile article transporter | 1 |
Konrad Hammel | CA | Waterloo | 2013-08-22 / 20130219465 - METHOD AND APPARATUS FOR SEPARATION OF CONNECTION DATA BY PERIMETER TYPE | 1 |
Howard Hammel | US | Springfield | 2008-10-02 / 20080238011 - Mobile article transporter | 1 |
Christian Hammel | DE | Pfedelbach-Oberohm | 2014-07-31 / 20140209275 - Ventilation Device, In Particular For Heating, Cooling, and/or Humidifying Air In Residential Buildings | 1 |
David Eli Hammel | US | San Diego | 2016-01-28 / 20160029368 - SYSTEM AND PROCESS FOR LOCATION-BASED INFORMATION RETRIEVAL | 1 |
Bradley Bomar Hammel | US | Waltham | 2015-12-24 / 20150370752 - TRANSLATION OF UNIVERSAL ARMAMENT INTERFACE (UAI) TO MILITARY STANDARD (MIL-STD-1760) MESSAGING INTERFACE | 3 |
Charles Hammel | US | Austin | 2015-05-21 / 20150142685 - METHODS AND APPARATUS HAVING APPLICABILITY TO EVALUATION OF HIRING CANDIDATES | 1 |
Dirk Hammel | DE | Worms | 2009-01-22 / 20090023937 - 9-CYANO-SUBSTITUTED PERYLENE-3,4-DICARBOXYLIC ACID MONOIMIDES | 1 |
Jonathan F. Hammel | CA | Ottawa | 2013-01-10 / 20130014250 - WIRELESS COMMUNICATION DEVICE WITH PASSWORD PROTECTION AND RELATED METHOD | 1 |
Sheryl Hammel | US | Springfield | 2008-10-02 / 20080238011 - Mobile article transporter | 1 |
Hans-Gerd Hammelbeck | DE | Lippstadt | 2011-05-26 / 20110122639 - ADJUSTMENT SYSTEM FOR AT LEAST ONE LIGHT MODULE OF A LIGHTING APPARATUS OF A VEHICLE AND LIGHTING APPARATUS FOR VEHICLES | 1 |
Jonathan F. Hammell | CA | Dobbinton | 2012-07-26 / 20120191978 - SYSTEM AND METHOD FOR SECURING DATA FOR REDIRECTING AND TRANSPORTING OVER A WIRELESS NETWORK | 5 |
Bradley R. Hammell | US | Fairfield | 2008-12-11 / 20080306885 - System and method for authenticating indicia using identity-based signature scheme | 1 |
Bradley R. Hammell | US | Bridgeport | 2011-11-03 / 20110267638 - FRAUD DETECTION IN A POSTAGE SYSTEM | 7 |
Eugene J. Hammell | US | Mcmurray | 2012-07-12 / 20120179175 - SURGICAL PATCH COVER AND METHOD OF USE | 1 |
Bradley R. Hammell | US | Southbury | 2010-02-25 / 20100049536 - METHODS FOR CREATING CONSOLIDATED MAILPIECES | 1 |
Molly Hammell | US | Cold Spring Harbor | 2014-04-24 / 20140113952 - TRANSPOSABLE ELEMENTS, TDP-43, AND NEURODEGENERATIVE DISORDERS | 1 |
James A. Hammell | US | Hainesport | 2013-12-26 / 20130340807 - FOLDABLE SOLAR TRACKING SYSTEM, ASSEMBLY AND METHOD FOR ASSEMBLY, SHIPPING AND INSTALLATION OF THE SAME | 1 |
Jonathan F. Hammell | CA | Ottawa | 2013-01-03 / 20130007770 - PACKET-BASED COMMUNICATION SYSTEM AND METHOD | 3 |
Dana Carmel Hammell | US | Georgetown | 2015-08-06 / 20150218121 - PRODRUGS OF TETRAHYDROCANNABINOL, COMPOSITIONS COMPRISING PRODRUGS OF TETRAHYDROCANNABINOL AND METHODS OF USING THE SAME | 6 |
Lynne Hammell | US | Boston | 2016-03-24 / 20160081559 - THERMOMETER WITH WIRELESS FUNCTIONALITY | 1 |
Brent Hammell | US | Newton | 2013-12-26 / 20130341506 - Method and Apparatus for Electron Pattern Imaging | 1 |
Robert Hammell | US | Killingworth | 2008-10-23 / 20080257654 - Counterweight With Partially Imbedded Buffer | 1 |
Ullrich Hammelmaier | DE | Paderborn | 2012-09-13 / 20120228846 - STABILIZER, AND METHOD OF PRODUCING A STABILIZER | 4 |
Ullrich Hammelmeier | DE | Paderborn | 2011-08-18 / 20110198820 - Stabilizer and a method for producing a stabilizer | 2 |
Jesper Hammelsvang | US | Orlando | 2015-02-12 / 20150042092 - ISOCHRONOUS WIND TURBINE GENERATOR CAPABLE OF STAND-ALONE OPERATION | 1 |
Craig Robert Hammen | US | Kaukauna | 2014-11-27 / 20140345815 - PRODUCT HAVING A PAPER LAYER AND A FILM LAYER AND METHODS OF FORMING SUCH A PRODUCT | 1 |
Oliver Hammen | DE | Wintersheim | 2014-07-17 / 20140200380 - Process for Preparing 1,3-Butadiene from N-Butenes by Oxidative Dehydrogenation | 2 |
Richard R. Hammen | US | Waupaca | 2009-05-14 / 20090120782 - Atmospheric Treater With Roller Confined Discharge Chamber | 1 |
Clara Hammen | DE | Darmstadt | 2008-11-06 / 20080275879 - METHOD AND DEVICE FOR CONTROLLING THE ACCESS TO KNOWLEDGE NETWORKS | 1 |
Bjoern Hammen | DE | Simmern | 2013-07-25 / 20130189033 - CONSTRUCTION MACHINE, IN PARTICULAR ROAD CONSTRUCTION MACHINE, FOR EXAMPLE TANDEM ROLLER, HAVING A VIBRATION-DECOUPLED OPERATOR PLATFORM STRUCTURE AND HAVING A HITCH DEVICE | 1 |
William E. Hammen | US | Kaukauna | 2009-11-26 / 20090288589 - Portable boat lounge and a method of attaching the portable boat lounge to the sides of a boat | 1 |
Donald W. Hammen | US | Rochester | 2010-05-27 / 20100127447 - DOCUMENT TRANSPORT APPARATUS | 1 |
Axel Hammen | CH | Lengnau | 2014-06-19 / 20140171891 - TAMPON HAVING A HOLLOW SPACE | 4 |
Michael Hammer | US | Reston | 2013-09-19 / 20130243075 - COORDINATING VIDEO DELIVERY WITH RADIO FREQUENCY CONDITIONS | 3 |
Joachim Hammer | US | Redmond | 2014-11-20 / 20140344221 - PARTITIONING ONLINE DATABASES | 3 |
Jürgen Hammer | AT | Graz | 2010-10-28 / 20100270827 - MOUNTING FRAME MEMBER FOR MOTOR VEHICLE TAILGATE HAVING FRAMELESS WINDOW PANE | 1 |
Andreas Hammer | DE | Ludwigsburg | 2013-09-05 / 20130231842 - METHOD FOR OPERATING A DRIVE DEVICE | 1 |
Franz Hammer | DE | Germering | 2014-02-20 / 20140050548 - FASTENING CLIP | 1 |
Karen Hammer | DE | Munich | 2013-05-02 / 20130105476 - REFILLABLE CONTAINER SYSTEM AND METHOD FOR ASSEMBLY | 1 |
Maik Hammer | DE | Eching | 2014-07-24 / 20140201959 - Component Connection and a Method for Connecting Two Components | 3 |
Mette F.m. Hammer | IE | Dublin | 2013-12-05 / 20130326032 - RESOURCE CONFIGURATION FOR A NETWORK DATA PROCESSING SYSTEM | 1 |
Christian Hammer | DE | Regensburg | 2015-10-22 / 20150303006 - ON-LOAD TAP CHANGER | 10 |
Kenneth Wayne Hammer | US | Lutz | 2012-05-10 / 20120115491 - Re-Routing Calls From Mobile Stations | 2 |
Stephen Carl Hammer | US | Marietta | 2011-06-02 / 20110131596 - VIDEO STREAM MEASUREMENT METHOD AND SYSTEM | 1 |
Eva-Maria Hammer | DE | Oldenburg | 2015-10-22 / 20150303477 - Use of Conductive Polymers in Battery Electrodes | 1 |
Michael P. Hammer | US | Herndon | 2013-12-12 / 20130329633 - SYSTEM AND METHOD FOR TRANSPORTING DIGITAL BASEBAND STREAMS IN A NETWORK ENVIRONMENT | 1 |
Hans Hammer | DE | Pfaffenhofen | 2014-05-22 / 20140138049 - VEHICLE WITH AIR CONDITIONER | 5 |
Dietmar Hammer | AT | Treffen | 2015-08-27 / 20150243533 - DEVICE AND METHOD FOR REMOVING LIQUID FROM A SURFACE OF A DISC-LIKE ARTICLE | 2 |
Thorge Hammer | DE | Tappenbeck | 2015-11-05 / 20150314392 - Method for Stitch-Welding a Front Flange Joint | 1 |
Matthias Hammer | DE | Munich | 2015-02-19 / 20150049757 - Method for Transmitting and Receiving of an Information-Signal Via a Network, Transmitter and Receiver for Application of Method and Splitter Unit for Application Within the Network | 1 |
Daniel X. Hammer | US | Bedford | 2014-09-04 / 20140247427 - Hybrid Spectral Domain Optical Coherence Tomography Line Scanning Laser Ophthalmoscope | 10 |
Kenneth W. Hammer | US | Lutz | 2014-06-12 / 20140162619 - METHODS, APPARATUSES AND COMPUTER PROGRAM PRODUCTS FOR SECURING COMMUNICATIONS | 1 |
Jeremiah Thomas Hammer | US | Ann Arbor | 2016-04-07 / 20160096552 - HOOD ASSEMBLY | 4 |
Daniel X. Hammer | US | Bedfprd | 2014-09-04 / 20140247425 - Multi-Functional Adaptive Optics Retinal Imaging | 1 |
Christian Hammer | DE | Meitingen | 2014-12-18 / 20140370394 - METHOD FOR PRODUCING COATED ACTIVE MATERIALS, CORE, BATTERY AND METHOD FOR PRODUCING BATTERIES USING THE MATERIALS | 1 |
Martin Hammer | BE | Arendonk | 2014-08-21 / 20140232767 - DRIVING OF A COLOR SEQUENTIAL DISPLAY | 2 |
Thomas Hammer | DE | Hemhofen | 2016-03-10 / 20160069221 - THERMAL WATER TREATMENT FOR STIG POWER STATION CONCEPTS | 12 |
James E. Hammer | US | Tacoma | 2015-03-12 / 20150071715 - RETAINING WALL SYSTEM | 1 |
Aaron C. Hammer | US | Houston | 2016-04-07 / 20160097267 - MULTI-ZONE COMPLETION ASSEMBLY INSTALLATION AND TESTING | 12 |
Daniel A. Hammer | US | Villanova | 2014-04-17 / 20140105818 - Novel Vesicles and Nanostructures from Recombinant Proteins | 5 |
Joseph C. Hammer | US | Lexington | 2012-11-01 / 20120276818 - MULTI-JET NOZZLE | 2 |
Joseph J. Hammer | US | Hillsborough | 2013-07-04 / 20130172927 - ADHESIVE STRUCTURE WITH TISSUE PIERCING PROTRUSIONS ON ITS SURFACE | 6 |
James Donald Hammer | US | Uxbridge | 2013-11-07 / 20130295024 - AEROSOL EMULSIONS | 4 |
Daniel Hammer | US | Prairie Village | 2008-10-30 / 20080265084 - STABILIZER DISPENSER AND CONTAINER | 1 |
Steve Hammer | US | Overland Park | 2008-10-30 / 20080265084 - STABILIZER DISPENSER AND CONTAINER | 1 |
Joseph J. Hammer | US | Bridgewater | 2012-06-28 / 20120165939 - NONWOVEN TISSUE SCAFFOLD | 3 |
Daniel X. Hammer | US | Bedford | 2014-09-04 / 20140247427 - Hybrid Spectral Domain Optical Coherence Tomography Line Scanning Laser Ophthalmoscope | 10 |
Bryan Hammer | US | Rochester | 2009-11-26 / 20090288925 - LAPTOP BAG WITH WINDOW AND POCKET INSERT TRAYS WITH WINDOW COVER | 1 |
Leslie G. Hammer | US | Granby | 2011-02-10 / 20110030625 - APPARATUS AND SYSTEM FOR THE PRODUCTION OF OZONE FOR AN INTERNAL COMBUSTION ENGINE | 2 |
Matthew Hammer | US | Dallas | 2011-02-10 / 20110031397 - METHOD FOR STEM SAMPLE INSPECTION IN A CHARGED PARTICLE BEAM INSTRUMENT | 4 |
Aaron C. Hammer | US | Houston | 2016-04-07 / 20160097267 - MULTI-ZONE COMPLETION ASSEMBLY INSTALLATION AND TESTING | 12 |
Robert F. Hammer | US | St. Louis | 2011-01-20 / 20110013397 - LED LUMINAIRE | 2 |
Elizabeth R. Hammer | US | Atlanta | 2010-12-30 / 20100332962 - DETERMINING WEB ANALYTICS INFORMATION | 1 |
Stephen C. Hammer | US | Atlanta | 2010-12-30 / 20100332962 - DETERMINING WEB ANALYTICS INFORMATION | 1 |
Michael P. Hammer | US | Reston | 2016-04-14 / 20160105787 - Method and System for Discovering User Equipment in a Network | 11 |
Mark Hammer | US | Gainesville | 2010-11-11 / 20100285451 - DETECTION OF SUB-CELLULAR COMPARTMENT LOCALIZATION OF A MOLECULE USING A REDUCED AFFINITY ENZYME COMPLEMENTATION REPORTER SYSTEM | 1 |
Robert P. Hammer | US | Baton Rouge | 2014-04-10 / 20140100135 - DETECTION OF NUCLEIC ACID SEQUENCE DIFFERENCES USING THE LIGASE DETECTION REACTION WITH ADDRESSABLE ARRAYS | 12 |
James L. Hammer | US | Wichita | 2014-09-25 / 20140288731 - Flight Control System | 3 |
Heribert Hammer | DE | Munich | 2014-12-11 / 20140361201 - APPARATUS, SYSTEM AND METHOD FOR READING OUT X-RAY INFORMATION STORED IN STORAGE PHOSPHOR PANELS | 1 |
Michael Hammer | US | Pine Brook | 2016-03-03 / 20160058479 - SURGICAL CROSS CONNECTOR | 8 |
John M. Hammer | US | Norcross | 2010-04-01 / 20100083382 - Method and System for Managing Computer Security Information | 1 |
Vincent M. Hammer | US | Milton | 2011-10-20 / 20110254839 - Systems and Methods for Creating Near Real-Time Embossed Meshes | 3 |
Kyle E. Hammer | US | Syosset | 2011-11-03 / 20110270735 - Establishing an Inventory Management and Trading Application for Alternative, Illiquid Repurchase Agreement Markets | 2 |
Mike Hammer | US | Phoenix | 2009-07-30 / 20090189013 - NON-HANDED ENGINE COWL DOORS FOR FUSELAGE MOUNTED TURBINE ENGINES | 1 |
Rodney L. Hammer | US | Lewiston | 2015-04-30 / 20150119202 - EXERCISE DEVICE WITH BRAKING SYSTEM | 3 |
Curtis R. Hammer | US | Nevada | 2012-05-03 / 20120106694 - SEED COUNTING DEVICE | 3 |
Leslie G. Hammer | US | 2009-04-30 / 20090107112 - Simple device for completely converting diesel fuel into useful energy and little carbon exhaust | 1 | |
Casey Layne Hammer | US | Browns Point | 2009-04-23 / 20090103314 - SYSTEM AND METHOD FOR PROVIDING DECORATIVE FLUOREXCENT LIGHT FIXTURES | 1 |
James Hammer | US | University Place | 2009-02-12 / 20090041552 - RETAINING WALL SYSTEM | 2 |
Steven J. Hammer | US | Lilburn | 2015-10-01 / 20150278566 - IMAGING BARCODE SCANNER WITH MULTIPLE INDEPENDENT FIELDS OF VIEW | 5 |
Randall E. Hammer | US | Muskego | 2016-03-17 / 20160076234 - MAGNETIC BAFFLE RING AND MAGNETIC BAFFLE FOR FOOD WASTE DISPOSER | 7 |
John J. Hammer | US | Sahuarita | 2011-07-28 / 20110181033 - APPARATUS AND METHOD TO VERIFY IDENTITY AND DOCUMENTS | 1 |
Stephen C. Hammer | US | Marietta | 2014-01-09 / 20140013346 - VIDEO STREAM MEASUREMENT | 3 |
Matthew Hammer | US | Stoughton | 2008-11-20 / 20080288950 - Concurrent Management of Adaptive Programs | 2 |
Bruce R. Hammer | US | San Rafael | 2011-10-27 / 20110258771 - SEAT TILT MECHANISM FOR CHAIRS USED BY PEOPLE WITH DISABILITIES | 2 |
Jeffrey Hammer | US | Brooklyn Center | 2014-12-04 / 20140358294 - PERCEIVED COMFORT TEMPERATURE CONTROL | 1 |
Gary D. Hammer | US | Ann Arbor | 2015-03-26 / 20150087649 - TREATING DISORDERS ASSOCIATED WITH ABERRANT ADRENOCORTICAL CELL BEHAVIOR | 1 |
Wendell Hammer | AU | Aitkenvale Qld | 2016-03-03 / 20160061013 - BOREHOLE PUMP ASSEMBLY | 1 |
Jim H. Hammer | US | Livermore | 2014-11-27 / 20140348283 - APPLICATION OF COMPRESSED MAGNETIC FIELDS TO THE IGNITION AND THERMONUCLEAR BURN OF INERTIAL CONFINEMENT FUSION TARGETS | 1 |
Michael Hammer | DE | Fürstenstein | 2014-07-17 / 20140196971 - Drive for an Industrial Truck with Steering Support | 1 |
Liat Hammer | IL | Modiin | 2013-11-07 / 20130296250 - METHOD FOR TREATMENT OF PSORIASIS | 4 |
Ed Hammer | US | Muskegon | 2014-12-25 / 20140375014 - TRAILING ARM SUSPENSION BEAM ASSEMBLY | 2 |
Howard G. Hammer | US | Wayne | 2016-02-25 / 20160057625 - MANAGING HIDDEN SECURITY FEATURES IN USER EQUIPMENT | 4 |
Claes Hammer | SE | Kungsbacka | 2011-08-25 / 20110203756 - MICROSPHERES | 1 |
Joe K. Hammer | US | St. Marys | 2013-07-25 / 20130190959 - SYSTEM AND METHOD FOR MONITORING STATE OF FUNCTION OF A MATERIALS HANDLING VEHICLE | 1 |
Gregory Benson Hammer | US | Stanford | 2013-07-25 / 20130186407 - Single Lung/Lobe Ventilation Endotracheal Tube | 1 |
Markus Hammer | DE | Pielenhofen | 2014-06-12 / 20140159220 - Semiconductor Device and Method of Manufacture Thereof | 8 |
Todd Hammer | US | Washington | 2012-08-16 / 20120208450 - LOCAL MEDIA DELIVERY DEVICE | 1 |
Ifat Hammer | IL | Rehovot | 2015-12-03 / 20150342862 - USE OF ACRYLOYLDIMETHYLTAURATE SALT/VINYL PYRROLIDONE (VP) COPOLYMERS IN THE PREPARATION OF WET WIPES | 1 |
David Hammer | US | Tecumseh | 2015-04-02 / 20150090808 - Coaxial Flow Injector | 2 |
Steven James Hammer | GB | Edinburgh | 2016-03-10 / 20160066832 - APPARATUS FOR DETERMINING VISCOELASTIC CHARACTERISTICS OF AN OBJECT, AND METHOD THEREOF | 1 |
Steven Joel Hammer | US | Lilburn | 2015-04-30 / 20150115033 - Optical Code Scanner With a High Dispersion Optical Lens | 1 |
Guenter Hammer | DE | Forst | 2015-04-30 / 20150120809 - AUTOMATED PROCEDURE FOR KERNEL CHANGE | 1 |
Douglas David Hammer | US | Piedmont | 2015-12-17 / 20150363270 - CONVEYING VALUE OF IMPLEMENTING AN INTEGRATED DATA MANAGEMENT AND PROTECTION SYSTEM | 1 |
Philip E. Hammer | US | Cary | 2015-11-19 / 20150327557 - MODIFIED BIOLOGICAL CONTROL AGENTS AND THEIR USES | 12 |
Forrest Carroll Hammer | US | Mooresville | 2013-05-23 / 20130126377 - Shipping Document Protector Box | 1 |
Joseph Hammer | US | Hillsborough | 2013-08-15 / 20130206330 - ADHESIVE STRUCTURE WITH STIFF PROTRUSIONS ON ADHESIVE SURFACE | 1 |
Gary Hammer | US | Ann Arbor | 2013-10-10 / 20130267550 - Compounds and Methods for Treating Aberrant Adrenocartical Cell Disorders | 1 |
Erling Hammer | NO | Frekhaug | 2013-10-31 / 20130285677 - WATER CONTENT MEASURING APPARATUS | 1 |
Nathan Hammer | US | Centennial | 2013-12-12 / 20130326842 - Fluid Extraction Tool | 1 |
Ariel Hammer | US | Chicago | 2013-12-19 / 20130339906 - Virtual Reality Promotion Experience | 1 |
Jason Hammer | US | Mukilteo | 2015-12-31 / 20150377513 - SELF REGULATING INLINE HEATER | 2 |
Daniel X. Hammer | US | Silver Springs | 2014-01-30 / 20140031677 - Apparatus and Method for Aiding Needle Biopsies | 1 |
Michael Ron Hammer | AU | Sassafras | 2015-05-21 / 20150138533 - OPTICAL ABSORPTION SPECTROMETRY SYSTEM INCLUDING DICHROIC BEAM COMBINER AND SPLITTER | 2 |
Curtis R. Hammer | US | Ankeny | 2014-05-01 / 20140121910 - METHOD OF OPERATING A PLANTER FOR PLANTING SEEDS IN A FIELD FOR EXPERIMENTAL PURPOSES | 1 |
Martin Hammer | NL | Eindhoven | 2014-01-02 / 20140002479 - APPARATUSES AND METHODS FOR ANALYZING IMAGE GRADINGS | 1 |
Kenneth B. Hammer | US | Cary | 2015-09-17 / 20150262115 - INTERACTIVE ORDER FULFILLMENT SYSTEM | 1 |
Wolfgang Hammer | DE | Wiesloch | 2014-09-18 / 20140263641 - METHOD AND INFORMATION CARRIER FOR DISTRIBUTING AND/OR TRANSMITTING INFORMATION | 2 |
Michael A. Hammer | US | Cranford | 2015-05-14 / 20150134006 - Lockable Pedicle Fastener | 2 |
Joseph Hammer | US | Raritan | 2014-09-18 / 20140261706 - Media Suction Devices and Methods | 1 |
Edward Hammer | US | Muskegon | 2014-10-30 / 20140319900 - Axle Hub Assembly | 1 |
Brigitte Hammer | DE | Voerde | 2015-08-06 / 20150218684 - Cold-Rolled Flat Steel Product and Method for the Production Thereof | 10 |
Markus Hammer | DE | Pielenhofen | 2014-06-12 / 20140159220 - Semiconductor Device and Method of Manufacture Thereof | 8 |
Jochen Hammer | DE | Stuttgart | 2013-05-16 / 20130118432 - GLOW PLUG AND METHOD FOR PRODUCING A GLOW PENCIL | 5 |
Diana Hammer | DE | Regensburg | 2011-02-10 / 20110033429 - Inducible Gene Expression | 1 |
Benedikt Hammer | DE | Tacherting | 2011-01-13 / 20110008228 - USE OF AQUEOUS GUANIDINIUM FORMATE SOLUTIONS FOR THE SELECTIVE CATALYTIC REDUCTION OF NITROGEN OXIDES IN EXHAUST GASES OF VEHICLES | 2 |
Markus Hammer | DE | Plelenhofen | 2010-12-23 / 20100320460 - SYSTEM FOR SEPARATION OF AN ELECTRICALLY CONDUCTIVE CONNECTION | 1 |
Thomas Hammer | DE | Schwabach | 2009-01-01 / 20090000805 - Barrier System for the Line Bushing of an Electrical Installation | 1 |
Manfred Hammer | DE | Wehr | 2012-06-21 / 20120158363 - Method for monitoring the functioning of a field device | 7 |
Thomas Hammer | DE | Hemhofen | 2016-03-10 / 20160069221 - THERMAL WATER TREATMENT FOR STIG POWER STATION CONCEPTS | 12 |
Moritz Hammer | DE | Munchen | 2010-07-08 / 20100175046 - METHOD AND DATA PROCESSING SYSTEM FOR COMPUTER-ASSISTED PERFORMANCE ANALYSIS OF A DATA PROCESSING SYSTEM | 2 |
Michael Hammer | DE | Reiskirchen | 2015-09-17 / 20150260859 - METHOD AND DEVICE FOR CORRECTING COMPUTED TOMOGRAPHIY MEASUREMENTS, COMPRISING A COORDINATE MEASURING MACHINE | 2 |
Christian Hammer | DE | Ebermannsdorf | 2010-04-08 / 20100088555 - Method for encoding a contactless switching system | 3 |
Christian Hammer | DE | Regensburg | 2015-10-22 / 20150303006 - ON-LOAD TAP CHANGER | 10 |
Uwe Hammer | DE | Hausham | 2010-01-21 / 20100011761 - CHARGING DEVICE WITH EXHAUST GAS TEMPERATURE CONTROL DEVICE | 2 |
Klaus-Dieter Hammer | DE | Mainz | 2011-09-08 / 20110217347 - Antimicrobial films, sponges and sponge cloths | 6 |
Ralf Hammer | DE | Freiberg | 2011-12-29 / 20110318221 - METHOD OF CUTTING SINGLE CRYSTALS | 3 |
Susan Hammer | DE | Ludwigshafen | 2009-10-01 / 20090241413 - Use of Proteins as an Antifoaming Constituent in Fuels | 1 |
Klaus-Dieter Hammer | DE | Langenfeld | 2009-09-03 / 20090220808 - Resin composition comprising waste of resin impregnated material | 2 |
Markus Hammer | DE | Berlin | 2009-07-09 / 20090175861 - USE OF A B-CELL-DEPLETING ANTIBODY FOR TREATMENT OF POLYOMA VIRUS INFECTIONS | 1 |
Helmut Hammer | DE | Heroldsberg | 2011-07-07 / 20110162247 - GRENADE AND GRENADE LAUNCHING APPARATUS | 2 |
Thomas Hammer | DE | Bischofsheim | 2009-05-21 / 20090127041 - Vibration damper with amplitude selective damping force | 1 |
Stefanie Hammer | DE | Berlin | 2015-01-22 / 20150023989 - NEW ANTIBODY DRUG CONJUGATES (ADCS) AND THE USE THEREOF | 3 |
Josef Hammer | DE | Plauen | 2015-03-05 / 20150059359 - ROTARY BODY OF A PRINTING PRESS | 2 |
Christian J. Hammer | DE | Kinding | 2009-02-12 / 20090044174 - DYNAMIC DETECTION OF ATOMIC-SET-SERIALIZABILITY VIOLATIONS | 1 |
Jurgen Hammer | DE | Weiden | 2008-12-18 / 20080308215 - CORRUGATING MACHINE AND METHOD OF PRODUCING CORRUGATED CARDBOARD | 1 |
Peter Hammer | DE | Schweinfurt | 2015-12-24 / 20150369332 - Vibration Damper Assembly, In Particular For The Power Train Of A Vehicle | 4 |
Pavel Hammer | DE | Mossingen/oschingen | 2008-09-25 / 20080229556 - Intermediate Fixing Element | 1 |
Philip Hammer | US | Research Triangle Park | 2011-10-13 / 20110252503 - Plants With Improved Nitrogen Utilization and Stress Tolerance | 1 |
Arne Hammer | DE | Stuttgart | 2013-07-11 / 20130175889 - DEVICE FOR GENERATING ELECTRICAL ENERGY FROM IRREGULAR MOVEMENT | 1 |
Steven Hammer | US | Lilburn | 2013-08-01 / 20130193208 - CONVERTIBLE BARCODE READER | 1 |
Joseph Hammer | US | Bridgewater | 2012-10-04 / 20120253464 - SCAFFOLD FOR CONNECTIVE TISSUE REPAIR | 1 |
Andreas Hammer | DE | Regensburg | 2016-03-17 / 20160076749 - LIGHTING SYSTEM | 1 |
Christoph Hammer | DE | Neu-Anspach | 2016-03-17 / 20160076780 - Process For Operating A Clean Room And Control Device | 1 |
Rolf Hammer | DE | Walldorf | 2016-03-17 / 20160078067 - EXTENSIBILITY OF EMBEDDED DATABASE PROCEDURES | 3 |
Floyd V. Hammer | US | Union | 2016-03-24 / 20160081376 - PRE-PACKAGED MEAL OF FORTIFIED MACARONI AND CHEESE, AND FORTIFIED RICE AND BEANS | 2 |
Brigitte Hammer | DE | Voerde | 2015-08-06 / 20150218684 - Cold-Rolled Flat Steel Product and Method for the Production Thereof | 10 |
Walter F. Hammer | US | Newtown | 2011-11-03 / 20110265911 - Pencil Sharpener, Adapter, and Method of Making Same | 2 |
Robert P. Hammer | US | Acton | 2016-04-21 / 20160106853 - CURCUMIN CONJUGATES FOR TREATING AND PREVENTING CANCERS | 6 |
Ulrich Hammer | DE | Muenchen | 2016-03-31 / 20160090129 - Axle Support for a Motor Vehicle Having a Reinforcing Element Made of Fibrous Composite Material | 1 |
Robert P. Hammer | US | Baton Rouge | 2014-04-10 / 20140100135 - DETECTION OF NUCLEIC ACID SEQUENCE DIFFERENCES USING THE LIGASE DETECTION REACTION WITH ADDRESSABLE ARRAYS | 12 |
Matthew A. Hammer | US | Grundy Center | 2013-06-06 / 20130142911 - PRE-PACKAGED MEAL OF FORTIFIED MACARONI AND CHEESE, AND FORTIFIED RICE AND BEANS | 1 |
Michael Anthony Hammer | US | Pine Brook | 2013-02-07 / 20130035729 - BAYONET COUNTER-TORQUE WRENCH | 1 |
James Hammer | US | Uxbridge | 2012-02-16 / 20120039818 - ANTI-CHAFING AEROSOL POWDER | 1 |
Michael R. Hammer | AU | Sassafras | 2012-02-16 / 20120038270 - XENON FLASH LAMP | 1 |
Michael Hammer | US | Pine Brook | 2016-03-03 / 20160058479 - SURGICAL CROSS CONNECTOR | 8 |
Scott David Hammer | US | Dallas | 2014-11-20 / 20140339803 - MOBILE RESERVOIR SYSTEM AND METHOD FOR REUSING UNUSED CLEAN WATER | 4 |
James Andrew Hammer | US | Kirkland | 2016-03-10 / 20160073197 - SPEAKER DISCOVERY AND ASSIGNMENT | 1 |
Martin R. Hammer | DE | Muenchen | 2015-06-25 / 20150180098 - Energy Storage Device | 1 |
Anders Hammer | SE | Sjobo | 2012-02-09 / 20120030886 - Device for an extendable reception of a ramp | 1 |
Tal Hammer | IL | Ramat Gan | 2015-12-10 / 20150351906 - VENTRICULARLY-ANCHORED PROSTHETIC VALVES | 15 |
Grettir Hammer | DK | Faroe Islands | 2013-06-20 / 20130153513 - DEVICE AND A METHOD FOR REMOVING A LIQUID FROM A WATER SURFACE | 1 |
N. Robert Hammer | US | Tequesta | 2015-07-16 / 20150199367 - USER-CENTRIC INTERFACES FOR INFORMATION MANAGEMENT SYSTEMS | 1 |
Mette Friedel Margareta Hammer | FI | Helsinki | 2014-03-06 / 20140067101 - FACILITATING COMPREHENSION IN COMMUNICATION SYSTEMS | 2 |
Geir Hammer | US | Cypress | 2014-03-06 / 20140064855 - SUBSEA CONNECTING APPARATUS AND METHOD | 1 |
Hanno Hammer | AT | Graz-Lebring | 2014-12-04 / 20140352433 - Micromechanical sensor | 5 |
Maik Hammer | DE | Bruckberg | 2016-05-05 / 20160123363 - Clip Connection and Clip Element | 2 |
James E. Hammer | US | University Place | 2013-11-28 / 20130315679 - RETAINING WALL SYSTEM | 3 |
Josef Hammer | DE | Augsburg | 2014-07-17 / 20140196621 - ROTARY OFFSET PRINTING MACHINE AND METHOD FOR PRINTING ON SUBSTRATES | 1 |
Yair Hammer | IL | Shoham | 2015-08-20 / 20150233829 - METHOD AND SYSTEM FOR IMPROVING RESOLUTION OF A SPECTROMETER | 2 |
Joerg Hammer | CH | Huenenberg | 2015-07-30 / 20150211761 - METHOD OF AUTOMATING A BUILDING, AND BUILDING AUTOMATION SYSTEM | 1 |
Matthias Hammer | DE | Munchen | 2013-10-31 / 20130286886 - Method and System for Controlling Data Packet Transmissions Over Lossy Protocols | 2 |
Mette F. Hammer | IE | Dublin | 2012-12-27 / 20120330951 - PROVIDING ACCURATE RECIPIENT LISTS BY CORRELATING TAGGED KEYWORDS TO RECIPIENT LISTS | 1 |
Walter Hammer | US | Newtown | 2014-03-20 / 20140075681 - Pencil Sharpener | 1 |
Joerg Hammer | CH | Hunenberg | 2012-09-13 / 20120232700 - METHOD FOR CONTROLLING ROOM AUTOMATION SYSTEM | 1 |
Lars Christian Hvidegaard Hammer | DK | Fredericia | 2015-03-05 / 20150064005 - WIND TURBINE BLADE PROVIDED WITH OPTICAL WIND VELOCITY MEASUREMENT SYSTEM | 2 |
Thomas Hammer | DE | Walldorf | 2012-05-31 / 20120137267 - Business Object Service Simulation | 5 |
Günther Hammer | DE | Nurnberg | 2015-08-06 / 20150223203 - METHOD OF ESTABLISHING A COMMUNICATION CONNECTION IN A COMMUNICATION NETWORK, MOBILE DEVICE, A COMPUTER READABLE MEDIUM AND COMPUTER PROGRAM PRODUCT | 1 |
Michael P. Hammer | US | Reston | 2016-04-14 / 20160105787 - Method and System for Discovering User Equipment in a Network | 11 |
Asbjorn Hammer | NO | Aafjord | 2008-08-28 / 20080201978 - Device For Drying Material | 1 |
Wilhelm Hammer | AT | Graz | 2011-12-08 / 20110296742 - PROCESS FOR OBTAINING COMBUSTIBLES AND FUELS, RESPECTIVELY | 2 |
Lars Hammer | DK | Frederiksberg | 2013-04-04 / 20130086037 - ENCAPSULATED, MODEL-CENTRIC AGGREGATION OF DATA FROM DIFFERENTIATED DATA SOURCES | 4 |
Lars Hammer | DK | Federiksberg | 2009-03-05 / 20090064090 - MERGED VIEW OF APPLICATION CUSTOMIZATIONS | 1 |
Walter Hammer | CH | Enges | 2013-05-09 / 20130114614 - ADDRESSABLE NODE UNIT AND METHOD FOR ADDRESSING | 1 |
Jorg Hammer | CH | Hunenberg | 2009-10-01 / 20090249164 - METHOD FOR SERIAL ASYNCHRONOUS TRANSMISSION OF DATA IN AN ARRAGEMENT FOR THE MONITORING, CONTROLLING, AND REGULATING AN OPERATIONAL CONTROL FACILITY OF BUILDING | 1 |
Mette Hammer | IE | Dublin | 2012-11-08 / 20120284353 - ANALYTICS OF HISTORICAL CONVERSATIONS IN RELATION TO PRESENT COMMUNICATION | 3 |
Hermann Hammer | CH | Hemmental | 2010-06-10 / 20100142039 - LOAD SUSPENSION STAND AND MICROSCOPY SYSTEM | 2 |
Hanno Hammer | AT | Graz/lebring | 2010-08-12 / 20100199764 - MICROMECHANICAL RATE-OF-ROTATION SENSOR | 1 |
Karin Hammer | DK | Rungsted Kyst | 2011-06-16 / 20110142986 - TRANSCONJUGANTS OF LACTIC ACID BACTERIA | 2 |
Jürgen Hammer | AT | Graz | 2010-10-28 / 20100270827 - MOUNTING FRAME MEMBER FOR MOTOR VEHICLE TAILGATE HAVING FRAMELESS WINDOW PANE | 1 |
Tal Hammer | IL | Ramat Gan | 2015-12-10 / 20150351906 - VENTRICULARLY-ANCHORED PROSTHETIC VALVES | 15 |
Christoph Hammer | CH | Schaffhausen | 2010-11-04 / 20100276306 - Package | 1 |
Christoph Hammer | CH | Gams | 2010-12-02 / 20100300924 - BLISTER BELT FOR RECEIVING MEDICAL AND/OR PHARMACEUTICAL AND/OR FOOD SUPPLEMENT PRODUCTS | 2 |
Kristin Hammer | SE | Sollentuna | 2014-05-15 / 20140135322 - NOVEL COMPOUNDS AND THEIR USE IN THERAPY | 2 |
Michael Ron Hammer | AU | Victoria | 2009-03-05 / 20090059221 - Plasma spectroscopy system with a gas supply | 1 |
Mette Friedel Margareta Hammer | IE | Dublin | 2011-03-24 / 20110072362 - Meeting Agenda Management | 1 |
Vegard Hammer | NO | Oslo | 2016-02-04 / 20160037129 - Method and Apparatus for Enhanced Caller ID | 4 |
Per Hammer | SE | Ahus | 2015-10-01 / 20150275511 - DAMPENING ASSEMBLY | 3 |
Marc Hammer | FR | Clermont-Ferrand | 2015-10-01 / 20150273955 - METHOD OF MANAGING DATA BETWEEN AN RFID MARKER CARRIED BY A TYRE AND A SENSOR CARRIED BY A RIM | 2 |
Rolf Hammer | DE | Karlsruhe | 2014-12-18 / 20140372398 - NATIVE LANGUAGE SUPPORT FOR INTRA-AND INTERLINKED DATA COLLECTIONS USING A MESH FRAMEWORK | 3 |
Mette F. M. Hammer | IE | Dublin | 2015-08-20 / 20150236995 - SERVER SIDE EMAIL CONTENT MODIFICATION TO SELECTED EMAIL RECIPIENTS | 3 |
Andreas Hammer | DE | Tittling | 2013-12-05 / 20130319774 - DRILL BIT AND CUTTING HEAD FOR DRILL BIT | 2 |
Christian Hammer | DE | Munich | 2013-10-17 / 20130273202 - Process for Producing Cream Cheese | 1 |
Peter Hammer | US | Needham | 2013-10-17 / 20130274874 - CURVED FIBER ARRANGEMENT FOR PROSTHETIC HEART VALVES | 1 |
George Hammerbeck | US | Clermont | / - | 1 |
John Philip Roger Hammerbeck | GB | London | 2013-08-22 / 20130213613 - HEAT TRANSFER DEVICE | 2 |
David M. Hammerbeck | US | Houlton | 2015-04-23 / 20150110784 - THERAPEUTIC COMBINATIONS AND METHODS INCLUDING IRM COMPOUNDS | 1 |
John Phillip Roger Hammerbeck | GB | London | 2010-05-27 / 20100129192 - COMPRESSION METHOD AND MEANS | 1 |
Warren John Hammerbeck | US | Virginia Beach | 2009-12-17 / 20090312882 - SYSTEMS AND METHODS FOR AUTOMATED SIMULATION OF A PROPULSION SYSTEM AND TESTING OF PROPULSION CONTROL SYSTEMS | 1 |
Markus Hammerdinger | DE | Tacherting | 2015-10-08 / 20150285561 - HEAT EXCHANGER | 8 |
Peter Hammerer | DE | Bad Hindelang | 2009-01-01 / 20090000409 - LINEAR GUIDE | 1 |
Dominik Hammerer | AT | Innsbruck | 2015-11-26 / 20150335880 - Impact Protection for Implantable Electric Lead | 7 |
Michael Hammerer | DE | Laupheim | 2015-07-30 / 20150208675 - BRAKE RING | 2 |
David R. Hammerich | US | Chandler | 2014-10-02 / 20140291311 - HEATED ELECTROSTATIC CHUCK AND SEMICONDUCTOR WAFER HEATER AND METHODS FOR MANUFACTURING SAME | 1 |
Jörg Hammerich | DE | Neustadt | 2013-02-21 / 20130045454 - GRATE COOLER FOR A CEMENT CLINKER KILN | 1 |
Reiner P. Hammerich | DE | Rauenberg | 2013-06-20 / 20130159468 - COMPUTER IMPLEMENTED METHOD, COMPUTER SYSTEM, ELECTRONIC INTERFACE, MOBILE COMPUTING DEVICE AND COMPUTER READABLE MEDIUM | 3 |
David Hammerich | US | Fremont | 2015-12-31 / 20150376760 - EMISSIVITY CONTROLLED COATINGS FOR SEMICONDUCTOR CHAMBER COMPONENTS | 1 |
Reiner Hammerich | DE | Rauenberg | 2016-04-07 / 20160098425 - HETEROGENEOUS DATABASE PROCESSING ARCHETYPES FOR HYBRID SYSTEM | 2 |
Jörg Hammerich | DE | Neustadt | 2013-02-21 / 20130045454 - GRATE COOLER FOR A CEMENT CLINKER KILN | 1 |
Kay Hammerl | DE | Walldorf | 2015-03-19 / 20150081494 - CALIBRATION OF STRATEGIES FOR FRAUD DETECTION | 1 |
German Hammerl | DE | Kissing | 2011-08-18 / 20110198394 - SYSTEM AND METHOD FOR LONG-TERM ARCHIVING OF DIGITAL DATA | 1 |
Alois Hammerl | AT | Unterach | 2010-06-24 / 20100155271 - Packaging for Small Bottles | 1 |
Kay Hammerl | DE | St. Leon-Rot | 2012-05-17 / 20120124545 - Dialog Generation | 1 |
Christoph Hammerle | CH | Zurich | 2011-01-06 / 20110003745 - GRANULATE-MATRIX | 2 |
Martin Hammerle | AT | Sulz | 2010-12-30 / 20100330219 - PROCESS AND DEVICE FOR PRODUCING HOLLOW BODIES | 1 |
Gunter Hammerle | AT | Graz | 2012-01-12 / 20120009613 - PHARMACEUTICAL COMPOSITION FOR MODULATING THE ACTIVITY OF A NOVEL TRIGLYCERIDE HYDROLASE | 2 |
Robert Henry Hammerle | US | Franklin | 2011-08-25 / 20110206584 - PALLADIUM-CONTANING OXIDATION CATALYST | 4 |
Lauren Hammerle | US | Sayville | 2015-10-29 / 20150305960 - MULTI-COMPARTMENTALIZED HAND REHABILITATION GLOVE | 1 |
Stefan Hammerle | DE | Bernbeuren | 2015-12-10 / 20150354847 - CONTROL OF COOLING FAN ON CURRENT | 1 |
Hermann Hammerle | AT | Lustenau | 2013-04-11 / 20130088132 - RETRACTING DEVICE FOR RETRACTING A MOVABLY SUPPORTED FURNITURE PART | 4 |
Eugene John Hammerle | US | Alexandria | 2013-06-06 / 20130143783 - Method for improving engine wear and corrosion resistance | 1 |
Bo Hammerlid | US | Greensboro | 2010-03-11 / 20100063697 - METHOD FOR ADAPTING AN AUTOMATIC MECHANICAL TRANSMISSION ON A HEAVY VEHICLE | 1 |
Wayne Hammerly | US | Newport Beach | 2009-11-19 / 20090287737 - ARCHITECTURE FOR ENABLING RAPID DATABASE AND APPLICATION DEVELOPMENT | 1 |
Peter Hammerman | US | Jamaica Plain | 2013-10-03 / 20130261017 - DDR2 Mutations in Squamous Cell Lung Cancer | 1 |
Steven M. Hammerman | US | Houston | 2015-12-03 / 20150348444 - Surgical Simulation Device and Assembly | 3 |
John Hammerman | US | Bozeman | 2015-02-12 / 20150042102 - SELF GENERATING POWER GENERATOR FOR CRYOGENIC SYSTEMS | 4 |
Marc Hammerman | US | St. Louis | 2011-01-27 / 20110020294 - INDUCEMENT OF ORGANOGENETIC TOLERANCE FOR PANCREATIC XENOTRANSPLANT | 1 |
Marc R. Hammerman | US | St. Louis | 2008-12-25 / 20080318202 - CHIMERIC PANCREAS | 1 |
Peter Hammerman | US | Newton | 2016-05-05 / 20160122829 - Compositions and Methods for Identification, Assessment, Prevention, and Treatment of Cancer Using PD-L1 Isoforms | 1 |
Ernst Hammermayer | AT | Wien | 2009-02-12 / 20090038920 - SWITCHING DEVICE | 1 |
Dwight R. Hammermeister | US | Brainerd | 2011-01-20 / 20110013378 - BACKLIT APPARATUSES AND METHODS THEREFOR | 1 |
Hans-Joerg Gerg Hammers | US | Laurel | 2014-02-13 / 20140044736 - PROCOLLAGEN CARBOXY-TERMINAL PROPEPTIDES AS A TARGET AND TREATMENT FOR ANGIOGENESIS RELATED DISEASES | 1 |
Larry W. Hammers | US | Wichita Falls | 2014-12-25 / 20140374452 - Holsters For Concealed Carry Of A Handgun | 1 |
Brian J. Hammers | US | Appleton | 2014-07-31 / 20140213164 - FUME EVACUATION SYSTEM | 7 |
Stephen Michael Hammers | US | Brentwood | 2013-11-14 / 20130304670 - Computer-Generated Investment Index | 1 |
Gary Hammers | US | Topeka | 2010-01-14 / 20100008459 - Calibrating A Phase Detector And Analog-To-Digital Converter Offset And Gain | 1 |
Brian J. Hammers | US | Fremont | 2013-05-16 / 20130122795 - WELDING FUME EXTRACTOR | 1 |
Jan Hammerschmidt | SK | Muzla | 2012-05-10 / 20120111846 - HEATED SET, IN PARTICULAR FOR SEATS OF BENCHES | 1 |
Beda Hammerschmidt | US | Redwood Shores | 2014-01-30 / 20140032615 - EFFICIENTLY REGISTERING A RELATIONAL SCHEMA | 1 |
Joachim S. Hammerschmidt | US | Mountain View | 2012-12-06 / 20120311173 - Dynamic Wireless Channel Selection And Protocol Control For Streaming Media | 9 |
Joachim Hammerschmidt | US | Mountain View | 2013-07-11 / 20130177891 - AUDIO-VISUAL LEARNING SYSTEM | 3 |
Beda Christoph Hammerschmidt | US | San Carlos | 2013-01-03 / 20130006964 - Technique and Framework to Provide Diagnosability for XML Query/DML Rewrite and XML Index Selection | 3 |
Dominik Hammerschmidt | DE | Lindlar | 2015-11-19 / 20150328605 - METHOD AND DEVICE FOR SUSPENDING CELLS | 1 |
Beda Hammerschmidt | US | Los Gatos | 2015-05-14 / 20150134670 - Generic Indexing for Efficiently Supporting Ad-Hoc Query Over Hierarchically Marked-Up Data | 3 |
Christof Hammerschmidt | DE | Wiesbaden | 2013-09-12 / 20130233752 - Packaging Assembly | 1 |
Albert Hammerschmidt | DE | Erlangen | 2014-03-20 / 20140077402 - HUMIDIFICATION CELL | 3 |
Joachim S. Hammerschmidt | US | Bethlehem | 2011-12-08 / 20110299618 - MULTIPLE-BRANCH WIRELESS RECEIVER | 1 |
Joerg Hammerschmidt | DE | Bad Homburg | 2011-08-11 / 20110195016 - PROCESS AND PLANT FOR PRODUCING CALCINE PRODUCTS | 1 |
Walter Hammerschmidt | CH | Basel | 2011-01-27 / 20110021536 - Crystalline Forms and Two Solvated Forms of 4-Amino-5-fluoro-3-[5-(4-methylpiperazin-1-yl)-1H-benzimidazol-2-yl]quino- lin-2(1H)-one Lactic Acid Salts | 1 |
Friedrich Hammerschmidt | AT | Wien | 2008-08-28 / 20080207916 - Radiolabelled Phenylethyl Imidazole Carboxylic Acid Ester Derivatives | 2 |
Willi Hammerschmidt | DE | Gladbach | 2009-03-05 / 20090061073 - CAST COATING DEVICE | 1 |
Dirk Hammerschmidt | DE | Villach | 2009-03-05 / 20090056433 - SYSTEM FOR ELECTRO-STATICALLY INDICATING MOVEMENT OF TREAD | 1 |
Scott D. Hammerschmidt | US | Eudora | 2009-07-02 / 20090171665 - METHOD AND APPARATUS FOR CREATING AND MODIFYING NAVIGATION VOICE SYNTAX | 1 |
Joachim S. Hammerschmidt | US | Mountain View | 2012-12-06 / 20120311173 - Dynamic Wireless Channel Selection And Protocol Control For Streaming Media | 9 |
Beda Hammerschmidt | US | San Carlos | 2011-07-21 / 20110179085 - Using Node Identifiers In Materialized XML Views And Indexes To Directly Navigate To And Within XML Fragments | 1 |
Dirk Hammerschmidt | AT | Villach | 2016-05-19 / 20160142080 - RECEIVER, SENDER, METHOD FOR RETRIEVING AN ADDITIONAL DATUM FROM A SIGNAL AND METHOD FOR TRANSMITTING A DATUM AND AN ADDITIONAL DATUM IN A SIGNAL | 104 |
Ulrich Hammerschmidt | AT | Vienna | 2011-02-17 / 20110040688 - METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR THE DECENTRALIZED DISTRIBUTION OF DIGITAL CONTENT | 1 |
Dorte Hammershoi | DK | Aalborg | 2009-02-26 / 20090052703 - System and Method Tracking the Position of a Listener and Transmitting Binaural Audio Data to the Listener | 1 |
Dorte Hammershøi | DK | Aalborg | 2016-04-21 / 20160109284 - METHOD AND DEVICE FOR MODELLING ROOM ACOUSTIC BASED ON MEASURED GEOMETRICAL DATA | 1 |
Richard Harold Hammersla | AU | Vermont | 2012-09-13 / 20120230300 - Radio Network Assignment and Access System | 1 |
Gary Hammerslag | AT | Mondsee | 2014-05-08 / 20140123440 - COUPLING MEMBERS FOR CLOSURE DEVICES AND SYSTEMS | 1 |
Gary Hammerslag | US | Denver | 2015-04-02 / 20150089835 - REEL BASED CLOSURE SYSTEM | 1 |
Edward D. Hammerslag | US | Thousand Oaks | 2009-12-03 / 20090298687 - PRINTABLE IDENTIFICATION MEDIUM FOR USE WITH THERMAL PRINTERS | 3 |
Julius G. Hammerslag | US | La Quinta | 2012-12-13 / 20120316671 - BATTERY CHARGING AND TRANSFER SYSTEM FOR ELECTRICALLY POWERED VEHICLES | 3 |
Gary R. Hammerslag | US | Denver | 2013-10-17 / 20130269219 - TIGHTENING MECHANISMS AND APPLICATIONS INCLUDING THE SAME | 1 |
Gary R. Hammerslag | US | Steamboat Springs | 2015-02-05 / 20150033519 - REEL BASED CLOSURE SYSTEM | 4 |
Edward Dean Hammerslag | US | Thousand Oaks | 2015-08-06 / 20150216595 - OPTIMIZED METHOD OF COATING THE MICROWAVE ABLATION PROBE FOR SURGICAL APPLICATION | 1 |
Scott Hammersley | US | Oakley | 2016-04-28 / 20160119211 - TUNNELED MONITORING SERVICE AND METHOD | 1 |
Scott D. Hammersley | US | Corunna | 2014-05-29 / 20140149588 - INTERNET USE MONITORING SYSTEM AND METHOD | 2 |
Michael Hammersley | US | Redwood City | 2016-05-19 / 20160139698 - DYNAMIC TACTILE INTERFACE AND METHODS | 3 |
Robert J. Hammersley | US | Oak Park | 2011-09-08 / 20110214763 - CARTRIDGE TYPE VORTEX SUPPRESSION DEVICE | 1 |
Michael Hammersley | US | Fremont | 2015-07-23 / 20150205420 - DYNAMIC TACTILE INTERFACE | 3 |
John Hammersley | GB | Derby | 2014-09-11 / 20140258371 - COLLABORATIVE EDITING | 1 |
Donovan Hammersley | CA | White Rock | 2016-04-14 / 20160105977 - Unit for attaching and distribution of multimedia peripherals | 1 |
Tim Gordon Hammersley | GB | Cambridgeshire | 2009-10-22 / 20090262786 - POLARIZATION DIVERSITY | 1 |
Jon Arne Hammersmark | NO | Knarrevik | 2013-10-03 / 20130256030 - METHOD AND DEVICE FOR ESTABLISHING A BOREHOLE IN THE SEABED | 1 |
Dan J. Hammersmark | US | San Mateo | 2011-09-22 / 20110230906 - DEVICES, METHODS AND KITS FOR FORMING TRACTS IN TISSUE | 3 |
Diane R. Hammerstad | US | Corvallis | 2016-03-10 / 20160070514 - MARKING AGENT CREDIT ADJUSTMENTS | 9 |
Diane R. Hammerstad | US | Corvallis | 2016-03-10 / 20160070514 - MARKING AGENT CREDIT ADJUSTMENTS | 9 |
Ray H. Hammerstedt | US | Boalsburg | 2009-01-22 / 20090023203 - Sensor Device for Interrogation of Changes in the Contents of a Sealed Container | 1 |
Roy H. Hammerstedt | US | Boalsburg | 2013-03-14 / 20130066046 - General Method for Generating Ultra-High Affinity Binding Proteins | 1 |
Ronald W. Hammerstedt | CA | Mcbride | 2011-06-23 / 20110150574 - ENVIRONMENTAL CULVERT SYSTEM | 1 |
Stefan Hammerstingl | DE | Munich | 2009-05-14 / 20090120770 - Percussion hand-held power tool with contactless manual switch located in side handle | 3 |
Stefan Hammerstingl | DE | Muenchen | 2016-04-28 / 20160114472 - SIDE HANDLE | 5 |
John F. Hammerstone, Jr. | US | Nazareth | 2012-12-06 / 20120309991 - METHODS FOR EXTRACTING COCOA PROCYANIDINS AND EXTRACTS THEREOF | 1 |
John F. Hammerstone, Jr. | US | Easton | 2010-05-27 / 20100129521 - Heat Processed Products Having Altered Monomer Profiles and Processes For Controlling The Epimerization of (-)-Epicatechin and (+)-Catechin In The Products | 1 |
John F. Hammerstone, Jr. | US | Rockville | 2011-10-20 / 20110257118 - Vascular Circulation in Peripheral and/or Small Blood Vessels | 1 |
Donald J. Hammerstrom | US | West Richland | 2014-07-03 / 20140188689 - DISTRIBUTED HIERARCHICAL CONTROL ARCHITECTURE FOR INTEGRATING SMART GRID ASSETS DURING NORMAL AND DISRUPTED OPERATIONS | 11 |
Kevin Hammerstrom | CA | Richmond | 2010-11-04 / 20100278311 - DEVICE AND METHOD FOR SCALING MEDICAL IMAGES | 1 |
Jeff Hammerstrom | US | San Carlos | 2012-03-22 / 20120070017 - MEDIA DELIVERY SYSTEM WITH IMPROVED INTERACTION | 1 |
Wade Hammerstrom | US | Battle Ground | 2015-01-29 / 20150031254 - QUICK DETACHABLE FIN HOLD SYSTEM | 1 |
Donald J. Hammerstrom | US | West Richland | 2014-07-03 / 20140188689 - DISTRIBUTED HIERARCHICAL CONTROL ARCHITECTURE FOR INTEGRATING SMART GRID ASSETS DURING NORMAL AND DISRUPTED OPERATIONS | 11 |
Jeffrey Allan Hammerstrom | US | San Carlos | 2011-04-14 / 20110085672 - DYNAMIC POWER MANAGEMENT IN A PORTABLE MEDIA DELIVERY SYSTEM | 1 |
Mark Wayne Hammerton | US | Peoria | 2010-04-15 / 20100089888 - Apparatuses and methods for welding and for improving fatigue life of a welded joint | 1 |
Keld Hammerum | DK | Hadsten | 2016-02-04 / 20160032890 - METHOD FOR CONTROLLING A WIND TURBINE DURING SHUTDOWN | 7 |
Markus Hammes | DE | Waldkirch | 2016-04-21 / 20160109616 - Security System for Securing the Surroundings of an Object | 4 |
Jarrod Robert Hammes | US | San Diego | 2011-06-09 / 20110138429 - SYSTEM AND METHOD FOR DELIVERING SELECTIONS OF MULTI-MEDIA CONTENT TO END USER DISPLAY SYSTEMS | 1 |
Stephen R. Hammes | US | Rochester | 2013-05-30 / 20130136753 - PAXILLIN AS A THERAPEUTIC OR DIAGNOSTIC MARKER FOR CANCER | 1 |
Jeffrey Hammes | US | Colorado Springs | 2013-06-20 / 20130157639 - MOBILE ELECTRONIC DEVICES UTILIZING RECONFIGURABLE PROCESSING TECHNIQUES TO ENABLE HIGHER SPEED APPLICATIONS WITH LOWERED POWER CONSUMPTION | 1 |
Petra Christina Anna Hammes | NL | Westervoort | 2015-04-30 / 20150115343 - TRANSISTOR ARRANGEMENT | 1 |
Jarrod Hammes | US | San Diego | 2016-04-07 / 20160098689 - APPLICATION FOR DEVICE EVALUATION AND OTHER PROCESSES ASSOCIATED WITH DEVICE RECYCLING | 1 |
Joachim Hammes | DE | Hattersheim | 2009-04-09 / 20090089943 - Method and Apparatus for the Placement of a Bridge Element | 1 |
Volker Hammes | DE | Neustadt | 2010-07-15 / 20100179238 - LOW FORMALDEHYDE FOAM COMPOSITE | 1 |
Markus Hammes | DE | Dinslaken | 2015-12-24 / 20150372773 - BUILT-IN SELF-TEST FOR RECEIVER | 15 |
Markus Hammes | DE | Freiburg | 2014-05-22 / 20140138518 - OPTICAL DETECTION APPARATUS | 6 |
Gisele Hammes | BR | Florianopolis-Sc | 2011-09-01 / 20110212339 - METALLURGICAL COMPOSITION OF PARTICULATE MATERIALS, SELF-LUBRICATING SINTERED PRODUCTS AND PROCESS FOR OBTAINING SELF-LUBRICATING SINTERED PRODUCTS | 1 |
Petra C., A. Hammes | NL | Ede | 2009-09-03 / 20090218622 - LDMOS TRANSISTOR | 1 |
Petra C.a. Hammes | NL | Ede | 2009-10-29 / 20090267147 - ESD PROTECTED RF TRANSISTOR | 2 |
Paul Ross Hammes | US | Kingsport | 2012-07-05 / 20120167785 - APPARATUS FOR FORMING A BALE HAVING SUBSTANTIALLY FLAT UPPER AND LOWER SURFACES | 2 |
Pierre Hammes | FR | Courbevoie | 2011-02-10 / 20110032917 - METHOD AND DEVICE FOR THE RELIABLE TRANSMISSION OF COMPRESSED NETWORK HEADERS | 1 |
Daniel Hammes | US | Huntley | 2008-11-13 / 20080279983 - PROCESS FOR IMPROVING PRODUCTS OF DRY MILLING | 1 |
Markus Hammes | DE | Dinslaken | 2015-12-24 / 20150372773 - BUILT-IN SELF-TEST FOR RECEIVER | 15 |
Valerio S. Hammes | IT | Casciago | 2010-03-04 / 20100051012 - GRATE TO IMPROVE THE SIMMERING ON A GAS HOB | 1 |
Manfred Hammes | DE | Emmelshausen | 2015-12-17 / 20150361866 - Ground Milling Machine Having A Cooling System, Cooling System, And Method For Cooling A Ground Milling Machine | 5 |
Gisele Hammes | BR | Florianopolis | 2015-04-02 / 20150090403 - Plasma Process and Reactor for Treating Metallic Pieces | 2 |
Peter C. Hammes | US | Washington | 2011-04-28 / 20110099375 - System and Method for Managing Security Testing | 2 |
Paul D. Hammesfahr | US | Wyoming | 2013-07-25 / 20130190458 - LOW STRESS FLOWABLE DENTAL COMPOSITIONS | 17 |
Paul D. Hammesfahr | US | Lewes | 2011-12-29 / 20110315928 - LOW STRESS FLOWABLE DENTAL COMPOSITION | 1 |
Geoffrey G. Hammett | US | Norcross | 2009-06-18 / 20090158351 - AUTHORIZED USER CUSTOMIZATION OF IPG PRESENTATION | 2 |
Keith Hammett | NZ | Auckland | 2016-04-21 / 20160113179 - Dahlia plant named 'Sparkler' | 4 |
Daniel Scott Hammett | GB | Ashford | 2010-11-11 / 20100283491 - Double-Clamp Earth Tester Device | 1 |
Scott Cary Hammett | US | Golden | 2011-04-28 / 20110099154 - Data Deduplication Method Using File System Constructs | 1 |
Benjamin James Hammett | GB | Oxford | 2010-03-25 / 20100073466 - METHOD OF AND APPARATUS FOR PROCESSING IMAGE DATA FOR DISPLAY BY A MULTIPLE-VIEW DISPLAY DEVICE | 1 |
Geoffrey Granville Hammett | US | Norcross | 2015-12-03 / 20150350925 - Radio Frequency Space Division Multiplexing | 2 |
Keith Richard William Hammett | NZ | Auckland | 2015-03-19 / 20150082502 - Cosmos plant named 'HAMCOEC' | 2 |
Victoria Hammett | US | San Francisco | 2016-01-28 / 20160022981 - ELECTRODE SYSTEM FOR ELECTRICAL STIMULATION | 1 |
Eugenio Hamm Hahn | CL | Providencia | 2012-09-20 / 20120237713 - THIN PACKAGING FILM HAVING AN INCIPIENT TEAR | 1 |
Oalid Hammi | SA | Dhahran | 2014-10-30 / 20140323067 - METHOD FOR MEMORY EFFECTS QUANTIFICATION AND COMPARISON IN RF TRANSMITTERS AND AMPLIFIERS | 1 |
Julie Hammi | US | Park City | 2015-03-19 / 20150076178 - PROTECTIVE SPOUT COVER WITH FOOD REGULATING VALVE | 2 |
Oualid Hammi | SA | Dhahran | 2015-08-27 / 20150244553 - SYSTEM AND METHOD FOR JOINT COMPENSATION OF POWER AMPLIFIER'S DISTORTION | 5 |
Oualid Hammi | SA | Ai-Dhahran | 2016-05-05 / 20160126903 - WEIGHTED MEMORY POLYNOMIAL METHOD AND SYSTEM FOR POWER AMPLIFIERS PREDISTORTION | 1 |
Samy Hammi | FR | Paris | 2011-06-23 / 20110146305 - Optimization Of An Overall Heating Capacity Of An Air Conditioning System | 1 |
Yahia Hammiche | FR | Argenteuil | 2015-10-29 / 20150308868 - INSTRUMENT PANEL FOR MOTOR VEHICLES AND METHOD OF ILLUMINATION | 1 |
Kerry Hammil | US | Bellevue | 2009-03-12 / 20090066717 - Color Management System that Enables Dynamic Balancing of Performance with Flexibility | 2 |
Donald R. Hammill | CA | Alberta | 2015-03-19 / 20150077266 - Smart Measurement System | 1 |
John E. Hammill | US | Rossford | 2009-12-10 / 20090306720 - POLYAXIAL BONE SCREW | 2 |
Curt D. Hammill | US | Erie | 2010-08-12 / 20100204698 - Incorporating Rapid Cooling in Tissue Fusion Heating Processes | 2 |
Greg Hammill | US | Carlsbad | 2010-02-18 / 20100042734 - PROXY SERVER ACCESS RESTRICTION APPARATUS, SYSTEMS, AND METHODS | 1 |
Curt D. Hammill | US | Snowmass Village | 2014-08-07 / 20140221995 - DUAL DUROMETER INSULATING BOOT FOR ELECTROSURGICAL FORCEPS | 1 |
Curt D. Hammill | US | Missoula | 2012-11-29 / 20120303021 - Dual Durometer Insulating Boot for Electrosurgical Forceps | 4 |
Curtis B. Hammill | US | Los Gatos | 2008-11-06 / 20080271309 - HEATED SUBSTRATE SUPPORT AND METHOD OF FABRICATING SAME | 1 |
Eric Falbe Hammill | US | Ham Lake | 2016-05-19 / 20160136432 - SYSTEM AND METHOD FOR MAPPING BARORECEPTORS | 3 |
Eric F. Hammill | US | Ham Lake | 2016-03-31 / 20160089183 - NEEDLE ASSEMBLY WITH RETRACTABLE CUTTING EDGE | 4 |
Eric Hammill | US | Ham Lake | 2011-06-09 / 20110137383 - IMPLANTABLE LEADS PERMITTING FUNCTIONAL STATUS MONITORING | 1 |
John E. Hammill, Sr. | US | Maumee | 2015-07-23 / 20150201972 - Spring clip bottom loading polyaxial ball and socket fastener | 14 |
John A. Hamming | US | San Clemente | 2010-02-18 / 20100042360 - ELECTRONIC MEASUREMENT OF OFF-CENTER RUN-OUT AND REEL-HUB MISMATCH | 1 |
Peter Alexander Hamming | NL | Houten | 2013-12-05 / 20130321941 - Adjustment Mechanism | 5 |
Lesley Hamming | US | Durham | 2014-11-06 / 20140329967 - Substrate-Independent Layer-By-Layer Assembly Using Catechol-Functionalized Polymers | 4 |
Benjamin William Hamming | US | Spokane | 2014-05-22 / 20140140623 - Feature Searching Based on Feature Quality Information | 5 |
Siete Hamminga | NL | Heemstede | 2015-05-14 / 20150130618 - DYNAMIC ALARM ZONES FOR BIRD DETECTION SYSTEMS | 1 |
Lee Hamminga | US | Weldon Spring | 2011-11-24 / 20110284540 - CONTAINER SEALING DEVICE | 1 |
Jeffrey S. Hamminga | US | Troy | 2013-02-14 / 20130038075 - STRIKER CONCEALMENT MECHANISM AND METHOD | 1 |
Jeffrey S. Hamminga | US | Macomb | 2012-01-05 / 20120000304 - LINEAR DRIVE ACTUATOR FOR A MOVABLE VEHICLE PANEL | 1 |
Frank Paul Hammitt | US | Knoxville | 2009-12-17 / 20090313368 - CROSS-ENTERPRISE WALLPLUG FOR CONNECTING INTERNAL HOSPITAL/CLINIC IMAGING SYSTEMS TO EXTERNAL STORAGE AND RETRIEVAL SYSTEMS | 2 |
David H. Hamm, Jr. | US | Rehoboth | / - | 1 |
Simo Hammo | FI | Lappeenranta | 2013-11-07 / 20130297079 - METHOD FOR TUNING A VENTILATION SYSTEM | 1 |
Cory S. Hammock | US | Macon | 2016-01-07 / 20160000955 - Combined Odor Absorption and Insect Repellent Compositions, Treatments, and Methods of Use | 2 |
Luke A. Hammock | US | Washington | 2016-03-03 / 20160063455 - SYSTEMS AND METHODS FOR PROVIDING MANUFACTURER-BASED FINANCIAL SERVICE ACCOUNTS | 23 |
Bruce D. Hammock | US | Davis | 2015-05-14 / 20150132408 - SORAFENIB DERIVATIVES AS P21 INHIBITORS | 30 |
Luke Hammock | US | Washington | 2014-07-24 / 20140207571 - SYSTEMS AND COMPUTER-IMPLEMENTED PROCESSES FOR DELIVERING PERSONALIZED DEALS TO CUSTOMERS | 1 |
Tony Hammock | US | League City | 2014-03-06 / 20140066649 - Process for Producing Vinyl Acetate | 1 |
Darryl Hammock | US | Brighton | 2013-05-02 / 20130104382 - METHOD AND APPARATUS FOR CONVERTING A MIG WELDER INTO A CARBON-ARC CUTTER | 2 |
Jeffery B. Hammock | US | Madison | 2014-08-28 / 20140239807 - Deceleration-Triggered LED Stop Lamp | 1 |
Bruce Hammock | US | Davis | 2015-10-29 / 20150309017 - NON-COMPETITIVE IMMUNOASSAYS TO DETECT SMALL MOLECULES USING NANOPEPTAMERS | 2 |
Tony Hammock | US | Bacliff | 2009-08-27 / 20090214404 - Process and Apparatus for Improved Methods for Making Vinyl Acetate Monomer (VAM) | 1 |
Steven M. Hammock | US | Encinitas | 2012-08-30 / 20120216387 - Apparatus Facilitating Application of Customized Portable Spa Surrounds By End Users | 1 |
Luke A. Hammock | US | Washington | 2016-03-03 / 20160063455 - SYSTEMS AND METHODS FOR PROVIDING MANUFACTURER-BASED FINANCIAL SERVICE ACCOUNTS | 23 |
David Hammock | US | Sugar Land | 2009-07-30 / 20090192773 - MODIFYING A MAGNIFIED FIELD MODEL | 1 |
Ben A. Hammock, Ii | US | Rosharon | 2011-04-21 / 20110089120 - PROCESSING CAPTURED VEHICLE FLUID | 1 |
Michael Edward Hammock, Sr. | US | Las Vegas | / - | 1 |
Evelyn Hammon | US | Humansville | / - | 1 |
Matthias Hammon | DE | Nuremberg | 2014-10-30 / 20140321773 - IMAGE-BASED DATA RETRIEVAL | 1 |
Ulrich Hammon | DE | Mannheim | 2016-03-31 / 20160090347 - PROCESS AND PLANT FOR RECOVERING ACRYLIC ACID | 33 |
Sherry Louise Hammon | US | Alton | 2013-10-03 / 20130255022 - Duster Attachment for Vacuum Sweeper | 1 |
Matthias Hammon | DE | NÜrnberg | 2015-10-22 / 20150297164 - AUTOMATIC IDENTIFICATION OF A POTENTIAL PLEURAL EFFUSION | 1 |
Harald Hammon | DE | Nuernberg | 2012-02-09 / 20120036283 - SUBMODULE AND METHOD FOR EXCHANGING PERIPHERAL DATA | 1 |
Keith Hammon | US | Pompano Beach | 2008-12-18 / 20080311282 - Method and Device for Stabilizing a Toothbrush for One-Handed Use | 1 |
Adrian Hammon | US | Hildale | 2011-10-13 / 20110247291 - Reinforcement Bar Support Device | 1 |
Billy Gene Hammon | US | Alton | 2013-10-03 / 20130255022 - Duster Attachment for Vacuum Sweeper | 1 |
John Lee Hammon | US | Hamilton | 2013-02-28 / 20130053809 - ABSORBENT ARTICLE HAVING A MULTILAYER VISUAL SIGNAL | 1 |
Gary V. Hammond | US | Leesburg | 2015-12-10 / 20150354893 - GREEN HOUSE FOR TREATMENT OF SLUDGE | 2 |
Ceri Hammond | GB | Cymru | 2013-12-05 / 20130324761 - HYDROCARBONS SELECTIVE OXIDATION WITH HETEROGENEOUS CATALYSTS | 2 |
Peter Willard Hammond | US | Greensburg | 2016-04-14 / 20160104992 - ELECTRICAL CONTACTOR | 13 |
Matthew Patrick Hammond | US | Boston | 2010-05-27 / 20100128369 - Optical Package Comprising an Adjustable Lens Component Coupled to a Multi-Directional Lens Flexure | 1 |
Paula T. Hammond | US | Newton | 2015-10-15 / 20150290669 - Devices and Methods for Layer-by-Layer Assembly | 24 |
Carol Lynn Hammond | GB | Nottingham | 2016-05-05 / 20160122106 - PACKAGING | 8 |
Charles Hammond | US | Burlington | 2011-01-27 / 20110021513 - MODULATORS OF INTERLEUKIN-1 RECEPTOR-ASSOCIATED KINASE | 1 |
Richard Hammond | US | Cambridge | 2014-10-23 / 20140312389 - Reacted Conductive Gate Electrodes and Methods of Making the Same | 3 |
Charles E. Hammond | US | Billerica | 2016-03-10 / 20160067353 - PROTEIN-POLYMER-DRUG CONJUGATES | 12 |
Bernard Harold Hammond | GB | Gloucestershire | 2013-12-05 / 20130323940 - CONNECTORS AND ADAPTERS WITH AUTO-LATCHING FEATURES | 1 |
Scott Ryan Hammond | US | Wheat Ridge | 2015-03-26 / 20150083190 - COATINGS FOR AIRCRAFT FUSELAGE SURFACES TO PRODUCE ELECTRICITY FOR MISSION-CRITICAL SYSTEMS AND MAINTENANCE LOAD ON COMMERCIAL AIRCRAFT | 9 |
Dennis C. Hammond | US | Grand Rapids | 2012-06-21 / 20120158134 - Mastopexy and Breast Reconstruction Prostheses and Method | 1 |
Jeremy Hammond | US | Gorham | 2013-01-03 / 20130006566 - Automated Calibration Method and System for a Diagnostic Analyzer | 2 |
Jody Victoria Hammond | GB | Hull | 2015-04-23 / 20150112311 - WOUND CLOSURE DEVICE | 1 |
Paula T. Hammond | US | Newton | 2015-10-15 / 20150290669 - Devices and Methods for Layer-by-Layer Assembly | 24 |
Jeremy Paul Hammond | US | Portage | 2014-03-06 / 20140065929 - HIGH-SPEED MASS FINISHING DEVICE AND METHOD | 2 |
Jeffrey Hammond | AU | Victoria | 2010-04-29 / 20100104600 - Methods and Compositions for Increasing Tissue Tropism of Recombinant Adenoviral Vectors | 1 |
Gary N. Hammond | US | Fort Collins | 2012-09-20 / 20120240116 - Performance In A Virtualization Architecture With A Processor Abstraction Layer | 1 |
Richard Hammond | GB | Staffordshire | 2015-08-27 / 20150243752 - Reacted Conductive Gate Electrodes and Methods of Making the Same | 1 |
Chauncey Hammond | AU | Mosman | 2015-03-26 / 20150088012 - Light Assembly | 1 |
Scott Hammond | US | Pittsboro | 2013-10-17 / 20130276158 - METHODS AND COMPOSITIONS FOR RNA INTERFERENCE | 2 |
Scott Ryan Hammond | US | Wheat Ridge | 2015-03-26 / 20150083190 - COATINGS FOR AIRCRAFT FUSELAGE SURFACES TO PRODUCE ELECTRICITY FOR MISSION-CRITICAL SYSTEMS AND MAINTENANCE LOAD ON COMMERCIAL AIRCRAFT | 9 |
Richard Hammond | GB | Harriseahead | 2015-08-27 / 20150243788 - Methods for Forming Semiconductor Device Structures | 8 |
Carolyn Jean Hammond | AU | Broome | 2013-10-31 / 20130285323 - GAME APPARATUS AND GAME | 2 |
Hunter Hammond | US | Phoenix | 2013-10-31 / 20130287327 - BEARING SUMP WITH BI-DIRECTIONAL STIFFNESS | 1 |
Stephen Hammond | US | Pleasant Grove | 2014-09-18 / 20140280684 - INDEPENDENT ACTIONSCRIPT ANALYTICS TOOLS AND TECHNIQUES | 2 |
Ronald B. Hammond | US | American Fork | 2015-12-31 / 20150379488 - AUTOMATED PROACTIVE ELECTRONIC RESOURCE ALLOCATION PROCESSING SYSTEM | 1 |
Edward P. Hammond | US | Hillsborough | 2008-10-02 / 20080236490 - PLASMA REACTOR WITH AN OVERHEAD INDUCTIVE ANTENNA AND AN OVERHEAD GAS DISTRIBUTION SHOWERHEAD | 3 |
Paul Hammond | US | San Francisco | 2008-12-04 / 20080299989 - CENTRALIZED LOCATION BROKER | 1 |
Mark S. Hammond | US | Dana Point | 2016-02-25 / 20160055524 - SYSTEMS AND METHODS FOR DATA COLLECTION AND PROVIDING COUPONS AT A POINT OF RETURN | 8 |
H. Kirk Hammond | US | La Jolla | 2016-04-14 / 20160101164 - CYCLE ADENOSINE MONOPHOSPHATE-INCOMPETENT ADENYLYL CYCLASE AND COMPOSITIONS AND METHODS FOR TREATING HEART FAILURE AND INCREASING CARDIAC FUNCTION | 3 |
William Hammond | US | Riverside | 2009-06-04 / 20090142154 - ROLL-UP INSULATED PARTITIONING SYSTEM FOR TRAILERS | 1 |
Jonathan Hale Hammond | US | Oak Ridge | 2016-01-28 / 20160027601 - ENCAPSULATED MICRO-ELECTROMECHANICAL SYSTEM SWITCH AND METHOD OF MANUFACTURING THE SAME | 8 |
Philip W. Hammond | US | Sierra Madre | 2012-01-19 / 20120014943 - Optimized Anti-CD30 Antibodies | 4 |
Marcus Hammond | US | Silverlake | 2014-07-31 / 20140214162 - ANIMATRONIC EYE WITH AN ELECTROMAGNETIC DRIVE AND FLUID SUSPENSION AND WITH VIDEO CAPABILITY | 2 |
Mark S. Hammond | US | Laguna Beach | 2014-10-09 / 20140304044 - RETURN COUPON HOLDER | 2 |
Larry Hammond | US | Riverside | 2009-02-05 / 20090035115 - Apparatus for removing and reinstalling the hardtop of an automobile | 1 |
Bruce Hammond | US | Castro Valley | 2011-12-15 / 20110304505 - Electronic Tracking System | 3 |
John L. Hammond | US | Fairview Park | 2013-05-09 / 20130111963 - GRID RUNNER | 2 |
Kristian Hammond | US | Chicago | 2016-03-24 / 20160086084 - Method and Apparatus for Triggering the Automatic Generation of Narratives | 8 |
William Alexander Hammond | AU | Drouin | 2011-08-04 / 20110187070 - AIR SUSPENSION SYSTEM | 1 |
Constance Hammond | FR | La Ciotat | 2016-04-21 / 20160106693 - MODULATORS OF INTRACELLULAR CHLORIDE CONCENTRATION FOR TREATING NEURODEGENERATIVE DISEASES WITH PARKINSONIAN SYNDROMES | 1 |
Paula Hammond | US | Newton | 2013-04-11 / 20130089790 - Carbon Electrodes | 1 |
David Hammond | US | Saginaw | 2014-03-27 / 20140083329 - PROCESS FOR PREPARING STABLE DISPERSIONS OF STARCH PARTICLES | 1 |
Peter Hammond | GB | Oxfordshire | 2012-07-05 / 20120168698 - IMPROVED MATERIALS | 1 |
Richard Hammond | US | Northford | 2013-11-21 / 20130310850 - Lock Bar Spring and Clip for Implant Deployment Device | 5 |
Philip W. Hammond | US | Seattle | 2011-03-17 / 20110064743 - HUMAN ANTI-CANCER ANTIBODIES | 2 |
Philip W. Hammond | US | Boulder | 2011-09-15 / 20110223584 - Oligonucleotides For Detecting Human Papilloma Virus In A Test Sample | 2 |
Jason Hammond | US | Austin | 2008-12-25 / 20080315737 - In-Wall Mounted Toolbox | 1 |
Victoria Jody Hammond | GB | Hull | 2015-12-10 / 20150351970 - MOISTURE INDICATOR DRESSING | 5 |
Brian Hammond | US | New York | 2012-01-19 / 20120016744 - Method and System for Providing Filtered and/or Masked Advertisements Over the Internet | 2 |
Robert D. Hammond | US | 2012-10-11 / 20120259795 - FIXED INCOME INSTRUMENT YIELD SPREAD FUTURES | 1 | |
Joel D. Hammond | US | Marietta | 2012-12-06 / 20120305171 - Asphalt Shingle with Lightweight Structural Aggregate and Method for Making Same | 2 |
Timothy G. Hammond | US | New Orleans | 2009-10-15 / 20090258037 - VACCINE DEVELOPMENT STRATEGY USING MICROGRAVITY CONDITIONS | 1 |
Jay Allen Hammond | US | Fort Wayne | 2012-06-21 / 20120151952 - MULTIPLE AIRFLOW PATTERN WATER SOURCE GEOTHERMAL HEAT PUMP UNIT | 2 |
Michael J. Hammond | GB | North Yorkshire | 2009-02-12 / 20090040614 - Dispersive Filter | 1 |
Mathew Hammond | GB | Oxfordshire | 2015-11-19 / 20150332181 - Water Purification Unit | 1 |
Timothy Grant Hammond | US | New Orleans | 2010-02-11 / 20100035310 - Production of Functional Proteins: Balance of Shear Stress and Gravity | 2 |
Gary Hammond | US | Bancroft | 2012-10-11 / 20120255118 - STANDING MOBILITY AND/OR TRANSFER DEVICE | 1 |
Peter Russell Hammond | GB | Alnwick | 2009-04-30 / 20090112357 - Machine tool method | 1 |
Paul S. Hammond | GB | Bourn | 2012-11-01 / 20120277995 - SYSTEMS AND METHODS FOR DISTRIBUTED INTERFEROMETRIC ACOUSTIC MONITORING | 2 |
Neal A. Hammond | US | Cameron Park | 2012-07-05 / 20120171740 - DE-FATTED SOY PRODUCTION PROCESS AND VALUE ADDED BY-PRODUCTS FROM DE-FATTED SOY FLOUR | 1 |
Marc John Hammond | GB | West Sussex | / - | 1 |
Robert Ralph Bryan Hammond | CA | Ottawa | 2013-08-01 / 20130194138 - MOBILE WIRELESS COMMUNICATIONS DEVICE INCLUDING ELECTRICALLY CONDUCTIVE PORTABLE HOUSING SECTIONS DEFINING AN ANTENNA | 1 |
Paul Hammond | GB | Cambridgeshire | 2009-08-27 / 20090216463 - DEVICES AND METHODS FOR QUANTIFICATION OF LIQUIDS IN GAS-CONDENSATE WELLS | 1 |
Philip Hammond | GB | Wiltshire | 2014-04-17 / 20140102758 - High Temperature Insulating Tape and Wire or Cable Sheathed Therewith | 5 |
Christopher John Hammond | GB | Cambridgeshire | 2010-09-16 / 20100233032 - Cartridge For A Fluid Sample Analyser | 1 |
John Hammond | GB | Essex | 2013-03-21 / 20130070236 - METHOD FOR DETERMINING THE PATH LENGTH OF A SAMPLE AND VALIDATING THE MEASUREMENT OBTAINED | 3 |
Bradley M. Hammond | US | Bellevue | 2015-03-19 / 20150082138 - OBJECT TEMPLATES FOR DATA-DRIVEN APPLICATIONS | 3 |
Andrew Hammond | CA | Waterloo | 2010-04-01 / 20100077589 - Apparatus and method for manufacturing or repairing a circuit board | 1 |
Alisha M. Hammond | US | West Jordan | 2012-12-27 / 20120330159 - Needle Guide with Selectable Aspects | 4 |
Jeffrey A. Hammond | US | Longmont | 2015-11-12 / 20150320032 - SYSTEM AND METHOD FOR PERFUSING BIOLOGICAL ORGANS | 4 |
Terry E. Hammond | US | Columbus | 2010-10-07 / 20100255239 - ULTRAVIOLET RADIATION CURABLE PRESSURE SENSITIVE ACRYLIC ADHESIVE | 1 |
Geoffrey Robert Hammond | GB | East Yorkshire | 2011-10-13 / 20110250241 - INJECTION-MOULDED WATER-SOLUBLE CONTAINER | 3 |
William Alexander Hammond | AU | Victoria | 2010-06-10 / 20100140883 - AIR SUSPENSION SYSTEM | 1 |
Gregory Ray Hammond | CA | Georgetown | 2013-10-31 / 20130287889 - NOZZLE-TIP APPARATUS INCLUDING A NOZZLE-TIP BODY HAVING PRESSURE-RELIEF FEATURE | 5 |
Priscilla G. Hammond | US | Cincinnati | 2008-09-04 / 20080213432 - Nutritious fabricated snack products | 1 |
Nathanial Hammond | US | Las Vegas | 2012-09-13 / 20120231872 - WAGERING GAME HAVING MULTIPLE AWARD COMPONENTS | 2 |
Geoffrey Robert Hammond | GB | Hull | 2014-10-30 / 20140319173 - Cream Dispenser | 8 |
Michael J. Hammond | AU | New South Wales | 2010-10-21 / 20100268961 - Method and Arrangement for User Validation | 1 |
Harvey D. Hammond | US | Grand Haven | 2011-05-05 / 20110101766 - VEHICLE WHEEL TRIM INSERT ASSEMBLY | 1 |
Gerald B. Hammond | US | Louisville | 2012-12-27 / 20120329866 - THERAPEUTIC COMPOUNDS | 3 |
Willis Hammond | US | Chatham | 2015-10-29 / 20150307650 - ISOSORBIDE-DERIVED EPOXY RESINS AND METHODS OF MAKING SAME | 3 |
Richard Hammond | GB | Harriseahead | 2015-08-27 / 20150243788 - Methods for Forming Semiconductor Device Structures | 8 |
Daniel D. Hammond | US | Dallas | 2016-04-28 / 20160119432 - Method and System for Enabling a Communication Device to Remotely Execute an Application | 4 |
Geoffrey Robert Hammond | GB | Hull | 2014-10-30 / 20140319173 - Cream Dispenser | 8 |
Richard Hammond | GB | Harriseahhead | 2012-11-29 / 20120299120 - RF Circuits Including Transistors Having Strained Material Layers | 3 |
Neal Hammond | US | Galt | 2012-11-08 / 20120283422 - Ethanol Production Process | 1 |
James P. Hammond | US | Ellabell | 2012-07-26 / 20120189739 - Naturally-Sweetened Reduced-Calorie Base Syrup Compositions and Compositions Sweetened Therewith | 2 |
Paul Hammond | GB | Linlithgow | 2011-04-14 / 20110087347 - Digital controller for automatic rate detection and tracking of audio interface clocks | 2 |
Robert B. Hammond | US | Santa Barbara | 2016-03-10 / 20160070827 - NETWORK SYNTHESIS DESIGN OF MICROWAVE ACOUSTIC WAVE FILTERS | 7 |
Bruce Quincy Hammond | US | Beaverton | 2012-02-02 / 20120027308 - Font Matching | 5 |
Paul Hammond | GB | Thriplow | 2010-11-25 / 20100294569 - METHODS FOR CUTTINGS FOR A WIRELINE DRILLING TOOL | 1 |
Dave Hammond | CA | Cambridge | 2013-05-02 / 20130105589 - TANK-TANKLESS WATER HEATER | 2 |
Clarence Joseph Hammond | US | Fremont | 2013-08-15 / 20130211941 - VEHICLE CO-LISTING SYSTEMS AND METHODS | 2 |
David G. Hammond | US | Fairfax | 2015-01-08 / 20150008157 - SLURRY HYDROCONVERSION AND COKING OF HEAVY OILS | 4 |
John M. Hammond | US | Livonia | 2015-10-08 / 20150285223 - APPARATUS AND METHODS FOR RECOVERY OF VARIATIONAL WIND ENERGY | 5 |
Simon Hammond | GB | London | 2016-04-14 / 20160103920 - SYSTEM FOR, AND METHOD OF, SEARCHING DATA RECORDS | 4 |
Clifford A. Hammond | US | East Hampton | 2010-04-29 / 20100104773 - METHOD FOR USE IN A COATING PROCESS | 1 |
Edward Hammond | GB | Lowestoft | 2016-04-28 / 20160113419 - REFRIGERATED DISPLAY APPLIANCES | 3 |
David Hammond | GB | Trowbridge, Wiltshire | 2016-03-31 / 20160091105 - ROTARY VALVE | 1 |
Benjamin A. Hammond | US | St. Louis | 2010-05-20 / 20100124288 - DETECTION OF OUTBOUND MESSAGE SIGNALS IN A POWER LINE TWO-WAY COMMUNICATIONS SYSTEM | 1 |
Michael S. Hammond | US | Moorhead | 2010-05-27 / 20100131942 - SUITE-BASED INTEGRATION AND DEPLOYMENT OF BUSINESS PRODUCTS | 1 |
Jeffrey Hammond | US | Bernardsville | 2016-03-17 / 20160074626 - System and Method for Targeted Delivery of Therapeutic Agents to Tissue | 2 |
Steven G. Hammond | US | Bemus Point | 2012-04-26 / 20120099326 - Flexible light emitting diode lighting process and assembly | 1 |
Jeffrey Michael Hammond | AU | Jan Juc | / - | 1 |
Philip W. Hammond | US | Ayer | 2015-02-05 / 20150037785 - HYBRIDIZATION ASSAY DETECTION PROBES FOR DETECTING HUMAN PAPILLOMA VIRUS IN A SAMPLE | 1 |
Maynard Hammond | US | Lawrenceville | 2015-03-12 / 20150071283 - HARDWARE IMPLEMENTED ETHERNET MULTIPLE TUPLE FILTER SYSTEM AND METHOD | 1 |
Janice Hammond | US | Belmont | 2015-10-29 / 20150310757 - METHOD AND APPARATUS ENABLING A CASE-STUDY APPROACH TO ONLINE LEARNING | 1 |
Scott Hammond | US | Gaithersburg | 2016-01-28 / 20160024176 - OX40L FUSION PROTEINS AND USES THEREOF | 3 |
Angela K. Hammond | US | Redmond | 2016-03-03 / 20160060323 - ZCYTOR17 HETERODIMERIC CYTOKINE RECEPTOR | 7 |
Malcolm Thomas Hammond | CA | North Vancouver | 2013-12-12 / 20130327802 - CROWN FORK SUPPORTED BICYCLE CARRIER | 2 |
Alexander Hays Hammond | US | Warrenton | 2012-11-29 / 20120302294 - ILLUMINATED CELL PHONE CASE | 1 |
Phil Hammond | US | Seattle | 2015-04-16 / 20150104459 - Compositions and Methods for the Therapy and Diagnosis of Influenza | 9 |
Nathan A. Hammond | US | Logan | 2011-03-17 / 20110066249 - MODULAR BONE IMPLANT, TOOL, AND METHOD | 1 |
Stephen N. Hammond | US | Brownsburg | 2013-08-29 / 20130220488 - METHOD FOR CARBURIZING STEEL COMPONENTS | 4 |
Jackie Hammond | US | Mcdonough | 2009-07-09 / 20090172993 - CHAIN SKIRT FISHING LURES & ASSOCIATED MANUFACTURING METHODS | 1 |
Daniel Albert Hammond | CA | Nepean | 2010-12-02 / 20100306482 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Michael J. Hammond | GB | Norton | 2012-09-06 / 20120224176 - Parallel Acquisition Of Spectra For Diffraction Based Overlay | 1 |
Mark H. Hammond | US | Alexandria | 2016-03-31 / 20160091467 - Prediction of Fuel Properties | 1 |
Kristian J. Hammond | US | Chicago | 2014-01-23 / 20140025690 - CONTENT RANKING SYSTEM AND METHOD | 8 |
Brian L. Hammond | US | Boise | 2013-11-28 / 20130315667 - STROKE CONTROL TROWEL | 2 |
John Hammond | US | Fayetteville | 2011-10-20 / 20110258124 - LEASE FINANCED ASSET TRACKING SYSTEM AND BUNDLED ASSET DOCUMENT GENERATOR | 1 |
Philip S. Hammond | US | Pinnacle | 2016-02-11 / 20160039833 - NICOTINIC ACETYLCHOLINE RECEPTOR SUB-TYPE SELECTIVE AMIDES OF DIAZABICYCLOALKANES | 10 |
Christopher J. Hammond | US | Marshalltown | 2011-09-22 / 20110226980 - VALVES HAVING CERAMIC TRIM WITH PROTECTED SHUT-OFF SURFACES | 1 |
Jason Hammond | US | Lathrup Village | 2013-11-07 / 20130298187 - MANAGING VIRTUAL IDENTITIES | 1 |
Paula Therese Hammond | US | Newton | 2016-02-11 / 20160038632 - Adaptive Drug Delivery from an Artificial Polymer Skin with Tunable Properties for Tissue Engineering | 2 |
Angela K. Hammond | US | Maple Valley | 2014-05-22 / 20140141485 - ZCYTOR17 HETERODIMERIC CYTOKINE RECEPTOR | 9 |
Gerald B. Hammond | US | Shelbyville | 2015-08-27 / 20150238439 - COMPOSITIONS AND METHODS FOR MODULATING DNMT1 INHIBITOR ACTIVITY | 2 |
Steven Hammond | GB | Cramlington | 2014-07-03 / 20140183193 - WASTE STORAGE DEVICE | 1 |
Russell Hammond | US | Mt. Vernon | 2012-05-10 / 20120112148 - Fire Pen | 1 |
Mitchell Nile Hammond | US | Amsterdam | 2013-06-06 / 20130140007 - COMPONENTS WITH RE-ENTRANT SHAPED COOLING CHANNELS AND METHODS OF MANUFACTURE | 2 |
Bryant G. Hammond | US | West Bloomfield | 2011-12-29 / 20110315114 - SYSTEM AND METHOD FOR MEASURING ENGINE AIRFLOW | 1 |
John Hammond | GB | Warwick | 2015-06-04 / 20150152638 - MODULAR SLAB AND MODULAR SURFACE SYSTEM | 2 |
Peter Russell Hammond | GB | Warkworth | 2012-12-20 / 20120323345 - MEASUREMENT METHOD AND APPARATUS | 1 |
Russell John Hammond | US | Charlotte | 2016-01-07 / 20160005080 - SYSTEM FOR TARGETING LOCATION-BASED COMMUNICATIONS | 5 |
Milton L. Hammond | US | Somerville | 2010-12-16 / 20100317625 - NOVEL SULFONAMIDOMETHYLPHOSPHONATE INHIBITORS OF BETA-LACTAMASE | 4 |
Jeremy L. Hammond | US | Stillwater | 2014-12-25 / 20140374071 - Thermally Conductive Dielectric Interface | 1 |
Nathaniel A. Hammond | US | Las Vegas | 2014-12-18 / 20140370965 - GAMING DEVICE HAVING ONE OR MORE SHARED AWARD-MODIFIER REELS | 2 |
Douglas Richard Hammond | US | Austin | 2015-03-05 / 20150059226 - SYSTEM FOR AUTOMATICALLY ALIGNING A RIFLE SCOPE TO A RIFLE | 2 |
Scott Hammond | US | Olney | 2014-12-04 / 20140356353 - TARGETED BINDING AGENTS AGAINST B7-H1 | 3 |
Ed Hammond | US | Salt Lake City | 2013-03-14 / 20130061857 - SURGICAL RETAINING CLAMP AND METHODS OF USE | 1 |
Willis B. Hammond | US | Chatham | 2010-11-25 / 20100298520 - POLYOXAZOLIDONES DERIVED FROM BISANHYDROHEXITOLS | 1 |
Douglas M. Hammond | US | Davison | 2010-11-04 / 20100275580 - DIESEL ENGINE EXHAUST AFTER-TREATMENT OPERATOR INTERFACE ALGORITHM | 2 |
Neal A. Hammond | US | Phoenix | 2012-02-16 / 20120041081 - Biogenic Silica from Silica-Containing Plant Material Such as Rice Hulls | 1 |
Mark Isaac Hammond | US | Berkley | 2013-03-14 / 20130065208 - METHODS AND APPARATUS FOR EVALUATING A CANDIDATE'S PSYCHOLOGICAL FIT FOR A ROLE | 1 |
David Hammond | US | Laytonsville | 2010-10-07 / 20100255521 - Fluorescent substrates for Detecting organophosphatase enzyme activity | 1 |
Luke Alexander Hammond | AU | West End | 2011-02-03 / 20110030073 - THERAPEUTIC TARGETS AND MEDICAMENTS INVOLVING P230/GOLGIN-245 | 1 |
John Hammond | AU | Brisbane | 2013-03-28 / 20130075540 - MULTIPLE POSITION PIPE HANGER CLAMP | 1 |
John Hammond | US | Laurel | 2011-06-23 / 20110154538 - Infectious Plant Viral Vector and An Artificial Bipartite Plant Viral Vector An Infectious Plant Viral Vector and An Artificial Bipartite Plant Viral Vector | 1 |
John Hammond | GB | Hainault | 2015-06-11 / 20150160132 - PCR VALIDATION TUBES | 2 |
Dennis L. Hammond | US | Richfield | 2010-02-04 / 20100028194 - Method For Protecting Powder Metallurgy Alloy Elements From Oxidation And/Or Hydrolization During Sintering | 3 |
Charles Reif Hammond | US | Durham | 2011-07-14 / 20110170987 - Cover Applier and Method of Aligning a Book Image to a Cover Image | 3 |
Barrington M. Hammond | US | Houston | 2009-11-12 / 20090280042 - Controlling Tar By Quenching Cracked Effluent From A Liquid Fed Gas Cracker | 2 |
Jeffrey T. Hammond | US | Anthem | 2014-04-03 / 20140095359 - ONLINE ORDERING SYSTEM AND METHOD | 4 |
Thomas Hammond | US | Tinley Park | 2009-11-05 / 20090276366 - ADVISORY THRESHOLDS AND ALERTS FOR MANAGING POSITION CONCENTRATION RISK | 1 |
David J. Hammond | US | Laytonsville | 2014-07-24 / 20140206021 - PRION PROTEIN LIGANDS AND METHODS OF USE | 3 |
Elizabeth Hammond | US | Salt Lake City | 2009-10-01 / 20090248314 - Network-based system and method for diagnostic pathology | 1 |
Nicholas Lee Hammond | US | Hattiesburg | 2012-05-24 / 20120130045 - BIOMOLECULE-POLYMER CONJUGATES AND METHODS OF MAKING SAME | 2 |
Scott Hammond | US | Cold Spring Harbor | 2009-07-30 / 20090193531 - Methods and compositions for RNA Interference | 1 |
Michael P. Hammond | US | Ivoryton | 2009-07-09 / 20090173535 - Cable conduit system | 1 |
Matthew D. Hammond | US | Dearborn | 2013-07-11 / 20130178318 - MECHANISM FOR ACTUATING A POWER TRANSFER UNIT | 2 |
Scott A. Hammond | US | Olney | 2009-05-28 / 20090136480 - Transcutaneous immunostimulation | 1 |
Tom R. Hammond | US | Highland | 2009-05-07 / 20090119171 - NETWORKS, METHODS, AND SYSTEMS FOR ENCOURAGING TIMELY FULFILLMENT OF PERIODIC OBLIGATIONS | 1 |
Paul L. Hammond | US | Huntsville | 2012-10-25 / 20120268261 - PROXIMITY DETECTION SYSTEM | 2 |
Bruce Hammond | US | Charles | 2009-04-16 / 20090100544 - Drought Tolerant Corn with Reduced Mycotoxin | 1 |
David John Hammond | US | Laytonsville | 2009-04-09 / 20090093038 - Method for the production of pure virally inactivated butyrylcholinesterase | 1 |
Marlys Hammond | US | Littleton | 2014-05-15 / 20140135369 - TRPV4 ANTAGONISTS | 1 |
Kevin Lee Hammond | US | Alpharetta | 2009-03-12 / 20090070407 - SYSTEMS AND METHODS FOR SCHEDULING, PRODUCING, AND DISTRIBUTING A PRODUCTION OF AN EVENT | 2 |
Julie Lynn Hammond | US | Peoria | 2009-03-05 / 20090063223 - Systems and methods for assessing the level of conformance of a business process | 1 |
Peter M. Hammond | US | Rochester | 2013-09-12 / 20130236007 - METHODS FOR CREATING SECRET KEYS USING RADIO AND DEVICE MOTION AND DEVICES THEREOF | 2 |
Paul Simon Hammond | GB | Cambridge | 2012-06-28 / 20120160011 - Apparatus and Method for Generating Steam Quality Delivered to A Reservoir | 1 |
Kristian J. Hammond | US | Chicago | 2014-01-23 / 20140025690 - CONTENT RANKING SYSTEM AND METHOD | 8 |
Billy R. Hammond | US | Watkinsville | 2014-08-07 / 20140221487 - Use Of Xanthophyll Carotenoids To Improve Visual Performance And Neural Efficiency | 1 |
Robert Hammond | US | Exeter | 2015-07-30 / 20150213514 - SYSTEMS AND METHODS FOR PROVIDING MODULAR CONFIGURABLE CREATIVE UNITS FOR DELIVERY VIA INTEXT ADVERTISING | 6 |
Bernard Harold Hammond | US | Aurora | 2008-10-23 / 20080261532 - Telecommunications Jack with crosstalk multi-zone crosstalk compensation and method for designing | 1 |
James A. Hammond | US | O'Fallon | 2008-10-09 / 20080245197 - SYSTEM AND METHOD FOR DAMPENING VIBRATION OF A TOOL | 1 |
Christopher Reynolds Hammond | US | Schenectady | 2013-11-28 / 20130317844 - METHOD AND SYSTEM FOR SUPPORTING CLINICAL DECISION-MAKING | 2 |
Bernard Hammond | US | Centennial | 2008-09-18 / 20080227340 - Methods and systems for positioning connectors to minimize alien crosstalk | 1 |
Grace Margaret Hammond | GB | Berkshire | 2014-05-01 / 20140122504 - Systems and Methods for Collection and Automatic Analysis of Opinions on Various Types of Media | 1 |
Jonathan Hale Hammond | US | Oak Ridge | 2016-01-28 / 20160027601 - ENCAPSULATED MICRO-ELECTROMECHANICAL SYSTEM SWITCH AND METHOD OF MANUFACTURING THE SAME | 8 |
Andrew Thomas Hammond | US | Appleton | 2015-10-08 / 20150283000 - ABSORBENT ARTICLE | 5 |
Paula T. Hammond | US | Brookline | 2013-01-31 / 20130025657 - PLASMON ENHANCED DYE-SENSITIZED SOLAR CELLS | 1 |
Nicolas J. Hammond | US | Atlanta | 2008-09-04 / 20080216173 - Method and Apparatus for Auditing Network Security | 1 |
Craig Hammond | CA | Leduc | 2014-04-24 / 20140110357 - SHAKER TABLE WITH INERTIAL GAS/FLUID SEPARATION MEANS | 1 |
John B. Hammond | US | Roanoke | 2014-04-24 / 20140111544 - Augmented Reality Control Systems | 1 |
William L. Hammond | US | Colchester | 2008-08-28 / 20080202421 - MASK AND SUBSTRATE ALIGNMENT FOR SOLDER BUMP PROCESS | 1 |
Matthew David Hammond | US | Dearborn | 2015-08-27 / 20150240913 - PENDULUM-ABSORBER BRAKE | 4 |
Phil Hammond | US | Seattle | 2015-04-16 / 20150104459 - Compositions and Methods for the Therapy and Diagnosis of Influenza | 9 |
Terry Hammond | US | Smryna | 2014-03-27 / 20140086695 - PROCESSES AND APPARATUSES FOR MAKING CUTTING TOOL INSERTS | 1 |
Janet Hammond | US | Montclair | 2015-10-01 / 20150272979 - COMBINATION THERAPEUTIC COMPOSITION | 2 |
Greg Hammond | US | Lincoln | 2013-11-07 / 20130297476 - Systems and Methods of Derivative Strategy Selection and Composition | 1 |
Michael Hammond | US | Moorhead | 2012-12-13 / 20120317488 - TECHNIQUES FOR ADAPTING AN INTERPRETIVE RUN TIME APPLICATION TO MULTIPLE CLIENTS | 1 |
Che Hammond | US | Morgan Hill | 2015-12-10 / 20150356087 - SELECTING AND ORDERING GROUPS OF TITLES | 1 |
James Michael Hammond | US | Carlisle | 2013-05-30 / 20130137494 - Online Market Game System | 1 |
Kristian Hammond | US | Chicago | 2016-03-24 / 20160086084 - Method and Apparatus for Triggering the Automatic Generation of Narratives | 8 |
Matthew D. Hammond | US | Derborn | 2012-01-19 / 20120015770 - Power Transfer Unit Drive Disconnect System | 1 |
John F. Hammond | US | Canandaigua | 2012-01-19 / 20120016383 - METHOD AND APPARATUS FOR CLOSING AN OPENING IN THICK, MOVING TISSUE | 1 |
Steven Ronald Fredrick Nelson Hammond | CA | Markham | 2012-11-22 / 20120296799 - SYSTEM, METHOD AND COMPUTER PROGRAM FOR ENERGY USE MANAGEMENT AND REDUCTION | 1 |
John Hammond | US | Crete | 2013-12-19 / 20130337107 - CHEWING GUM ALTERNATIVE BULKING AGENTS | 1 |
Scott M. Hammond | US | Pittsboro | 2011-07-14 / 20110172295 - METHODS AND COMPOSITIONS FOR THE REGULATION OF microRNA PROCESSING | 1 |
Kelly Hammond | US | Hillsboro | 2014-03-13 / 20140071147 - Providing Support for Display Articulation-Related Applications | 1 |
Tammy Hammond | US | Atlanta | 2015-01-29 / 20150030150 - Systems, Methods, and Computer Programs for Transitioning from a Phone-Only Mode to a Web Conference Mode | 3 |
Anglea K. Hammond | US | Maple Valley | 2013-06-06 / 20130143265 - ZCYTOR17 HETERODIMERIC CYTOKINE RECEPTOR POLYNUCLEOTIDES | 1 |
Neville Hammond | US | Schaumburg | 2011-09-15 / 20110223559 - DENTAL HANDPIECE SYSTEM WITH REPLACEABLE TREATMENT TIPS | 1 |
Miles Hammond | CA | Ottawa | 2013-05-16 / 20130119043 - INTERLOCKING HEATED PATIO STONES AND SYSTEM | 2 |
David E. Hammond | US | Saginaw | 2016-02-18 / 20160046819 - PROCESS FOR PRODUCTION OF HIGH SOLIDS STARCH DISPERSION USING MULTI-STAGE DEGRADATION | 2 |
David Stephen Hammond | GB | Enfield | 2012-09-27 / 20120243549 - Controlling Communication Sessions | 1 |
David Hammond | GB | Enfield | 2014-06-26 / 20140181977 - HANDLING POTENTIALLY MALICIOUS COMMUNICATION ACTIVITY | 1 |
Peter Hammond | GB | Hailey | 2015-08-27 / 20150239786 - METHOD FOR PROVIDING A PLANT COMPOSITION | 2 |
Scott R. Hammond | US | Golden | 2011-09-15 / 20110223433 - MULTILAYER HETEROSTRUCTURES AND THEIR MANUFACTURE | 1 |
Mark S. Hammond | US | Dana Point | 2016-02-25 / 20160055524 - SYSTEMS AND METHODS FOR DATA COLLECTION AND PROVIDING COUPONS AT A POINT OF RETURN | 8 |
James Eric Hammond | US | Port Ludlow | 2014-09-11 / 20140250732 - FOOTWEAR SYSTEM FOR ANIMALS | 1 |
Paul Hammond | US | San Jose | 2014-10-02 / 20140290109 - Methods and Systems for Enhancing Firearm Safety Through Wireless Network Monitoring | 1 |
Allison C. Hammond | US | Portland | 2014-06-26 / 20140179468 - Sport Balls Having Seam Reinforcing Strips | 1 |
Paul Hammond | US | Salt Lake City | 2016-02-04 / 20160030103 - LAYERED FERROMAGNETIC COATED CONDUCTOR THERMAL SURGICAL TOOL | 2 |
Jonathan Hammond | US | Oak Ridge | 2015-11-19 / 20150329355 - METHOD FOR PROCESSING PRODUCT WAFERS USING CARRIER SUBSTRATES | 2 |
Ming C. Hammond | US | Berkeley | 2014-11-06 / 20140329889 - CYCLIC DI-NUCLEOTIDE INDUCTION OF TYPE I INTERFERON | 1 |
Scott Hammond | US | Mill Valley | 2014-07-03 / 20140188130 - PIVOT POINT ARM FOR A ROBOTIC SYSTEM USED TO PERFORM A SURGICAL PROCEDURE | 1 |
Phillip S. Hammond | US | Pinacle | 2014-04-17 / 20140107163 - SYNTHESIS AND NOVEL SALT FORMS OF (R)-3-((E)-2-(PYRROLIDIN-3-YL)VINYL)-5-(TETRAHYDROPYRAN-4-YLOXY)PYRIDINE | 1 |
Stephanie Hammond | US | Newark | 2012-05-24 / 20120129218 - COFILIN KNOCKDOWN HOST CELLS AND USES THEREOF | 1 |
Troy D. Hammond | US | Pittsburgh | 2013-12-19 / 20130334504 - ORGANIC LIGHT EMITTING DIODE LIGHTING DEVICES | 3 |
Holly A. Hammond | US | Telford | 2014-08-07 / 20140220027 - 1D05 PCSK9 ANTAGONISTS | 14 |
Troy Hammond | US | Pittsburgh | 2012-12-20 / 20120320574 - Organic Light Emitting Diode Products | 5 |
Peter Willard Hammond | US | Greensburg | 2016-04-14 / 20160104992 - ELECTRICAL CONTACTOR | 13 |
Marlys Hammond | US | King Of Prussia | 2014-05-01 / 20140121206 - TRPV4 ANTAGONISTS | 7 |
Jeffery Hammond | US | Pleasanton | 2016-04-14 / 20160103626 - SYSTEM AND METHOD FOR REDUCING INFORMATION LEAKAGE FROM MEMORY | 1 |
Russell C. Hammond | US | Rogersville | 2013-08-29 / 20130227315 - REMOTE ACCESS APPLIANCE WITH BACKUP POWER SYSTEM | 2 |
James Peter Hammond | US | Homestead | 2016-03-24 / 20160084545 - SOLAR COLLECTOR AND SOLAR AIR CONDITIONING SYSTEM HAVING THE SAME | 3 |
Richard John Hammond | GB | Cambridge | 2013-03-28 / 20130078736 - SYSTEM AND APPARATUS FOR REACTIONS | 2 |
Doug Hammond | US | Downey | 2015-07-02 / 20150187459 - LOW SPECIFIC GRAVITY THERMOPLASTIC COMPOUNDS FOR NEUTRAL BUOYANCY UNDERWATER ARTICLES | 1 |
Philip S. Hammond | US | Winston-Salem | 2008-10-02 / 20080242689 - N-ARYL AZASPIROALKENE AND AZASPIROALKANE COMPOUNDS AND METHODS OF PREPARATION AND USE THEREOF | 1 |
Nathanial A. Hammond | US | Las Vegas | 2014-04-03 / 20140094255 - SYSTEM AND METHOD FOR DISPLAYING AN EXPLODING ICON | 4 |
John Barnett Hammond | US | Charlotte | 2010-10-28 / 20100272393 - Wafer based optical chassis and associated methods | 2 |
Phillip S. Hammond | US | Pinnacle | 2010-09-23 / 20100240689 - N-ARYL AZASPIROALKENE AND AZASPIROALKANE COMPOUNDS AND METHODS OF PREPARATION AND USE THEREOF | 1 |
Patrick Hammond | US | Raleigh | 2010-04-01 / 20100077905 - INSTRUCTIONAL DEVICE AND METHOD FOR PIANO OR OTHER MUSICAL KEYBOARD | 1 |
Philip S. Hammond | US | Pinnacle | 2016-02-11 / 20160039833 - NICOTINIC ACETYLCHOLINE RECEPTOR SUB-TYPE SELECTIVE AMIDES OF DIAZABICYCLOALKANES | 10 |
David Hammond | US | Morrisville | 2013-09-12 / 20130235727 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR PREVENTING TRAFFIC CONGESTION WITHIN A LONG TERM EVOLUTION (LTE) MULTI-USER EQUIPMENT (MULTI-UE) SIMULATOR DEVICE | 2 |
William Brian Hammond | US | Marietta | 2015-04-16 / 20150103662 - ROUTING SYSTEM FOR INTERNET TRAFFIC | 1 |
Philip Hammond | GB | Cornwall | 2013-02-07 / 20130032067 - FLUID DISPENSING APPARATUS | 1 |
Tyler Christian Hammond | US | Arlington | 2011-08-04 / 20110189718 - Systems And Methods For Segregating Mixed Material Streams | 1 |
Christopher Alden Hammond | US | Farmington Hills | 2014-03-06 / 20140064454 - X-RAY SYSTEM AND METHOD WITH DIGITAL IMAGE ACQUISITION USING A PHOTOVOLTAIC DEVICE | 1 |
Scott W. Hammond | US | St. Paul | 2011-12-01 / 20110296164 - SYSTEM AND METHOD FOR PROVIDING SECURE NETWORK SERVICES | 1 |
Paul Hammond | GB | London | 2012-05-17 / 20120124130 - METHODS AND SYSTEMS FOR EXPLORING A CORPUS OF CONTENT | 1 |
Walter Lee Hammond | US | Powell | 2014-06-12 / 20140157554 - TAG HANGER | 1 |
Edward Hammond | GB | Suffolk | 2016-05-12 / 20160128492 - REFRIGERATED DISPLAY APPLIANCES | 2 |
Clarence J. Hammond | US | Fremont | 2014-09-11 / 20140258041 - SYSTEM AND METHODS FOR VEHICLE LIFECYCLE MANAGEMENT | 1 |
Andrew Hammond | US | Portland | 2014-02-27 / 20140058267 - NON-INVASIVE CONTINUOUS DOPPLER MONITORING DEVICE FOR ARTERIAL BLOOD FLOW TO DISTAL BODY PARTS | 1 |
Keith Hammond | GB | Chelmsford Essex | 2013-05-23 / 20130129257 - METHOD AND APPARATUS FOR RESAMPLING A SPATIALLY SAMPLED ATTRIBUTE OF AN IMAGE | 1 |
Ronald James Hammond | US | Bentleyville | 2015-09-17 / 20150257613 - WIPE CONTAINER ASSEMBLY | 1 |
John Barnett Hammond | US | Roanoke | 2013-10-03 / 20130257832 - IMAGE PICKOFF APPARATUS SYSTEM AND METHOD | 2 |
Paul Simon Hammond | GB | Bourn | 2014-05-29 / 20140144225 - MULTIPHASE FLOWMETER | 3 |
Ming Chen Hammond | US | Berkeley | / - | 1 |
Alicia Hammond | US | Gulf Shores | 2015-12-31 / 20150375920 - TRASH BAG DISPENSING SYSTEMS AND METHODS | 1 |
Howard Lynn Hammond | US | Ooltewah | 2014-05-29 / 20140149242 - MODULAR MERCHANDISER SYSTEM AND METHOD OF PROVIDING THE SAME | 1 |
Holly A. Hammond | US | Telford | 2014-08-07 / 20140220027 - 1D05 PCSK9 ANTAGONISTS | 14 |
Asa Hammond | US | Richmond | 2012-07-26 / 20120188350 - SYSTEM AND METHOD FOR IMPROVED VIDEO MOTION CONTROL | 1 |
Jason Hammond | US | Reisterstown | 2013-07-04 / 20130167300 - System and method for providing spinal alignment in surgical and non-medical environments | 1 |
Angela K. Hammond | US | Maple Valley | 2014-05-22 / 20140141485 - ZCYTOR17 HETERODIMERIC CYTOKINE RECEPTOR | 9 |
Angela K. Hammond | US | Issaquah | 2011-07-28 / 20110183359 - METHODS FOR DETECTING MODULATORS OF CYTOKINE RECEPTOR ZALPHA11 | 2 |
Angela K. Hammond | US | Kirkland | 2011-08-11 / 20110196128 - MURINE ZCYTOR17 LIGAND POLYNUCLEOTIDES | 3 |
Charles E. Hammond | US | Billerica | 2016-03-10 / 20160067353 - PROTEIN-POLYMER-DRUG CONJUGATES | 12 |
Paul S. Hammond | GB | Cambridge | 2013-01-31 / 20130025856 - DUAL OR TWIN-WELL COMPLETION WITH WETTABILITY ALTERATION FOR SEGREGATED OIL AND WATER PRODUCTION | 2 |
William Anthony Hammond Bravo | MX | Mexico City | 2012-05-24 / 20120125599 - Artificial Simultaneous Production And Maintenance System Assisted By Mechanical Pumping With Flexible Tubing For Fluid Extraction | 1 |
Frank J. Hammond, Ii | US | Palmer Lake | 2011-03-24 / 20110072265 - System And Method Of Non-Centralized Zero Knowledge Authentication For A Computer Network | 2 |
Edward P. Hammond, Iv | US | Hillsborough | 2013-10-17 / 20130273262 - STATIC DEPOSITION PROFILE MODULATION FOR LINEAR PLASMA SOURCE | 11 |
Edward P. Hammond, Iv | US | Hillsborough | 2013-10-17 / 20130273262 - STATIC DEPOSITION PROFILE MODULATION FOR LINEAR PLASMA SOURCE | 11 |
Edward P. Hammond, Iv | US | 2009-06-18 / 20090156011 - Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor | 2 | |
John Kirk Hammond, Jr. | US | Raleigh | 2009-11-19 / 20090287350 - PHARMACEUTICAL DISPENSING SYSTEMS AND GRAPHICAL USER INTERFACES ASSOCIATED WITH SAME | 2 |
James Arthur Hammond, Jr. | US | O'Fallon | 2008-12-18 / 20080313059 - METHOD AND APPARATUS FOR A RECEIVING, INSPECTION, AND SUPPLIER QUALITY SYSTEM | 1 |
Bernard Harold Hammond, Jr. | GB | Cheltenham | 2016-04-21 / 20160111823 - METHODS AND SYSTEMS FOR COMPENSATING FOR ALIEN CROSSTALK BETWEEN CONNECTORS | 14 |
Bernard H. Hammond, Jr. | GB | Gloucestershire | 2012-01-26 / 20120021636 - TELECOMMUNICATIONS CONNECTOR | 1 |
Michael P. Hammond, Jr. | US | Port Jefferson Station | 2015-10-15 / 20150296346 - USER LOCATION TRACKING | 2 |
Bernard Harold Hammond, Jr. | US | Aurora | 2012-01-05 / 20120003874 - Connecting Hardware with Multi-Stage Inductive and Capacitive Crosstalk Compensation | 3 |
James A. Hammond, Jr. | US | O'Fallon | 2013-07-11 / 20130174397 - GUIDE ASSEMBLY | 3 |
Bernard Hammond, Jr. | US | Aurora | 2010-09-23 / 20100240251 - METHODS AND SYSTEMS FOR POSITIONING CONNECTORS TO MINIMIZE ALIEN CROSSTALK | 3 |
Bernard Hammond, Jr. | US | Centennial | 2010-04-08 / 20100087095 - METHODS AND SYSTEMS FOR MINIMIZING ALIEN CROSSTALK BETWEEN CONNECTORS | 1 |
Kim Hammond-Kosack | UK | Hemel Hempstead, Hertfordshire | 2010-11-18 / 20100293669 - Nucleic Acid Molecules and Other Molecules Associated with Plants and Uses Thereof for Plant Improvement | 1 |
Kim Hammond-Kosack | GB | Harpenden | 2008-10-30 / 20080271206 - Rice regulatory sequences for gene expression in defined wheat tissue | 1 |
Kim Hammond-Kosack | GB | Hemel Hempstead | 2015-05-21 / 20150143581 - Nucleic acid molecules and other molecules associated with plants and uses thereof | 2 |
Kim Hammond-Kosack | GB | Hertfondshine | 2011-11-10 / 20110277178 - Nucleic acid molecules and other molecules associated with plants and uses thereof for plant improvement | 1 |
Carl L. Hammonds | US | Hot Springs Village | 2016-04-07 / 20160096158 - Apparatus for mixing and blending of an additive material into a fluid and method | 1 |
Marcus Hammonds | US | New Rochelle | 2011-12-08 / 20110301768 - System and Method for Rapid Reconfiguration of Post-Mix Beverage Dispenser | 4 |
Paul Hammonds | AE | Dubai | 2012-05-31 / 20120132526 - Localized Corrosion Monitoring Device for Limited Conductivity Fluids | 3 |
Tim Hammonds | GB | London | 2010-04-15 / 20100092456 - METHODS OF TREATMENT | 1 |
Gus Dean Hammonds | US | Grand Prairie | 2014-12-18 / 20140367961 - DUCT COUPLER DEVICES, SYSTEMS, AND RELATED METHODS | 1 |
Yvette L. Hammonds | US | Oshkosh | 2013-06-20 / 20130157012 - SUBSTRATES COMPRISING FROTHED BENEFIT AGENTS FOR ENHANCED SUBSTRATE BENEFITS | 1 |
R. Glenn R. Hammonds | US | Berkeley | 2008-09-04 / 20080213247 - Mbms as Modifiers of Branching Morphogenesis and Methods of Use | 1 |
Paul Hammonds | US | Katy | 2008-11-20 / 20080283418 - Quantitative Transient Analysis of Localized Corrosion | 1 |
Carl L. Hammonds | US | Humble | 2014-03-13 / 20140072393 - Aircraft transporter dolly with hinged gate for moving aircraft | 12 |
Carl L. Hammonds | US | 2013-08-01 / 20130193160 - Fluid additive delivery system | 2 | |
Timothy Robin Hammonds | GB | Greater London | 2009-10-01 / 20090247519 - AMINO-ETHYL-AMINO-ARYL (AEAA) COMPOUNDS AND THEIR USE | 1 |
Darren Hammonds | GB | Leek | 2013-06-13 / 20130146230 - Label for Removable Attachment to an Article | 2 |
Timothy Robin Hammonds | GB | London | 2011-04-28 / 20110098325 - PYRIDINE BENZAMIDES AND PYRAZINE BENZAMIDES USED AS PKD INHIBITORS | 1 |
William S. Hammon, Iii | US | Denver | 2012-03-22 / 20120072116 - EXTRACTION OF DEPOSITIONAL SYSTEMS | 1 |
William S. Hammon, Iii | US | Boulder | 2010-09-30 / 20100250210 - EXTRACTION OF DEPOSITIONAL SYSTEMS | 3 |
Sean Hammons | US | Milwaukie | 2010-11-18 / 20100293017 - MICROPAYMENT AND WEBSITE CONTENT CONTROL SYSTEMS AND METHODS | 1 |
John Lee Hammons | US | Hamilton | 2016-03-24 / 20160083880 - Deformed Web Materials | 52 |
John Lee Hammons | US | Cincinnati | 2016-05-19 / 20160136015 - MOIRE EFFECT LAMINATES AND METHODS FOR MAKING THE SAME | 1 |
Aaron Hammons | US | Huntsville | 2011-08-25 / 20110207209 - CULTURE SYSTEMS, APPARATUS, AND RELATED METHODS AND ARTICLES | 1 |
Brian Paulherman Hammons | US | Los Angeles | 2015-11-26 / 20150339301 - METHODS AND SYSTEMS FOR MEDIA SYNCHRONIZATION | 1 |
Richard L. Hammons | US | 2013-02-07 / 20130036186 - CACHING REMOTE SWITCH INFORMATION IN A FIBRE CHANNEL SWITCH | 1 | |
Michael Hammons | US | El Dorado | 2015-11-05 / 20150318086 - DYNAMIC APPLICATION CABLE ASSEMBLY AND METHOD FOR MAKING THE SAME | 1 |
Justin C. Hammons | US | San Diego | 2012-04-05 / 20120083527 - Anticancer Agents | 1 |
George Roderick Hammons | US | Mason | 2009-10-22 / 20090263505 - DIETARY THERAPEUTIC COMPOSITIONS FOR AMELIORATING DISEASE SYMPTOMS AND CONDITIONS ASSOCIATED WITH DIABETES | 1 |
Matt Hammons | US | San Diego | 2009-11-19 / 20090286631 - Pitching training aid | 1 |
Gary Hammons | US | Richwood | 2010-06-24 / 20100154106 - IMPACT AND/OR VIBRATION ABSORBENT MATERIAL AND PROTECTIVE ARTICLES MAKING USE THEREOF | 1 |
John Lee Hammons | US | Fairfield Township | 2014-09-11 / 20140257216 - SANITARY NAPKINS WITH HYDROPHOBIC LOTIONS | 5 |
Aaron S. Hammons | US | Hunstville | 2010-09-09 / 20100227865 - Oligomer-Beta Blocker Conjugates | 1 |
Daniel Hammons | US | Joshua | 2013-12-19 / 20130334478 - SYSTEM AND METHOD FOR INSTALLING FIBER OPTIC CABLE | 3 |
Paul R. Hammons | US | Hooks | 2010-11-04 / 20100275360 - Flush controller | 1 |
Aaron S. Hammons | US | Huntsville | 2014-12-18 / 20140371168 - OLIGOMER-NUCLEOSIDE PHOSPHATE CONJUGATES | 2 |
Mark L Hammons | US | Magnolia | 2014-06-19 / 20140173366 - TRANSMITTING A DIAGNOSTIC CODE FROM A PROCESSOR | 1 |
Richard L. Hammons | US | Hollister | 2013-02-21 / 20130044765 - FIBRE CHANNEL NETWORK EMPLOYING REGISTERED STATE CHANGE NOTIFICATION WITH ENHANCED PAYLOAD | 13 |
Matthew Hammons | US | Peoria | 2013-04-25 / 20130102423 - LIGHTWEIGHT TUNNEL FOR BASEBALL PITCHING PRACTICE | 2 |
A. Roger Hammons, Jr. | US | N. Potomac | 2010-11-04 / 20100281331 - Systems and Methods for a Rateless Round Robin Protocol for Adaptive Error Control | 2 |
A. Roger Hammons, Jr. | US | Laurel | 2012-05-03 / 20120107184 - DECONTAMINATION APPARATUS | 1 |
A. Roger Hammons, Jr. | US | North Potomac | 2013-03-07 / 20130061109 - TURBO CODE INTERLEAVER WITH NEAR OPTIMAL PERFORMANCE | 4 |
A. Roger Hammons, Jr. | US | Potomac | 2011-06-02 / 20110131465 - SETS OF RATE-COMPATIBLE UNIVERSAL TURBO CODES NEARLY OPTIMIZED OVER VARIOUS RATES AND INTERLEAVER SIZES | 2 |
A. Roger Hammons, Jr. | US | Broadlands | 2015-09-03 / 20150249472 - SETS OF RATE-COMPATIBLE UNIVERSAL TURBO CODES NEARLY OPTIMIZED OVER VARIOUS RATES AND INTERLEAVER SIZES | 2 |
Monty Hammontree | US | Duvall | 2016-03-10 / 20160070422 - INLINE DISPLAY AND PREVIEW OF RELATED INFORMATION FOR ELEMENTS IN A DOCUMENT | 7 |
Monty L. Hammontree | US | Duvall | 2013-01-03 / 20130007671 - MULTI-FACETED RELATIONSHIP HUBS | 2 |
Jennifer L. Hammontree-Jones | US | Chillicothe | 2009-06-18 / 20090157569 - Method and system for improving manufacturing processes in the production of products | 2 |
Amer Hammoud | CA | Ottawa | 2013-05-09 / 20130117695 - MOVING AN ACTIVITY ALONG TERMINALS ASSOCIATED WITH A PHYSICAL QUEUE | 9 |
Maha Hammoud | US | Westland | 2015-06-25 / 20150180022 - HIGH POWER ELECTRODE MATERIALS | 1 |
Hassen Hammoud | US | Dearborn | 2016-02-18 / 20160046266 - METHOD AND DEVICE FOR AUTONOMOUS BRAKING OF A VEHICLE FOLLOWING COLLISION | 3 |
Amer Hammoud | CA | Ottawa | 2013-05-09 / 20130117695 - MOVING AN ACTIVITY ALONG TERMINALS ASSOCIATED WITH A PHYSICAL QUEUE | 9 |
Maha Hammoud | US | Ann Arbor | 2011-01-20 / 20110014102 - MATERIALS AND METHODS FOR THE REMOVAL OF SULFUR COMPOUNDS FROM FEEDSTOCK | 1 |
Rachid Hammoud | CA | Windsor | 2015-11-12 / 20150322977 - Multi-Purpose And Tunable Pressure Chamber For Pyrotechnic Actuator | 6 |
Riad I. Hammoud | US | Pittsburgh | 2011-02-10 / 20110032266 - GLARE DETECTION AND MITIGATION METHOD FOR A PHOTO-SENSITIVE DISPLAY DEVICE | 1 |
Riad Hammoud | US | Woburn | 2016-03-17 / 20160078272 - METHOD AND SYSTEM FOR DISMOUNT DETECTION IN LOW-RESOLUTION UAV IMAGERY | 1 |
Raja Hammoud | US | San Mateo | 2016-04-07 / 20160098779 - PROVIDING ACCESS TO A NETWORKED APPLICATION WITHOUT AUTHENTICATION | 2 |
Riad Hammoud | US | Pittsburgh | 2013-11-14 / 20130300636 - SPEECH GENERATION DEVICE WITH A HEAD MOUNTED DISPLAY UNIT | 1 |
Hassan Hammoud | CA | Windsor | 2013-06-13 / 20130147626 - Electronic Mailbox System | 2 |
Zane Hammoud | US | Northville | 2014-05-29 / 20140148349 - Metabolite Biomarkers for the Detection of Esophageal Cancer Using NMR | 3 |
Riad I. Hammoud | US | Kokomo | 2009-05-14 / 20090123031 - Awareness detection system and method | 2 |
Ghaith Hammouri | US | Worcester | 2013-05-16 / 20130124422 - SYSTEMS AND METHODS FOR AUTHORIZING TRANSACTIONS VIA A DIGITAL DEVICE | 2 |
Hassan Hammouri | FR | Coublevie | 2009-11-05 / 20090276179 - OPTIMIZATION AND CONTROL OF THE FREEZE-DRYING PROCESS OF PHARMACEUTICAL PRODUCTS | 1 |
Malik Hammoutene | CH | Valais | 2009-01-15 / 20090019516 - ROLE-BASED ACCESS CONTROL | 2 |
Fatima Hammy | FR | Le Mesnil Saint Denis | 2013-12-26 / 20130340571 - DISSOLUTION AND RECOVERY OF AT LEAST ONE ELEMENT NB OR TA AND OF AT LEAST ONE OTHER ELEMENT U OR RARE EARTH ELEMENTS FROM ORES AND CONCENTRATES | 1 |
Kim Robert Hamner | US | Auburn Hills | 2015-12-17 / 20150360425 - VACUUM MOLDING OF THERMOSET SHEET ARTICLES | 1 |
Marvine Hamner | US | Frederick | 2009-08-20 / 20090206278 - PHOTO-OPTICAL-ELECTRONIC GAS, PRESSURE AND TEMPERATURE SENSOR | 1 |
Christopher A. Hamner | US | Huntsville | 2016-02-11 / 20160043465 - PORTABLE APPARATUS AND ASSOCIATED METHOD FOR PHASED ARRAY FIELD CALIBRATION | 2 |
Dyson Lister Hamner | US | Poway | 2009-12-17 / 20090308211 - HAND TOOL AND METHOD OF USING SAME | 1 |
Kim Robert Hamner | US | Troy | 2014-12-04 / 20140354013 - HYDRO-FORM BONDED BOLSTER | 1 |
William B. Hamner | US | Seattle | 2010-09-23 / 20100237626 - HINGED-BLADE CROSS-AXIS TURBINE FOR HYDROELECTRIC POWER GENERATION | 1 |
Brian Hamner | US | Georgetown | 2010-06-24 / 20100161776 - METHOD FOR REMOTELY PROVIDING SOFTWARE TO COMPUTING APPARATUS IN DISTRIBUTED COMPUTER NETWORK | 1 |
Bradley Hamner | US | Pittsburgh | 2015-10-15 / 20150293216 - METHOD AND SYSTEM FOR DETECTING, TRACKING AND ESTIMATING STATIONARY ROADSIDE OBJECTS | 2 |
Patrick F. Hamner | US | Dallas | 2010-03-04 / 20100051372 - Motorized transportation apparatus and method | 1 |
Richard Flof Hamner | US | Hummelstown | 2010-04-22 / 20100099282 - ELECTROSTATIC DISCHARGE CONTACT | 1 |
Richard Elof Hamner | US | Hummelstown | 2016-05-19 / 20160142030 - CABLE ASSEMBLY HAVING A SIGNAL-CONTROL COMPONENT | 27 |
David Hamo | US | Morrisville | 2012-02-09 / 20120032666 - METHOD AND SYSTEM OF MEASURING CURRENT IN AN ELECTRIC METER | 1 |
David J. Hamo | US | Raleigh | 2010-09-16 / 20100231917 - Methods and Systems for Removing Undesired Artifacts in Fourier Domain Optical Coherence Tomography (FDOCT) Systems Using Continuous Phase Modulation and Related Phase Modulators | 1 |
Yaniv Hamo | CH | Adliswil | 2014-02-06 / 20140040004 - IDENTIFYING A DEAL IN SHOPPING RESULTS | 1 |
Mitsuru Hamochi | JP | Tokyo | 2014-06-12 / 20140158907 - Specimen Positioning Device, Charged Particle Beam System, and Specimen Holder | 3 |
Takashi Hamochi | JP | Shimotsuga | 2016-01-28 / 20160027926 - SEMICONDUCTOR DEVICE | 18 |
Takashi Hamochi | JP | Tochigi | 2015-11-05 / 20150317014 - Semiconductor Device, Touch Sensor, and Display Device | 6 |
Christopher P. Hamoen | CA | Mississauga | 2013-01-24 / 20130024224 - METHOD OF ASSISTING A SALES REPRESENTATIVE IN SELLING | 2 |
Marie-Helene Hamon | FR | Acigne | 2010-03-11 / 20100064195 - ENCODING AND DECODING A DATA SIGNAL AS A FUNCTION OF A CORRECTING CODE | 1 |
Michel Hamon | FR | Paris Cedex | 2012-12-06 / 20120309841 - Method of Inhibiting Chronification of Pain | 3 |
Fabrice Hamon | FR | Gien Cedex | 2010-06-24 / 20100155181 - ELEVATOR INSTALLATION WITH REDUCED HOISTWAY DIMENSIONS | 1 |
Fabrice Hamon | FR | Saint Lambert Du Lattay | 2010-09-30 / 20100243322 - ELECTRICAL CONNECTION TERMINAL | 1 |
Lomig Hamon | BE | Mons | 2012-04-12 / 20120085235 - GAS ADSORBENT | 1 |
Rodney D. Hamon | US | Burleson | 2008-09-18 / 20080223182 - Slide-Driver | 1 |
Alain Hamon | FR | Noyen Sur Sarthe | 2011-08-25 / 20110206333 - PROCESS FOR THE PRODUCTION OF A CONNECTING ELEMENT FOR A BAYONET CONNECTOR AND CONNECTING DEVICE | 1 |
Fabrice Hamon | FR | Les Choux | 2015-03-26 / 20150083525 - METHOD OF INSTALLING A MACHINE IN AN ELEVATOR SYSTEM | 1 |
Christian Hamon | DE | Kriftel | 2010-07-15 / 20100178710 - Mass Labels | 1 |
Nicholas Hamon | US | Sparta | 2012-09-06 / 20120222628 - APPARATUS FOR APPLYING CHEMICALS TO RODENTS | 2 |
Marie-Hélène Hamon | FR | Issy Les Moulineaux | 2010-11-11 / 20100287437 - Fast Encoding and Decoding Methods and Related Devices | 1 |
Serge Hamon | FR | Teyran | 2015-02-12 / 20150044677 - CLEM2, ACTIVE RETROTRANSPOSON OF COFFEE PLANTS | 2 |
Christian Hamon | FR | Saint-Nazaire | 2014-09-18 / 20140272001 - NON-MEDICAL FOOD ADDITIVE FOR ANIMALS, SUPPLEMENTED FOOD CONTAINING SAME AND METHOD FOR IMPROVING ANIMAL GROWTH | 3 |
Michel Hamon | FR | Paris | 2012-04-19 / 20120093589 - FOUNDATION SUPPORT SYSTEM FOR AN OFFSHORE WIND ENERGY CONVERTOR, CORRESPONDING TO AN OFFSHORE WIND POWER GENERATING FACILITY | 1 |
FranÇois Hamon | FR | Marseille | 2015-11-26 / 20150341809 - METHOD AND SYSTEM FOR TESTING BASE STATIONS OF A MOBILE TELECOMMUNICATIONS NETWORK | 1 |
Perla Hamon | FR | Teyran | 2015-02-12 / 20150044677 - CLEM2, ACTIVE RETROTRANSPOSON OF COFFEE PLANTS | 1 |
Vincent Hamon | FR | Rennes | 2013-10-24 / 20130279696 - METHOD FOR TRANSMITTING AND RECEIVING A MULTIMEDIA CONTENT | 1 |
Elizabeth Hamon | US | Mamaroneck | 2009-12-31 / 20090327286 - METHODS AND SYSTEMS FOR IMPROVING A SEARCH RANKING USING LOCATION AWARENESS | 1 |
Marie-Hélène Hamon | FR | Issy Les Moulineaux | 2010-11-11 / 20100287437 - Fast Encoding and Decoding Methods and Related Devices | 1 |
Christine Hamon | IT | Arese | 2015-12-03 / 20150349309 - DENSE FLUOROPOLYMER FILM | 1 |
Dominic Hamon | US | San Francisco | 2013-09-19 / 20130246906 - SYSTEM AND METHOD PROVIDING A BINARY REPRESENTATION OF A WEB PAGE | 4 |
Marie-Helene Hamon | FR | Noyal Sur Vilaine | 2009-08-27 / 20090217123 - Method and System for Encoding a Data Sequence | 1 |
Jacques Hamon | FR | Nantes | 2016-01-28 / 20160025876 - DIGITAL SEISMIC SENSOR AND ACQUISITION DEVICE ADAPTED TO BE CONNECTED TOGETHER VIA A TWO-CONDUCTOR LINE | 2 |
Christine Hamon | IT | Bollate | 2015-12-24 / 20150372274 - SEPARATOR COATED WITH POLYMER AND CONDUCTIVE SALT AND ELECTROCHEMICAL DEVICE USING THE SAME | 1 |
Michel Hamon | FR | Paris Cedex 13 | 2012-12-06 / 20120309840 - Treatment of Pain Associated with Trigeminal Neuralgia | 1 |
Benoit Hamon | FR | Bree | 2016-04-21 / 20160109507 - METHOD FOR PREDICTING FAILURE OF A LIGHT-EMITTING DIODE | 1 |
Yohann Hamon | FR | Wervicq-Sud | 2015-12-24 / 20150368478 - CORROSION INHIBITING REAGENT AND RESIN COATED BEAD WIRE | 1 |
Guillaume Hamon | FR | Velizy | 2015-07-23 / 20150202990 - METHOD OF MANAGING THE ENERGY CONSUMED BY AN AUTOMOTIVE VEHICLE AND SYSTEM IMPLEMENTING SUCH A METHOD | 1 |
Gérald Hamon | FR | Pau | 2014-05-15 / 20140133623 - X-RAY TOMOGRAPHY DEVICE | 3 |
James Hamond | AU | Victoria | 2015-05-28 / 20150145582 - PULSE GENERATING CIRCUIT FOR AUDIO-FREQUENCY AMPLIFIERS AND REGULATED POWER SUPPLIES | 1 |
James Hamond | AU | Kew | 2014-10-23 / 20140312969 - POWER CONTROL | 2 |
James Hamond | AU | Richmond | 2013-10-10 / 20130264969 - POWER SUPPLY CONTROL SYSTEM AND DEVICE | 1 |
Christophe Hamond | FR | Messimy | 2014-02-13 / 20140043716 - METHOD FOR CONTROLLING AN ELECTROMAGNETIC CONTACTOR AND ELECTROMAGNETIC CONTACTOR IMPLEMENTING SUCH A METHOD | 1 |
Jeffrey Hamond | US | Bernardsville | 2015-10-22 / 20150297786 - Fenestrated Hemostatic Patch | 1 |
Alan B. Hamor | US | Pennington | 2013-12-05 / 20130325646 - METHODS AND SYSTEMS FOR FACILITATING BIDS ON PRODUCTS AND SERVICES | 3 |
Gary D. Hamor | US | Mead | 2009-09-03 / 20090219178 - Method of encoding data | 2 |
Csaba Hamori | HU | Budapest | 2013-11-07 / 20130296353 - CRYSTALLINE PHARMACEUTICALLY ACTIVE INGREDIENTS | 1 |
Krystal Hamorsky | US | Owensboro | 2014-09-25 / 20140286986 - POLYPEPTIDES HAVING IMMUNOACTIVATING ACTIVITY AND METHODS OF PRODUCING THE SAME | 1 |
Michael Hamou | IL | Rishon Lezion | 2014-06-05 / 20140157464 - Mediterranean mandarin tree named 'HADASS' | 1 |
Jacques Hamou | FR | Paris | 2011-03-17 / 20110066149 - MEDICAL RESECTOR | 2 |
Eli Ben Hamou | IL | Tel Aviv | 2011-08-25 / 20110208298 - Mitral Prosthesis and Methods for Implantation | 3 |
Barak Hamou | IL | North | 2010-04-08 / 20100085970 - METHOD AND APPARATUS FOR PROVIDING MULTICAST COMMUNICATION | 1 |
Lahcen Hamouche | FR | Grenoble | 2011-02-03 / 20110026314 - Static Memory Device with Five Transistors and Operating Method | 1 |
Ehab Hamouda | US | San Francisco | 2016-03-17 / 20160076269 - CONCRETE DECK WITH LATERAL FORCE RESISTING SYSTEM | 1 |
Abdel Magid S. Hamouda | MY | Selangor | 2008-10-16 / 20080255684 - ARTIFICIAL INTELLIGENCE DEVICE AND CORRESPONDING METHODS FOR SELECTING MACHINABILITY DATA | 1 |
Ayman Hamouda | US | Fishkill | 2016-03-03 / 20160063167 - METHOD AND SYSTEM FOR VIA RETARGETING | 4 |
Tarek Hamouda | US | Ann Arbor | 2013-01-10 / 20130011443 - HUMAN RESPIRATORY SYNCYTIAL VIRUS VACCINE | 1 |
Ayman Yehia Hamouda | EG | Cairo | 2010-12-09 / 20100313173 - Dual Metric OPC | 1 |
Tarek Hamouda | US | Milan | 2016-03-17 / 20160074504 - NANOEMULSION INFLUENZA VACCINE | 18 |
Nourredine Hamoudi | FR | Golfe-Juan | 2009-11-12 / 20090282198 - SYSTEMS AND METHODS FOR OPTIMIZING BUFFER SHARING BETWEEN CACHE-INCOHERENT CORES | 1 |
Omar Hamoui | US | Los Angeles | 2011-11-17 / 20110283207 - SYSTEM AND METHOD FOR PLATFORM AND LANGUAGE-INDEPENDENT DEVELOPMENT AND DELIVERY OF PAGE-BASED CONTENT | 2 |
Omar Hamoui | US | Los Altos | 2012-01-05 / 20120001914 - SYSTEMS AND METHODS FOR DISPLAYING FIXED-SCALE CONTENT ON MOBILE DEVICES | 1 |
Abdelwahab Hamou-Lhadj | CA | Dollard-Des-Ormeaux | 2011-11-03 / 20110270595 - MODEL DRIVEN APPROACH FOR AVAILABILITY MANAGEMENT FRAMEWORK (AMF) CONFIGURATION GENERATION | 1 |
Ihab Hamour | CA | Markham | 2013-08-01 / 20130193951 - Convolution Integral for Synchronized Phasor | 1 |
Ihab Hamour | CA | Toronto | 2015-07-23 / 20150207645 - SYNCHROPHASOR DATA MANAGEMENT SYSTEMS AND METHODS | 1 |
Miroslav Hamouz | GB | Cambridge | 2015-06-11 / 20150160099 - FUEL CONSUMPTION ESTIMATION | 3 |
Michael G. Hamouz | US | Streamwood | 2015-06-04 / 20150152679 - MANUAL-AUTOMATIC RF SEALING SYSTEM | 2 |
Michael G. Hamouz | US | Lisle | 2014-01-02 / 20140003023 - MOVABLE EMF SHIELD, METHOD FOR FACILITATING RAPID IMAGING AND TREATMENT OF PATIENT | 1 |
Othman Charles Sadeq Othman Al Hamouz | SA | Dhahran | 2015-03-12 / 20150073097 - CROSS-LINKED POLYAMINOMETHYLDIPHOSPHONATE FOR THE REMOVAL OF METAL IONS FROM WASTEWATER | 3 |
Mike Hamouz | US | Streamwood | 2010-09-16 / 20100231102 - STOWABLE AND RELEASABLY SECURABLE MOBILE STRUCTURE | 1 |
Zakariya M. Hamouz | SA | Dhahran | 2011-11-10 / 20110276150 - Neural network optimizing sliding mode controller | 1 |
Shreyas Hampali | IN | Bangalore | 2016-01-21 / 20160021369 - VIDEO CODING INCLUDING A STAGE-INTERDEPENDENT MULTI-STAGE BUTTERFLY INTEGER TRANSFORM | 1 |
Arun Hampapur | US | Hawthorne | 2012-03-29 / 20120075450 - ACTIVITY DETERMINATION AS FUNCTION OF TRANSACTION LOG | 2 |
Arun Hampapur | US | 2012-04-19 / 20120093370 - EVENT DETERMINATION BY ALIGNMENT OF VISUAL AND TRANSACTION DATA | 1 | |
Arun Hampapur | US | Norwalk | 2016-05-12 / 20160132730 - Semantic Parsing of Objects in Video | 159 |
Arun Hampapur | US | Yorktown Heights | 2012-12-13 / 20120316906 - SPATIAL-TEMPORAL OPTIMIZATION OF PHYSICAL ASSET MAINTENANCE | 2 |
Arun Hampapur | US | White Plains | 2012-05-24 / 20120128242 - SYSTEM AND METHOD FOR DETECTING SCENE CHANGES IN A DIGITAL VIDEO STREAM | 1 |
Hari Hampapuram | US | San Diego | 2016-03-24 / 20160081597 - SYSTEM AND METHOD FOR MODE SWITCHING | 26 |
Hari Hampapuram | US | San Diego | 2016-03-24 / 20160081597 - SYSTEM AND METHOD FOR MODE SWITCHING | 26 |
Hari Hampapuram | US | Carlsbad | 2016-04-28 / 20160113558 - SYSTEM AND METHOD FOR MODE SWITCHING | 9 |
Hari Hampapuram | US | 2011-08-18 / 20110201911 - RECEIVERS FOR ANALYZING AND DISPLAYING SENSOR DATA | 1 | |
Hari Hampapuram | US | Carlsbad | 2016-04-28 / 20160113558 - SYSTEM AND METHOD FOR MODE SWITCHING | 9 |
Simon H. Hamparian | US | Emerson | 2014-06-05 / 20140152389 - Actively Tuned Circuit Having Parallel Carrier and Peaking Paths | 2 |
Hari Hampauram | US | Carlsbad | 2016-03-10 / 20160066843 - SYSTEMS AND METHODS FOR LEVERAGING SMARTPHONE FEATURES IN CONTINUOUS GLUCOSE MONITORING | 1 |
Mark J. Hampden-Smith | US | Albuquerque | 2015-09-10 / 20150251471 - REFLECTIVE FEATURES WITH CO-PLANAR ELEMENTS AND PROCESSES FOR MAKING THEM | 24 |
Ruediger Hampe | DE | Wessling | 2009-04-16 / 20090098501 - DENTAL RETRACTION DEVICE, METHOD OF PRODUCTION AND USE THEREOF | 1 |
Rüdiger Hampe | DE | Landsberg | 2012-03-29 / 20120077142 - Dental Retraction Composition, Method of Production and Use Thereof | 3 |
Patrick Hampe | TH | Bangkok | 2011-12-01 / 20110291677 - METHOD AND APPARATUS FOR DEFECT DETECTION | 1 |
Jochen Hampe | DE | Kiel | 2015-12-10 / 20150355197 - BIOMARKERS FOR DIAGNOSING LIVER DISEASE | 2 |
RÜdiger Hampe | DE | Lansberg | 2015-01-22 / 20150024341 - DEVICE AND A METHOD FOR DELIVERY OF A DENTAL COMPOSITION | 1 |
Craig E. Hampe | US | San Jose | 2012-05-10 / 20120113738 - Memory Device Having Multiple Power Modes | 1 |
Carsten Hampe | DE | Hameln | 2014-08-21 / 20140230878 - METHOD FOR ELECTRICALLY CONNECTING SEVERAL SOLAR CELLS AND PHOTOVOLTAIC MODULE | 1 |
Ruediger Hampe | DE | Landsberg | 2011-03-31 / 20110076639 - POWDER JET DEVICE FOR APPLYING DENTAL MATERIAL | 2 |
Cornelia Hampe | CH | Plan-Les-Ouates | 2013-02-07 / 20130035256 - Chimeric Polypeptides Useful in Proximal and Dynamic High-Throughput Screening Methods | 1 |
Juergen F. Hampe | DE | Marburg | 2009-07-30 / 20090193094 - Method for coordinating processing in a distributed system | 1 |
Rüediger Hampe | DE | Landsberg | 2011-09-08 / 20110217670 - DEVICE FOR SUPPLYING A DENTAL RETRACTION CORD, A METHOD OF MAKING AND USING SUCH A DEVICE, AND A METHOD FOR GINGIVAL RETRACTION | 1 |
Markus Hampe | DE | Lubeck | 2015-09-17 / 20150257957 - THERMOTHERAPY DEVICE | 5 |
Christiane S. Hampe | US | Seattle | 2016-02-04 / 20160032016 - MOLECULES AND METHODS FOR TREATMENT OF DIABETES | 1 |
Jochen Hampe | CA | Ville St-Laurent | 2010-04-22 / 20100099083 - CROHN DISEASE SUSCEPTIBILITY GENE | 1 |
David Hampe | DE | Stuttgart | 2014-01-09 / 20140007435 - PORTABLE, ELECTRIC WORK APPARATUS | 1 |
Andreas Hampe | DE | Kirchheim | 2010-01-21 / 20100013465 - FORCE MEASURING DEVICE AND METHOD FOR SIGNAL EVALUATION | 1 |
Patrick Hampe | SG | Singapore | 2010-10-21 / 20100263695 - SYSTEM FOR THE PROCESSING OF REUSABLE GLOVES | 1 |
Ruediger Hampe | DE | Worthsee | 2010-10-14 / 20100261143 - CURABLE DENTAL RETRACTION COMPOSITION, METHOD OF PRODUCTION AND USE THEREOF | 2 |
Christiane Hampe | US | Seattle | 2011-03-03 / 20110052569 - MOLECULES AND METHODS FOR TREATMENT OF DIABETES | 1 |
Jens Hampel | DE | Bautzen | 2010-08-26 / 20100213709 - GENERATOR-STEAM TURBINE-TURBOCOMPRESSOR STRING AND METHOD FOR OPERATING THE SAME | 1 |
Thomas Hampel | DE | Magstadt | 2014-05-15 / 20140131060 - POWER SCREWDRIVER AND/OR DRILL | 2 |
Lars Hampel | DE | Dusseldorf | 2015-03-26 / 20150083878 - WALL FASTENING, OR WALL OR CEILING HOOK, OR EYELET FOR A HOLLOW WALL, AND METHOD FOR FASTENING SAME | 1 |
Kenneth Hampel | US | Yardley | 2014-02-06 / 20140040275 - SEMANTIC SEARCH TOOL FOR DOCUMENT TAGGING, INDEXING AND SEARCH | 2 |
Georg Hampel | US | New York City | 2014-12-11 / 20140362775 - VIRTUALIZATION OF CONTROL PLANE FUNCTIONS OF A WIRELESS CORE PACKET NETWORK | 3 |
Paul Andreas Hampel | DE | Fischbachau | 2014-05-01 / 20140116892 - Apparatus and Method for Evaluating Characteristics of Target Molecules | 1 |
Randy Hampel | CA | Petawawa | 2016-02-11 / 20160042824 - SEALING APPARATUS FOR MITIGATING EMISSIONS OF HAZARDOUS GASES | 1 |
Georg K. Hampel | US | New York | 2011-06-16 / 20110145341 - SERVER PLATFORM TO SUPPORT INTERACTIVE MULTI-USER APPLICATIONS FOR MOBILE CLIENTS | 2 |
Craig E. Hampel | US | Los Altos | 2016-02-25 / 20160056948 - COMMUNICATION CHANNEL CALIBRATION FOR DRIFT CONDITIONS | 46 |
Carsten Hampel | DE | Pirna Copitz | 2015-05-07 / 20150122918 - Nozzle Needle for an Injector for Injecting Fuel Into Cylinder Combustion Chambers of an Internal Combustion Engine, and an Injector With Such a Nozzle Needle | 1 |
Christian Hampel | DE | Unna | 2013-09-12 / 20130233666 - SYNCHRONIZATION UNIT FOR A POSITIVE DUAL CLUTCH | 2 |
Waldemar Hampel | CH | Bulle | 2015-10-01 / 20150275835 - INJECTOR WITH INCREASED FLOW CROSS-SECTION | 2 |
William Paul Hampel | US | Durham | 2013-05-16 / 20130124577 - EFFICIENT UPDATE OF A DISCOVERY LIBRARY ADAPTER BOOK | 2 |
Hermann Hampel | DE | Grosshabersdorf | 2014-12-04 / 20140354353 - DEVICE HAVING A DELTA-SIGMA MODULATOR AND A SWITCHING AMPLIFIER CONNECTED THERETO | 9 |
Klaus Hampel | DE | Coburg | 2016-02-11 / 20160040705 - CONNECTING ELEMENT AND CONNECTING ARRANGEMENT | 5 |
Craig E. Hampel | US | San Jose | 2014-01-30 / 20140032830 - Memory Component with Pattern Register Circuitry to Provide Data Patterns for Calibration | 33 |
Robert Roy Hampel | US | Tualatin | 2011-07-28 / 20110181647 - Method for Measuring a Gap Between an Intermediate Imaging Member and a Print Head Using Thermal Characteristics | 2 |
Gary Hampel | US | Ogden | 2009-10-08 / 20090250914 - Module housing inflator flange | 1 |
Lance T. Hampel | US | Land O'Lakes | 2012-09-06 / 20120222626 - Livestock Confinement Pen | 3 |
Karl Georg Hampel | US | New York | 2016-05-12 / 20160135242 - OPPORTUNISTIC IOE MESSAGE DELIVERY VIA SENSOR-TRIGGERED FORWARDING | 19 |
Lance T. Hampel | US | Land O' Lakes | 2010-12-30 / 20100327478 - OFFSET MOLD TWINNING | 3 |
Uwe Hampel | DE | Dresden | 2010-12-02 / 20100303198 - ARRANGEMENT FOR THREE-DIMENSIONAL ELECTRON BEAM TOMOGRAPHY | 3 |
Christof Hampel | DE | Frankfurt Am Main | 2015-10-01 / 20150275079 - EU-ACTIVATED LUMINOPHORES | 2 |
Craig Hampel | US | Los Altos | 2016-04-07 / 20160098354 - SYSTEM INCLUDING HIERARCHICAL MEMORY MODULES HAVING DIFFERENT TYPES OF INTEGRATED CIRCUIT MEMORY DEVICES | 9 |
Jan Hampel | DE | Lichtenau | 2011-08-04 / 20110185884 - BULLET-RESISTANT TRANSPARENT LAMINATE COMPOSITE AND PROTECTION ARRANGEMENT HAVING A BULLET-RESISTANT TRANSPARENT LAMINATE COMPOSITE | 1 |
Harald Hampel | DE | Munchen | 2016-04-07 / 20160097782 - CSF DIAGNOSTIC IN VITRO METHOD FOR DIAGNOSIS OF DEMENTIAS AND NEUROINFLAMMATORY DISEASES | 6 |
Sven Karsten Hampel | DE | Hannover | 2010-02-18 / 20100039192 - Active Inductance for Very High Frequencies Based on CMOS Inverters | 1 |
K. Georg Hampel | US | New York | 2013-04-04 / 20130086142 - System and Method for Mobility and Multi-Homing Content Retrieval Applications | 1 |
Lance T. Hampel | US | Fredericksburg | 2016-04-07 / 20160095289 - Pen Front | 3 |
Matthias Hampel | DE | Ruesselsheim | 2014-11-13 / 20140333312 - SOC DETERMINATION BY EVALUATION OF EFFECTS INVOKED BY DIMENSIONAL CHANGES OF BATTERY CELLS | 2 |
Caecilie Hampel | DE | Karlsruhe | 2011-06-23 / 20110154111 - Memory Based Hardware Breakpoints | 1 |
Georg Hampel | US | New York | 2013-11-21 / 20130308559 - METHOD AND SYSTEM FOR OPTIMISING ROUTING BETWEEN TWO NETWORK NODES, AT LEAST ONE OF WHICH IS MOBILE | 1 |
Uwe Hampel | DE | Bundesrepublik | 2009-04-23 / 20090102450 - Grid Sensor for the Two-Dimensional Measurement of Different Components in the Cross Section of a Multiphase Flow | 1 |
Jan Hampel | DE | Altenbeken | 2009-02-26 / 20090050041 - Watercraft with a protective device against shaped/hollow charges | 1 |
Harald Juergen Hampel | DE | Munich | 2009-01-22 / 20090022825 - Method for Predicting Whether Subjects With Mild Cognitive Impairment (MCI) Will Develop Alzheimer's Disease | 1 |
Craig Hampel | US | Los Altos | 2016-04-07 / 20160098354 - SYSTEM INCLUDING HIERARCHICAL MEMORY MODULES HAVING DIFFERENT TYPES OF INTEGRATED CIRCUIT MEMORY DEVICES | 9 |
Thomas Hampel | DE | Ingolstadt | 2013-02-14 / 20130039694 - COMPONENT FOR A MOTOR VEHICLE | 1 |
Susan A. Hampel | US | Omaha | 2012-06-28 / 20120166271 - ARRANGEMENTS FOR FACILITATING e-COMMERCE VIA A TEXT BASED NETWORK | 1 |
Oliver Hampel | DE | Waldkraiburg | 2014-11-06 / 20140326370 - USE OF A SOLID FOR THE PRODUCTION OF A PROPELLANT POWDER | 1 |
Brian Hampel | US | Bloomfield | 2013-12-19 / 20130339069 - Method and a System for Allocating Ticket Inventory on Buyer Side | 1 |
Craig E. Hampel | US | Los Altos | 2016-02-25 / 20160056948 - COMMUNICATION CHANNEL CALIBRATION FOR DRIFT CONDITIONS | 46 |
Ulrich Hampel | DE | Grevenbroich | 2015-02-19 / 20150050558 - Textured Current Collector Foil | 7 |
Craig Edward Hampel | US | San Jose | 2012-08-09 / 20120201089 - INTEGRATED CIRCUIT DEVICE COMPRISES AN INTERFACE TO TRANSMIT A FIRST CODE, A STROBE SIGNAL AFTER A DELAY AND DATA TO A DYNAMIC RANDOM ACCESS MEMORY (DRAM) | 1 |
Hermann Hampel | DE | Grosshabersdorf | 2014-12-04 / 20140354353 - DEVICE HAVING A DELTA-SIGMA MODULATOR AND A SWITCHING AMPLIFIER CONNECTED THERETO | 9 |
Ian Hampel | AU | New South Wales | 2009-09-24 / 20090236851 - COUPLING ARRANGEMENT | 1 |
Harald Hampel | IE | Dublin | 2012-08-02 / 20120196300 - Neurodegenerative Markers for Psychiatric Conditions | 1 |
Karl Georg Hampel | US | New York | 2016-05-12 / 20160135242 - OPPORTUNISTIC IOE MESSAGE DELIVERY VIA SENSOR-TRIGGERED FORWARDING | 19 |
Christina Hampel | CH | Oberengstringen | 2013-01-31 / 20130030087 - ADDITIVE FOR HYDRAULICALLY SETTING SYSTEMS WITH IMPROVED PROCESSING | 5 |
Christina Hampel | CH | Rutihof | 2015-08-20 / 20150232597 - PLASTICIZER HAVING CATIONIC SIDE CHAINS WITHOUT POLYETHER SIDE CHAINS | 4 |
Simon Hamper | US | Lake Jackson | 2015-07-09 / 20150191662 - Petrochemical Processes | 2 |
Bruce Cameron Hamper | US | Kirkwood | 2011-12-15 / 20110306597 - Nicotinamide Derivatives | 1 |
Bruce C. Hamper | US | Kirkwood | 2009-11-12 / 20090281125 - Heterocyclic Compounds Useful in Treating Diseases and Conditions | 2 |
Simon J. Hamper | US | Lake Jackson | 2015-03-12 / 20150073191 - PROCESS FOR THE PREPARATION OF HYDROGENATED HYDROCARBON COMPOUNDS | 3 |
Bruce Hamper | US | Kirkwood | 2016-01-07 / 20160002067 - IMMOBILIZED LIGANDS FOR THE REMOVAL OF METAL IONS AND METHODS THEREOF | 1 |
Lynn C. Hamper | US | St. Louis | 2015-02-26 / 20150058185 - SYSTEM AND METHOD FOR ENSURING ACCURATE REIMBURSEMENT FOR TRAVEL EXPENSES | 4 |
Johann Hamperl | DE | Esslingen | 2010-09-30 / 20100248888 - TANDEM AXLE HAVING TWO DRIVABLE AXLES AND A DRIVETRAIN WHICH CAN BE PARTIALLY DISCONNECTED | 1 |
Helmut Hamperl | DE | Cham | 2009-03-05 / 20090059100 - Process and device for playing back multimedia data in a motor vehicle | 1 |
Reinhard Hamperl | DE | Kofering | 2015-03-19 / 20150078498 - METHOD FOR CHECKING THE OPERATION OF A PSI5 RECEPTION UNIT IN A MOTOR VEHICLE CONTROLLER, AND CORRESPONDING PSI5 RECEPTION UNIT | 1 |
Jan Hampf | SE | Saro | 2015-07-02 / 20150182420 - FRONT PART FOR SUPPORT STRUCTURE FOR CPR | 6 |
Holger Hampf | US | Ventura | 2012-06-07 / 20120138564 - Bottle With Top Loading Resistance | 1 |
Holger Hampf | DE | Munich | 2012-07-12 / 20120175338 - Bottle with Top Loading Resistance with Front and Back Ribs | 1 |
Vallabha Vasant Hampiholi | IN | Bangalore | 2016-03-17 / 20160080885 - Context-Based Audio Tuning | 8 |
Vallabha Vasant Hampiholi | IN | Bangalore | 2016-03-17 / 20160080885 - Context-Based Audio Tuning | 8 |
Vallahba Vasant Hampiholi | IN | Bangalore | 2014-01-02 / 20140005884 - SYSTEMS AND METHODS FOR DISABLING A VEHICLE HORN | 1 |
Vallabha Hampiholi | IN | Bangalore | 2016-03-17 / 20160077789 - VEHICLE TO VEHICLE DATA COMMUNICATION SYSTEM | 4 |
Paul Hampikian | US | Lowell | 2015-11-12 / 20150323660 - RADAR SYSTEM AND METHOD FOR DETERMINING RANGE, RELATIVE VELOCITY AND BEARING OF AN OBJECT USING CONTINUOUS-WAVE AND CHIRP SIGNALS | 1 |
Pascal Hampikian | FR | Paris | 2015-04-09 / 20150100674 - DEVICE FOR CONFIGURATION OF ETHERNET EQUIPMENT AND METHOD FOR CONFIGURATION OF ETHERNET EQUIPMENT USING SUCH A DEVICE | 3 |
Greg Hampikian | US | Boise | 2015-05-21 / 20150140568 - REFERENCE MARKERS FOR BIOLOGICAL SAMPLES | 4 |
Otto Hampl | DE | Hirschaid | 2009-04-16 / 20090095312 - INHALATION UNIT | 1 |
Vladimir Hampl | US | Roswell | 2008-08-28 / 20080202542 - Wrappers For Smoking Articles Having Reduced Diffusion Leading to Reduced Ignition Proclivity Characteristics | 1 |
Otto Hampl | DE | Getrees | 2013-12-12 / 20130328660 - ELECTRICAL POWER RESISTOR | 1 |
Johannes Hampl | US | Santa Clara | 2015-11-26 / 20150337048 - ANTI-CD324 MONOCLONAL ANTIBODIES AND USES THEREOF | 4 |
Jörg Hampl | DE | Erfurt | 2013-08-01 / 20130197668 - STRUCTURE MODELED ON A BIOLOGICAL TISSUE AND METHOD FOR PRODUCING SAID STRUCTURE | 2 |
Jorg Hampl | DE | Erfurt | 2013-08-01 / 20130197668 - STRUCTURE MODELED ON A BIOLOGICAL TISSUE AND METHOD FOR PRODUCING SAID STRUCTURE | 4 |
Radek Hampl | CZ | Praha | 2009-08-06 / 20090198494 - RESOURCE CONSERVATIVE TRANSFORMATION BASED UNSUPERVISED SPEAKER ADAPTATION | 2 |
Gerd Hampl | AT | Bruck An Der Leitha | 2012-12-20 / 20120321858 - MOLDINGS DESIGNED TO PREVENT FALLS | 1 |
Joerg Hampl | DE | Erfurt | 2013-10-17 / 20130270225 - METHOD FOR PRODUCTION OF A MICROSTRUCTURED MOLDED OBJECT | 2 |
David Louis Hample | US | Newark | 2012-07-19 / 20120185424 - FlexSCAPE: Data Driven Hypothesis Testing and Generation System | 2 |
Richard J. Hampo | US | Plymouth | 2015-05-21 / 20150138689 - Power Module Cooling System | 15 |
Richard Joseph Hampo | US | Plymouth | 2009-07-30 / 20090190380 - MULTI-STAGE SWITCHING POWER SUPPLY | 1 |
Richard Hampo | US | Plymouth | 2012-02-16 / 20120038329 - System and Method for Controlling the Output Voltage of a Power Supply | 5 |
Richard J. Hampo | US | Plymouth | 2015-05-21 / 20150138689 - Power Module Cooling System | 15 |
Rahul Hampole | US | San Francisco | 2013-07-18 / 20130185277 - ECOSYSTEM FOR MANUALLY MARKED SEARCHABLE FEEDS ON PUBLISHER SITES | 1 |
Roland Hampp | DE | Bad Abbach | 2015-07-09 / 20150194398 - Conductive Lines and Pads and Method of Manufacturing Thereof | 9 |
Norbert Hampp | DE | Amöneburg | 2009-06-18 / 20090157178 - INTRAOCULAR LENS | 1 |
Emily Hampp | US | Far Hills | 2016-02-18 / 20160045268 - SURGICAL PLAN OPTIONS FOR ROBOTIC MACHINING | 4 |
Andreas Hampp | US | Santa Barbara | 2014-06-26 / 20140173926 - SYSTEM AND METHOD FOR REMOVING OXIDE FROM A SENSOR CLIP ASSEMBLY | 7 |
Norbert Hampp | DE | Amoeneburg-Rossdorf | 2014-06-26 / 20140177369 - OPTICAL DATA STORE AND METHOD FOR STORAGE OF DATA IN AN OPTICAL DATA STORE | 2 |
Norbert Hampp | DE | Amöneburg | 2009-06-18 / 20090157178 - INTRAOCULAR LENS | 2 |
Roland Hampp | US | Poughkeepsie | 2011-07-21 / 20110175148 - Methods of Forming Conductive Features and Structures Thereof | 10 |
Roland Hampp | DE | Bad Abbach | 2015-07-09 / 20150194398 - Conductive Lines and Pads and Method of Manufacturing Thereof | 9 |
Thomas A. Hampp-Bahnmueller | DE | Stuttgart | 2015-06-04 / 20150154253 - Method and System for Performing Search Queries Using and Building a Block-Level Index | 1 |
Thomas Hampp-Bahnmueller | DE | Stuttgart-Vaihingen | 2012-06-14 / 20120150867 - CLUSTERING A COLLECTION USING AN INVERTED INDEX OF FEATURES | 1 |
Thomas Hampp-Bahnmueller | GE | Stuttgart | 2015-09-17 / 20150263984 - COMMUNICATION METHOD AND SYSTEM FOR ACCESSING MEDIA DATA | 1 |
Thomas Hampp-Bahnmueller | DE | Stuttgart | 2010-07-08 / 20100174713 - Enhanced Content Web Browsing | 1 |
Thomas A. P. Hampp-Bahnmueller | DE | Stuttgart-Vaihingen | 2015-06-25 / 20150178358 - VERIFICATION OF TRANSFORMED CONTENT | 2 |
Thomas Hampp-Bahnmueller | DE | Boeblingen | 2010-12-02 / 20100306307 - SYSTEM AND METHOD FOR SOCIAL BOOKMARKING/TAGGING AT A SUB-DOCUMENT AND CONCEPT LEVEL | 1 |
Thomas A. P. Hampp-Bahnmueller | DE | Stuttgart | 2015-10-01 / 20150278265 - TERM CONSOLIDATION FOR INDICES | 2 |
Rainer Hamprecht | DE | Odenthal | 2009-03-12 / 20090064426 - DISPERSE AZO DYESTUFFS | 1 |
Dieter Hamprecht | IT | Pozzolengo | 2015-05-28 / 20150148347 - INDANYLOXYPHENYLCYCLOPROPANECARBOXYLIC ACIDS | 12 |
Dieter Wolfgang Hamprecht | IT | Verona | 2010-01-21 / 20100016287 - COMPOUNDS HAVING AFFINITY FOR DOPAMINE D3 RECEPTOR AND USES THEREOF" | 1 |
Dieter Hamprecht | IT | Verona | 2016-03-03 / 20160058737 - IMIDAZOLIDINEDIONE DERIVATIVES | 17 |
Gerhard Hamprecht | DE | Weinheim | 2012-10-25 / 20120271049 - BIFUNCTIONAL PHENYL ISO (THIO) CYANATES, PROCESSES AND INTERMEDIATES PRODUCTS FOR THEIR PREPARATION | 4 |
Dieter Wolfgang Hamprecht | IT | Pozzolengo | 2015-04-23 / 20150111826 - ACYLATED GLUCAGON ANALOGUES | 5 |
Dieter Wolfgang Hamprecht | DE | Ingelheim Am Rhein | 2014-03-20 / 20140080757 - GLUCAGON ANALOGUES | 2 |
Dieter Hamprecht | IT | Pozzolengo (bs) | 2015-11-26 / 20150336933 - Heterocyclic compounds, medicaments containing said compounds, use thereof and processes for the preparation thereof | 4 |
Klaus Hamprecht | DE | Tubingen | 2010-11-25 / 20100294141 - METHOD AND DEVICE FOR THE TREATMENT OF MILK, ESPECIALLY BREAST MILK | 1 |
Dieter Hamprecht | IT | Pozzolengo | 2015-05-28 / 20150148347 - INDANYLOXYPHENYLCYCLOPROPANECARBOXYLIC ACIDS | 12 |
John B. Hampshire | US | Irvine | 2011-01-20 / 20110011668 - SEISMIC WAVE GENERATING APPARATUS AND METHOD | 1 |
Randall D. Hampshire | US | Prior Lake | 2014-03-06 / 20140063651 - SUPPRESSION OF SEEK REPEATABLE RUNOUT | 1 |
Randall David Hampshire | US | Prior Lake | 2010-11-18 / 20100290153 - SERVO PROCESSORS THAT ALTERNATELY CONTROL HEAD POSITIONING RELATIVE TO SEQUENTIAL SERVO PATTERNS | 1 |
Robert Hampshire | US | Pittsburgh | 2013-09-12 / 20130238388 - OPTION FRAMEWORK FOR MANAGING ON-DEMAND SERVICE OFFERINGS | 1 |
Robert C. Hampshire | US | Pittsburgh | 2011-10-20 / 20110258009 - OPTION FRAMEWORK FOR MANAGING ON DEMAND SERVICE OFFERINGS | 3 |
Tobias Hampshire | GB | Salisbury | 2012-02-09 / 20120034689 - MYCOBACTERIAL ANTIGENS EXPRESSED DURING LATENCY | 2 |
Marc Hampshire | US | Williamstown | 2014-06-12 / 20140164208 - Systems and Methods for Testing a Financial Trading System | 1 |
Tobias Hampshire | GB | Salisbury Wiltshire | 2009-03-26 / 20090082296 - MYCOBACTERIAL ANTIGENS EXPRESSED DURING LATENCY | 1 |
John B. Hampshire, Ii | US | Irvine | 2011-07-07 / 20110162887 - INSERTING AND EXTRACTING UNDERGROUND SENSORS | 2 |
Steven Todd Hampson | US | Vero Beach | 2013-11-07 / 20130292042 - ARRANGEMENTS AND METHODS FOR APPLYING A LABEL TO A MOVING OBJECT | 1 |
Christopher W. Hampson | US | Hillsboro | 2015-07-02 / 20150187439 - INTEGRATED CIRCUIT DEFECT DETECTION AND REPAIR | 2 |
Richard Hampson | US | Redmond | 2016-05-12 / 20160132951 - SYSTEM AND METHOD FOR ANALYZING A POWERTRAIN CONFIGURATION | 5 |
Gregory James Hampson | US | Saratoga Springs | 2016-03-17 / 20160078695 - METHOD AND SYSTEM FOR MANAGING A FLEET OF REMOTE ASSETS AND/OR ASCERTAINING A REPAIR FOR AN ASSET | 1 |
Robert E. Hampson | US | Kemersville | 2015-11-26 / 20150335294 - Methods and Devices for Predicting and/or Detecting Seizures | 1 |
Gregory James Hampson | US | Boulder | 2015-12-17 / 20150361945 - Pre-Chamber Spark Plug With Tubular Electrode And Method of Manufacturing Same | 9 |
Gregory James Hampson | US | Stillwater | 2012-05-24 / 20120125279 - PRE-CHAMBER SPARK PLUG WITH TUBULAR ELECTRODE AND METHOD OF MANUFACTURING SAME | 1 |
Courtney Hampson | US | Sunnyvale | 2016-05-05 / 20160125475 - ADJUSTING ADVERTISER BIDS BASED ON SERVICE AVAILABILITY | 1 |
Richard J. Hampson | GB | Stonehaven | 2015-05-28 / 20150144357 - USE OF MULTIPLE STACKED COILED TUBING (CT) INJECTORS FOR RUNNING HYBRID STRINGS OF CT AND JOINTED PIPE OR MULTIPLE CT STRING | 1 |
David John Hampson | AU | Bedfordale | 2011-01-27 / 20110020383 - NOVEL GENES AND PROTEINS OF BRACHYSPIRA HYODYSENTERIAE AND USES THEREOF | 1 |
Carl Hampson | GB | St.helens | 2010-12-02 / 20100301256 - BINDERS | 1 |
Courtney Lynn Hampson | US | Mountain View | 2015-08-20 / 20150234570 - SYSTEMS, METHODS, AND COMPUTER-READABLE MEDIA FOR EVENT CREATION AND NOTIFICATION | 1 |
Edward James Hampson | AU | New South Wales | 2010-02-04 / 20100025118 - APPARATUS | 1 |
David J. Hampson | AU | Bedfordale | 2016-02-25 / 20160052974 - NOVEL GENES AND PROTEINS OF BRACHYSPIRA HYODYSENTERIAE AND USES THEREOF | 5 |
David John Hampson | AU | Mt. Nasura | 2010-11-25 / 20100297178 - NOVEL GENES AND PROTEINS OF BRACHYSPIRA HYODYSENTERIAE AND USE OF SAME FOR DIAGNOSIS AND THERAPY | 2 |
Richard James Hampson | GB | Harpenden | 2010-11-25 / 20100299760 - COMMUNICATION SYSTEM FOR TRADE FAIRS | 1 |
Roger Hampson | GB | Berkshire | 2012-03-22 / 20120067126 - MONITORING OF THE POSITION OF A PIPE INSPECTION TOOL IN A PIPELINE | 3 |
Richard Hampson | PT | Lisbon | 2009-08-27 / 20090215034 - Method for selectively detecting subsets of nucleic acid molecules | 1 |
David John Hampson | AU | Beforddale | 2015-12-10 / 20150353610 - NOVEL RECOMBINANT OUTER MEMBRANE PROTEINS FROM BRACHYSPIRA HYODYSENTERIAE AND USES THEREOF | 1 |
Kip Hampson | CA | Edmonton | 2011-11-24 / 20110286600 - WIRELESS AUDIO SYSTEM | 1 |
Carl A. Hampson | GB | St. Helens | 2015-03-05 / 20150065646 - MOLASSES BINDER | 5 |
Courtney Hampson | US | Mountain View | 2015-12-03 / 20150347556 - SUGGESTING PRE-CREATED GROUPS BASED ON A USER WEB IDENTITY AND ONLINE INTERACTIONS | 6 |
Carl Hampson | GB | St. Helens | 2016-01-07 / 20160002441 - BINDERS | 6 |
Carl Hampson | GB | St. Helens, Merseyside | 2014-10-23 / 20140312260 - BINDERS | 1 |
Terence William Hampson | CA | North York | 2015-10-15 / 20150293575 - SYSTEM AND METHOD FOR DEFERRING POWER CONSUMPTION BY POST-PROCESSING SENSOR DATA | 1 |
Gregory James Hampson | US | Boulder | 2015-12-17 / 20150361945 - Pre-Chamber Spark Plug With Tubular Electrode And Method of Manufacturing Same | 9 |
Bruce Hampson | CA | Parksville | 2014-04-24 / 20140110520 - Trailer Tie-Down Strap Retrieval Spool | 1 |
Lynne Hampson | GB | Manchester | 2013-02-21 / 20130046098 - KINASE INHIBITORS | 1 |
Brian Hampson | US | Canton | 2013-11-07 / 20130295057 - EN VIVO GENERATED TISSUE SYSTEM | 5 |
Robert E. Hampson | US | Kernersville | 2014-09-04 / 20140249445 - Methods and Devices for Improving Cognitive Function | 3 |
Ian Hampson | GB | Manchester | 2013-02-21 / 20130046098 - KINASE INHIBITORS | 1 |
Carl Hampson | GB | Merseyside | 2011-10-27 / 20110260094 - MINERAL WOOL INSULATION | 1 |
Dave Hampson | US | Oak Creek | 2010-09-23 / 20100236890 - Mechanical Clutch Having Polymer Engagement | 1 |
Steven Thomas Hampson | US | Lake Oswego | 2010-06-03 / 20100138509 - IMAGE DISPLAY DEVICE AND METHOD OF COMMUNICATING WITH AN IMAGE DISPLAY DEVICE OVER A NETWORK | 1 |
Gregory J. Hampson | US | Stillwater | 2012-05-17 / 20120117945 - SYSTEM AND METHOD FOR SENSING PARTICULATE MATTER | 2 |
Mark A. Hampson | US | Akron | 2009-08-27 / 20090212161 - AIRCRAFT GRAYWATER EJECTION SYSTEM | 1 |
Timothy Hampson | US | Philadelphia | 2012-05-03 / 20120102651 - TWO-PIECE LIGHTWEIGHT LITTER SYSTEM | 2 |
Len Hampson | US | San Antonio | 2011-05-26 / 20110120972 - REPLACEMENT PROCESS FOR FLUORIDE ION CLEANING | 1 |
Richard John Hampson | PT | Lisbon | 2013-05-30 / 20130136694 - METHOD FOR IDENTIFYING CANCER DRUG CANDIDATES IN DROSOPHILA | 1 |
Forest Hamptom, Iii | US | Elyria | 2010-01-14 / 20100006220 - PLASTIC ADHESIVE | 1 |
Mark Hampton | US | 2011-05-05 / 20110100380 - Therapeutic footwear system, methods and devices | 2 | |
Keith Hampton | US | Ann Arbor | 2016-03-03 / 20160061164 - VACUUM PRODUCER INCLUDING AN ASPIRATOR AND AN EJECTOR | 35 |
Mark C. Hampton | AU | Carlton | 2016-03-10 / 20160070814 - RESPONSIVE IMAGE RENDITION AUTHORING | 20 |
Mark Hampton | NZ | Swanson | 2013-10-24 / 20130282525 - METHOD TO FACILITATE THE ORDERING OF PRODUCTS | 1 |
Shawn D. Hampton | US | Kennewick | 2016-04-21 / 20160110384 - Search Systems and Computer-Implemented Search Methods | 6 |
David R. Hampton | US | Woodinville | 2016-04-21 / 20160106992 - PULSE DETECTION APPARATUS, SOFTWARE, AND METHODS USING PATIENT PHYSIOLOGICAL SIGNALS | 14 |
Mark C. Hampton | AU | Fitzroy North | 2016-03-10 / 20160071237 - RESPONSIVE IMAGE RENDITION AUTHORING | 6 |
Peter Hampton | CA | New Westminster | 2015-11-26 / 20150337643 - AN APPARATUS, SYSTEM AND METHOD FOR ACTUATING DOWNHOLE TOOLS IN SUBSEA DRILLING APPLICATIONS | 1 |
Michael Brent Hampton | US | Lexington | 2013-10-03 / 20130260086 - FOAM FILLED PANEL WITH SEALED DECORATIVE STITCHING AND PROCESS FOR MANUFACTURE THEREOF | 1 |
David Wayne Hampton | US | Houston | 2010-09-30 / 20100243241 - Detecting Fluids In a Wellbore | 1 |
Samantha L. Hampton | US | Greeley | 2015-10-29 / 20150310560 - Systems And Methods For Improving Government Financing Of Infrastructure Services/Improvements | 4 |
Mark Ross Hampton | NZ | Auckland | 2014-10-23 / 20140313377 - IN RELATION TO A LENS SYSTEM FOR A CAMERA | 1 |
Mark Ross Hampton | NZ | Swanson, Auckland | 2014-10-23 / 20140313377 - IN RELATION TO A LENS SYSTEM FOR A CAMERA | 1 |
Mathieu Hampton | NL | Eindhoven | 2014-10-02 / 20140293395 - ELECTROWETTING DISPLAY DEVICE | 1 |
Michael L. Hampton | US | Raleigh | 2014-10-16 / 20140305934 - Method and apparatus for controlled broadband microwave heating | 1 |
Thomas Hampton | US | Clifton | 2014-09-18 / 20140282153 - CUSTOMIZABLE DATA MANAGEMENT SYSTEM | 2 |
Tara Leanne Hampton | US | Fort Worth | 2013-04-04 / 20130084639 - Bicyclic Derivatives as Modulators of Ion Channels | 3 |
Lisa Ann Hampton | US | Sunnyvale | 2014-12-18 / 20140371581 - METHOD AND SYSTEM FOR RADIATION APPLICATION | 1 |
Douglas D. Hampton | US | Omaha | 2015-02-05 / 20150033437 - Temperature Adjustable Air-Cooled Undergarment | 1 |
Justin W. Hampton | US | Bonne Terre | 2014-09-04 / 20140245770 - REFRIGERANT CHARGE MANAGEMENT IN A HEAT PUMP WATER HEATER | 2 |
Thomas R. Hampton | US | Wentzville | 2015-05-14 / 20150132402 - DIETARY SUPPLEMENTS FOR PROMOTION OF GROWTH, REPAIR, AND MAINTENANCE OF BONE AND JOINTS | 2 |
Kathryn Hampton | US | Los Gatos | 2012-02-02 / 20120030574 - KEY-CONFIGURED TOPOLOGY WITH CONNECTION MANAGEMENT | 1 |
Kurt Douglas Hampton | US | North Hollywood | 2015-03-05 / 20150066115 - Construction for an Implantable Medical Device Having a Battery Affixed to the Case | 1 |
Mark Hampton | FR | La Cote St. Andre | 2014-01-16 / 20140019925 - METHOD FOR TESTING A COMPUTER PROGRAM | 4 |
Clifton Glenn Hampton | US | Burleson | 2014-12-04 / 20140352157 - Utility Cutter | 20 |
Rodney L. Hampton | US | Sulligent | 2011-05-05 / 20110101048 - BOOT JACK | 1 |
Obadiah Hampton | US | Maricopa | 2011-11-03 / 20110265694 - Solar Powered Umbrella Table | 1 |
Mark Hampton | AU | Pyrmont | 2010-05-20 / 20100125794 - PRESENTATION OF ITEMS ARRANGED IN A HIERARCHY | 1 |
Richard Charles Hampton | CA | King City | 2010-05-06 / 20100109312 - SKATE WITH PIVOTING ROCKER AND REPLACEABLE BLADE | 1 |
Peter Hampton | CA | Victoria | 2010-04-15 / 20100091127 - IMAGE RECONSTRUCTION METHOD FOR A GRADIENT CAMERA | 1 |
Thurman B. Hampton | US | Tucker | 2014-06-05 / 20140150322 - Magazine Spring Compression Tool and Method | 2 |
Mark C. Hampton | AU | Newtown | 2012-06-21 / 20120158656 - ORDERED LIST MANAGEMENT IN A REPLICATED ENVIRONMENT | 4 |
Anne Lorraine Hampton | AU | Fitzroy | 2008-09-25 / 20080233595 - METHOD FOR DIAGNOSING INTERTILITY | 1 |
Mark C. Hampton | AU | Melbourne Victoria | 2016-03-24 / 20160081611 - SYSTEM AND METHOD TO MEASURE, ANALYZE, AND MODEL PULMONARY FUNCTION AND DISEASE UTILIZING TEMPORAL, SPATIAL, AND CONTEXTUAL DATA | 1 |
Keith Hampton | US | Boise | 2008-10-30 / 20080268568 - MATERIAL SIDEWALL DEPOSITION METHOD | 2 |
David R. Hampton | US | Woodinville | 2016-04-21 / 20160106992 - PULSE DETECTION APPARATUS, SOFTWARE, AND METHODS USING PATIENT PHYSIOLOGICAL SIGNALS | 14 |
David R. Hampton | US | Woodville | 2010-05-13 / 20100121392 - PULSE DETECTION METHOD AND APPARATUS USING PATIENT IMPEDANCE | 3 |
David Robert Hampton | US | Woodinville | 2014-04-17 / 20140107524 - NEUROMUSCULAR MONITORING DISPLAY SYSTEM | 11 |
John Hampton | US | Colorado Springs | 2015-02-26 / 20150054323 - EJECTION SEAT PAN LIFTER | 1 |
Mark Hampton | DE | New Castle | 2012-05-17 / 20120117716 - WEARABLE ITEMS HAVING WEAR-SUSCEPTIBLE AREAS OR INDICIA FOR INFLUENCING AN EMOTIONAL OR PSYCHOLOGICAL STATE | 1 |
Robert Hampton | US | Henderson | 2014-06-19 / 20140171169 - APPARATUS, SYSTEM, AND METHOD FOR ELECTRONIC CONVENTION CARD | 1 |
Travis Hampton | US | Jacksonville | 2014-11-27 / 20140350984 - PROJECT MANAGEMENT SYSTEM AND METHOD | 1 |
David A. Hampton | US | Plano | 2016-02-18 / 20160046404 - Packaging System | 1 |
Patrick A. Hampton | US | Deerfield Beach | 2012-04-26 / 20120099751 - ATTACHABLE EXTERNAL ACOUSTIC CHAMBERS FOR A MOBILE DEVICE | 1 |
Tara Leanne Hampton | US | Forth Worth | 2011-04-07 / 20110082117 - PHENYL SULFONAMIDES AS MODULATORS OF ION CHANNELS | 1 |
Scott Hampton | US | Gainesville | 2013-11-14 / 20130304028 - CATHETER CLAMPS AND CATHETERS | 1 |
Len Hampton | US | Normal | 2008-10-30 / 20080263818 - Upright Vacuum Cleaner with Cyclonic Air Path | 1 |
Keith R. Hampton | US | Boise | 2013-01-17 / 20130017664 - METHODS OF FORMING A PHASE CHANGE MATERIAL | 6 |
Keith Hampton | US | Arbor | 2012-08-23 / 20120210968 - CORONA IGNITER WITH IMPROVED CORONA CONTROL | 1 |
Scott M. Hampton | US | Lehi | 2009-03-12 / 20090064603 - VENTING SYSTEM FOR DRYWELL CALIBRATORS | 1 |
Michael T. Hampton | US | Naperville | 2009-03-05 / 20090064169 - System and Method for Sensor Scheduling | 2 |
Scott Hampton | US | Cumming | 2013-05-30 / 20130136775 - HYDROPHOBIC DRUG-DELIVERY MATERIAL, METHOD FOR MANUFACTURING THEREOF AND METHODS FOR DELIVERY OF A DRUG-DELIVERY COMPOSITION | 4 |
Mark C. Hampton | AU | Carlton Vic | 2014-02-06 / 20140040315 - CONTENT MANAGEMENT | 1 |
Scott Hampton | US | Tarpon Springs | 2014-12-04 / 20140356435 - Hydrophobic Drug-Delivery Material, Method For Manufacturing Thereof And Methods For Delivery Of A Drug-Delivery Composition | 2 |
Christian Hampton | US | Salinas | 2009-05-21 / 20090126199 - KNIFE APPARATUS | 1 |
Jonathan E. Hampton | US | Bentonville | 2012-09-20 / 20120235317 - Manufactured Aggregate Material And Method | 2 |
Alice Hampton | US | New York | 2009-10-01 / 20090241974 - Wig component | 1 |
Rob G. Hampton | US | Green Bay | 2009-10-29 / 20090271220 - Electronic patient registration verification and payment system and method | 1 |
Alice Hampton | US | 2009-10-01 / 20090241973 - Instant wig liners | 1 | |
Jill Ann Hampton | US | Zionsville | 2011-05-12 / 20110112715 - SYSTEM AND METHOD FOR DETECTING AND RESPONDING TO PRESSURE LOSSES IN A HYDRAULIC AUTOMATIC TRANSMISSION | 1 |
Jeffery Todd Hampton | US | Indianapolis | 2010-09-09 / 20100223818 - Shoe Cover | 1 |
Steven W. Hampton | US | Mustang | 2014-02-13 / 20140044564 - APPARATUS FOR DETECTING THE FLUID CONDITION IN A PUMP | 4 |
Patrick Hampton | US | Round Rock | 2015-06-04 / 20150153851 - Flexible Pen in Narrow Garage | 1 |
Janelle M. Hampton | US | Burnsville | 2016-01-28 / 20160023146 - NONWOVEN FILTRATION MEDIA INCLUDING MICROFIBRILLATED CELLULOSE FIBERS | 2 |
John D. Hampton | US | Meridian | 2014-05-08 / 20140126083 - TAPE STORAGE DEVICE HAVING AT LEAST ONE REDUNDANT READ DATA ELEMENT | 5 |
George P. Hampton | US | San Diego | 2013-10-24 / 20130282466 - SYSTEMS AND METHODS FOR GENERATION OF AN ONLINE STORE | 3 |
Mark Ross Hampton | NZ | Waitakere | 2014-05-29 / 20140144792 - PROTECTIVE CASES AND METHODS OF MAKING | 2 |
Lawrence Hampton | US | Santa Maria | 2015-12-31 / 20150374962 - DEVICE AND METHOD FOR FINDING THE CENTER AND READING THE SETTING OF AN IMPLANTABLE MEDICAL DEVICE | 3 |
Linda M. Hampton | US | Bristol | 2009-12-31 / 20090321589 - Intravenous Fluid Container Stand And Methods For Making Same | 1 |
John Hampton | US | Houston | 2014-09-18 / 20140263106 - FILTRATION SYSTEM AND METHOD | 2 |
Lisa A. Hampton | US | Sunnyvale | 2015-02-05 / 20150035942 - CAMERA SYSTEMS AND METHODS FOR USE IN ONE OR MORE AREAS IN A MEDICAL FACILITY | 1 |
Deborah Ann Hampton | US | Suwanee | 2015-03-19 / 20150079930 - Method, System, and Computer Program Product for Tracking and Accounting for Roaming of Mobile Devices | 1 |
Aaron L. Hampton | US | Columbus | 2016-03-10 / 20160069642 - SYSTEMS AND METHODS FOR A SCOPE MOUNT ASSEMBLY | 4 |
Russell Hampton | US | Royal Palm Beach | 2011-04-07 / 20110078972 - Siding Installation Spacer and Method of Installing Siding Using A Siding Installation Spacer | 1 |
Stephen A. Hampton | US | East Longmeadow | 2014-09-18 / 20140260882 - SAW BLADE WITH FEED LIMITER | 7 |
Tiquiana Hampton | US | Baton Rouge | 2009-01-15 / 20090014611 - Drink holding device | 1 |
Mark Carl Hampton | US | 2009-06-18 / 20090157819 - LIVE CONNECTION OF EMAIL THREADS TO DISCUSSION FORUM | 1 | |
Daniel Scott Hampton | US | Mundelein | / - | 1 |
Nigel Hampton | US | Forest Park | 2008-10-16 / 20080254289 - Scorch-Retarding Polymer Composition | 2 |
Clifton Glenn Hampton | US | Dallas | 2013-12-12 / 20130327227 - Roller Grill Divider | 3 |
Gordon Preston Hampton | US | Hampstead | 2012-11-22 / 20120292852 - Quatro-A multiple board and chip game | 1 |
Tara Hampton | US | San Diego | 2009-04-23 / 20090105271 - PYRIDYL SULFONAMIDES AS MODULATORS OF ION CHANNELS | 2 |
Steven Michael Hampton | US | London Mills | 2009-07-30 / 20090188346 - Reciprocating impulse drive | 1 |
John E. Hampton | US | Bainbridge | 2009-07-09 / 20090177070 - CATHETER TIP ELECTRODE ASSEMBLY AND METHOD FOR FABRICATING SAME | 1 |
Delaine Sue Hampton | CA | Toronto | 2011-05-19 / 20110119201 - Virtual test market system and method | 1 |
Douglas L. Hampton | US | Lewistown | 2014-11-13 / 20140334923 - Compressor Housing Remanufacturing Method and Apparatus | 2 |
Steve Hampton | US | Mustang | 2013-07-18 / 20130183174 - SOLENOID PUMP | 3 |
Sheralyn Hampton | US | Corral De Tierra | 2009-04-09 / 20090089929 - BED SKIRT ACCESSORY DEVICE | 1 |
Tara Leanne Hampton | US | San Diego | 2009-05-14 / 20090124655 - HETEROCYCLIC DERIVATIVES AS MODULATORS OF ION CHANNELS | 1 |
Ronnie Hampton | US | Batesville | 2009-06-18 / 20090156857 - DIAMINIUM BIS-3,5-DICARBOXYBENZENSULFONATE AND TRI-DIAMINIUM BIS-3,5-DICARBOXYBENZENSULFONATE AND METHODS FOR PRODUCING SAME | 1 |
Edward F. Hampton | US | Woodbury | 2009-01-22 / 20090022535 - DUAL OPPOSED PRINT HEAD ENVELOPE PRINTER | 1 |
Eric N. Hampton | US | San Diego | 2015-03-26 / 20150089690 - SODIUM HYPOCHLORITE RESISTANT GENES | 1 |
Tammy Rice Hampton | US | Buford | 2013-03-14 / 20130061434 - ZIPPER REPAIRER AND EXTENDER | 1 |
Leonard Hampton | US | Normal | 2009-01-01 / 20090000054 - Vacuum Cleaner Cleanout System | 1 |
Warren B. Hampton | US | Temple Terrace | 2009-01-15 / 20090018551 - Back hair removal system | 1 |
Thomas G. Hampton | US | Framingham | 2012-07-26 / 20120190995 - SYSTEMS AND METHODS FOR NON-INVASIVELY RECORDING ECG IN CONSCIOUS AMBULATORY SUBJECTS | 2 |
Meredith Hampton | US | Durham | 2010-06-17 / 20100147365 - HIGH FIDELITY NANO-STRUCTURES AND ARRAYS FOR PHOTOVOLTAICS AND METHODS OF MAKING THE SAME | 1 |
Youngju Kim Hampton | US | Croton On Hudson | / - | 1 |
Aaron Roe Hampton | US | Minden | 2015-08-13 / 20150227117 - SYSTEM AND METHOD FOR VERIFYING THE CONFIGURATION AND INSTALLATION OF A MONITORING AND PROTECTION SYSTEM | 1 |
Robert N. Hampton | US | Peachtree City | 2009-01-08 / 20090011222 - SUPERHYDROPHOBIC SURFACE AND METHOD FOR FORMING SAME | 1 |
John R. Hampton | US | Houston | 2010-12-02 / 20100300991 - Filter with Exterior and Interior Media Components and Method of Filtering | 1 |
Mark Carl Hampton | AU | Newton | 2009-06-25 / 20090164898 - DOCUMENTATION SYSTEM | 1 |
David Robert Hampton | US | Woodinville | 2014-04-17 / 20140107524 - NEUROMUSCULAR MONITORING DISPLAY SYSTEM | 11 |
Daniel Hampton | US | Cordova | 2014-03-20 / 20140081121 - TARGETING LANDMARKS OF ORTHOPAEDIC DEVICES | 1 |
Mark C. Hampton | AU | Carlton | 2016-03-10 / 20160070814 - RESPONSIVE IMAGE RENDITION AUTHORING | 20 |
Heather Hampton | US | New Orleans | 2011-08-04 / 20110185601 - Shoe heel cover | 1 |
Catherine Edna Hampton | US | Indianapolis | 2015-01-15 / 20150015038 - Upholstery Chair Cover | 2 |
Tara Hampton | US | Fort Worth | 2012-08-16 / 20120208790 - ION CHANNEL MODULATORS AND METHODS OF USE | 1 |
John Graham Hampton | NZ | Christchurch | 2014-03-27 / 20140086876 - BIOCONTROL COMPOSITIONS | 1 |
Jay Hampton | US | Lenox | 2011-06-16 / 20110138633 - TOOL FOR FINISHING A WELD JOINT BETWEEN TWO WIRE ELECTRODES | 9 |
Mark Carl Hampton | AU | Newtown | 2011-09-29 / 20110238924 - WEBPAGE REQUEST HANDLING | 7 |
Richard Charles Hampton | US | King City | 2011-05-26 / 20110121527 - ROTARY RETENTION LATCH FOR REPLACEABLE SKATE BLADE SYSTEMS | 1 |
Thomas Wesley Hampton | US | Narragansett | 2011-05-26 / 20110120951 - APPARATUS AND METHODS FOR PACKING CHROMATOGRAPHY COLUMNS | 1 |
Martin Hampton | US | Belmont | 2012-05-10 / 20120116968 - Systems and Methods for Implementing Person-To-Person Money Exchange | 2 |
Kenneth W. Hampton | US | Novi | 2015-10-29 / 20150308395 - TUNABLE STARTER RESISTOR | 1 |
Nigel Hampton | US | Peachtree City | 2010-11-18 / 20100292404 - CROSSLINKABLE BLEND FOR THE PRODUCTION OF A LAYERED ARTICLE | 2 |
Keith Hampton | US | Ann Arbor | 2016-03-03 / 20160061164 - VACUUM PRODUCER INCLUDING AN ASPIRATOR AND AN EJECTOR | 35 |
George Hampton | US | San Diego | 2010-10-14 / 20100261589 - Hamstring Stretching Device | 1 |
Henry O. Hampton, Ii | US | Lithonia | 2012-05-24 / 20120124721 - Strap attachment for a sports helmet | 1 |
Forest Hampton, Iii | US | Elyria | 2012-07-12 / 20120174305 - TOILET SEALING RING | 1 |
Forest Hampton, Iii | US | Amherst | 2014-01-23 / 20140020828 - Fast Curing CPVC Solvent Cement | 1 |
Kenneth Wayne Hampton, Jr. | US | Glimer | 2015-12-24 / 20150368171 - PROCESS FOR PRODUCING POLYOLS | 5 |
Ronnie Hampton, Jr. | US | Indianapolis | 2015-09-03 / 20150247155 - ROOT SPECIFIC EXPRESSION CONFERRED BY CHIMERIC GENE REGULATORY ELEMENTS | 1 |
Kenneth Wayne Hampton, Jr. | US | Gladewater | 2009-06-04 / 20090143612 - HYDROGENATION PROCESS FOR THE PREPARATION OF 1,2-DIOLS | 1 |
Pedram Hamrah | US | Wellesley | 2016-02-11 / 20160038760 - CONJUNCTIVAL DISEASES | 3 |
Pedram Hamrah | US | Cambridge | 2013-12-19 / 20130336557 - INFLAMMATORY EYE DISORDERS | 1 |
Douglas J. Hamre | CA | Edmonton | 2015-10-29 / 20150306703 - METHOD OF HARDBANDING A TUBULAR COMPONENT AND A TUBULAR COMPONENT HARDBANDED IN ACCORDANCE WITH THE METHOD | 1 |
Lasse Hamre | US | New York | 2016-01-07 / 20160005105 - Method, System, and Graphic User Interface for Enabling a Customer to Access a Media File and Associated Artist | 7 |
John David Hamre | US | Plymouth | 2011-08-04 / 20110191053 - METHOD AND APPARATUS FOR A REAL TIME SIGNAL INTEGRITY OSCILLOSCOPE | 2 |
John D. Hamre | US | Plymouth | 2011-03-17 / 20110063604 - OPTICALLY BASED PLANAR SCANNER | 1 |
Boerge Hamre | NO | Sandsli | 2015-10-22 / 20150297130 - METHOD FOR DISCRIMINATING BETWEEN MALIGNANT AND BENIGN TISSUE LESIONS | 3 |
John D. Hamre | US | North Plymouth | 2010-12-16 / 20100315499 - LOW SETTLE TIME MICRO-SCANNING SYSTEM | 1 |
Hans Christian Hamre | NO | Oslo | 2014-09-04 / 20140245889 - SYSTEM AND METHOD FOR REMOVING CONTAMINANTS FROM A CONTAMINATED GAS STREAM | 1 |
Torbjörn Hamrelius | SE | Sollentuna | 2015-02-19 / 20150049783 - APPARATUS AND METHOD TO CALCULATE ENERGY DISSIPATED FROM AN OBJECT | 5 |
Torbjörn Hamrelius | SE | Sollentuna | 2015-02-19 / 20150049783 - APPARATUS AND METHOD TO CALCULATE ENERGY DISSIPATED FROM AN OBJECT | 5 |
Torbjörn Hamrelius | SE | Sollentuna | 2011-03-31 / 20110074959 - CALCULATING ENERGY DISSIPATION IN AN IR IMAGE | 1 |
Torbjörn Hamrelius | SE | Sollentuna | 2011-03-31 / 20110074959 - CALCULATING ENERGY DISSIPATION IN AN IR IMAGE | 1 |
James Harvey Hamric | US | Ward | 2011-03-03 / 20110052353 - APPARATUS AND METHOD FOR COLLECTING AND TRANSPORTING OIL WELL DRILL CUTTINGS | 1 |
Sean T. Hamrick | US | Dallas | 2015-06-11 / 20150163565 - System and Method for Using a Set-Top Box Application to Diagnose Customer Premises Equipment | 3 |
Claude A.s. Hamrick | US | San Jose | 2011-04-14 / 20110083881 - Device and Process for Making a Multilayer Circuit Device Having Electrically Isolated Tightly Spaced Electrical Current Carrying Traces | 2 |
Michael L. Hamrick | US | Seattle | 2011-02-10 / 20110035370 - IDENTIFYING RELATED SEARCH TERMS BASED ON SEARCH BEHAVIORS OF USERS | 1 |
Geoff Hamrick | US | Raleigh | 2011-02-10 / 20110035678 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR CREATING SHARED MEDIA PAGES TO DOCUMENT LIFE EVENTS | 1 |
Mark W. Hamrick | US | Augusta | 2011-09-08 / 20110218141 - Leptin therapy to increase muscle mass and to treat muscle wasting conditions | 2 |
Eric Hamrick | US | West Palm Beach | 2010-11-11 / 20100282839 - Method and system for the mobile tracking and accounting of individuals in a closed community | 1 |
Marvin R. Hamrick | US | Watkinsville | 2014-11-06 / 20140329492 - Apparatus, Systems, and Methods for Processing Alerts Relating to an In-Vehicle Control Unit | 10 |
Michael D. Hamrick | US | Eatontown | 2010-05-20 / 20100123091 - Quantum Sensor Miniaturization Utilizing Entangled Photon Signals | 1 |
C. Roger Hamrick | US | London | 2010-03-11 / 20100058574 - GASKET FOR PRESS-ON TWIST-OFF CLOSURE | 1 |
James C. Hamrick | US | Shelby | 2009-03-05 / 20090056079 - Rope pull bar | 2 |
Marvin R. Hamrick | US | Watkinsville | 2014-11-06 / 20140329492 - Apparatus, Systems, and Methods for Processing Alerts Relating to an In-Vehicle Control Unit | 10 |
Jodie Hamrick | US | New Holland | 2015-12-17 / 20150361108 - ORALLY BIOAVAILABLE BETA-LACTAMASE INHIBITORS | 1 |
Michael Hamrick | US | Hixson | 2013-07-25 / 20130191197 - CUSTOMER REWARDS AND FEEDBACK SYSTEMS AND RELATED METHODS | 1 |
Lynn Warren Hamrick | US | Leander | 2016-01-07 / 20160000259 - FROTHING ASSEMBLY AND METHOD OF OPERATING THE SAME | 3 |
David Alexander Hamrick | US | Nashville | 2014-09-18 / 20140283136 - SYSTEMS AND METHODS FOR SECURING AND LOCATING COMPUTING DEVICES | 6 |
Glen Hamrick | US | Tunnel Hill | 2011-12-08 / 20110300327 - Polyurethane Roller Coating Process for Carpet Backing | 1 |
Jeffrey L. Hamrick | US | St. Clairsville | 2014-10-16 / 20140308079 - C-Channel Panel, Overcast, Stopping and Method | 1 |
Edward Brian Hamrick | US | Sunny Isles Beach | 2016-04-07 / 20160097062 - METHODS FOR FERMENTING CARBOHYDRATE-RICH CROPS | 4 |
Daniel R. Hamrick | US | Cedar Crest | 2016-05-12 / 20160128563 - WAVEFRONT INTERACTIVE REFRACTION DISPLAY | 3 |
Miller Hamrick | US | Silver Spring | 2015-08-13 / 20150223956 - ANCHORED NON-SPHERICAL BALLOON FOR THE TREATMENT OF OBESITY | 1 |
William C. Hamrick | US | Willshire | 2011-09-22 / 20110226558 - Vehicle access system with powered lift | 1 |
Julia M. Hamrick | US | Dallas | 2012-05-03 / 20120109711 - SYSTEM AND METHOD FOR PROFILING CUSTOMERS FOR TARGETED MARKETING | 1 |
Daniel Hamrick | US | Cedar Crest | 2014-09-18 / 20140268056 - WAVEFRONT INTERACTIVE REFRACTION DISPLAY | 1 |
Douglas Hamrin | US | Laguna Niguel | 2013-09-12 / 20130236845 - GRADUAL OXIDATION WITH HEAT CONTROL | 15 |
Michelle Nicole Hamrin | US | Wethersfield | 2014-03-20 / 20140077761 - CHARGING DEVICE INCLUDING A RETRACTABLE POWER CONDUIT AND METHOD OF OPERATING A CHARGING DEVICE | 1 |
Stellan Hamrin | SE | Kungsor | 2013-05-16 / 20130119667 - JET ENGINE WITH CARBON CAPTURE | 3 |
Hassen Hamrita | FR | Palaiseau | 2011-08-04 / 20110186740 - SYSTEM FOR CONTROLLING PHOTOMULTIPLIER GAIN DRIFT AND ASSOCIATED METHOD | 1 |
Steven Joseph Hamrock | US | Stillwater | 2013-01-31 / 20130029249 - PROTON CONDUCTING MATERIALS | 1 |
Steven J. Hamrock | US | Stillwater | 2016-05-19 / 20160141642 - FUEL CELL SUBASSEMBLIES INCORPORATING SUBGASKETED THRIFTED MEMBRANES | 25 |
Gerald A. Hamrock | US | San Jose | 2013-03-28 / 20130080968 - USER INTERFACE WITH MEDIA CONTENT PREDICTION | 1 |
Edward L. Hams | US | Oak Creek | 2014-03-13 / 20140072863 - SECONDARY BATTERY | 1 |
Colin Hams | AU | Chermside | 2009-06-18 / 20090157200 - APPARATUS AND METHOD FOR DEFINING AND CONTROLLING GRAPHICAL FACEPLATES IN A PROCESS CONTROL SYSTEM | 1 |
Graham A. Hamshaw | GB | Cheddar | 2016-02-04 / 20160032666 - Hydraulic Draw Works | 1 |
Onur C. Hamsici | US | San Diego | 2015-02-19 / 20150049943 - PERFORMING VOCABULARY-BASED VISUAL SEARCH USING MULTI-RESOLUTION FEATURE DESCRIPTORS | 8 |
Onur C. Hamsici | US | La Jolla | 2013-02-14 / 20130039566 - CODING OF FEATURE LOCATION INFORMATION | 4 |
Onur C. Hamsici | US | San Diego | 2015-02-19 / 20150049943 - PERFORMING VOCABULARY-BASED VISUAL SEARCH USING MULTI-RESOLUTION FEATURE DESCRIPTORS | 8 |
Vegard Hamso | US | Santee | 2016-03-17 / 20160075204 - SHOCK ABSORBER | 1 |
Stephen A. Hamstra | US | Zeeland | 2015-11-05 / 20150316295 - ENERGY CHASSIS AND ENERGY EXCHANGE DEVICE | 1 |
Stephen A. Hamstra | US | Findlay | 2011-11-10 / 20110272117 - Energy Chassis and Energy Exchange Device | 1 |
James Robert Hamstra | US | Tigard | 2010-10-14 / 20100259100 - POWER FILTER | 1 |
Peter Hamstra | CA | Kingston | 2009-08-06 / 20090193866 - Minimizing circumferential transition lines during container shaping operations | 1 |
Jeffrey W. Hamstra | US | Fort Worth | 2009-04-16 / 20090095446 - SYSTEM, METHOD, AND APPARATUS FOR PULSED-JET-ENHANCED HEAT EXCHANGER | 1 |
Armen Nofer Hamstra | US | San Jose | 2016-02-04 / 20160034598 - GRAPH-BASED SYSTEM AND METHOD OF INFORMATION STORAGE AND RETRIEVAL | 2 |
James R. Hamstra | US | Tigard | 2009-12-31 / 20090323282 - AIR INLET DIFFUSER | 1 |
Brian Hamstrom | US | Trabuco Canyon | 2014-09-25 / 20140287077 - INTRAOCULAR SHUNT MANUFACTURE | 4 |
Brian Scott Hamstrom | US | Oceanside | 2015-05-14 / 20150133946 - INTRAOCULAR SHUNT INSERTER | 1 |
Brian Hamstrom | US | Trabuco Cru | 2013-06-13 / 20130149429 - SYSTEMS AND METHODS FOR MAKING GELATIN SHUNTS | 1 |
Torgeir Hamsund | NO | Oslo | 2010-03-04 / 20100052488 - DEVICE FOR A TEMPERATURE-REGULATED CABINET | 1 |
Deborah Hamui | US | Aventura | 2013-09-12 / 20130236865 - SYSTEMS AND METHOD FOR MODIFYING HUMAN BEHAVIOR USING AN AUDIO RECORDING DURING SLEEP | 1 |
Camille Hamula | CA | Edmonton | 2012-11-01 / 20120276547 - APTAMERS SELECTED AGAINST LIVE S. PYOGENES CELLS | 1 |
Antii Hamunen | FI | Turku | 2010-06-03 / 20100137556 - PROCESS FOR ISOLATION OF FATTY ACIDS, RESIN ACIDS AND STEROLS FROM TALL OIL PITCH | 1 |
Antti Hamunen | FI | Turku | 2013-10-03 / 20130261284 - PROCESS FOR ISOLATION OF FATTY ACIDS, RESIN ACIDS AND STEROLS FROM TALL OIL PITCH | 3 |
Masayuki Hamura | JP | Minamitsuru-Gun | 2011-12-08 / 20110299949 - TOOL HOLDER WITH VARIABLE TOOL ROTATION RADIUS, MACHINE TOOL WITH TOOL HOLDER, AND MACHINING METHOD USING MACHINE TOOL | 9 |
Yasushi Hamura | JP | Osaka-Shi | 2011-06-30 / 20110160344 - RUBBER COMPOSITION FOR VULCANIZATION | 2 |
Masayuki Hamura | JP | Minamitsuru-Gun | 2011-12-08 / 20110299949 - TOOL HOLDER WITH VARIABLE TOOL ROTATION RADIUS, MACHINE TOOL WITH TOOL HOLDER, AND MACHINING METHOD USING MACHINE TOOL | 9 |
Masayuki Hamura | JP | Yamanashi | 2010-08-05 / 20100195943 - FLUID BEARING STRUCTURE AND METHOD OF FORMING BEARING CONCAVES IN FLUID BEARING STRUCTURE | 2 |
Satoshi Hamura | JP | Mie | 2009-05-28 / 20090137755 - ETHYLENE POLYMER, CATALYST FOR ETHYLENE POLYMER PRODUCTION, AND METHOD FOR PRODUCING ETHYLENE POLYMER | 1 |
Mahoko Hamura | JP | Tokyo | / - | 1 |
Hiroki Hamura | JP | Tokyo | 2009-10-08 / 20090253729 - Analgesic Agent | 1 |
Daigo Hamura | JP | Tokyo | 2015-03-26 / 20150088985 - CLIENT-SERVER INPUT METHOD EDITOR ARCHITECTURE | 2 |
Tsutomu Hamuro | JP | Kumamoto-Shi | 2013-07-18 / 20130183366 - SHEET PREPARATION FOR TISSUE ADHESION | 1 |
Kota Hamuro | JP | Shizuoka | 2016-05-19 / 20160136387 - CATHETHER AND METHOD FOR MANUFACTURING THE SAME | 2 |
Tsutomu Hamuro | JP | Kumamoto-Ken | 2010-05-13 / 20100119563 - SOLID FIBRINOGEN PREPARATION | 1 |
Kouta Hamuro | JP | Fujinomiya-Shi | 2015-09-24 / 20150265802 - CATHETER ASSEMBLY | 1 |
Toru Hamuro | JP | Kanagawa | 2011-12-29 / 20110318056 - DETACHABLE BODY AND IMAGE FORMING APPARATUS | 1 |
Ezra Hamway | US | New York | 2015-09-03 / 20150245647 - DRINKING FORMULATIONS WHICH ARE VEGETABLE BASED AND FRUIT BASED | 3 |
Rose Marie Hamway | US | Oro Valley | 2008-09-18 / 20080227065 - Behavioral Modification System and Method | 1 |
Sami Hamwi | DE | Braunschweig | 2014-11-20 / 20140339530 - LIGHT APPARATUS FOR GENERATING LIGHT | 1 |
André Hamwi | FR | Clermont-Ferrand | 2016-03-10 / 20160072130 - USE OF SUBFLUORINATED CARBON NANO-OBJECTS AS AN ELECTRODE MATERIAL OF PRIMARY LITHIUM BATTERIES WITH STRONG CAPABILITIES | 4 |
André Hamwi | FR | Clermont-Ferrand | 2011-05-26 / 20110124112 - Use of Carbon Nanomaterials as a Filtration Material Impervious to Ozone | 3 |
Kimmo Hamynen | FI | Espoo | 2009-01-01 / 20090006959 - Mobile phone having hinting capabilities for operation function selection | 1 |
Kimmo Hamynen | CH | Feldmeilen | 2013-01-10 / 20130012237 - DISPLAYING NETWORK OBJECTS IN MOBILE DEVICES BASED ON GEOLOCATION | 2 |
Wael Hamza | US | Yorktown Heights | 2012-04-19 / 20120095676 - ON DEMAND TTS VOCABULARY FOR A TELEMATICS SYSTEM | 1 |
Haitham S. Hamza | US | Lincoln | 2010-03-18 / 20100065756 - Nano-particle/quantum dot based optical diode | 1 |
Alex V. Hamza | US | Livermore | 2015-10-08 / 20150288002 - NANODEVICES FOR GENERATING POWER FROM MOLECULES AND BATTERYLESS SENSING | 8 |
Hassan H. Hamza | US | Simi Valley | 2009-05-21 / 20090126806 - ADJUSTABLE VACUUM RELIEF SAFETY VALVE SYSTEM FOR SWIMMING POOLS AND SPAS | 1 |
Hassan Hamza | US | Simi Valley | 2015-11-05 / 20150315803 - SWIMMING POOL FLOWMETER THAT SERVES AS A SAFETY VACUUM RELEASE SYSTEM | 4 |
Iqbal Hamza | US | Kensington | 2015-05-14 / 20150132790 - SCREEN TO IDENTIFY AGENTS THAT CAN MODULATE HEME TRANSPORTER | 3 |
Wael Mohamed Hamza | US | Yorktown Heights | 2008-12-04 / 20080300882 - Methods and Apparatus for Conveying Synthetic Speech Style from a Text-to-Speech System | 1 |
Syed Muhammad Farrukh Hamza | US | Humble | 2015-03-05 / 20150063650 - Identifying Potential Fracture Treatment Locations In a Formation Based on Production Potential | 1 |
Alex V. Hamza | US | Livermore | 2015-10-08 / 20150288002 - NANODEVICES FOR GENERATING POWER FROM MOLECULES AND BATTERYLESS SENSING | 8 |
Ali Hamza | CA | Mississauga | 2014-09-04 / 20140245884 - Replenishing Liquid Material to Membrane | 1 |
Brahim Hamza | FR | Guilherand-Granges | 2013-11-07 / 20130292488 - CIRCUIT FOR DISPENSING WINDSHIELD WASHER FLUID FOR A MOTOR VEHICLE | 3 |
Rida M. Hamza | US | Maple Grove | 2012-08-16 / 20120207357 - OCULAR AND IRIS PROCESSING SYSTEM AND METHOD | 7 |
Rida Hamza | US | Maple Grove | 2013-05-23 / 20130131981 - USING STRUCTURED LIGHT TO UPDATE INERTIAL NAVIGATION SYSTEMS | 9 |
Daniel Hamza | GB | Nothingham | 2016-05-05 / 20160120864 - Novel Pyrrole Derivatives | 1 |
Adrian Hamza | US | Bellevue | 2013-08-01 / 20130198363 - TECHNIQUES FOR TRACKING RESOURCE USAGE STATISTICS PER TRANSACTION ACROSS MULTIPLE LAYERS OF PROTOCOLS | 1 |
Rida Hamza | US | Maple Grove | 2013-05-23 / 20130131981 - USING STRUCTURED LIGHT TO UPDATE INERTIAL NAVIGATION SYSTEMS | 9 |
Daniel Hamza | GB | Nottingham | 2016-05-12 / 20160130224 - Novel Pyrrole Derivatives | 5 |
Kais Hamza | DE | Kronberg | 2014-03-27 / 20140083054 - Wicket Bag Dispenser and Method for Dispensing Wicket Bags | 1 |
Ahmed Hamza | QA | Doha | 2015-12-31 / 20150382038 - MULTICASTING MULTIVIEW 3D VIDEO | 2 |
Djilali Hamza | CA | Kingston | 2014-03-06 / 20140063872 - Digital EMI Filter | 1 |
Taye H. Hamza | US | Albany | 2013-12-05 / 20130324503 - Method of identifying and treating a person having a predisposition to or afflicted with Parkinson disease | 1 |
Brahim Hamza | FR | Guilerand-Granges | 2012-11-29 / 20120297564 - WINDSCREEN WIPER BLADE HAVING A BUILT-IN WASHER LIQUID CONDUIT | 1 |
Mark H. Hamza | US | Riverside | 2014-09-11 / 20140256639 - PEPTOID NEUTRALIZING AGENTS | 2 |
Ameer Hamza | PK | Islamabad | 2015-01-29 / 20150029306 - METHOD AND APPARATUS FOR STABILIZING PANORAMA VIDEO CAPTURED BASED ON MULTI-CAMERA PLATFORM | 1 |
Adel Hamza | US | Lexington | 2011-11-24 / 20110288844 - Modeling of mPGES-1 three-dimensional structures: applications in drug design and discovery | 1 |
Nermin Hamzabegovic | DE | Munchen | 2009-02-19 / 20090049267 - Buffer circuit for a memory module | 1 |
Ahmad Sazali Hamzah | MY | Selangor | 2009-02-05 / 20090036669 - GLYCOLIPIDS OF BRANCHED CHAIN ALKYL OLIGOSACCHARIDES FOR LIQUID CRYSTAL AND RELATED APPLICATIONS | 1 |
Hairul Aini Hamzah | MY | Ehsan | 2009-12-31 / 20090325149 - DETECTION AND DISTINGUISHING INFECTIONS BURSAL DISEASE VIRUS (IBDV) STRAINS BY MOLECULAR BIOLOGY METHOD | 1 |
Ahmad Sazali Hamzah | MY | Shah Alam | 2013-06-13 / 20130150567 - GLYCOLIPIDS OF BRANCHED CHAIN ALKYL OLIGOSACCHARIDES FOR LIQUID CRYSTAL AND RELATED APPLICATIONS | 1 |
Juliana Hamzah | US | Santa Barbara | 2013-05-09 / 20130115167 - PEPTIDES THAT HOME TO ATHEROSCLEROTIC PLAQUES AND METHODS OF USE | 1 |
Fatih Hamzaoglu | US | Portland | 2016-05-05 / 20160125927 - APPARATUS FOR LOW POWER WRITE AND READ OPERATIONS FOR RESISTIVE MEMORY | 11 |
Faith Hamzaoglu | US | Portland | 2015-12-03 / 20150348623 - APPARATUSES AND METHODS FOR DETECTING WRITE COMPLETION FOR RESISTIVE MEMORY | 2 |
Fatih Hamzaoglu | US | Portland | 2016-05-05 / 20160125927 - APPARATUS FOR LOW POWER WRITE AND READ OPERATIONS FOR RESISTIVE MEMORY | 11 |
Karim Hamzaoui | JP | Kanagawa | 2013-10-17 / 20130274899 - METHOD, COMPUTER PROGRAM, AND COMPUTER FOR DETERMINING SYSTEM SITUATION | 3 |
Rami Hamze | US | Los Gatos | 2009-07-09 / 20090174380 - FIXED FREQUENCY RIPPLE REGULATOR | 2 |
Abdallah Hamze | FR | Massy | 2011-06-30 / 20110160228 - DIHYDRO-ISO-CA-4 AND ANALOGUES: POTENT CYTOTOXICS, INHIBITORS OF TUBULIN POLYMERIZATION | 1 |
Firas Hamze | CA | Vancouver | 2016-02-11 / 20160042294 - QUANTUM PROCESSOR BASED SYSTEMS AND METHODS THAT MINIMIZE AN OBJECTIVE FUNCTION | 4 |
Rasha Hamze | US | Los Angeles | 2015-03-05 / 20150060795 - ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 1 |
Abdallah Hamze | FR | Chatenay Malabry | 2013-08-08 / 20130203718 - PROGESTERONE RECEPTOR ANTAGONISTS AND USES THEREOF | 1 |
Abdallah Hamze | FR | Bourg La Reine | 2010-05-27 / 20100129471 - ISO CA-4 AND ANALOGUES THEREOF AS POTENT CYTOTOXIC AGENTS INHIBITING TUBULINE POLYMERIZATION | 1 |
Mehrak Hamzeh | US | Encinitas | 2013-05-16 / 20130124405 - Mobile-To-Mobile Payment System and Method | 1 |
Mehrak Hamzeh | US | Moraga | 2012-02-23 / 20120047206 - System and Method for Delivering Web Content to a Mobile Device | 1 |
Yazan Fahd Hamzeh | US | Westland | 2008-10-30 / 20080263878 - ADAPTIVE LIGHTING SYSTEM HAVING DYNAMIC RECALIBRATION | 1 |
Belal Hamzeh | US | Hillsboro | 2009-10-01 / 20090245083 - ADAPTIVE TRANSMISSIONS FOR OPTIMIZED APPLICATION DELIVERY IN WIRELESS NETWORKS | 1 |
Belal Hamzeh | US | Westminster | 2016-02-04 / 20160037536 - DOWNLINK POWER CONTROL FOR INTERFERENCE MITIGATION IN COMPETING COMMUNICATION SYSTEMS | 10 |
Osama Hamzeh | US | Kent | 2010-03-18 / 20100065184 - REINFORCING STRUCTURE FOR PNEUMATIC TIRES | 2 |
Belal Hamzeh | US | Beaverton | 2009-01-01 / 20090006910 - SELECTIVE HYBRID ARQ | 1 |
Mehrak Hamzeh | US | San Diego | 2012-08-30 / 20120221467 - MOBILE PAYMENT SYSTEM AND METHOD | 1 |
Belal Hamzeh | US | Westminster | 2016-02-04 / 20160037536 - DOWNLINK POWER CONTROL FOR INTERFERENCE MITIGATION IN COMPETING COMMUNICATION SYSTEMS | 10 |
Mohamad Mezher Hamzeh | CA | Kanata | 2009-01-29 / 20090028161 - NETWORK TRAFFIC MANAGEMENT | 1 |
Nedim Hamzic | US | Falconer | 2014-09-18 / 20140265840 - Current Control Module for a Vehicle | 1 |
Mark Joseph Hamzy | US | Round Rock | 2009-04-16 / 20090099935 - Weight Based Upselling | 5 |
Jahyung Han | KR | Suwon-Si | 2013-01-17 / 20130017629 - METHODS OF MANUFACTURING THREE-DIMENSIONAL SEMICONDUCTOR DEVICESAANM Pyo; MyungjungAACI Hwaseong-siAACO KRAAGP Pyo; Myungjung Hwaseong-si KRAANM Kim; Hyo-JungAACI SeoulAACO KRAAGP Kim; Hyo-Jung Seoul KRAANM Lim; JongHeunAACI Hwaseong-siAACO KRAAGP Lim; JongHeun Hwaseong-si KRAANM Kim; KyunghyunAACI SeoulAACO KRAAGP Kim; Kyunghyun Seoul KRAANM Yoon; ByoungmoonAACI Suwon-siAACO KRAAGP Yoon; Byoungmoon Suwon-si KRAANM Han; JaHyungAACI Suwon-siAACO KRAAGP Han; JaHyung Suwon-si KR | 1 |
Sang Jin Han | KR | Seoul | 2013-05-16 / 20130124307 - DEVICE AND METHOD FOR AUTOMATICALLY DISTRIBUTING PROMOTIONAL ITEMS | 1 |
Yong-Ho Han | KR | Hwaseong-Si | 2013-01-17 / 20130016595 - METHOD OF DRIVING OPTICAL DISC AND OPTICAL DISC DRIVE USING THE METHODAANM Yi; Ho-seokAACI Suwon-siAACO KRAAGP Yi; Ho-seok Suwon-si KRAANM Han; Yong-hoAACI Hwaseong-siAACO KRAAGP Han; Yong-ho Hwaseong-si KR | 1 |
Jae Joon Han | KR | Hwaseong-Si | 2013-01-31 / 20130028517 - APPARATUS, METHOD, AND MEDIUM DETECTING OBJECT POSE | 1 |
Dongho Han | US | Parkland | 2013-01-17 / 20130016797 - METHOD AND APPARATUS FOR UPDATING SYMBOL RECOVERY PARAMETERS AND CORRECTING SYMBOL TIMING MISALIGNMENTAANM Naing; Kyaw M.AACI PlantationAAST FLAACO USAAGP Naing; Kyaw M. Plantation FL USAANM Doberstein; Kevin G.AACI ElmhurstAAST ILAACO USAAGP Doberstein; Kevin G. Elmhurst IL USAANM Han; DonghoAACI ParklandAAST FLAACO USAAGP Han; Dongho Parkland FL US | 1 |
Qiyuan Han | CN | Shanghai | 2015-03-05 / 20150065452 - ANTI-FATIGUE COMPOSITION, FORMULATION AND USE THEREOF | 2 |
Dedong Han | CN | Shenzhen | 2014-03-06 / 20140065779 - METHOD FOR MANUFACTURING FINFET | 3 |
Ruqi Han | CN | Shenzhen | 2013-05-16 / 20130122649 - METHOD FOR MANUFACTURING THIN FILM TRANSISTOR | 2 |
Ji Seong Han | KR | Suwon-Si | 2016-04-14 / 20160104904 - FUEL CARTRIDGE AND FUEL CELL USING THE SAME | 2 |
Sang Soon Han | KR | Gyeonggi-Do | 2013-06-20 / 20130156843 - Artificial Hair Filament Having Antibacterial and Antifungal Properties, Method and Device for Preparing the Same | 2 |
Sang Hoon Han | KR | Yongin-Si | 2016-04-14 / 20160101030 - COSMETIC COMPOSITION FOR PREVENTING SKIN AGING | 6 |
Jae-Hyun Han | KR | Seoul | 2014-09-18 / 20140278062 - NAVIGATION SYSTEM FOR USE IN AN AIRPORT OR HARBOR TRANSPORTATION | 3 |
Bai Han | US | Louisville | 2013-10-03 / 20130255663 - HYBRID GAS SURFACE BURNER | 5 |
Huy-Dung Han | US | Davis | 2013-05-16 / 20130121439 - Design of a Global Codebook for Coordinated Multi-Point Processing | 1 |
Moon-Suk Han | KR | Seoul | 2013-01-31 / 20130026666 - HUMIDIFYING MEDIUM HAVING EXCELLENT LIFESPAN CHARACTERISTICS AND METHOD OF MANUFACTURING THE SAME | 1 |
Seunghee Han | KR | Anyang | 2015-02-12 / 20150043500 - METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING FEEDBACK ON CHANNEL STATE INFORMATION | 6 |
Jung Min Han | KR | Seongnam-Si | 2013-05-16 / 20130119462 - SEMICONDUCTOR DEVICE FOR INCREASING BIT LINE CONTACT AREA, AND MODULE AND SYSTEM INCLUDING THE SAME | 1 |
Ye Han | US | San Jose | 2016-05-05 / 20160123889 - Methods and Apparatus for Determination of Halohydrocarbons | 2 |
Yoon-Oh Han | KR | Asan-Si | 2013-05-16 / 20130118956 - METHOD OF TESTING AN OBJECT AND APPARATUS FOR PERFORMING THE SAME | 1 |
Chi-Hyun Han | KR | Daejeon Metropolitan | 2013-02-07 / 20130032099 - FRICTIONAL FLUID HEATING DEVICE AND METHOD THEREOF | 1 |
Lifeng Han | CN | Shenzhen | 2016-02-18 / 20160050611 - Data transmission method and system | 16 |
Sang-Hyun Han | KR | Yongin-City | 2015-12-17 / 20150364705 - AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 71 |
Seung-Jae Han | KR | Seoul | 2015-07-02 / 20150189538 - APPARATUS AND METHOD FOR ACCESS POINT SELECTION | 2 |
Seungchul Han | KR | Seoul | 2013-05-09 / 20130117409 - CONTROL DEVICE, CONTROL TARGET DEVICE AND METHOD OF TRANSMITTING CONTENT INFORMATION THEREOF | 2 |
Jae-Goo Han | KR | Gyeonggi-Do, | 2013-05-09 / 20130117066 - DECISION SUPPORT METHOD AND SYSTEM FOR PROJECT MANAGEMENT OF LIQUEFIED NATURAL GAS PLANT | 1 |
Zhi Han | US | Acton | 2015-04-02 / 20150095878 - VISUALIZATION OF DATA DEPENDENCY IN GRAPHICAL MODELS | 7 |
Se Jong Han | KR | Gyunggi-Do | 2015-02-05 / 20150038733 - METHOD FOR SYNTHESIZING RAMALIN AND RAMALIN PRECURSOR BY USING GLUTAMIC ACID DERIVATIVE AND HYDROXY ANILINE OR HYDROXY ANILINE HAVING PROTECTED HYDROXY GROUP | 6 |
Sang-Jin Han | KR | Gunpo-Si | 2016-05-19 / 20160142662 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 30 |
Seung-Ryong Han | KR | Suwon-Si | 2014-03-27 / 20140085433 - MULTIVIEW IMAGE GENERATING METHOD AND MULTIVIEW IMAGE DISPLAY APPARATUS | 2 |
Mikyung Han | KR | Pyeongtaek-Si | 2013-09-05 / 20130229409 - IMAGE PROCESSING METHOD AND IMAGE DISPLAY DEVICE ACCORDING TO THE METHOD | 2 |
Jin-Kyu Han | US | Allen | 2016-03-10 / 20160073383 - METHODS AND APPARATUS FOR DOWNLINK CONTROL CHANNELS TRANSMISSIONS IN WIRELESS COMMUNICATIONS SYSTEMS | 13 |
Hyun Sik Han | KR | Kyeonggi-Do | 2014-12-11 / 20140363578 - APPARATUS AND METHOD FOR QUANTITATIVELY COATING CATALYST SUPPORT | 3 |
Kyung-Hee Han | KR | Seongnam-Si | 2013-02-07 / 20130034775 - CARBON NEGATIVE ELECTRODE MATERIAL FOR LITHIUM SECONDARY BATTERY, PRODUCTION METHOD THEREOF AND LITHIUM SECONDARY BATTERY USING THE SAME | 1 |
Seung Hun Han | KR | Daejeon | 2013-05-09 / 20130113841 - BACKLIGHT UNIT AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Myung-Joo Han | KR | Seoul | 2016-04-14 / 20160101144 - COMPOSITION FOR PREVENTING, RELIEVING OR TREATING COLITIS, CONTAINING COMPLEX EXTRACTS | 4 |
Sung Soo Han | KR | Gyeonggi-Do | 2013-07-18 / 20130180919 - DRAW SOLUTE FOR FORWARD OSMOSIS, FORWARD OSMOSIS WATER TREATMENT DEVICE, AND FORWARD OSMOSIS METHOD FOR WATER TREATMENT | 3 |
June Soo Han | KR | Seoul | 2009-06-25 / 20090160984 - Analog to digital converting device and image pickup device for canceling noise, and signal processing method thereof | 1 |
Fang Han | US | San Diego | 2015-03-05 / 20150064970 - SYSTEMS, APPARATUS, AND METHODS FOR AN EMBEDDED EMISSIONS FILTER CIRCUIT IN A POWER CABLE | 3 |
Song-Yi Han | KR | Asan-Si | 2016-02-11 / 20160042699 - LIGHT SOURCE DEVICE, DRIVING METHOD THEREOF AND DISPLAY DEVICE HAVING THE SAME | 3 |
Seung-Bum Han | KR | Seoul | 2013-02-14 / 20130039563 - METHOD OF GENERATING INSPECTION PROGRAM | 1 |
Sang-Oh Han | US | Durham | 2013-02-14 / 20130039929 - METHOD TREATING BREAST CANCER | 1 |
Seung Ju Han | KR | Yongin-Shi | 2013-04-25 / 20130103703 - SYSTEM AND METHOD FOR PROCESSING SENSORY EFFECTS | 1 |
Su Youn Han | US | Edgewater | 2016-03-24 / 20160083146 - PACKAGING BAG AND METHOD OF MANUFACTURING THE SAME | 2 |
In Cheon Han | KR | Uiwang-Si | 2016-04-28 / 20160115355 - ADHESIVE FILM FOR POLARIZING PLATE, POLARIZING PLATE INCLUDING THE SAME AND OPTICAL DISPLAY INCLUDING THE SAME | 24 |
Seungjin Han | KR | Seoul | 2013-04-25 / 20130103205 - NETWORK SYSTEM EQUIPPED WITH AIR CONDITIONER AND CONTROL METHOD THEREOF | 1 |
Jianyong Han | SG | Singapore | 2013-04-25 / 20130102479 - METHODS OF ENHANCING PLURIPOTENTCY | 1 |
Jeong Sik Han | KR | Bucheon-Si | 2013-08-22 / 20130213970 - DUAL PACK | 2 |
Je Deok Han | KR | Suwon-Si | 2013-02-21 / 20130042641 - REFRIGERATOR AND CONTROL METHOD THEREOF | 1 |
Jun-Seok Han | KR | Yongin-Si | 2013-04-25 / 20130100148 - DISPLAY CONTROLLER AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Qiuhua Han | CN | Beijing | 2013-02-21 / 20130043516 - Semiconductor Device and Manufacturing Method Thereof | 1 |
Jianguo Han | CN | Beijing | 2013-05-09 / 20130115149 - METHOD FOR PREPARING METALLURGICAL-GRADE ALUMINA BY USING FLUIDIZED BED FLY ASH | 3 |
Chul Min Han | US | San Diego | 2014-07-03 / 20140187284 - Simultaneous Voice-Long Term Evolution Dual Antenna System | 2 |
Chang-Min Han | US | 2013-02-21 / 20130044352 - IMAGE FORMING APPARATUS AND METHOD OF MANAGING INFORMATION THEREOF | 1 | |
Youn-Ho Han | KR | Asan-Si | 2013-02-21 / 20130044509 - BACKLIGHT ASSEMBLY AND DISPLAY APPARATUS HAVING THE SAME | 1 |
Tao Han | US | North Arlington | 2015-11-05 / 20150319759 - APPARATUS AND METHOD OF USING TIME REUSE FRAME STRUCTURES FOR MULTI-HOP COMMUNICATIONS | 6 |
In Su Han | KR | Daejeon | 2013-02-21 / 20130044853 - FEED WATER AND STEAM HEADER AND NUCLEAR REACTOR HAVING THE SAME | 1 |
Guangtao Han | CN | Wuxi | 2013-04-25 / 20130099327 - CMOS DEVICES AND METHOD FOR MANUFACTURING THE SAME | 1 |
Joo Hee Han | KR | Seoul | 2015-04-09 / 20150097229 - 3-D NONVOLATILE MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Seung Soo Han | KR | Seoul | 2015-09-10 / 20150253819 - WATERPROOF ELECTRONIC DEVICE | 2 |
Tae Man Han | KR | Daejeon | 2014-08-07 / 20140218188 - METHOD AND APPARATUS FOR ANALYZING CONCENTRATION LEVEL OF DRIVER | 14 |
Seok-Jae Han | KR | Seoul | 2012-03-15 / 20120061880 - MOLDING APPARATUS AND MOLDING METHOD FOR PACKAGING SEMICONDUCTOR | 1 |
Min-Ho Han | KR | Daejeon | 2015-09-10 / 20150256555 - METHOD AND SYSTEM FOR NETWORK CONNECTION CHAIN TRACEBACK USING NETWORK FLOW DATA | 12 |
Gyoon Hee Han | KR | Hwaseong-Si | 2013-11-28 / 20130317077 - COMPOSITION FOR PREVENTING OR TREATING OBESITY, DYSLIPIDEMIA, FATTY LIVER OR DIABETES CONTAINING INDOLE-3-CARBINOL DERIVATIVE AS ACTIVE INGREDIENT | 3 |
Bing Han | CN | Guangdong | 2014-01-23 / 20140022147 - PIXEL STRUCTURE AND CORRESPONDING LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Gang Han | JP | Yasugi-Shi | 2013-04-18 / 20130095243 - METAL TITANIUM PRODUCTION DEVICE AND METAL TITANIUM PRODUCTION METHOD | 1 |
Pat A. Han | DK | Smørum | 2013-04-18 / 20130095029 - CO-PRODUCTION OF METHANOL AND AMMONIA | 1 |
Tzu-Wei Han | TW | New Taipei City | 2014-07-10 / 20140194155 - DYNAMICALLY SELECTING FILTERING PATHS TO AVOID MULTI-RADIO COEXISTENCE INTERFERENCE IN A COMMUNICATION APPARATUS | 2 |
Hoon Han | KR | Anyang-Si | 2016-04-28 / 20160116836 - METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES BY USING PHOTOMASK CLEANING COMPOSITIONS | 4 |
Seung Il Han | KR | Gyeonggi-Do | 2013-02-28 / 20130054026 - ROBOT CLEANER AND CONTROL METHOD THEREOF | 1 |
Kecen Han | CN | Shanghai | 2015-05-07 / 20150122943 - INTEGRATED PYLON STRUCTURE FOR PROPULSION SYSTEM | 2 |
Jong Hoon Han | KR | Suwon-Si | 2013-02-28 / 20130054929 - MOBILE TERMINAL AND OPERATION METHOD FOR THE SAME BASED ON MEMORY STATE CHANGES | 1 |
Wei Han | CN | Xi'An | 2013-02-28 / 20130055015 - METHOD FOR REPAIRING COMMUNICATION ABNORMALITY BETWEEN DATA CARD AND HOST AND DATA CARD | 1 |
Seung Bong Han | US | Tracy | 2015-03-26 / 20150089592 - CAPTIVE PORTAL SYSTEMS, METHODS, AND DEVICES | 4 |
Xuemei Han | CN | Beijing | 2013-04-11 / 20130090508 - Method for Preparing 1-Octene by Oligomerization of Ethylene | 1 |
Hyun Sik Han | KR | Ansan | 2015-12-03 / 20150343381 - NON-PGM CATALYST FOR BURNING CARBON SOOT, AND FILTRATION FILTER AND EXHAUST GAS POST-PROCESSING APPARATUS USING THE SAME | 3 |
Jun Han Han | KR | Daejeon | 2016-03-17 / 20160079319 - DUAL-MODE PIXELS INCLUDING EMISSIVE AND REFLECTIVE DEVICES, AND DUAL-MODE DISPLAY USING THE PIXELS | 32 |
Dong Won Han | KR | Daejeon-Si | 2013-04-11 / 20130088575 - METHOD AND APPARATUS FOR OBTAINING DEPTH INFORMATION USING OPTICAL PATTERN | 1 |
Young-Ho Han | KR | Bucheon-Si | 2013-03-07 / 20130056250 - Method for Manufacturing a Double-Sided Printed Circuit Board | 1 |
Tae-Jong Han | KR | Seoul | 2015-07-16 / 20150200203 - Vertical Memory Devices and Methods of Manufacturing the Same | 2 |
Jin Woo Han | KR | Suwon-Si | 2015-12-24 / 20150371780 - COMPOSITE ELECTRONIC COMPONENT AND BOARD FOR MOUNTING THEREOF | 7 |
Yong Su Han | KR | Daejeon | 2015-05-28 / 20150145466 - DOUBLE WOUND ROTOR TYPE MOTOR WITH CONSTANT ALTERNATING CURRENT OR DIRECT CURRENT POWER SUPPLY INPUT AND CONTROL METHOD THEREOF | 2 |
Xiaoxing Han | US | Rochester | 2013-03-07 / 20130057873 - SYSTEM AND METHOD FOR MEASURING THE RATIO OF FORWARD-PROPAGATING TO BACK-PROPAGATING SECOND HARMONIC-GENERATION SIGNAL, AND APPLICATIONS THEREOF | 1 |
Dong-Il Han | KR | Seoul | 2013-03-07 / 20130059292 - METHOD OF DETECTING A TARGET USING APTAMER-MEDIATED PROTEIN PRECIPITATION ASSAY | 1 |
Dongwoo Han | KR | Seoul | 2013-03-07 / 20130059547 - MOBILE TERMINAL, IMAGE DISPLAY DEVICE MOUNTED ON VEHICLE AND DATA PROCESSING METHOD USING THE SAME | 1 |
Yidong Han | SG | Singapore | 2013-03-07 / 20130060888 - COMMUNICATION DEVICE AND METHOD FOR RECEIVING MEDIA DATA | 2 |
Min-Su Han | KR | Daegu | 2013-04-04 / 20130085178 - COMPOSITION FOR TREATING BONE DISEASE COMPRISING A GLYCEOLLIN AS AN ACTIVE INGREDIENT | 1 |
Guang Han | US | Buffalo Grove | 2013-04-04 / 20130084865 - Methods And Apparatus For Interference Management | 2 |
Min Ho Han | KR | Seongnam-Si | 2015-06-04 / 20150150291 - AMINO ACID SEASONING COMPOSITIONS COMPRISING L-GLUTAMIC ACID AND L-LYSINE | 3 |
In-Taek Han | KR | Suwon-Si | 2013-03-14 / 20130062591 - CASE INCLUDING SEMICONDUCTOR NANOCRYSTALS, AND OPTOELECTRONIC DEVICE INCLUDING THE SAME | 1 |
Xinkuan Han | CN | Shanghai | 2013-03-14 / 20130063116 - TRUE REVERSE CURRENT BLOCKING SYSTEM | 1 |
Chang Hoon Han | KR | Daejeon | 2015-10-15 / 20150291417 - DEVICE PACKAGING METHOD AND DEVICE PACKAGE USING THE SAME | 3 |
Jie Han | US | Niskayuna | 2013-04-04 / 20130083386 - Optical Imaging System and Method, and Aperture Stop Assembly and Aperture Element | 1 |
Weon Shik Han | US | Salt Lake City | 2013-03-14 / 20130064604 - METHODS AND SYSTEMS FOR CO2 SEQUESTRATION | 1 |
Moon Kyu Han | KR | Gyunggi-Do | 2014-03-13 / 20140070659 - STATOR ASSEMBLY FOR MOTOR | 3 |
Ji-Yeon Han | KR | Suwon-Si | 2015-06-11 / 20150163442 - DIGITAL PHOTOGRAPHING APPARATUS CAPABLE OF RECONFIGURING IMAGE SIGNAL PROCESSOR AND METHOD OF CONTROLLING THE SAME | 4 |
Sang Hyun Han | KR | Hwaseong | 2013-03-21 / 20130068543 - WIDTH VARIABLE STRUCTURE OF MOVING AND TRANSPORT MEANS USING MECANUM WHEELS | 1 |
Fengqin Han | CN | Shanghai | 2015-12-03 / 20150346082 - APPARATUS AND METHOD FOR DETECTING MACROMOLECULES IN BIOLOGICAL FLUID | 14 |
Jae Joon Han | KR | Gyeonggi-Do | 2013-08-08 / 20130204852 - APPARATUS AND METHOD FOR TRANSMITTING DATA | 4 |
Seung Ju Han | KR | Gyeonggi-Do | 2013-08-08 / 20130204852 - APPARATUS AND METHOD FOR TRANSMITTING DATA | 4 |
Feng Han | CN | Pudong Jinqiao | 2013-03-21 / 20130070721 - TMSI ALLOCATION DEVICE AND METHOD THEREOF, AND NETWORK ATTACHMENT AND LOCATION AREA UPDATE METHODS IN M2M COMMUNICATION | 1 |
Chang Il Han | KR | Gyeonggi-Do | 2013-03-21 / 20130072309 - GAME MACHINE | 1 |
Jia-Wen Han | US | Newton | 2013-03-21 / 20130072439 - PEPTIDOMIMETIC MACROCYCLES | 1 |
Xiaoqing Han | US | Edison | 2013-03-21 / 20130072492 - SOLUBLE GUANYLATE CYCLASE ACTIVATORS | 1 |
Bing Han | CN | Beijing | 2016-03-03 / 20160063702 - MEDICAL IMAGE PROCESSING APPARATUS, MEDICAL IMAGE PROCESSING METHOD AND MEDICAL IMAGE DEVICE | 11 |
Yonghui Han | CN | Beijing | 2013-03-28 / 20130077745 - METHOD AND APPARATUS FOR ADJUSTING A FIELD OF VIEW FOR EXPOSURE OF AN X-RAY SYSTEM, AND AN X-RAY SYSTEM | 1 |
Soon-Ho Han | KR | Gyeonggi-Do | 2015-11-05 / 20150319869 - WINDOW MEMBER AND METHOD OF MANUFACTURING THE SAME | 4 |
Mi Jung Han | KR | Seoul | 2014-11-20 / 20140339584 - PHOSPHOR AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME | 2 |
Kyu-Chan Han | KR | Kyonggi-Do | 2010-04-22 / 20100098945 - Polyethylene terephthalate filament having high tenacity for industrial use | 1 |
Soyoung Han | KR | Seoul | 2012-01-26 / 20120023431 - COMPUTING DEVICE, OPERATING METHOD OF THE COMPUTING DEVICE USING USER INTERFACE | 6 |
Won Han | KR | Gyunggi-Do | 2014-01-02 / 20140002257 - TIRE LOCATION DISTINCTION DEVICE AND TIRE LOCATION DISTINCTION METHOD USING THE SAME | 3 |
Yang Han | US | Sunnyvale | 2016-03-31 / 20160091951 - Systems and Methods for Power Reduced Data Decoder Scheduling | 49 |
Sang-Jin Han | KR | Gunpo-Si | 2016-05-19 / 20160142662 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 30 |
Kyung Soo Han | US | Timonium | 2016-01-28 / 20160025001 - ONE-STROKE INTERNAL COMBUSTION ENGINE | 13 |
Jae-Ho Han | KR | Seoul | 2008-10-30 / 20080267564 - Optical Waveguide Structure Having Asymmetric Y-Shape and Transceiver for Bidirectional Optical Signal Transmission Using the Same | 1 |
Beum-Soo Han | KR | Goyang-Si | 2015-07-23 / 20150205922 - INTEGRATED MANAGEMENT METHOD AND INTEGRATED MANAGEMENT SYSTEM FOR PUBLIC HEALTH INFORMATION, AND RECORDING MEDIUM THEREFOR | 1 |
Manhyung Han | KR | Goyang-Si | 2011-03-31 / 20110078698 - METHOD FOR RECONCILING MAPPINGS IN DYNAMIC/EVOLVING WEB-ONTOLOGIES USING CHANGE HISTORY ONTOLOGY | 1 |
Man-Hyung Han | KR | Goyang-Si | 2011-03-31 / 20110077919 - METHOD OF RECOGNIZING ACTIVITY ON BASIS OF SEMI-MARKOV CONDITIONAL RANDOM FIELD MODEL | 1 |
Mi Su Han | KR | Goyang-Si | 2014-12-04 / 20140355784 - DIRECTIONAL MICROPHONE AND OPERATING METHOD THEREOF | 1 |
Sangkug Han | KR | Goyang-Si | 2016-02-04 / 20160035277 - ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Sun-Bum Han | KR | Goyang-Si | 2011-06-30 / 20110158154 - BROADCAST RETRANSMITTING METHOD, BROADCAST RETRANSMITTING APPARATUS, REPLAY APPARATUS, AND BROADCAST RETRANSMITTING SYSTEM USING THE SAME | 1 |
Tae Seong Han | KR | Goyang-Si | 2016-04-28 / 20160117808 - IMAGE CONVERSION UNIT AND DISPLAY DEVICE HAVING THE SAME | 1 |
Yi Sup Han | KR | Goyang-Si | 2010-07-29 / 20100189901 - PROCESS FOR PREPARATION OF SILVER NANOPARTICLES, AND THE COMPOSITIONS OF SILVER INK CONTAINING THE SAME | 1 |
Mi-Young Han | KR | Daejeon | 2010-03-25 / 20100076109 - UV-Curable Antireflective Coating Composition, Antireflective Coating Film Using the Same, and its Manufacturing Method | 2 |
Byung Joon Han | SG | Singapore | 2015-12-03 / 20150348936 - Semiconductor Device and Method of Forming Electromagnetic (EM) Shielding for LC Circuits | 20 |
Jong-In Han | KR | Daejeon | 2016-04-21 / 20160111742 - METHODS OF REMOVING HYDROGEN SULFIDE AND GENERATING ELECTRICITY USING ALKALINE SULFIDE FUEL CELL | 1 |
Hongwei Han | CN | Wuhan | 2016-05-19 / 20160137915 - PEROVSKITE PHOTOELECTRIC FUNCTIONAL MATERIAL MODIFIED WITH AMPHIPATHIC MOLECULE, AND METHODS FOR PREPARING AND USING THE SAME | 2 |
Bo-Won Han | KR | Asan-Si | 2016-04-21 / 20160111169 - MEMORY TEST APPARATUS | 1 |
Myung-Hee Han | KR | Seoul | 2016-04-21 / 20160111034 - DISPLAY DEVICE AND METHOD OF OPERATING DISPLAY DEVICE | 1 |
Sangchul Han | KR | Seoul | 2016-04-21 / 20160111028 - WALL MOUNTING-TYPE FLEXIBLE DISPLAY | 1 |
Sang-Soo Han | KR | Seongnam-Si | 2016-04-21 / 20160107629 - INTEGRATED DYNAMIC BRAKE APPARATUS | 1 |
Allen Ming-Kuang Han | US | Mountain View | 2016-01-28 / 20160022087 - Apparatus And Method Of Multi-Course Infusion For Brewing Tea And Other Beverages | 2 |
Chin San Han | US | Mountain View | 2016-03-03 / 20160058312 - MULTIPLE LIGHT PATHS ARCHITECTURE AND OBSCURATION METHODS FOR SIGNAL AND PERFUSION INDEX OPTIMIZATION | 2 |
Han Han | US | Mountain View | 2016-03-24 / 20160082013 - EMULSION FORMULATIONS OF APREPITANT | 1 |
Jinnuo Han | US | Mountain View | 2016-04-21 / 20160109428 - MECHANICAL BIOMARKERS FOR OOCYTE AND EMBRYO VIABILITY | 3 |
Young-Eun Han | KR | Gyeonggi-Do | 2016-04-21 / 20160110035 - METHOD FOR DISPLAYING AND ELECTRONIC DEVICE THEREOF | 8 |
Yong Duk Han | KR | Seongnam-Si | 2016-04-21 / 20160109370 - SENSOR FOR DETECTING SACCHARIDE AND MANUFACTURING METHOD THEREOF AND DETECTION METHOD OF GLYCATED HEMOGLOBIN USING THE SAME | 1 |
Yang Han | SG | Singapore | 2016-04-14 / 20160105866 - A METHOD AND APPARATUS FOR RECORDING INFORMATION FROM A PLURALITY OF MOBILE DEVICES IN AN AREA | 1 |
Sung-Hee Han | KR | Gyeonggi-Do | 2015-12-17 / 20150362721 - OPTICAL MODULATION UNIT AND STEREOSCOPIC DISPLAY DEVICE COMPRISING SAME | 3 |
Hye-Jung Han | US | Albany | 2015-11-12 / 20150323536 - SATB1: A DETERMINANT OF MORPHOGENESIS AND TUMOR METASTATIS | 2 |
Jung-Min Han | KR | Seoul | 2014-09-04 / 20140249045 - NOVEL USE OF LEUCYL TRNA SYNTHETASE | 8 |
Young Ho Han | KR | Suwon-Si | 2011-02-03 / 20110025572 - Y-TYPE HEXAGONAL FERRITE, FABRICATION METHOD THEREOF, AND ANTENNA APPARATUS USING THE SAME | 1 |
Won-Sik Han | KR | Seoul | 2010-06-03 / 20100133996 - Novel anthracene typed compound and the organic electroluminescence display device using the same | 1 |
Jung Jae Han | KR | Yongin-Si | 2016-04-14 / 20160102632 - HEAT EXCHANGER USING EXHAUST GAS RECIRCULATION GAS | 1 |
Xuecheng Han | CN | Shenyang | 2016-04-14 / 20160102394 - METHOD FOR PREPARING GROUNDING SUBSTRATE FOR SEMICONDUCTOR DEVICE | 1 |
Justine Han | US | Princeton Junction | 2016-04-14 / 20160102025 - APPARATUS FOR THE PRODUCTION OF PLASMA-CATALYTIC ENHANCED WATER AND METHOD OF USING THE SAME | 1 |
Yaowei Han | CN | Shanghai | 2016-04-07 / 20160100337 - CIRCUIT SWITCHED FALLBACK METHOD AND DEVICE | 1 |
Lu Han | US | Beaumont | 2016-04-14 / 20160102032 - APPARATUS AND PROCESS FOR PRODUCING GASOLINE, OLEFINS AND AROMATICS FROM OXYGENATES | 2 |
Lu Han | CA | Brossard | 2016-04-07 / 20160099684 - INTEGRATED POWER DEVICE WITH A METAL OXYNITRIDE ACTIVE CHANNEL FOR POWER SWITCHING AND MICROWAVE AMPLIFICATION | 1 |
Jae-Yeol Han | KR | Seoul | 2016-04-07 / 20160099640 - BUCK-BOOST CONVERTERS AND POWER MANAGEMENT INTEGRATED CIRCUITS INCLUDING THE SAME | 1 |
Chanyoung Han | KR | Yongin-Si | 2009-02-12 / 20090040145 - Plasma display device and driving method thereof | 2 |
Kil Pyo Han | KR | Yongin-Si | 2009-12-17 / 20090310817 - WATERMARKING METHOD, WATERMARK CHECKING METHOD, WATERMARKING DEVICE, AND WATERMARK CHECKING DEVICE | 1 |
Mi-Jung Han | KR | Yongin-Si | 2010-03-18 / 20100067211 - DISPLAY SUBSTRATE AND DISPLAY APPARATUS HAVING THE SAME | 3 |
You-Keun Han | KR | Yongin-Si | 2014-08-07 / 20140219044 - MEMORY MODULE AND MEMORY SYSTEM COMPRISING SAME | 9 |
Sung-Man Han | KR | Yongin-Si | 2015-05-07 / 20150124719 - APPARATUS AND METHOD FOR TRANSMITTING FEEDBACK INFORMATION IN WIRELESS COMMUNICATION SYSTEMS | 2 |
Jea-Hee Han | KR | Yongin-Si | 2016-01-28 / 20160027373 - DISPLAY APPARATUS, POWER CONTROL MODULE AND POWER CONTROLMETHOD THEREOF | 12 |
Man-Seok Han | KR | Yongin-Si | 2015-10-22 / 20150303456 - NEGATIVE ELECTRODE COMPOSITION, AND NEGATIVE ELECTRODE AND LITHIUM BATTERY CONTAINING THE SAME | 21 |
Ho Kyun Han | KR | Yongin-Si | 2012-05-10 / 20120115881 - NOVEL ARYLPIPERAZINE-CONTAINING IMIDAZOLE 4-CARBOXAMIDE DERIVATIVES AND PHARMACEUTICAL COMPOSITION COMPRISING SAME | 2 |
Seong-Hoon Han | KR | Yongin-Si | 2010-05-06 / 20100109526 - Plasma display panel | 1 |
Song-Yi Han | KR | Yongin-Si | 2009-01-15 / 20090015068 - APPARATUS FOR SUPPLYING POWER AND LIQUID CRYSTAL DISPLAY HAVING THE SAME | 4 |
Gyoowan Han | KR | Yongin-Si | 2009-02-12 / 20090040640 - Glass cutting method, glass for flat panel display thereof and flat panel display device using it | 1 |
Dae-Won Han | KR | Yongin-Si | 2009-05-14 / 20090123820 - BATTERY MODULE | 1 |
Eui-Gyu Han | KR | Yongin-Si | 2009-09-17 / 20090231922 - Nonvolatile Memory Device and Read Method Thereof | 1 |
Byeong Heui Han | KR | Yongin-Si | 2010-02-18 / 20100039828 - DIFFUSION PLATE | 1 |
Woo Sud Han | KR | Yongin-Si | 2010-07-22 / 20100180709 - Robot | 1 |
Junglm Han | KR | Yongin-Si | 2010-11-18 / 20100289509 - METHOD FOR POSITIONING CARBON NANOTUBES BETWEEN ELECTRODES, BIOMOLECULE DETECTOR BASED ON CARBON NANOTUBE-PROBE COMPLEXES AND DETECTION METHOD USING THE SAME | 1 |
Chul-Hee Han | KR | Yongin-Si | 2010-12-16 / 20100316039 - APPARATUS AND METHOD FOR GENERATING RANGING CODE | 1 |
Jong-Hee Han | KR | Yongin-Si | 2016-03-03 / 20160063946 - TIMING CONTROL UNIT AND APPARATUS AND METHOD FOR DISPLAYING USING THE SAME | 7 |
Manyop Han | KR | Yongin-Si | 2010-12-23 / 20100319280 - Precast Temporary Facility Structure and a Construction Method for the Same | 2 |
Geun-Jo Han | KR | Yongin-Si | 2011-02-03 / 20110024527 - GAS INJECTOR AND APPARATUS INCLUDING THE SAME | 1 |
Eui-Bum Han | KR | Yongin-Si | 2011-02-17 / 20110037775 - METHOD AND APPARATUS FOR CHARACTER INPUT USING TOUCH SCREEN IN A PORTABLE TERMINAL | 1 |
Baik-Hee Han | KR | Yongin-Si | 2011-03-24 / 20110068897 - METHOD FOR SETTING REMOTE CONTROLLER AND REMOTE CONTROLLER APPLYING THE SAME | 1 |
Moonhong Han | KR | Yongin-Si | 2011-04-07 / 20110081560 - CURRENT INTERRUPTING DEVICE AND SECONDARY BATTERY INCLUDING CURRENT INTERRUPTING DEVICE | 1 |
Jong Ho Han | KR | Yongin-Si | 2014-12-11 / 20140362109 - METHOD FOR TRANSFORMING AN OBJECT AND ELECTRONIC DEVICE THEREOF | 2 |
Min-Yeol Han | KR | Yongin-Si | 2016-05-19 / 20160141564 - RECHARGEABLE BATTERY | 23 |
Min-Hong Han | KR | Yongin-Si | 2011-05-05 / 20110102579 - STEERING CONTROL LEADING APPARATUS USING LANDMARK AND METHOD THEREBY | 1 |
Su-Hee Han | KR | Yongin-Si | 2014-03-20 / 20140079988 - ELECTROLYTE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING THE SAME | 15 |
Kyu Bum Han | KR | Yongin-Si | 2009-04-16 / 20090096776 - Device for controlling output of light source unit in single panel display system | 3 |
Moon Gyu Han | KR | Yongin-Si | 2016-02-04 / 20160035793 - IMAGE SENSORS AND ELECTRONIC DEVICES INCLUDING THE SAME | 18 |
Jin-Won Han | KR | Yongin-Si | 2008-09-18 / 20080224610 - PLASMA DISPLAY PANEL WITH REDUCED POWER CONSUMPTION | 1 |
Donggyun Han | KR | Yongin-Si | 2009-03-12 / 20090065032 - Apparatus and method for removing photoresist from a substrate | 1 |
Yongkoo Han | KR | Yongin-Si | 2009-05-14 / 20090125474 - USER PREFERENCE-BASED DATA ADAPTATION SERVICE SYSTEM AND METHOD | 1 |
Jung Im Han | KR | Yongin-Si | 2015-06-04 / 20150151984 - SEPARATION MEMBRANE, METHOD OF MANUFACTURING THE SAME, AND WATER TREATMENT DEVICE INCLUDING THE SEPARATION MEMBRANE | 16 |
Kook Min Han | KR | Yongin-Si | 2009-05-14 / 20090121216 - Organic thin film transistor having surface-modified carbon nanotubes | 1 |
Kuk-Hyun Han | KR | Yongin-Si | 2009-05-21 / 20090132923 - METHOD AND APPARATUS FOR INTERFACING BETWEEN DEVICES IN HOME NETWORK | 1 |
Eun-Sil Han | KR | Yongin-Si | 2009-06-11 / 20090149649 - Aromatic hetrocyclic compound, organic light-emitting diode including organic layer comprising the aromatic hetrocyclic compound, and method of manufacturing the organic light-emitting diode | 5 |
Jae Oh Han | KR | Yongin-Si | 2011-09-08 / 20110214445 - Pipe connection unit and air conditioner having the same | 2 |
Jai-Yong Han | KR | Yongin-Si | 2009-06-18 / 20090155561 - SINGLE CRYSTALLINE GRAPHENE SHEET AND PROCESS OF PREPARING THE SAME | 5 |
Jee-Hoon Han | KR | Yongin-Si | 2015-11-26 / 20150340666 - SECONDARY BATTERY AND METHOD OF MANUFACTURING THE SAME | 3 |
Wonchull Han | KR | Yongin-Si | 2009-08-20 / 20090208826 - CYLINDRICAL SECONDARY BATTERY | 2 |
Charang Han | KR | Yongin-Si | 2009-10-01 / 20090241240 - CAP WITH VENTILATION CHANNELS | 1 |
Suhee Han | KR | Yongin-Si | 2015-01-22 / 20150024263 - POUCH BATTERY AND MANUFACTURING METHOD THEREOF | 4 |
Woosup Han | KR | Yongin-Si | 2015-05-28 / 20150145890 - METHOD OF DISPLAYING VIRTUAL RULER ON SEPARATE IMAGE OR MEDICAL IMAGE OF OBJECT, MEDICAL IMAGE OBTAINING APPARATUS, AND METHOD AND APPARATUS FOR DISPLAYING SEPARATE IMAGE OR MEDICAL IMAGE WITH VIRTUAL RULER | 5 |
In-Taek Han | KR | Yongin-Si | 2014-03-13 / 20140070147 - COMPOSITE ANODE ACTIVE MATERIAL, ANODE INCLUDING THE COMPOSITE ANODE ACTIVE MATERIAL, LITHIUM BATTERY INCLUDING THE ANODE, AND METHOD OF PREPARING THE COMPOSITE ANODE ACTIVE MATERIAL | 5 |
Sang-Yun Han | KR | Yongin-Si | 2013-05-30 / 20130134995 - TOUCH SENSOR AND OPERATING METHOD THEREOF | 2 |
June-Soo Han | KR | Yongin-Si | 2010-10-28 / 20100271247 - Signal generator and method for generating signals for reducing noise in signals | 1 |
Dong-Soo Han | KR | Daejeon | 2014-12-25 / 20140378166 - METHOD, STORAGE MEDIUM, SERVER, AND ELECTRONIC DEVICE FOR IMPLEMENTING LOCATION BASED SERVICE WITHIN BUILDING | 5 |
Kyung-Su Han | KR | Hwaseong-Si | 2016-04-07 / 20160096413 - COOLING SYSTEM OF HYBRID ELECTRIC VEHICLE AND METHOD FOR CONTROLLING THE SAME | 1 |
Jae-Won Han | KR | Bucheon-City | 2009-04-23 / 20090102053 - METAL LINE STACKING STRUCTURE IN SEMICONDUCTOR DEVICE AND FORMATION METHOD THEREOF | 1 |
Jae-Won Han | KR | Deokeun-Ri | 2009-07-30 / 20090189843 - APPARATUS AND METHOD FOR DISPLAYING DRIVE STATE OF BACKLIGHT IN LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Sang-Bum Han | KR | Suwon-Si | 2016-04-14 / 20160105331 - ELECTRONIC DEVICE AND GATEWAY FOR NETWORK SERVICE, AND OPERATION METHOD THEREFOR | 1 |
Sang-Bum Han | KR | Gyeonggi-Do | 2016-03-31 / 20160094700 - METHOD AND APPARATUS FOR OPERATING AN ELECTRONIC DEVICE | 1 |
Zhixun Han | CN | Shenzhen | 2016-03-31 / 20160092964 - Electronic-Shopping Method and Apparatus | 1 |
Sarah Jihye Han | US | Hillsboro | 2016-03-31 / 20160091874 - SYSTEM AND METHOD FOR ELECTRONICALLY TAGGING ITEMS FOR USE IN CONTROLLING ELECTRICAL DEVICES | 1 |
Sang-Ok Han | KR | Seoul | 2010-02-04 / 20100029253 - METHOD FOR PROVIDING PICTURES TO A DIGITAL FRAME BASED ON HOME NETWORKS | 1 |
Woojin Han | KR | Seoul | 2010-07-01 / 20100167372 - Device And Method For Separation, Concentration, And/Or Purification Of Cells | 1 |
Jae Joon Han | KR | Seoul | 2015-12-24 / 20150370337 - APPARATUS AND METHOD FOR CONTROLLING INTERFACE | 40 |
Sang Youn Han | KR | Gyeonggi-Do | 2008-09-11 / 20080222688 - TRANSMITTING DATA FILE DURING CALL | 1 |
Sang Youn Han | KR | Seoul | 2015-08-27 / 20150243827 - DISPLAY SUBSTRATE, METHOD OF MANUFACTURING THE SAME AND TOUCH DISPLAY APPARATUS HAVING THE SAME | 29 |
Sam-Il Han | KR | Yongin-City | 2012-05-03 / 20120105388 - Organic Light Emitting Diode Display | 17 |
Myung Woo Han | KR | Seoul | 2011-02-03 / 20110027480 - CHEMICAL VAPOR DEPOSITION APPARATUS CAPABLE OF CONTROLLING DISCHARGING FLUID FLOW PATH IN REACTION CHAMBER | 6 |
Dae Keun Han | KR | Daejeon-Si | 2013-12-19 / 20130335123 - DRIVER IC CHIP AND PAD LAYOUT METHOD THEREOF | 26 |
Hyun-Sik Han | KR | Ansan City | 2013-06-20 / 20130156669 - CATALYSTS FOR NOX REDUCTION EMPLOYING H2 AND A METHOD OF REDUCING NOX | 5 |
Hyun-Sik Han | KR | Ansan-City , Kyungki-Do | 2009-10-15 / 20090257934 - Transition metal - substituted hydrotalcite catalyst for removing nitrogen oxides from the exhaust gas of diesel engine by storage - reduction | 1 |
Hyun-Sik Han | KR | Kyungki-Do | 2009-10-15 / 20090255237 - SCR Catalytic Converter Without NH3 or Urea Injection | 2 |
Youn-Hee Han | KR | Guri-Si | 2011-03-17 / 20110064055 - HANDOFF METHOD IN FMIPV6 FOR SEAMLESS TCP PACKET TRANSMISSIONS | 1 |
Youn-Hee Han | KR | Gyeonggi-Do | 2009-05-28 / 20090135758 - Method for discovering neighbor networks in mobile station and network system for enabling the method | 1 |
Hui-Min Han | CN | Chengdu, Sichuan | 2016-03-24 / 20160088448 - METHOD AND APPARATUS FOR OPERATING A PORTABLE RADIO COMMUNICATION DEVICE IN A DUAL-WATCH MODE | 1 |
Guang Han | CN | Shanghai | 2016-04-14 / 20160103936 - RULE BASED THREE-DIMENSIONAL (3D) INTERSECTION MODEL | 1 |
Su-Dong Han | KR | Suwon-Si | 2016-03-24 / 20160087291 - ROTATABLE HEATER OF FUEL CELL SYSTEM AND CONTROL METHOD THEREOF | 1 |
So-Hee Han | KR | Seoul | 2016-03-24 / 20160084829 - SELF-ASSEMBLED PEPTIDE NANOSTRUCTURES BY EXPLOITING CONFORMATIONAL CHANGE, BIOSENSOR USING THE SAME AND DETECTION METHOD OF BIOMOLECULES USING THE SAME | 1 |
Yu Han | US | Akron | 2016-03-24 / 20160081822 - LOW-POWER METHOD AND DEVICE FOR COOLING PROSTHETIC LIMB SOCKET BASED ON PHASE CHANGE | 1 |
Gi Ho Han | KR | Hwaseong-Si | 2016-04-28 / 20160120060 - PRINTED CIRCUIT BOARD, ELECTRONIC MODULE AND METHOD OF MANUFACTURING THE SAME | 2 |
Young-Seok Han | KR | Yongin-Si | 2016-04-07 / 20160100147 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD THEREOF | 1 |
Jeongwon Han | KR | Yongin-City | 2016-04-14 / 20160105971 - DEPOSITION APPARATUS AND DEPOSITION METHOD USING THE SAME | 3 |
Sohra Han | KR | Yongin-City | 2016-03-17 / 20160079539 - FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Seonghoon Han | KR | Seoul | 2016-03-31 / 20160088992 - VACUUM CLEANER | 1 |
Jeong-Hyun Han | KR | Suwon-Si | 2009-06-18 / 20090156259 - APPARATUS AND METHOD FOR TRANSMISSION POWER CONTROL OF HOME BASE TRANSCEIVER STATION (BTS) | 1 |
Qiuhua Han | CN | Shaoxing | 2016-03-17 / 20160076214 - PERMANENT DRAINAGE DITCH ADAPTED TO IMPROVE YIELD FROM FARMLAND | 1 |
Hyun-Sik Han | KR | Seoul | 2016-03-17 / 20160074822 - QUANTITATIVE CATALYST SUPPLY DEVICE | 1 |
Baoshan Han | US | Dallas | 2016-03-10 / 20160072237 - Electric Guitar cable | 1 |
Sung Man Han | KR | Paju-Si | 2016-04-07 / 20160098961 - ORGANIC LIGHT EMITTING DISPLAY DEVICE | 1 |
Tae-Dong Han | KR | Yongin-Si, Gyeonggi-Do | 2016-03-10 / 20160068515 - NOVEL TRIAZOLONE DERIVATIVES OR SALTS THEREOF AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
In Cheon Han | KR | Seoul | 2014-04-03 / 20140093662 - ACRYLIC ADHESIVE COMPOSITION | 17 |
Nan Han | JP | Yamanashi | 2016-03-10 / 20160067806 - ELECTRONIC DISCHARGE MACHINE | 1 |
Yeongsu Han | JP | Kawasaki | 2016-03-10 / 20160070688 - DISPLAYING ANNOTATIONS OF A DOCUMENT BY AUGMENTING THE DOCUMENT | 1 |
Mingxu Han | JP | Ibi-Gun, Gifu | 2016-03-10 / 20160068447 - CERAMIC JOINED BODY, HEAT-RESISTANT COMPONENT AND METHOD FOR MANUFACTURING CERAMIC JOINED BODY | 1 |
Limei Han | CN | Hangzhou | 2016-03-24 / 20160083807 - PSEUDOMONAS PUTIDA STRAIN AS WELL AS ITS MICROBIAL INOCULUM AND APPLICATION | 1 |
Yu Han | CN | Hangzhou | 2016-03-03 / 20160066471 - COOLING CONTROL OF INFORMATION TECHNOLOGY EQUIPMENT | 1 |
Sang Woo Han | KR | Seongnam-Si | 2016-03-03 / 20160066078 - WEARABLE ELECTRONIC DEVICE | 1 |
Woo-Jung Han | KR | Seoul | 2016-05-12 / 20160132131 - DIRECTION BASED ELECTRONIC DEVICE FOR DISPLAYING OBJECT AND METHOD THEREOF | 4 |
Yong-Hee Han | KR | Gyeonggi-Do | 2016-03-03 / 20160064690 - ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Jerome Han | KR | Yuseong-Gu | 2016-03-17 / 20160080154 - METHOD OF CONTROLLING ELECTRONIC DEVICE USING WEARABLE DEVICE AND METHOD OF OPERATING ELECTRONIC DEVICE | 1 |
Seung Min Jane Han | KR | Yuseong-Gu | 2016-03-03 / 20160064464 - FLEXIBLE DISPLAY PANEL | 1 |
Changho Han | KR | Hwaseong-Si | 2016-03-24 / 20160085904 - SEMICONDUCTOR HAVING CROSS COUPLED STRUCTURE AND LAYOUT VERIFICATION METHOD THEREOF | 2 |
Doo Hyun Han | KR | Gyeonggi-Do | 2016-03-03 / 20160062773 - METHOD, TERMINAL AND HEAD UNIT FOR AUTOMATICALLY PROVIDING APPLICATION SERVICES USING TEMPLATES | 1 |
Chang Hoon Han | KR | Wonju-Si | 2011-05-05 / 20110103120 - BINRAY CONTENT ADDRESSABLE MEMORY | 1 |
Young-Sun Han | KR | Seoul | 2012-03-15 / 20120065272 - NOVEL USE OF PANDURATIN DERIVATIVES OR EXTRACT OF KAEMPFERIA PANDURATA COMPRISING THE SAME | 6 |
Jung Woo Han | KR | Yongin-Si | 2016-03-24 / 20160088390 - AUDIO SIGNAL OUTPUT APPARATUS FOR VEHICLE | 1 |
Jong Young Han | KR | Anyang-Si | 2014-07-10 / 20140192720 - METHOD FOR TRANSMITTING AND RECEIVING PAGING INFORMATION IN A BROADBAND WIRELESS ACCESS SYSTEM | 8 |
Jong Young Han | KR | Gyeonggi-Do | 2011-09-08 / 20110216691 - DATA TRANSMISSION METHOD ACCORDING TO RADIO RESOURCE ALLOCATION IN MULTI-HOP RELAY SYSTEM | 10 |
Jong Young Han | KR | Anyang-Si Gyeongki-Do | 2011-01-06 / 20110002309 - METHOD OF TRANSMITTING ACK/NACK SIGNAL IN WIRELESS COMMUNICATION SYSTEM | 1 |
Jong Young Han | KR | Seoul | 2010-06-24 / 20100159921 - METHOD OF REPORTING CHANNEL STATE | 1 |
Jong Young Han | KR | Gyeongki-Do | 2011-08-11 / 20110194502 - METHOD FOR TRANSMITTING VOIP PACKET | 5 |
Shuangfeng Han | KR | Suwon-Si | 2014-05-01 / 20140120937 - APPARATUS AND METHOD FOR MITIGATING INTER-CELL INTERFERENCE IN MULTIPLE ANTENNA SYSTEM | 24 |
Chin San Han | US | Cupertino | 2016-03-03 / 20160066448 - INTERLOCKING CERAMIC AND OPTICAL MEMBERS | 2 |
Caleb C. Han | US | Sunnyvale | 2016-03-03 / 20160061677 - VARIOUS STRESS FREE SENSOR PACKAGES USING WAFER LEVEL SUPPORTING DIE AND AIR GAP TECHNIQUE | 1 |
Seung Hee Han | KR | Gyeongki-Do | 2014-07-03 / 20140185552 - METHOD AND APPARATUS OF TRANSMITTING DATA IN MULTIPLE RF SYSTEM | 42 |
Sangwoo Han | US | Sunnyvale | 2016-03-24 / 20160086379 - INTERACTION WITH THREE-DIMENSIONAL VIDEO | 1 |
Xiaofei Han | US | Tempe | 2016-03-03 / 20160060772 - SYSTEM AND METHOD FOR PURIFICATION OF ELECTROLYTIC SALT | 1 |
Kyu-Hee Han | KR | Suwon-Si | 2016-03-03 / 20160060759 - GAS INJECTION APPARATUS AND THIN FILM DEPOSITION EQUIPMENT INCLUDING THE SAME | 1 |
Guangming Han | CN | Ningbo | 2016-03-03 / 20160059327 - PIPE CUTTING KNIFE | 1 |
Qing Han | CA | Coquitlam | 2016-03-03 / 20160058181 - Systems and Methods for Securing and Temperature Regulating a Delivery Container | 1 |
Mu Han | US | Los Angeles | 2016-03-10 / 20160068576 - Vault Complexes for Facilitating Biomolecule Delivery | 1 |
Yun Jae Han | KR | Seoul | 2016-02-25 / 20160056852 - ELECTRONIC DEVICE CASES AND ELECTRONIC DEVICE HAVING THE SAME | 1 |
Yong-Seok Han | KR | Gyeonggi-Do | 2011-12-01 / 20110290538 - CONNECTING STRUCTURE AND ADHESION METHOD OF PCB USING ANISOTROPIC CONDUCTIVE FILM, AND METHOD FOR EVALUATING CONNECTING CONDITION USING THE SAME | 2 |
Kuoruey Han | US | Irvine | 2011-05-05 / 20110103459 - SYSTEM AND METHOD FOR UN-INTERRUPTED OPERATION OF COMMUNICATIONS DURING INTERFERENCE | 2 |
Jang H. Han | US | Lafayette | 2014-07-03 / 20140186862 - SYSTEMS AND METHODS FOR DETERMINING THE PERCENTAGE OF GLYCATED HEMOGLOBIN | 2 |
Eugene Han | US | Santa Clara | 2011-03-10 / 20110060808 - SYSTEM AND METHOD FOR INTELLIGENTLY DISTRIBUTING CONTENT TO A MOBILE DEVICE BASED ON A DETECTED LOCATION OF THE MOBILE DEVICE AND CONTEXT DATA DEFINING CHARACTERISTICS OF THE LOCATION AT A PARTICULAR DATE AND TIME | 3 |
Dong Chen Han | US | Simi Valley | 2011-01-27 / 20110022619 - System For Docketing Court Receipts | 1 |
Joseph Han | US | Irvine | 2016-04-07 / 20160097190 - FILL VALVE LEAK PREVENTION SYSTEM | 9 |
Yongbong Han | US | San Francisco | 2015-10-29 / 20150311525 - BATTERY CELL ENGINEERING AND DESIGN TO REACH HIGH ENERGY | 8 |
Seokman Paul Han | US | Rancho Cordova | 2010-12-16 / 20100316009 - Apparatus and method for ambient noise adaptation in wireless sensor networks | 5 |
Huilan Han | US | Woodland | 2010-11-04 / 20100279228 - ORGANO-METALLIC HYBRID MATERIALS FOR MICRO- AND NANOFABRICATION | 1 |
Shixue Han | US | Milpitas | 2010-09-23 / 20100239758 - SURFACE PRE-TREATMENT FOR ENHANCEMENT OF NUCLEATION OF HIGH DIELECTRIC CONSTANT MATERIALS | 1 |
Nanlin Han | US | Valencia | 2014-12-18 / 20140366698 - 3-Dimension Fiber Structures for Composites and Interfaces | 3 |
Thomas Yong-Jin Han | US | Livermore | 2015-07-09 / 20150192579 - RAPID DETECTION AND IDENTIFICATION OF ENERGETIC MATERIALS WITH SURFACE ENHANCED RAMAN SPECTROMETRY (SERS) | 6 |
Helen N. Han | US | San Francisco | 2010-06-24 / 20100160442 - FORMULATIONS FOR CANCER TREATMENT | 1 |
Dung-Huy Han | US | Davis | 2010-06-10 / 20100142637 - TRANSMITTER COMPENSATION IN DECODING OF INTENSITY MODULATED OPTICAL OFDM WITH DIRECT DETECTION | 1 |
Si-Ping Han | US | Yorba Linda | 2016-05-12 / 20160130581 - TARGETING DOMAIN AND RELATED SIGNAL ACTIVATED MOLECULAR DELIVERY | 9 |
Tzong-Ru T. Han | US | El Cerrito | 2010-03-04 / 20100051880 - AQUEOUS CARBON NANOTUBE APPLICATOR LIQUIDS AND METHODS FOR PRODUCING APPLICATOR LIQUIDS THEREOF | 1 |
Jino Han | US | Studio City | 2010-01-14 / 20100010330 - WIRELESS MONITOR FOR A PERSONAL MEDICAL DEVICE SYSTEM | 2 |
Tai-Sheng (andrew) Han | US | Fullerton | 2009-12-31 / 20090323286 - APPARATUS FOR REMOVING HEAT FROM PC CIRCUIT BOARD DEVICES SUCH AS GRAPHICS CARDS AND THE LIKE | 5 |
Kyung Sup Han | US | San Ramon | 2009-12-17 / 20090310691 - METHODS AND SYSTEMS FOR CARRIER FREQUENCY OFFSET ESTIMATION AND CORRECTION OFDM/OFDMA SYSTEMS | 1 |
Yongbong Han | US | Fremont | 2009-11-19 / 20090283411 - SELENIUM ELECTROPLATING CHEMISTRIES AND METHODS | 1 |
Eui In Han | US | Santa Monica | 2009-11-12 / 20090276949 - Elastic Toilet Bowl | 1 |
Loi Han | US | Alhambra | 2009-11-12 / 20090279147 - SCANNER WITH REMOVABLE DATA STORAGE MEDIA | 1 |
Yinglin Han | US | Burlingame | 2009-11-12 / 20090281324 - PROCESSES FOR THE PREPARATION OF O-[5-(4-AMINO-THIAZOL-2-YL)-PYRIDIN-2-YLMETHYL]-HYDROXYLAMINE | 1 |
Si-Ping Han | US | Pasadena | / - | 1 |
Songi Han | US | Santa Barbara | 2011-06-23 / 20110150779 - DYNAMIC NUCLEAR POLARIZATION ENHANCED NUCLEAR MAGNETIC RESONANCE OF WATER UNDER AMBIENT CONDITIONS | 2 |
Xiang Simon Han | US | Laguna Niguel | 2009-02-05 / 20090036955 - Method, System and Apparatus For Guaranteeing Laser Shut-Down Time | 1 |
Thomas Yong Han | US | Livermore | 2009-01-08 / 20090012297 - Ionic liquids as solvents | 1 |
Hongna Han | US | Los Angeles | 2008-09-25 / 20080234246 - ANALOGS OF ANSAMYCIN AND PHARMACEUTICAL COMPOSITIONS THEREOF | 1 |
Xiang Simon Han | US | Laguna Nigel | 2008-09-25 / 20080234666 - SURGICAL LASER SYSTEM CONTROL ARCHITECTURE | 1 |
Taisheng (andrew) Han | US | Fullerton | 2008-08-28 / 20080207343 - Magic trick for enwrapping a solid ring in a solid chain | 1 |
Seung Hee Han | KR | Anyang-Si | 2016-05-12 / 20160135151 - METHOD AND APPARATUS FOR TRANSMITTING A REFERENCE SIGNAL IN A MULTl-ANTENNA SYSTEM | 329 |
Jae-Hwan Han | KR | Hwaseong | 2016-02-25 / 20160055961 - WIRE WOUND INDUCTOR AND MANUFACTURING METHOD THEREOF | 1 |
Wei Han | US | Kettering | 2016-02-25 / 20160055929 - SYSTEMS AND METHODS FOR GENERATING COMPLEX VECTORIAL OPTICAL FIELDS | 1 |
Hao Han | US | Staten Island | 2016-02-25 / 20160055658 - ITERATIVE RECONSTRUCTION FOR X-RAY COMPUTED TOMOGRAPHY USING PRIOR-IMAGE INDUCED NONLOCAL REGULARIZATION | 1 |
Jeong Won Han | KR | Seongnam-Si | 2016-02-25 / 20160054758 - DISPLAY DEVICE | 1 |
Min-Hee Han | KR | Seongnam-Si | 2016-02-25 / 20160054346 - AXLE ASSEMBLY MAKING WHEEL SPEED MEASURING PRECISELY | 1 |
Yang Han | US | Bethlehem | 2016-02-25 / 20160053756 - VALVE AND VALVE SEAT FOR A DIAPHRAGM PUMP | 1 |
Eun Sil Han | KR | Hwaseong-Si | 2016-03-10 / 20160071468 - DISPLAY DEVICE | 1 |
Hyung Ryeol Han | KR | Gwangju | 2016-02-25 / 20160051340 - TEMPORARY RESIN-BASED CROWN | 1 |
In Hae Han | KR | Gwangju | 2016-02-25 / 20160051340 - TEMPORARY RESIN-BASED CROWN | 1 |
Sang-Hoon Han | KR | Daejeon | 2010-01-21 / 20100012304 - PLASTIC HEAT EXCHANGER AND METHOD OF MANUFACTURING THE SAME | 1 |
Bo Han | US | Mountain View | 2016-02-18 / 20160048766 - METHOD AND SYSTEM FOR GENERATING AND AGGREGATING MODELS BASED ON DISPARATE DATA FROM INSURANCE, FINANCIAL SERVICES, AND PUBLIC INDUSTRIES | 1 |
Ji-Youn Han | KR | Seoul | 2016-02-18 / 20160048314 - DISPLAY APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Seung Kyun Han | KR | Seongnam-Si | 2016-02-18 / 20160048279 - CONTENT DISPLAY CONTROL APPARATUS AND CONTENT DISPLAY CONTROL METHOD | 1 |
Yong Sun Han | KR | Gyeonggi-Do | 2016-02-18 / 20160048242 - TRANSPARENT ELECTRODE PATTERN STRUCTURE AND TOUCH SCREEN PANEL INCLUDING THE SAME | 1 |
Dianfei Han | CN | Beijing | 2009-08-06 / 20090196290 - ON-DEMAND MAC ADDRESS LOOKUP | 1 |
Kui Han | CN | Beijing | 2010-04-08 / 20100088029 - Systems and methods for connecting and operating portable GPS enabled devices in automobiles | 1 |
Dingnan Han | CN | Beijing | 2015-10-15 / 20150293651 - MAN-MACHINE INTERACTION METHOD AND INTERFACE | 4 |
Rui Han | CN | Beijing | 2012-12-06 / 20120308616 - SUBMICRO EMULSION OF PACLITAXEL USING STEROID COMPLEX AS INTERMEDIATE CARRIER | 4 |
Yongxiang Han | CN | Beijing | 2008-09-25 / 20080235450 - Updating Entries Cached by a Network Processor | 1 |
Teng Han | CN | Beijing | 2008-09-25 / 20080233988 - Method and Apparatus for Neighbor Cell Synchronization | 1 |
Dingyi Han | CN | Beijing | 2008-11-20 / 20080288481 - Ranking online advertisement using product and seller reputation | 1 |
Zhichao Han | CN | Beijing | 2009-03-05 / 20090062466 - Polyolefin Composite Material And Method For Producing The Same | 2 |
Jianwei Han | CN | Beijing | 2009-10-01 / 20090244083 - INVERSE TEXTURE SYNTHESIS | 1 |
Suying Han | CN | Beijing | 2009-10-15 / 20090260110 - METHOD OF BREEDING GERMINABLE TRANSGENIC BROADLEAVED TREE SPECIES | 1 |
Yunan Han | CN | Beijing | 2009-11-05 / 20090273972 - MAGNETIC LOGIC ELEMENT WITH TOROIDAL MULTIPLE MAGNETIC FILMS AND A METHOD OF LOGIC TREATMENT USING THE SAME | 2 |
Xiufeng Han | CN | Beijing | 2015-05-07 / 20150123754 - Nano-Patterned System And Magnetic-Field Applying Device Thereof | 6 |
Dongyi Han | CN | Beijing | 2009-12-17 / 20090311679 - TaqMan MGB probe useful for detecting the mitochondrial gene C1494T mutation associated with maternally inherited deafness and the use thereof | 2 |
Zhu Han | CN | Beijing | 2012-11-29 / 20120303715 - P2P FILE TRANSMISSION MANAGEMENT METHOD AND SYSTEM | 2 |
Shi Han | CN | Beijing | 2012-11-01 / 20120278659 - Analyzing Program Execution | 15 |
Honggui Han | CN | Beijing | 2016-05-19 / 20160140437 - METHOD TO PREDICT THE EFFLUENT AMMONIA-NITROGEN CONCENTRATION BASED ON A RECURRENT SELF-ORGANIZING NEURAL NETWORK | 3 |
Yong Han | CN | Beijing | 2014-01-30 / 20140032908 - METHOD FOR MANAGING REMOTE UPGRADING KEYS IN AN INFORMATION SECURITY APPARATUS | 2 |
Seung Woo Han | CN | Beijing | 2016-05-12 / 20160132170 - Driving Unit for Touch Electrode, Driving Circuit, Touch Panel and Driving Method | 14 |
Dajiang Han | CN | Beijing | 2011-05-05 / 20110105125 - METHOD FOR CLEARING INVALID USER EQUIPMENT INFORMATION IN HOME NODE B-GATEWAY | 1 |
Li Han | CN | Beijing | 2015-07-30 / 20150215427 - MEMORY ALLOCATION TO STORE BROADCAST INFORMATION | 6 |
Xiaoping Han | CN | Beijing | 2015-11-12 / 20150326407 - REDIRECTING VIRTUAL MACHINE TRAFFIC | 2 |
Jie Han | CN | Beijing | 2016-01-28 / 20160024169 - INSULIN-INCRETIN CONJUGATES | 2 |
Zongwei Han | CN | Beijing | 2008-11-20 / 20080287150 - Method and system for inserting advertisment content into a text message | 1 |
Ling Han | CN | Beijing | 2013-02-14 / 20130041130 - TRANSPARENT COPOLYESTER, PREPARING METHOD THEREOF AND ARTICLES MADE FROM THE SAME | 3 |
Jukul Han | CN | Beijing | 2009-03-19 / 20090076690 - Engine Hydraulic Parallel Series Rear Wheel Drive Hybrid Vehicle | 1 |
Yanjun Han | CN | Beijing | 2009-10-29 / 20090271068 - Detection Apparatus | 1 |
Ju Han | CN | Beijing | 2009-10-29 / 20090269843 - Hemopexin fusion proteins | 1 |
Qing Han | KR | Beijing | 2010-11-11 / 20100285103 - MEDICAMENT FOR TREATMENT OF TUMORS AND THE USE THEREOF | 1 |
Xiaodong Han | CN | Beijing | 2013-05-02 / 20130105706 - Double Tilt Transmission Electron Microscope Sample Holder for In-Situ Measurement of Microstructures | 3 |
Byungsook Han | KR | Chungcheongbuk-Do | 2016-03-31 / 20160090708 - MANHOLE WITH HEIGHT/INCLINATION-ADJUSTABLE MANHOLE COVER | 2 |
Kyung-Jun Han | KR | Chungcheongbuk-Do | 2016-02-18 / 20160046812 - METHOD FOR MANUFACTURING PLATE ALPHA-ALUMINA PEARLESCENT PIGMENTS AND NANO-METAL COATING PEARLESCENT PIGMENTS WITH LARGE ASPECT RATIO | 1 |
Kee Yun Han | KR | Gumi-Si | 2016-03-17 / 20160074990 - WAFER POLISHING APPARATUS | 2 |
Chia-Chih Han | TW | New Taipei City | 2016-05-12 / 20160130518 - COKE SLURRY FUEL COMPOSITION AND METHOD OF MAKING THE SAME | 2 |
Gilsoo Han | KR | Gangneung | 2016-03-10 / 20160069615 - THERMAL REDUCTION APPARATUS FOR METAL PRODUCTION, GATE DEVICE, CONDENSING SYSTEM, AND CONTROL METHOD THEREOF | 1 |
Jaejoon Han | KR | Seoul | 2016-05-19 / 20160140383 - METHOD AND APPARATUS FOR EXTRACTING FACIAL FEATURE, AND METHOD AND APPARATUS FOR FACIAL RECOGNITION | 14 |
Chang Mok Han | KR | Suwon-Si | 2016-04-28 / 20160118807 - APPARATUS AND METHOD OF RECEIVING POWER WIRELESSLY, AND SYSTEM FOR SUPPLYING POWER WIRELESSLY USING THE SAME | 12 |
Ye Ji Han | KR | Seoul | 2015-04-30 / 20150117735 - PARALLEL IMAGE RECONSTRUCTION USING FILTER BANKS BASED ON LOW-FREQUENCY PART OF K-SPACE SIGNALS | 1 |
Jongbeom Han | KR | Seoul | 2015-09-17 / 20150261015 - CLIP TYPE DISPLAY MODULE AND GLASS TYPE TERMINAL HAVING THE SAME | 3 |
Pat A. Han | DK | Smorum | 2012-12-27 / 20120326090 - PROCESS FOR REFORMING HYDROCARBONS | 4 |
Byunghun Han | KR | Seoul | 2014-11-27 / 20140347346 - DISPLAY DRIVER INTEGRATED CIRCUIT AND A DISPLAY SYSTEM INCLUDING THE SAME | 1 |
Jin-Woo Han | US | San Jose | 2016-03-24 / 20160086954 - Memory Device Having Electrically Floating Body Transistor | 9 |
Kyoung Ran Han | KR | Seoul | 2013-10-03 / 20130260982 - CEMENT-FREE HIGH STRENGTH UNSHAPED REFRACTORY | 2 |
Zhengchun Han | CN | Hangzhou, Zhejiang | 2016-03-24 / 20160081363 - Tea Beverage and Preparation Method Therefor | 1 |
Qigang Han | CN | Changchun | 2014-11-13 / 20140331730 - ROLLING DEVICE AND THE METHOD THEREOF | 2 |
Hyunseung Han | KR | Seoul | 2016-05-05 / 20160124810 - 3D MEMORY WITH ERROR CHECKING AND CORRECTION FUNCTION | 3 |
Shin Hwan Han | KR | Seongnam-Si | 2016-03-31 / 20160092579 - METHODS AND DEVICES FOR COLLECTION AND HEURISTIC ANALYSIS OF LARGE-SCALE BIOGRAPHICAL INFORMATION | 1 |
Songping Han | CN | Beijing | 2014-10-09 / 20140303683 - METHOD FOR THE TREATMENT OF AUTISM | 1 |
Youngjoo Han | KR | Ulsan | 2010-06-17 / 20100153960 - METHOD AND APPARATUS FOR RESOURCE MANAGEMENT IN GRID COMPUTING SYSTEMS | 1 |
Youngjoo Han | KR | Daejeon | 2008-12-18 / 20080312515 - System and method for providing cardiovascular disorder diagnosis services | 1 |
Jung-Yup Han | KR | Gyeonggi-Do | 2011-05-05 / 20110104555 - BATTERY PACK | 1 |
Jae Myung Han | KR | Gwangju | 2016-04-14 / 20160102904 - REFRIGERATOR | 8 |
Junlong Han | CN | Shenyang | 2016-04-21 / 20160110893 - CORRECTING A CT SCAN IMAGE | 2 |
Kyung-Don Han | KR | Gyeonggi-Do | 2013-08-15 / 20130206066 - THIN FILM DEPOSITION APPARATUS | 2 |
Si-Ping Han | US | Yorba Linda | 2016-05-12 / 20160130581 - TARGETING DOMAIN AND RELATED SIGNAL ACTIVATED MOLECULAR DELIVERY | 9 |
Hoon Hee Han | KR | Suwon-Si | 2016-03-17 / 20160078896 - SPINDLE MOTOR AND HARD DISK DRIVE INCLUDING THE SAME | 2 |
Jae Woong Han | KR | Seoul | 2016-02-25 / 20160056338 - LIGHT EMITTING DEVICE AND LIGHTING SYSTEM | 8 |
Yan Han | US | Arington | 2008-11-13 / 20080279564 - Systems and Methods of Polarization Time Coding for Optical Communications | 1 |
Yan Han | US | Laurel | 2010-04-01 / 20100080553 - AUTOMATIC LASER SHUTDOWN AND RECOVERY IN RESPONSE TO A LINK BREAK | 1 |
Yan Han | US | 2010-12-23 / 20100321094 - METHOD AND CIRCUIT IMPLEMENTATION FOR REDUCING THE PARAMETER FLUCTUATIONS IN INTEGRATED CIRCUITS | 1 | |
Bumsoo Han | US | West Lafayette | 2013-11-07 / 20130295601 - Systems and Methods for Testing Drugs and Drug Delivery Systems | 1 |
In-Hyo Han | KR | Ulsan | 2011-02-24 / 20110043508 - Signal Transmission Lines for Image Display Device and Method for Wiring the Same | 1 |
Jiyeong Han | KR | Ulsan | 2016-03-17 / 20160074396 - TADALAFIL FREE BASE-CONTAINING FILM DOSAGE FORM CONTAINING POLYETHYLENE GLYCOL-BASED POLYMER AND/OR VINYL PYRROLIDONE-BASED POLYMER AS DISPERSION STABILIZER | 1 |
Ji-Yeong Han | KR | Ulsan | 2016-03-24 / 20160081990 - FILM PREPARATION CONTAINING DONEPEZIL-FREE BASE AND METHOD FOR PRODUCING SAME | 1 |
Ju Seog Han | KR | Ulsan | 2016-02-25 / 20160053728 - SYSTEM FOR SUPPLYING LIQUEFIED NATURAL GAS FUEL | 5 |
Kapsu Han | KR | Ulsan | 2016-03-17 / 20160077708 - METHOD AND DEVICE FOR EXECUTING APPLICATIONS THROUGH APPLICATION SELECTION SCREEN | 1 |
Xia Han | CN | Shenzhen | 2016-02-11 / 20160043618 - Motor and End Cap Assembly Thereof | 1 |
Junhee Han | KR | Yongin-Si | 2016-02-11 / 20160043355 - CURVED SECONDARY BATTERY AND METHOD OF MANUFACTURING THE SAME | 2 |
Sung-Wook Han | KR | Incheon | 2011-12-15 / 20110305817 - Method for Separating Protein from Food | 2 |
Sun Young Han | KR | Incheon | 2011-10-06 / 20110240662 - COVER WITH ELASTIC PORTION FOR BAR-TYPE PORTABLE TERMINAL DEVICE | 2 |
Sang Hyun Han | KR | Incheon | 2010-10-21 / 20100267426 - MOBILE COMMUNICATION TERMINAL | 1 |
Sea Yeoun Han | KR | Incheon | 2011-02-10 / 20110031921 - RAIN SENSOR | 1 |
Dong Goo Han | KR | Incheon | 2011-03-03 / 20110050019 - TORQUE GENERATING DEVICE | 1 |
Young-Su Han | KR | Incheon | 2015-12-24 / 20150371609 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 8 |
Ki Chon Han | KR | Incheon | 2010-03-04 / 20100052779 - DOHERTY AMPLIFIER AND SIGNAL AMPLIFICATION SYSTEM HAVING THE SAME, METHOD FOR AMPLIFYING SIGNAL | 1 |
Byung Wook Han | KR | Incheon | 2010-07-08 / 20100173589 - METHOD AND APPARATUS OF IMPROVING CAPACITY OF CHANNEL | 1 |
Seung-Woo Han | KR | Incheon | 2010-07-08 / 20100173582 - APPARATUS OF ANALIZING THE CONSTRUCTION PRODUCTIVITY USING RFID BASED ON THE WIRELESS COMMUNICATION AND THEREOF | 3 |
Jae Seok Han | KR | Incheon | 2011-01-27 / 20110022958 - MOBILE TERMINAL AND METHOD FOR CONTROLLING THEREOF | 1 |
Kyung-Suk Han | KR | Incheon | 2013-06-13 / 20130150384 - METHOD FOR TREATMENT OF BRAIN CANCERS | 4 |
Seung Cho Han | KR | Incheon | 2011-05-05 / 20110104930 - LOCKING DEVICE AND HIGH VOLTAGE SHIELD CONNECTOR HAVING THE SAME | 1 |
Young-Seok Han | KR | Incheon | 2010-06-03 / 20100136603 - KIT AND A METHOD FOR EVALUATING TOXICITY USING SPORE RELEASE BY THE GREEN ALGA ULVA | 1 |
Byung-Woong Han | KR | Incheon | 2010-05-20 / 20100123858 - BACKLIGHT ASSEMBLY USING FLEXIBLE LIGHT GUIDING FILM AND LIQUID CRYSTAL DISPLAY MODULE USING THE SAME | 2 |
Myeong Han | KR | Incheon | 2010-05-27 / 20100126159 - VALVE FOR A MOTOR VEHICLE EXHAUST SILENCER, AND SILENCER COMPRISING A VALVE OF THIS TYPE | 1 |
Tae-Jun Han | KR | Incheon | 2010-06-03 / 20100136603 - KIT AND A METHOD FOR EVALUATING TOXICITY USING SPORE RELEASE BY THE GREEN ALGA ULVA | 1 |
Sang-Ho Han | KR | Incheon | 2013-07-11 / 20130175554 - LED PACKAGE SUBSTRATE AND METHOD OF MANUFACTURING LED PACKAGE | 2 |
Chang-Suc Han | KR | Incheon | 2012-05-03 / 20120105091 - STACKED FPGA BOARD FOR SEMICONDUCTOR VERIFICATION | 2 |
Sang Seo Han | KR | Incheon | 2009-11-26 / 20090291810 - Pedal Exercise Machine Having Arc Trajectory | 2 |
Jung-Eun Han | KR | Seoul | 2015-08-06 / 20150218005 - SILICON CARBIDE POWDER AND PREPARATION METHOD THEREFOR | 18 |
Sang-Chul Han | KR | Suwon-Si | 2015-10-08 / 20150284847 - Method of Forming an Epitaxial Layer and Apparatus for Processing a Substrate Used for the Method | 8 |
Sung Min Han | KR | Daejeon | 2011-01-13 / 20110006950 - MICROSTRIP ANTENNA COMPRISED OF TWO SLOTS | 1 |
Jefferson Y. Han | US | Hollis | 2016-05-12 / 20160132137 - SYSTEMS FOR AN ELECTROSTATIC STYLUS WITHIN A CAPACITIVE TOUCH SENSOR | 2 |
Dehua Han | US | Lakeville | 2014-03-06 / 20140063657 - Magnetic Recording Head Having a Pole Tip Shield | 3 |
Sigeng Han | DE | Frankfurt Am Main | 2013-05-23 / 20130130294 - NOVEL METHOD FOR CHARACTERIZING AND MULTI-DIMENSIONALLY REPRESENTING THE FOLDING PROCESS OF PROTEINS | 1 |
Seunghee Han | US | San Jose | 2016-04-07 / 20160100404 - OPPORTUNISTIC SIGNAL TRANSMISSION FOR INTER-RAT CO-EXISTENCE | 4 |
Dongseog Han | KR | Daegu | 2016-02-04 / 20160036502 - MIMO COMMUNICATION SYSTEM USING PRE-CODER BASED ON TIGHT FRAME | 1 |
Su Dong Han | KR | Suwon | 2016-02-25 / 20160056517 - DEVICE FOR PREVENTING OVER PRESSURE OF COOLING SYSTEM OF FUEL CELL SYSTEM | 2 |
Kegin Han | US | Fremont | 2016-05-19 / 20160142341 - PACKET SCHEDULING USING HIERARCHICAL SCHEDULING PROCESS | 1 |
Keqin Kenneth Han | US | Fremont | 2016-05-19 / 20160140286 - TESTBENCH BUILDER, SYSTEM, DEVICE AND METHOD WITH PHASE SYNCHRONIZATION | 7 |
Kee Yan Han | KR | Gumi-Si | 2016-02-04 / 20160031062 - WAFER POLISHING APPARATUS | 1 |
Xu Han | CN | Jiangsu | 2016-02-04 / 20160035107 - MOVING OBJECT DETECTION | 1 |
Xu Han | CN | Nanjing, Jiangsu | 2016-02-04 / 20160035107 - MOVING OBJECT DETECTION | 1 |
Kyung-Hee Han | KR | Yonginsi Gyeonggi-Do | 2016-02-04 / 20160030273 - MULTI-PURPOSE SOLAR POWER SAFE WALKER | 1 |
Margaret Han | US | Water Mill | / - | 1 |
Bong-Kil Han | KR | Seoul | 2013-08-15 / 20130205707 - Structure For Constructing a High-Rise Building Having a Reinforced Concrete Structure Including a Steel Frame | 1 |
Jae Joon Han | KR | Yongin-Si | 2016-02-04 / 20160030851 - VIRTUAL WORLD PROCESSING DEVICE AND METHOD | 8 |
Songyi Han | KR | Asan-Si | 2016-05-19 / 20160143103 - BACKLIGHT UNIT | 3 |
Xufeng Han | US | Chapel Hill | 2016-01-28 / 20160029176 - PROVIDING PERSONALIZED CONTENT BASED ON HISTORICAL INTERACTION WITH A MOBILE DEVICE | 1 |
Sanghyun Han | KR | Yongin-Si | 2016-01-28 / 20160028015 - AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME | 1 |
Gun Woo Han | KR | Ansan-Si | 2016-01-28 / 20160027964 - UV LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME | 1 |
Teng Han | US | Pittsburg | 2016-01-28 / 20160027199 - OBJECT CREATION USING BODY GESTURES | 1 |
Kang-Soo Han | KR | Seoul | 2016-05-05 / 20160124133 - POLARIZER, DISPLAY PANEL INCLUDING THE SAME AND METHOD OF MANUFACTURING THE SAME | 4 |
Xiaogang Han | US | Katy | 2016-01-28 / 20160024909 - IDENTIFICATION OF PROPPANT IN SUBTERRANEAN FRACTURE ZONES USING A RATIO OF CAPTURE TO INELASTIC GAMMA RAYS | 1 |
Dae Woong Han | KR | Anyang | 2016-01-28 / 20160025796 - METHOD OF DETECTING WIRING MISMATCH OF RESOLVER | 2 |
Sang-Min Han | KR | Gunpo-Si | 2016-01-28 / 20160027796 - SEMICONDUCTOR DEVICES | 1 |
Teng Han | US | 2016-01-28 / 20160027199 - OBJECT CREATION USING BODY GESTURES | 1 | |
Hyeon Min Han | KR | Seoul | 2010-04-08 / 20100088552 - Method for Obstruction and Capacity Information Unification Monitoring in Unification Management System Environment and System for Thereof | 1 |
Yousoo Han | KR | Daejeon | 2010-06-03 / 20100137513 - Process for Preparation of Composite Containing Wood Fiber Component and Polymer Resin | 3 |
Young-Tak Han | KR | Daejeon | 2016-04-28 / 20160116694 - OPTICAL MODULES | 35 |
Yong-Mahn Han | KR | Daejeon | 2015-12-17 / 20150361401 - INDUCED PLURIPOTENT STEM CELL MODEL FOR FABRY DISEASE AND USE THEREOF | 4 |
Yong-Gyu Han | KR | Daejeon | 2014-10-02 / 20140296474 - PREPARATION OF POLY(ALKYLENE CARBONATE) CONTAINING CROSS-LINKED HIGH MOLECULAR WEIGHT CHAINS | 7 |
Tae Man Han | KR | Daejeon | 2014-08-07 / 20140218188 - METHOD AND APPARATUS FOR ANALYZING CONCENTRATION LEVEL OF DRIVER | 14 |
Taek Kyu Han | KR | Daejeon | 2014-03-20 / 20140081064 - ETHYLENE TETRAMERIZATION CATALYST SYSTEMS AND METHOD FOR PREPARING 1-OCTENE USING THE SAME | 3 |
Soo Yeong Han | KR | Daejeon | 2010-07-22 / 20100183924 - ELECTRODE-ACTIVE ANION-DEFICIENT NON-STOICHIOMETRIC LITHIUM IRON PHOSPHATE, METHOD FOR PREPARING THE SAME, AND ELECTROCHEMICAL DEVICE USING THE SAME | 1 |
Seungyeol Han | KR | Daejeon | 2011-05-05 / 20110105705 - Metallocene Supported Catalyst Composition and a Process for the Preparation of Polyolefin Using the Same | 1 |
Seong Seok Han | KR | Daejeon | 2014-05-08 / 20140124161 - AIR CONDITIONER FOR VEHICLE | 5 |
Seong Ok Han | KR | Daejeon | 2014-01-23 / 20140024522 - CATALYSTS HAVING METAL NANO-PARTICLE CATALYST SUPPORTED ON SURFACE-TREATED NATURAL CELLULOSE FIBERS AND PREPARATION METHOD THEREOF | 8 |
Seog-Tae Han | KR | Daejeon | 2010-05-27 / 20100127161 - MULTI-FREQUENCY MILLIMETER-WAVE VLBI RECEIVING SYSTEM AND METHOD OF DESIGNING QUASI OPTICAL CIRCUIT FOR THE SAME | 1 |
Sang Sup Han | KR | Daejeon | 2015-10-15 / 20150290581 - CARBON DIOXIDE ABSORBING COMPOSITION INCLUDING ANTISOLVENT, AND METHOD AND APPARATUS FOR ABSORBING CARBON DIOXIDE USING THE SAME | 11 |
Sang Phil Han | KR | Daejeon | 2014-06-26 / 20140178734 - MIDDLE OR LARGE-SIZED BATTERY PACK CASE PROVIDING IMPROVED DISTRIBUTION UNIFORMITY OF COOLANT FLUX | 6 |
Sangdeuk Han | KR | Daejeon | 2010-07-01 / 20100162886 - Cylinder Liner And Method Of Manufacturing The Same | 1 |
Sang-Choll Han | KR | Daejeon | 2014-10-23 / 20140313697 - MICRO LENS ARRAY SHEET AND BACKLIGHT UNIT COMPRISING THE SAME | 9 |
Mun Sung Han | KR | Daejeon | 2016-04-21 / 20160110453 - SYSTEM AND METHOD FOR SEARCHING CHOREOGRAPHY DATABASE BASED ON MOTION INQUIRY | 9 |
Mi Kyong Han | KR | Daejeon | 2015-05-14 / 20150134133 - APPARATUS, SERVER AND METHOD FOR MANAGING ENERGY USAGE AND ENERGY VOLUME PER ENERGY CONSUMER | 15 |
Mee-Jung Han | KR | Daejeon | 2009-06-04 / 20090142804 - Process For Preparing Serine-Rich Protein Employing Cysteine Synthase (CYSK) Gene | 2 |
Man Woo Han | KR | Daejeon | 2011-10-06 / 20110243970 - COMPOSITION FOR INHIBITION OF TRANSPLANT REJECTION CONTAINING THE CORDYCEPS MYCELLIA EXTRACT AS AN ACTIVE INGREDIENT | 3 |
Kyu-Suk Han | KR | Daejeon | 2010-12-30 / 20100332830 - SYSTEM AND METHOD FOR MUTUAL AUTHENTICATION BETWEEN NODE AND SINK IN SENSOR NETWORK | 1 |
Kyu Seo Han | KR | Daejeon | 2010-05-13 / 20100121488 - METHOD AND SYSTEM FOR CREATING INDOOR ENVIRONMENT MAP | 2 |
Kyuboem Han | KR | Daejeon | 2010-01-07 / 20100003669 - Primer for Detection of Cytochrome P450 Hydroxylase Specific to Polyene | 1 |
Kyou-Hoon Han | KR | Daejeon | 2009-01-29 / 20090030181 - Peptides for Inhibiting MDM2 Function | 1 |
Ki-Seon Han | KR | Daejeon | 2010-05-20 / 20100123464 - ULTRA-HIGH FREQUENCY PARTIAL DISCHARGE ARRAY SENSOR APPARATUS FOR HIGH-VOLTAGE POWER APPARATUS | 1 |
Jooonghee Han | KR | Daejeon | 2010-06-03 / 20100135572 - ROBOT MOTION DATA GENERATION METHOD AND A GENERATION APPARATUS USING IMAGE DATA | 1 |
Jae Hung Han | KR | Daejeon | 2014-01-23 / 20140021665 - VIBRATION AND SHOCK ISOLATOR | 2 |
In Tark Han | KR | Daejeon | 2011-06-09 / 20110137137 - SENSING DEVICE OF EMOTION SIGNAL AND METHOD THEREOF | 3 |
Intark Han | KR | Daejeon | 2011-10-06 / 20110246072 - DIGITAL INTERIOR SYSTEM AND METHOD FOR CONTROLLING THE SAME | 2 |
Il-Song Han | KR | Daejeon | 2015-02-19 / 20150049938 - VISUAL CORTICAL CIRCUIT APPARATUS, VISUAL CORTICAL IMITATION SYSTEM AND OBJECT SEARCH SYSTEM USING VISUAL CORTICAL CIRCUIT APPARATUS | 3 |
Hyon-Soo Han | KR | Daejeon | 2012-09-27 / 20120244055 - Adsorbents for Radioisotopes, Preparation Method Thereof, and Radioisotope Generators Using the Same | 5 |
Duk Sang Han | KR | Daejeon | 2009-01-01 / 20090004488 - Resine Composition For Printed Circuit Board and Composite Substrate And Copper Laminates Using The Same | 2 |
Dongwon Han | KR | Daejeon | 2009-05-14 / 20090125640 - ULTRASMALL PORTABLE COMPUTER APPARATUS AND COMPUTING SYSTEM USING THE SAME | 1 |
Dongsoo Han | KR | Daejeon | 2013-08-08 / 20130205196 - LOCATION-BASED MOBILE APPLICATION MARKETPLACE SYSTEM | 2 |
Dongguk Han | KR | Daejeon | 2010-12-30 / 20100332576 - APPARATUS AND METHOD OF CALCULATING SQUARE ROOT IN FINITE EXTENSION FIELD | 1 |
Dong Cho Han | KR | Daejeon | 2016-04-07 / 20160095845 - COMPOSITION COMPRISING (S)-(-)-BENPROPERINE FOR PREVENTING OR TREATING CANCER | 12 |
Deok-Su Han | KR | Daejeon | 2012-06-21 / 20120156874 - CHEMICAL MECHANICAL POLISHING SLURRY COMPOSITION AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE USING THE SAME | 4 |
Dae Gon Han | KR | Daejeon | 2010-07-22 / 20100180562 - PROCESS FOR PREPARATION OF SILICON CARBIDE SEGMENT FOR HONEYCOMB CERAMIC FILTER | 1 |
Changbae Han | KR | Daejeon | 2010-07-01 / 20100162886 - Cylinder Liner And Method Of Manufacturing The Same | 1 |
Bo-Ryeong Han | KR | Daejeon | 2013-07-25 / 20130190455 - POLYMER PARTICLES HAVING POLYMER BRUSH AND METHOD OF PREPARING THE SAME | 2 |
Bang Woo Han | KR | Daejeon | 2009-05-21 / 20090129993 - Apparatus for Treating Exhaust Praticulate Matter | 1 |
Seung Jong Han | KR | Seoul | 2016-01-07 / 20160007420 - LED LIGHTING DEVICE | 1 |
Won Han | KR | Osan-Si | 2016-01-07 / 20160006411 - TUNING FORK AND ELECTRONIC DEVICE USING THE SAME | 1 |
Sanghyun Han | KR | Yongin-City | 2016-05-12 / 20160133845 - ORGANIC LIGHT-EMITTING DEVICE | 3 |
Man Ho Han | KR | Gyeonggi-Do | 2016-01-07 / 20160004159 - COMPOSITION FOR FORMING RESIST PROTECTION FILM FOR LITHOGRAPHY AND METHOD FOR FORMING PATTERN OF SEMICONDUCTOR DEVICE USING THE SAME | 1 |
Jong-Ju Han | KR | Suwon-Si | 2016-01-07 / 20160003465 - Led Lighting Device | 1 |
Yongxin Han | CN | . | 2016-01-07 / 20160002205 - SUBSTITUTED 2-AMINOPYRIDINE PROTEIN KINASE INHIBITOR | 1 |
Jung Min Han | KR | Daejeon | 2016-01-07 / 20160002063 - TRANSITION METAL PRECURSOR HAVING LOW TAP DENSITY AND LITHIUM TRANSITION METAL OXIDE HAVING HIGH PARTICLE STRENGTH | 1 |
Li Han | CN | Shenzhen | 2016-01-07 / 20160001023 - ELECTRONIC FLOW MONITOR, CONTROL METHOD AND ANESTHESIA MACHINE | 1 |
Weibin Han | CN | Shenzhen | 2016-01-07 / 20160004324 - KEY FUNCTION CONVERSION METHOD, KEY FUNCTION CONVERSION DEVICE AND ELECTRONIC EQUIPMENT | 1 |
Jianchao Han | CN | Shanghai | 2016-01-07 / 20160000559 - HEART VALVE PROSTHESIS | 1 |
Hau-Vei Han | TW | Hsinchu City | 2015-07-02 / 20150187998 - PACKAGE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hsuan-Ying Han | TW | New Taipei City | 2015-03-19 / 20150081547 - METHOD FOR PROTECTING ONLINE TRANSACTION SAFETY BETWEEN BUYERS AND SELLERS | 1 |
Yen-Kang Han | TW | New Taipei City | 2016-04-28 / 20160119415 - METHOD FOR PEER-TO-PEER MULTIMEDIA DATA SHARING, ELECTRONIC DEVICE AND NON-VOLATILE COMPUTER READABLE MEDIUM | 3 |
Chul-Ho Han | KR | Seoul | 2016-05-19 / 20160142763 - ELECTRONIC DEVICE FOR IDENTIFYING PERIPHERAL APPARATUS AND METHOD THEREOF | 9 |
Yung-Lung Han | TW | Hsinchu | 2016-04-14 / 20160101574 - RAPID PROTOTYPING APPARATUS WITH PAGE-WIDTH ARRAY PRINTING MODULE | 10 |
Seo Jin Han | KR | Seoul | 2011-10-27 / 20110264537 - METHOD FOR BILLING FOR SEARCH ADVERTISEMENT BASED ON PUBLICATION RATIO, SYSTEM AND COMPUTER-READABLE RECORDING MEDIUM | 2 |
Sang Kug Han | KR | Goyang-Si | 2015-12-31 / 20150380567 - THIN FILM TRANSISTOR OF DISPLAY APPARATUS | 1 |
Fangfang Han | US | East Setauket | 2015-12-31 / 20150379709 - METHOD FOR ADAPTIVE COMPUTER-AIDED DETECTION OF PULMONARY NODULES IN THORACIC COMPUTED TOMOGRAPHY IMAGES USING HIERARCHICAL VECTOR QUANTIZATION AND APPARATUS FOR SAME | 1 |
Young Min Han | KR | Bucheon-Si | 2015-12-31 / 20150379359 - APPARATUS AND METHOD FOR RECOGNIZING DRIVING LANE OF VEHICLE | 1 |
Youngcheol Han | KR | Seoul | 2015-12-31 / 20150377531 - LINEAR COMPRESSOR AND REFRIGERATOR INCLUDING A LINEAR COMPRESSOR | 1 |
Seungmin Han | KR | Seoul | 2015-12-31 / 20150376405 - BIOENVIRONMENT-SENSITIVE NANOPARTICLE COMPRISING POLYMER HAVING COMPLEMENTARY CHARGES | 1 |
Fei Han | US | Los Angeles | 2015-12-31 / 20150374237 - METHOD FOR ACCURATE AND ROBUST CARDIAC MOTION SELF-GATING IN MAGNETIC RESONANCE IMAGING | 1 |
Je-Woo Han | KR | Hwaseong-Si | 2016-03-31 / 20160093686 - SEMICONDUCTOR DEVICES INCLUDING A SUPPORT FOR AN ELECTRODE AND METHODS OF FORMING SEMICONDUCTOR DEVICES INCLUDING A SUPPORT FOR AN ELECTRODE | 9 |
Eun-Taek Han | KR | Chuncheon-Si | 2015-11-12 / 20150322534 - PRIMERS FOR DETECTING PLASMODIUM | 5 |
Kyoung Tae Han | KR | Hwaseong-Si | 2015-12-24 / 20150373799 - LED DRIVING DEVICE AND LIGHTING DEVICE | 1 |
Sang Do Han | KR | Pohang-Si, Gyeongsangbuk-Do | 2015-12-24 / 20150371627 - VOICE DIALOG SYSTEM USING HUMOROUS SPEECH AND METHOD THEREOF | 1 |
Jaeho Han | US | Columbia | 2011-05-05 / 20110106102 - Surgical Instrument and Systems with Integrated Optical Sensor | 1 |
Dong-Il Han | KR | Uiwang-Si, Gyeonggi-Do | 2015-12-24 / 20150368468 - CURABLE POLYSILOXANE COMPOSITION FOR OPTICAL DEVICE, ENCAPSULATING MATERIAL AND OPTICAL DEVICE | 1 |
Kwang Su Han | KR | Goyang | 2015-12-24 / 20150366705 - OPHTHALMIC TREATMENT APPARATUS AND METHOD FOR CONTROLLING SAME | 1 |
Moon-Seok Han | KR | Suwon-Si | 2014-11-20 / 20140341190 - STATION DEVICE AND WIRELESS COMMUNICATION METHOD THEREOF AND MOBILE DEVICE AND WIRELESS COMMUNICATION METHOD THEREOF | 10 |
Mengdi Han | CN | Beijing | 2015-12-24 / 20150372620 - INTEGRATED MICRO/NANOGENERATOR AND METHOD OF FABRICATING THE SAME | 1 |
Changlong Han | CN | Ningde | 2014-09-18 / 20140272606 - LITHIUM-ION SECONDARY BATTERY AND ELECTROLYTE THEREOF | 1 |
Changlong Han | CN | Ningde City | 2015-03-26 / 20150086869 - LITHIUM-ION BATTERY | 1 |
Yanxing Han | CN | Beijing | 2013-07-25 / 20130190345 - MATRINIC ACID/MATRINE DERIVATIVES AND PREPARATION METHODS AND USES THEREOF | 1 |
A Ruem Han | KR | Bucheon-Si | 2015-08-20 / 20150236397 - ANTENNA FOR SATELLITE COMMUNICATION | 1 |
Changpyo Han | KR | Bucheon-Si | 2015-08-13 / 20150225733 - YEAST CELL HAVING ENHANCED GENETIC MANIPULATION EFFICIENCY AND USE THEREOF | 1 |
Gang-Hee Han | KR | Bucheon-Si | 2012-01-26 / 20120021249 - METHOD OF CONTROLLING NUMBER OF GRAPHENE LAYERS | 2 |
Sae Hoon Han | KR | Bucheon-Si | 2015-04-30 / 20150116742 - IMAGE FORMING APPARATUS | 1 |
Yo Seob Han | KR | Bucheon-Si | 2015-12-24 / 20150366528 - X-RAY IMAGING APPARATUS AND CONTROL METHOD FOR THE SAME | 1 |
Young-Koo Han | KR | Bucheon-Si | 2014-11-20 / 20140338192 - METHOD FOR MANUFACTURING A METAL PRINTED CIRCUIT BOARD | 2 |
Youn Ho Han | KR | Gwangju-Si | 2012-06-14 / 20120149707 - ALPHA-ARYLMETHOXYACRYLATE DERIVATIVE, PREPARATION METHOD THEREOF, AND PHARMACEUTICAL COMPOSITION CONTAINING SAME | 1 |
Jin Woo Han | KR | Seoul | 2015-06-25 / 20150179979 - LAYERED STRUCTURE FOR OLED DEVICE, METHOD FOR MANUFACTURING THE SAME, AND OLED DEVICE HAVING THE SAME | 3 |
Young-Su Han | KR | Incheon | 2015-12-24 / 20150371609 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 8 |
Seung-Ryong Han | KR | Yongin-Si | 2015-12-17 / 20150365659 - DISPLAY APPARATUS AND MULTI VIEW PROVIDING METHOD THEREOF | 1 |
Yan Han | CN | Hangzhou | 2015-12-17 / 20150365096 - ANTI PROCESS VARIATION SELF-ADJUSTABLE ON-CHIP OSCILLATOR | 1 |
Jennifer Han | US | Fremont | 2015-12-17 / 20150362756 - APPARATUS, SYSTEM AND METHOD FOR GAZE TRACKING BASED ON PHOTODETECTION BY AN EYE-MOUNTABLE DEVICE | 1 |
Jennifer Han | US | Palo Alto | 2015-12-17 / 20150362755 - FAILSAFE OPERATION OF EYE-MOUNTABLE DEVICE | 1 |
Seungjoo Han | KR | Busan | 2015-12-17 / 20150361870 - MAGNETIC DRIVE TYPE AIR CHARGING DEVICE | 1 |
Seong-Soo Han | KR | Daejeon | 2011-05-05 / 20110106965 - APPARATUS AND METHOD FOR PEER-TO-PEER STREAMING AND METHOD OF CONFIGURING PEER-TO-PEER STREAMING SYSTEM | 1 |
Jing Han | CN | Chaoyang District, Beijing | 2015-12-17 / 20150365894 - METHODS AND APPARATUSES FOR DISCONTINUOUS RECEPTION | 2 |
Yun-Hee Han | KR | Osan-Si | 2010-01-21 / 20100017533 - METHOD AND APPARATUS FOR REPRODUCING CONTENTS, AND PORTABLE TERMINAL DEVICE | 1 |
Jung-Hee Han | KR | Seoul | 2015-09-17 / 20150259800 - PREPARING METHOD OF GRAPHENE BY USING NEAR-INFRARED AND APPARATUS THEREFOR | 8 |
Sung Hee Han | US | 2015-12-17 / 20150362721 - OPTICAL MODULATION UNIT AND STEREOSCOPIC DISPLAY DEVICE COMPRISING SAME | 1 | |
Mingkwang Han | SG | Singapore | 2008-10-30 / 20080266911 - Current sensing circuits and methods for a converter | 1 |
Bing Han | SG | Singapore | 2010-01-14 / 20100007768 - WIRELESS STORAGE DEVICE | 1 |
Xiao-Fei Han | SG | Singapore | 2010-09-09 / 20100227131 - TEST PATTERN STRUCTURE | 1 |
Dennis Yu Guang Han | SG | Singapore | 2009-07-02 / 20090171418 - Apparatus for Non-Invasive Stimulation of an Animal | 1 |
Yu Han | SG | Singapore | 2012-01-05 / 20120003689 - HYDROPHOBIC MAGNETIC PARTICLES | 5 |
Ming Han | CN | Singapore | 2010-07-15 / 20100178583 - ELECTRODE COMPOSITE MATERIAL | 1 |
Yufei Han | SG | Singapore | 2010-10-07 / 20100254040 - HARD DISK DRIVE CONTAMINATION CONTROL | 2 |
Mingyong Han | SG | Singapore | 2012-11-15 / 20120288949 - DETECTION METHOD AND SENSOR BASED ON INTERPARTICLE DISTANCE | 10 |
Ming Yong Han | SG | Singapore | 2013-08-01 / 20130196057 - METHOD FOR PREPARING A SURFACE ENHANCED RAMAN SPECTROSCOPY PARTICLE | 4 |
Guchang Han | SG | Singapore | 2015-09-10 / 20150255135 - MAGNETOELECTRIC DEVICE, METHOD FOR FORMING A MAGNETOELECTRIC DEVICE, AND WRITING METHOD FOR A MAGNETOELECTRIC DEVICE | 5 |
Xiaoyan Han | SG | Singapore | 2011-05-05 / 20110107192 - Authoring Tool and Method for Creating an Electrical Document | 1 |
Hee Juan Han | SG | Singapore | 2010-07-15 / 20100176070 - FILTER RENEWAL SYSTEM AND A METHOD THEREOF | 1 |
Weiping Han | SG | Singapore | 2011-04-28 / 20110098218 - MODULATORS OF STAT3 SIGNALLING | 1 |
Sung-Jae Han | KR | Gyeonggi-Do | 2009-04-23 / 20090101811 - METHOD OF AND APPARATUS FOR ANALYZING IONS ADSORBED ON SURFACE OF MASK | 1 |
Sang-Su Han | KR | Gyeonggi-Do | 2010-10-21 / 20100265262 - METHOD FOR CORRECTING PIXEL DATA, AND DISPLAY APPARATUS FOR PERFORMING THE METHOD | 1 |
Jeong Hoon Han | KR | Gyeonggi-Do | 2010-02-11 / 20100031886 - METHOD OF GAP-FILLING USING AMPLITUDE MODULATION RADIOFREQUENCY POWER AND APPARATUS FOR THE SAME | 1 |
Jeong Gyoun Han | KR | Seongnam-Si | 2015-10-15 / 20150293622 - METHOD AND SYSTEM FOR AUTHENTICATING STAMP TOUCH | 1 |
Seungheon Han | KR | Seoul | 2012-12-06 / 20120309463 - MOBILE TERMINAL AND METHOD OF MANAGING INFORMATION IN THE SAME | 4 |
Man-Su Han | KR | Gyeonggi-Do | 2015-12-17 / 20150364113 - METHOD FOR CONTROLLING FUNCTION AND ELECTRONIC DEVICE THEREOF | 1 |
Dong-Kyoon Han | KR | Seongnam-Si | 2016-05-19 / 20160140893 - METHOD FOR DISPLAYING CONTENT AND ELECTRONIC DEVICE THEREFOR | 11 |
Genebeck Han | KR | Anyang-Si | 2015-12-10 / 20150358803 - METHOD, BASE STATION AND USER EQUIPMENT FOR PERFORMING D2D SERVICE IN WIRELESS COMMUNICATION SYSTEM | 1 |
Yong Hee Han | KR | Daegu | 2015-12-10 / 20150358212 - DISPLAY METHOD AND SYSTEM FOR PORTABLE DEVICE USING EXTERNAL DISPLAY DEVICE | 1 |
Zhenzhen Han | CN | Jiangsu | 2015-12-10 / 20150356922 - PIXEL CIRCUIT, DISPLAY DEVICE, AND DRIVE METHOD THEREFOR | 1 |
Young Woong Han | KR | Daejeon | 2015-12-10 / 20150356886 - CUSTOMIZED APPARATUS AND METHOD FOR MANAGING AN AMOUNT OF MEAL OR WORKOUT | 1 |
Seung Wook Han | KR | Gyeonggi-Do | 2010-12-23 / 20100323752 - MOBILE DEVICE HAVING MESSENGER FUNCTION AND RELATED SUPPORTING METHOD | 1 |
Hua Han | US | Evanston | 2015-12-10 / 20150352571 - FLUID DISPENSING SYSTEM AND METHODS RELATING THERETO | 1 |
Il Sung Han | KR | Incheon | 2015-12-10 / 20150352569 - SUPERSONIC INJECTION NOZZLE WITH INTEGRATED SPRAY WIDTH CONTROL DEVICE | 1 |
Sang Jin Han | KR | Daejeon | 2016-03-24 / 20160087427 - SUPERCONDUCTIVE CURRENT LIMITING ELEMENT OF CURRENT LIMITER AND METHOD FOR MANUFACTURING SUPERCONDUCTIVE CURRENT LIMITING ELEMENT OF CURRENT LIMITER | 2 |
Jun Kyu Han | KR | Daejeon | 2015-12-10 / 20150352534 - CATALYST COMPOSITION AND METHOD FOR PREPARING SAME | 1 |
Joseph Han | US | Redwood City | 2015-12-10 / 20150357644 - ELECTRODE MATERIALS WITH MIXED PARTICLE SIZES | 8 |
Xiao Han | US | Chesterfield | 2016-03-03 / 20160063720 - SYSTEMS AND METHODS FOR SEGMENTING MEDICAL IMAGES BASED ON ANATOMICAL LANDMARK-BASED FEATURES | 10 |
Jongwan Han | KR | Seoul | 2012-09-13 / 20120228044 - WIND FLUX CONCENTRATION GUIDING DEVICE AND ENGINE ROOM LAYOUT THEREOF | 1 |
Manhee Han | US | 2015-12-10 / 20150352345 - MICRONEEDLE, MOULD FOR PRODUCING SAME, AND PRODUCTION METHOD FOR SAME | 1 | |
Young Su Han | KR | Seoul | 2015-12-03 / 20150351070 - METHOD FOR PROVIDING INFORMATION THROUGH WIRELESS LAN AND APPARATUS THEREFOR | 1 |
Bing Han | CN | Shanghai | 2015-12-03 / 20150350923 - NETWORK COVERAGE PLANNING METHOD AND APPARATUS OF EVOLUTION COMMUNICATION SYSTEM | 1 |
Joo Hee Han | KR | Daejeon | 2013-08-15 / 20130207294 - Conductive Paint Composition and Method for Manufacturing Conductive Film Using the Same | 9 |
Myung-Hee Han | KR | Pyeongtaek-Si | 2015-12-03 / 20150350123 - USER TERMINAL APPARATUS, COMMUNICATION SYSTEM, AND METHOD OF CONTROLLING USER TERMINAL APPARATUS | 1 |
Se Hee Han | KR | Gwangju | 2015-12-03 / 20150349889 - BI-DIRECTIONAL OPTICAL TRANSCEIVER MODULE | 1 |
Yiping Han | US | San Diego | 2015-12-03 / 20150349711 - INDUCTOR-ENCLOSED VOLTAGE-CONTROLLED OSCILLATORS | 2 |
Hyunsuk Han | KR | Seoul | 2015-12-03 / 20150349545 - APPARATUS FOR WIRELESSLY TRANSMITTING POWER | 1 |
Soo-Yeon Han | KR | Yongin-City | 2015-12-03 / 20150348782 - APPARATUS FOR AND METHOD OF CRYSTALLIZING ACTIVE LAYER OF THIN FILM TRANSISTOR | 1 |
Jae Kyu Han | KR | Yongin-Si | 2015-12-03 / 20150347958 - APPARATUS AND METHOD OF PROVIDING SUPPLY INFORMATION IN REAL TIME | 1 |
Ku Yeon Han | KR | Incheon | 2015-12-03 / 20150345420 - ENGINE INTAKE PORT STRUCTURE | 1 |
Jung Hoon Han | KR | Gyeonggi-Do | 2015-12-03 / 20150344428 - Catalytic Manufacturing Method for Imine Having No Substituent Group on the Nitrogen, and Use for the Imine Produced | 1 |
Seung Hun Han | KR | Suwon-Si | 2015-12-03 / 20150344292 - MICRO ELECTRO MECHANICAL SYSTEMS COMPONENT AND METHOD OF MANUFACTURING THE SAME | 1 |
Taeho Han | KR | Hwaseong-Si | 2015-12-03 / 20150343345 - FUEL FILTER FOR DIESEL ENGINE | 1 |
Zheng Tao Han | US | Eugene | 2016-05-05 / 20160120836 - PHORBOL ESTER COMPOSITIONS AND METHODS OF USE FOR TREATING OR REDUCING THE DURATION OF CYTOPENIA | 2 |
Jeong Ae Han | KR | Seoul | 2016-05-19 / 20160140175 - INTEGRATION OF CALCULATION MODELS INTO SQL LAYER | 3 |
Lu Han | CA | Montreal | 2015-12-03 / 20150344353 - Electron sensitive glass and optical circuits, microstructures formed therein | 1 |
Taewon Han | US | Albany | 2014-02-13 / 20140041519 - ELECTROSTATIC SCREEN DEVICE AND METHOD FOR EMISSION CONTROL | 2 |
Young Deok Han | KR | Seoul | 2015-12-03 / 20150345415 - METHOD AND APPARATUS FOR CONTROLLING EGR | 1 |
Cheol H. Han | US | Fremont | 2014-04-17 / 20140103120 - METHODS FOR MANUFACTURING A RADIO FREQUENCY IDENTIFICATION TAG WITHOUT ALIGNING THE CHIP AND ANTENNA | 1 |
Liyuan Han | JP | Nara | 2011-06-30 / 20110155238 - PYRIDINE TYPE METAL COMPLEX, PHOTOELECTRODE COMPRISING THE METAL COMPLEX, AND DYE-SENSITIZED SOLAR CELL COMPRISING THE PHOTOELECTRODE | 4 |
Liyuan Han | JP | Oaska-Shi | 2011-02-10 / 20110030781 - PASTE FOR DYE-SENSITIZED SOLAR CELL, TRANSPARENT INSULATION FILM FOR DYE-SENSITIZED SOLAR CELL, DYE-SENSITIZED SOLAR CELL, AND DYE-SENSITIZED SOLAR CELL FABRICATION METHOD | 1 |
Liyuan Han | JP | Osaka-Shi | 2011-04-28 / 20110094561 - DYE-SENSITIZED SOLAR CELL, METHOD OF PRODUCING THE SAME, AND DYE-SENSITIZED SOLAR CELL MODULE | 1 |
Liyuan Han | JP | Kitakatsuragi-Gun | 2010-12-30 / 20100326529 - PHOTOSENSITIZING TRANSITION METAL COMPLEX AND ITS USE FOR PHOTOVOLTAIC CELL | 2 |
Liyuan Han | JP | Osaka | 2011-02-03 / 20110023932 - PHOTOSENSITIZED SOLAR CELL, PRODUCTION METHOD THEREOF AND PHOTOSENSITIZED SOLAR CELL MODULE | 2 |
Guoling Han | US | Fremont | 2015-12-03 / 20150347654 - EXTRACTING SYSTEM ARCHITECTURE IN HIGH LEVEL SYNTHESIS | 1 |
Xinhai Han | US | Fremont | 2015-08-13 / 20150226540 - PECVD APPARATUS AND PROCESS | 5 |
Yan Han | US | Fremont | 2014-06-19 / 20140169787 - OPTICAL AMPLIFIER CONTROLLER | 1 |
Young-Eun Han | KR | Gyeonggi-Do | 2016-04-21 / 20160110035 - METHOD FOR DISPLAYING AND ELECTRONIC DEVICE THEREOF | 8 |
Xiaogin Han | CN | Beijing | 2015-12-03 / 20150349405 - ELECTRONIC DEVICE AND METHOD OF MANUFACTURING A HOUSING FOR THE SAME | 1 |
Wei Han | US | West Chester | 2013-07-11 / 20130178468 - HIV INTEGRASE INHIBITORS | 2 |
Wei Han | US | San Francisco | 2009-04-09 / 20090094258 - OFF-LOADING STAR JOIN OPERATIONS TO A STORAGE SERVER | 1 |
Wei Han | CN | Guangdong Province | / - | 1 |
Wei Han | TW | Taipei | 2016-05-05 / 20160126721 - ELECTRONIC DEVICE AND POWER PROTECTION METHOD | 2 |
Wei Han | US | Sugar Land | 2016-03-03 / 20160061629 - Acoustic Interface Device | 5 |
Wei Han | US | Yardley | 2015-07-30 / 20150210691 - LACTAM-CONTAINING COMPOUNDS AND DERIVATIVES THEREOF AS FACTOR XA INHIBITORS | 7 |
Wei Han | US | Beaverton | 2014-05-15 / 20140136914 - HIGHLY SECURE AND EXTENSIVE SCAN TESTING OF INTEGRATED CIRCUITS | 2 |
Wei Han | CN | Shanghai | 2016-01-28 / 20160029393 - PACKET-SWITCHED NETWORK RETURN | 13 |
Wei Han | CN | Suzhou | 2011-01-13 / 20110007434 - OVER CURRENT PROTECTING DEVICE AND METHOD ADAPTED TO DC-DC CONVERTER | 1 |
Wei Han | US | Riverside | 2011-04-21 / 20110089415 - EPITAXIAL GROWTH OF SINGLE CRYSTALLINE MGO ON GERMANIUM | 1 |
Wei Han | US | Missouri City | 2011-04-28 / 20110094732 - Vibrating system and method for use in sand control and formation stimulation in oil and gas recovery operations | 1 |
Hui Han | US | Birmingham | 2008-12-25 / 20080315100 - THIN FILM MULTI-LAYERED PYROELECTRIC CAPACITOR | 1 |
Dong-Seog Han | KR | Daegu | 2015-12-10 / 20150353050 - SAFETY BELT SYSTEM FOR VEHICLE SEATS | 10 |
Kefeng Han | CN | Wuxi | 2015-12-03 / 20150349726 - Mutual Coupling Inductor Based Ultra-Wideband Power Amplifier And Design Method Thereof | 1 |
Young Ki Han | KR | Seoul | 2015-12-03 / 20150348755 - GAS DISTRIBUTION APPARATUS AND SUBSTRATE PROCESSING APPARATUS INCLUDING SAME | 8 |
Mi Ja Han | KR | Suwon | 2015-12-03 / 20150348918 - PACKAGE SUBSTRATE, PACKAGE, PACKAGE ON PACKAGE AND MANUFACTURING METHOD OF PACKAGE SUBSTRATE | 2 |
Su-Jin Han | KR | Suwon-Si, Gyeonggi-Do | 2016-03-10 / 20160072073 - ORGANIC COMPOSITION, AND ORGANIC OPTOELECTRONIC ELEMENT AND DISPLAY DEVICE | 4 |
Crystal J. Han | US | Lafayette Hill | 2015-12-10 / 20150357488 - ELECTRO-CONDUCTIVE PASTE COMPRISING A VANADIUM CONTAINING COMPOUND IN THE PREPARATION OF ELECTRODES IN MWT SOLAR CELLS | 3 |
Sangyeon Han | KR | Suwon-Si | 2015-11-26 / 20150340284 - METHODS FOR FABRICATING SEMICONDUCTOR DEVICES | 1 |
Deok Woo Han | KR | Daegu | 2015-11-26 / 20150340256 - Thermal Treatment System and Method of Performing Thermal Treatment and Method of Manufacturing CIGS Solar Cell Using the Same | 1 |
Dong-Gyun Han | KR | Yongin-Si | 2015-11-26 / 20150340250 - WET ETCHING NOZZLE, SEMICONDUCTOR MANUFACTURING EQUIPMENT INCLUDING THE SAME, AND WET ETCHING METHOD USING THE SAME | 1 |
Seungwoo Han | CN | Beijing | 2016-03-03 / 20160064098 - SHIFT REGISTER UNIT, METHOD FOR DRIVING THE SAME, SHIFT REGISTER AND DISPLAY DEVICE | 2 |
Se-Hyun Han | KR | Namyangju-Si | 2015-11-26 / 20150338888 - FOLDABLE DEVICE AND METHOD OF CONTROLLING THE SAME | 1 |
Seunghun Han | KR | Suwon-Si | 2015-11-26 / 20150338716 - REFLECTIVE DISPLAY PARTICLE, REFLECTIVE DISPLAY DEVICE, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Sang Young Han | KR | Busan | 2015-11-26 / 20150337270 - RECOMBINANT ADENOVIRUS WITH INCREASED SAFETY AND ANTICANCER ACTIVITIES, AND USE THEREOF | 1 |
Soo Bong Han | KR | Yooseong-Gu Daejeon-Si | 2015-11-26 / 20150336920 - NOVEL COMPOUND, PHARMACEUTICALLY ACCEPTABLE SALT OR OPTICAL ISOMER THEREOF, METHOD FOR PREPARING THE SAME, AND PHARMACEUTICAL COMPOSITION FOR PREVENTION OR TREATMENT OF VIRAL DISEASES CONTAINING SAME AS ACTIVE INGREDIENT | 1 |
Hoon Han | KR | Gunpo | 2015-11-26 / 20150336586 - METHOD, SYSTEM, AND COMPUTER RREADABLE MEDIUM FOR DISPLAYING DRIVER'S PROPENSITY | 1 |
Seung Jun Han | KR | Daejeon-Si | 2015-11-26 / 20150336576 - UNMANNED VEHICLE DRIVING APPARATUS AND METHOD FOR OBSTACLE AVOIDANCE | 1 |
Jeong Ho Han | KR | Seoul | 2015-10-22 / 20150301712 - METHOD AND APPARATUS FOR CHANGING USER INTERFACE BASED ON USER MOTION INFORMATION | 8 |
Jeung Hoon Han | KR | Gwangju-Si | 2015-08-20 / 20150235812 - SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD | 4 |
Mei Han | CN | Zoucheng City | 2008-09-11 / 20080216406 - METHOD OF GASIFICATION BURNER ONLINE FEEDING | 1 |
Zheng Tao Han | CN | Zheng Zhou Henan | 2008-09-18 / 20080226589 - Compositions and methods of use of phorbol esters | 1 |
Yun Han | CN | Xinjiang | 2008-10-02 / 20080237091 - Recycling process for demetalization of hydrocarbon oil | 1 |
Enhou Han | CN | Shenyang | 2012-11-15 / 20120288398 - COLD-ROLLING METHOD FOR A WROUGHT MG ALLOY WITH WEAK/NON-BASAL TEXTURE AND A COLD ROLLED SHEET | 3 |
Wenming Han | CN | Beijing City | 2008-11-20 / 20080287241 - Mechanically Controlled Continuously Variable Automatic Transmission | 1 |
Aihong Han | CN | Shen Yang | 2008-11-27 / 20080293909 - Covered Heteroaromatic Ring Compound | 1 |
Dongsheng Han | CN | Zhuhai City | 2008-11-27 / 20080292317 - INTEGRATED MULTIPLEXER/DEMULTIPLEXER HAVING OFFSET TRANSMITTERS AND RECEIVERS FOR USE IN AN OPTICAL TRANSCEIVER MODULE | 1 |
Yingchao Han | CN | Wuhan | 2008-12-25 / 20080319114 - RGD POLYPEPTIDE GRAFTED POLY (GLYCOLIC ACID-L-LYSINE-L-LACTIC ACID) / BETA TRICALCIUM PHOSPHATE COMPOSITE MATERIAL AND PREPARATION METHOD THEREOF | 1 |
Yan Han | CN | Guangdong Province | 2009-01-01 / 20090006234 - CERTIFICATION METHOD FOR ELECTRONIC PAYMENT AND ID AUTHENTICATION TERMINAL AND ATM | 1 |
Enhou Han | CN | Liaoning | 2014-12-25 / 20140373982 - Magnesium Alloy Sheet with Low Gd Content, High Ductility and the Hot Rolling Technology Thereof | 2 |
Li Han | CN | Hong Kong | 2011-09-01 / 20110209717 - AEROSOL ELECTRONIC CIGARETTE | 3 |
Xiuwen Han | CN | Dalian | 2009-08-13 / 20090203944 - Oxidation Catalyst | 1 |
Jianhai Han | CN | Guangdong Province | / - | 1 |
Liang Han | CN | Tianjin | 2014-04-17 / 20140104170 - METHOD OF PERFORMING KEYPAD INPUT IN A PORTABLE TERMINAL AND APPARATUS | 2 |
Minyi Han | CN | Fujian | 2009-11-26 / 20090291036 - UV Sterilizer for Fluid of Large Flow Rate | 2 |
Xu-Sheng Han | CN | Shenzhen City | 2011-09-08 / 20110215665 - FAN | 2 |
Zhongchao Han | CN | Tianjin | 2010-02-11 / 20100034803 - ACTIVATING AGENT OF STEM CELLS AND/OR PROGENITOR CELLS | 1 |
Qian-Kun Han | CN | Shenzhen City | 2012-10-25 / 20120267269 - PROTECTIVE CASE FOR ELECTRONIC DEVICE | 2 |
Junxiang Han | CN | Xiamen | 2010-03-25 / 20100072919 - LED LAMP WITH HIGH EFFICACY AND HIGH COLOR RENDERING AND MANUFACTURING METHOD THEREOF | 1 |
Minghai Han | CN | Zhejiang | 2010-04-22 / 20100096064 - ADHERING METHOD OF WIDE BREADTH WALL CLOTH | 1 |
Jian-Bao Han | CN | Shenzhen City | 2010-09-30 / 20100247802 - METHOD FOR MAKING DEVICE HOUSING | 1 |
Guangtao Han | CN | Guangdong Province | 2010-10-21 / 20100265911 - A METHOD FOR DIVIDING A SUBCARRIER PERMUTATION ZONE AND AN INFORMATION CONFIGURATION SYSTEM | 1 |
Ping Han | CN | Nanjing | 2014-02-13 / 20140042121 - METHOD FOR REMOVING BURRS OF BATTERY ELECTRODE PLATES BY INDUCTIVELY COUPLED PLASMA DRY ETCHING | 4 |
Yinjun Han | CN | Guangdong Province | 2012-04-19 / 20120093148 - Method and Device for Triggering Nested Service | 4 |
Baoqin Han | CN | Shandong Province | 2010-12-02 / 20100305489 - CHITOSAN-BASED FIBER MATERIAL, ITS PREPARATION METHOD AND USE | 1 |
Li Han | CN | Guangdong | 2009-03-05 / 20090057491 - TRANSITION BOARD APPARATUS FOR A PASSENGER BRIDGE AND A SPRING LEAF THEREOF | 1 |
Jie-Cai Han | CN | Nanjing | 2016-03-24 / 20160087965 - INTELLIGENT TERMINAL EQUIPMENT AND INFORMATION TRANSMISSION METHOD AND SYSTEM USING THE SAME | 3 |
Bing Han | CN | Henan | 2011-01-13 / 20110007103 - APPARATUS FOR AND METHOD OF CONTROLLING BACKLIGHT OF DISPLAY PANEL IN CAMERA SYSTEM | 1 |
Xue-Shan Han | CN | Tianjin | 2012-05-31 / 20120137179 - PROCESSING SYSTEM FOR MONITORING POWER-ON SELF-TEST INFORMATION | 4 |
Sheng Han | CN | Hangzhou | 2009-11-19 / 20090285307 - Video Concatenation for MPEG-4 and H.263 Bit Streams | 1 |
Qingrong Han | CN | Wuhan | 2012-12-27 / 20120324958 - METHODS FOR MANUFACTURING OPTICAL FIBER PREFORM AND METHODS FOR MANUFACTURING OPTICAL FIBER | 4 |
Ying Han | CN | Ningbo | 2011-03-17 / 20110065891 - POLYTHIOETHERIMIDES AND METHOD FOR PRODUCING THEREOF | 1 |
Dongshen Han | CN | Zhuhai City | 2011-03-31 / 20110076023 - MULTICHANNEL TUNABLE OPTICAL DISPERSION COMPENSATOR | 1 |
De-Zhi Han | CN | Shenzhen City | 2011-04-28 / 20110095025 - PORTABLE ELECTRONIC DEVICE WITH GROUNDING MECHANISM | 3 |
Guangzhong Han | CN | Guangdong Province | 2009-01-01 / 20090006234 - CERTIFICATION METHOD FOR ELECTRONIC PAYMENT AND ID AUTHENTICATION TERMINAL AND ATM | 1 |
Tie Fu Han | CN | Fujian | 2011-05-12 / 20110108291 - SPARY DEVICE AND OPERATION METHOD THEREOF | 1 |
Zheng-Jie Han | CN | Shenzhen City | 2010-03-18 / 20100068015 - METHOD AND MANIPULATOR FOR RELOADING WORKPIECE | 1 |
Jianhua Han | CN | Gucheng Town | 2010-04-15 / 20100090479 - HATCH STOP FOR WIND TURBINES | 1 |
Zheng Xia Han | CN | Guangzhou | 2010-04-29 / 20100104518 - CHEWING GUM, CONFECTION, AND OTHER ORAL DELIVERY VEHICLES CONTAINING A TRADITIONAL CHINESE MEDICINE OR EXTRACT THEREOF | 1 |
Cuihong Han | CN | Guangdong Province | 2010-05-06 / 20100115361 - EQUIPMENT AND A METHOD FOR BIT COLLECTION IN HYBRID AUTOMATIC REPETITION REQUEST | 1 |
Xiaorong Han | CN | Lanzhou | 2010-05-27 / 20100129402 - DOUBLE-EFFECTIVE VACCINE VECTOR AGAINST FOOT-AND-MOUTH DISEASE VIRUS (FMDV), METHODS OF PREPARING AND USING THE SAME | 1 |
Gaorong Han | CN | Hangzhou | 2010-07-01 / 20100162765 - Atmosphere Regulator and Coating Apparatus for Coating on Float Glass Production Line | 1 |
Jinsong Han | CN | Hong Kong | 2010-09-16 / 20100235088 - SAFETY-BASED ROAD MAP NAVIGATION | 1 |
Liansheng Han | CN | Zhangjiagang | 2014-11-06 / 20140327437 - CURRENT SENSOR | 1 |
Xiao Han | US | Chesterfield | 2016-03-03 / 20160063720 - SYSTEMS AND METHODS FOR SEGMENTING MEDICAL IMAGES BASED ON ANATOMICAL LANDMARK-BASED FEATURES | 10 |
Liqun Han | US | Pleasanton | 2015-11-26 / 20150340200 - ELECTRON BEAM IMAGING WITH DUAL WIEN-FILTER MONOCHROMATOR | 8 |
Wenning Wang Han | US | Lawrenceville | / - | 2 |
Xia Han | US | Pennington | 2010-07-22 / 20100184125 - BIOMARKERS AND METHODS FOR DETERMINING SENSITIVITY TO INSULIN GROWTH FACTOR-1 RECEPTOR MODULATORS | 2 |
Seung-Jae Han | US | Basking Ridge | 2011-01-13 / 20110007705 - MOBILITY ACCESS GATEWAY | 3 |
Suh J. Han | US | Belle Mead | 2010-05-20 / 20100122833 - Multiphase Polymeric Composition Useful for Preparing Cable Insulation | 1 |
Suh Joon Han | US | Branchburg | 2008-09-18 / 20080226918 - Cable Semiconducting Shield | 1 |
Liqiao Han | US | Somerset | 2014-11-27 / 20140351676 - RECOVERY FROM BURST PACKET LOSS IN INTERNET PROTOCOL BASED WIRELESS NETWORKS USING STAGGERCASTING AND CROSS-PACKET FORWARD ERROR CORRECTION | 3 |
Xiaochun Han | US | Cedar Grove | 2015-12-17 / 20150361059 - SUBSTITUTED HETERO-AZEPINONES | 5 |
Lin Han | US | Princeton | 2014-02-27 / 20140054574 - HYBRID LAYERS FOR USE IN COATINGS ON ELECTRONIC DEVICES OR OTHER ARTICLES | 5 |
Suh Joon Han | US | Belle Mead | 2014-11-27 / 20140346414 - DIELECTRIC FLUID COMPOSITIONS FOR ENHANCED THERMAL MANAGEMENT | 11 |
Sang Hoon Han | KR | Gwanglu | 2009-02-12 / 20090039362 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Sang Hoon Han | KR | Gwangju | 2009-07-16 / 20090179221 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 2 |
Sang Hoon Han | KR | Kyungki-Do | 2009-09-17 / 20090232855 - Percutaneous controlled releasing material using nano-sized polymer particles and external application agent containing the same | 1 |
Sang Hoon Han | KR | Gyunggi-Do | 2010-01-21 / 20100015187 - MACRO-SIZED LIPID CAPSULE EMULSION COMPOSITION AND COSMETIC COMPOSITION CONTAINING THE SAME | 1 |
Sang Hoon Han | KR | Suwon-Si | 2013-09-26 / 20130251827 - COSMETIC COMPOSITION FOR SKIN MOISTURISATION COMPRISING PINE-RESIN EXTRACT, PINUS DENSIFLORA NEEDLE EXTRACT AND PINUS DENSIFLORA ROOT EXTRACT | 9 |
Sang Hoon Han | KR | Seoul | 2016-05-19 / 20160136898 - APPARATUS AND METHOD FOR CONTROL OF THREE DIMENSIONAL PRINTING | 21 |
Sang Hoon Han | KR | Gyeonggi-Do | 2015-08-27 / 20150238403 - LOW VISCOUS COSMETIC COMPOSITION USING A NATURAL EMULSIFYING AGENT | 8 |
Chul Jong Han | KR | Seoul | 2015-11-26 / 20150340970 - FLEXIBLE ENERGY CONVERSION DEVICE USING LIQUID | 8 |
Sang Cheol Han | US | Clifton Park | 2015-11-19 / 20150333121 - SHALLOW TRENCH ISOLATION INTEGRATION METHODS AND DEVICES FORMED THEREBY | 1 |
Mingxu Han | JP | Ibi-Gun | 2015-11-19 / 20150332792 - MEMBER FOR NUCLEAR REACTORS | 1 |
Sanghun Han | KR | Seoul | 2015-11-19 / 20150331143 - METHOD OF RECOGNIZING SLOPE CONDITION, SYSTEM USING THE SAME, AND RECORDING MEDIUM FOR PERFORMING THE SAME | 1 |
Youngho Han | KR | Hwaseong-Si | 2015-11-19 / 20150330339 - VARIABLE INTAKE SYSTEM WITH VARIABLE VALVE | 1 |
Young Hwan Han | KR | Daedeok-Gu | 2010-04-29 / 20100104957 - Method of Curing Color Filter for Electronic Display Using Electron-Beam and Method of Fabricating Color Filter for Electronic Display Using the Same | 1 |
Jin-Hee Han | KR | Ansan-Sl | 2015-11-19 / 20150333061 - SEMICONDUCTOR DEVICES HAVING BRIDGE LAYER AND METHODS OF MANUFACTURING THE SAME | 1 |
Seung-Cheol Han | KR | Seoul | 2016-02-04 / 20160033976 - STORAGE DEVICE, OPERATION METHOD OF STORAGE DEVICE AND METHOD OF ACCESSING STORAGE DEVICE | 2 |
Yoon-Sung Han | KR | Seoul | 2015-11-19 / 20150329971 - METHOD OF IMPROVING ADHESION BETWEEN POLYMER FILM AND METAL LAYER | 1 |
Jeehoon Han | KR | Gyeonggi-Do | 2015-11-12 / 20150325478 - METHOD OF FABRICATING A SEMICONDUCTOR DEVICE AND A SEMICONDUCTOR DEVICE FABRICATED BY THE METHOD | 1 |
Jin Han | KR | Bucheon-Si | 2010-01-14 / 20100005797 - Master Cylinder | 1 |
Seunghoon Han | KR | Seoul | 2016-04-21 / 20160106327 - APPARATUS AND METHOD FOR ACQUIRING BIO-INFORMATION | 4 |
Min-Soo Han | KR | Gyeongsangbuk-Do | 2009-12-31 / 20090324837 - COATING SOLUTION FOR FORMING INSULATING FILM WITH EXCELLENT CORROSION RESISTANCE PROPERTY AND FILM CLOSE ADHESION PROPERTY AND FILM INTENSITY WITHOUT CHROME AND A METHOD FOR MAKING THE INSULATION FILM ON NON-ORIENTED ELECTRICAL STEEL SHEET BY USING IT | 1 |
Hae-Wook Han | KR | Pohang-Si | 2015-01-29 / 20150028210 - TUNING-FORK BASED NEAR FIELD PROBE FOR SPECTRAL MEASUREMENT, NEAR-FIELD MICROSCOPE USING THE SAME, AND SPECTRAL ANALYSIS METHOD USING NEAR-FIELD MICROSCOPE | 2 |
Hae-Wook Han | KR | Gyeongbuk | 2011-04-28 / 20110096264 - COLOR MIXING LENS AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE SAME | 1 |
Youngbin Han | KR | Asan-Si | 2015-11-12 / 20150321359 - PICKER ASSEMBLY | 1 |
Jeong-Hun Han | KR | Seoul | 2013-01-31 / 20130030942 - USED ITEM AUCTION METHOD AND SYSTEM USING ITEM TRANSACTION INFORMATION | 2 |
Sung-Ho Han | KR | Seoul | 2013-10-17 / 20130269876 - APPARATUS FOR FABRICATING SEMICONDUCTOR DEVICE | 3 |
Jung Soo Han | KR | Yongin | 2010-06-24 / 20100158144 - SAMPLING FREQUENCY OFFSET ESTIMATION APPARATUS AND METHOD OF OFDM SYSTEM | 1 |
Woo-Jin Han | KR | Suwon-Si | 2016-03-31 / 20160094845 - VIDEO-ENCODING METHOD AND VIDEO-ENCODING APPARATUS USING PREDICTION UNITS BASED ON ENCODING UNITS DETERMINED IN ACCORDANCE WITH A TREE STRUCTURE, AND VIDEO-DECODING METHOD AND VIDEO-DECODING APPARATUS USING PREDICTION UNITS BASED ON ENCODING UNITS DETERMINED IN ACCORDANCE WITH A TREE STRUCTURE | 190 |
Sang Hee Han | US | Los Angeles | 2015-11-12 / 20150324943 - SYSTEM AND METHOD FOR REMOTE PRESENCE MONITORING | 1 |
Chang Seok Han | KR | Ansan-Si | 2010-03-11 / 20100059735 - LIGHT EMITTING DIODE HAVING BARRIER LAYER OF SUPERLATTICE STRUCTURE | 1 |
Kyoung Bo Han | KR | Ansan-Si | 2010-04-22 / 20100096653 - LIGHT EMITTING DIODE PACKAGE | 1 |
Seong Hoon Han | KR | Ansan-Si | 2009-07-02 / 20090166912 - Method for manufacturing construction materials by using palm | 1 |
Chang Suk Han | KR | Ansan-Si | 2016-04-14 / 20160104816 - LIGHT EMITTING DEVICE AND METHOD FOR PREPARING THE SAME | 19 |
Chang-Min Han | KR | Ansan-Si | 2014-05-01 / 20140118794 - FAX MACHINE AND METHOD OF PERFORMING HANDSHAKING PROCESS BASED ON T.30 PROTOCOL | 4 |
Seung Ho Han | KR | Ansan-Si | 2008-12-04 / 20080297770 - Method for determining physical properties of a multilayered periodic structure | 1 |
Yu Dae Han | KR | Ansan-Si | 2015-11-26 / 20150340581 - LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME | 9 |
Eun-Su Han | KR | Hwasung-Si | 2015-11-12 / 20150323068 - GEAR MOVING ASSEMBLY AND TRANSMISSION COMPRISING SAME | 1 |
Eun-Su Han | US | 2015-11-12 / 20150323068 - GEAR MOVING ASSEMBLY AND TRANSMISSION COMPRISING SAME | 1 | |
Dong Pyo Han | KR | Gyeonggi-Do | 2015-11-12 / 20150323463 - METHOD AND DEVICE FOR MEASURING INTERNAL QUANTUM EFFICIENCY OF AN OPTICAL ELEMENT | 1 |
Min-Seok Han | KR | Suwon-Si, Gyeonggi-Do | 2015-11-05 / 20150318711 - ANTENNA FOR WIRELESS POWER, AND DUAL MODE ANTENNA COMPRISING SAME | 1 |
Bing Han | CN | Suzhou | 2015-11-05 / 20150318681 - JUNCTION BOX AND CONTACTOR DEVICE | 1 |
Crystal Jing Han | US | Lafayette Hill | 2015-11-05 / 20150318414 - AN ELECTRO-CONDUCTIVE PASTE COMPRISING AN INORGANIC REACTION SYSTEM WITH A HIGH GLASS TRANSITION TEMPERATURE IN THE PREPARATION OF ELECTRODES IN MWT SOLAR CELLS | 1 |
Seung Hyun Han | KR | Seoul | 2016-02-11 / 20160041759 - STORAGE SYSTEM AND DATA TRANSMITTING METHOD THEREOF | 3 |
Chang Hee Han | KR | Gyeonggi-Do | 2010-11-25 / 20100294654 - MICRO-METAL-MOLD WITH PATTERNS OF GROOVES, PROTRUSIONS AND THROUGH-OPENINGS, PROCESSES FOR FABRICATING THE MOLD, AND MICRO-METAL-SHEET PRODUCT MADE FROM THE MOLD | 1 |
Youngnam Han | KR | Daejeon | 2012-04-26 / 20120099454 - APPARATUS AND METHOD FOR SCHEDULING IN WIRELESS COMMUNICATION SYSTEM | 3 |
Jae Sun Han | KR | Suwon-Si | 2016-03-03 / 20160064349 - SEMICONDUCTOR DEVICE CONNECTED BY ANISOTROPIC CONDUCTIVE FILM | 2 |
Shuhua Han | US | Sugar Land | 2008-12-18 / 20080311135 - IMMUNE COMPLEX VACCINATION AS A STRATEGY TO ENHANCE IMMUNITY IN THE ELDERLY AND OTHER IMMUNE COMPROMISED POPULATIONS | 1 |
Sunan Han | US | Plano | 2009-03-26 / 20090083822 - Transmitting Broadcast Signals In A Communication Network | 1 |
Wisley Wehsin Han | US | Arlington | 2009-08-27 / 20090215735 - TOPICAL SOLUTION FORMULATIONS CONTAINING A CORTICOSTEROID AND A CYCLODEXTRIN | 1 |
Xiaogang Han | US | Tomball | 2013-11-07 / 20130292109 - Use of PNC Tools to Determine the Depth and Relative Location of Proppant in Fractures and the Near Borehole Region | 7 |
Li-Hsin Han | US | Austin | 2015-09-24 / 20150265152 - CATHETER IMAGING PROBE AND METHOD | 5 |
Jung Hoon Han | US | Frisco | 2011-04-21 / 20110091620 - Apparatus and Method for Perforation of Fruits and Vegetables | 1 |
Chenghua Han | US | Sugar Land | 2011-06-23 / 20110146519 - PERFORATING DEVICES UTILIZING THERMITE CHARGES IN WELL PERFORATION AND DOWNHOLE FRACING | 2 |
Arum Han | US | College Station | 2015-10-15 / 20150291995 - HIGH-THROUGHPUT MUTAGENIZED CELL SCREENING SYSTEM FOR SELECTIVE SINGLE CELL EXTRACTION | 2 |
Xiaofei Han | US | Arlington | 2010-02-18 / 20100038638 - N-type Doping in Metal Oxides and Metal Chalcogenides by Electrochemical Methods | 1 |
Wesley Weshin Han | US | Arlington | 2015-03-12 / 20150072011 - CARBOXYLVINYL POLYMER-CONTAINING NANOPARTICLE SUSPENSIONS | 2 |
Song Han | US | Austin | 2015-08-06 / 20150220080 - Managing Big Data In Process Control Systems | 4 |
Kedu Han | US | Sugar Land | 2011-03-24 / 20110067495 - MICROMACHINED ACCELEROMETER WITH MONOLITHIC ELECTRODES AND METHOD OF MAKING THE SAME | 1 |
Dongmei Han | US | Houston | 2011-04-28 / 20110097268 - RADIOHALOIMATINIBS AND METHODS OF THEIR SYNTHESIS AND USE IN PET IMAGING OF CANCERS | 3 |
Chang-Hun Han | KR | Gangnam-Gu | 2009-07-02 / 20090166627 - IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME | 2 |
Chang-Hun Han | KR | Anyang-Si | 2010-04-15 / 20100090095 - IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME | 2 |
Chang-Hun Han | KR | Daejeon Metropolitan City | 2014-08-28 / 20140239523 - OPTICAL FILM AND METHOD OF MANUFACTURING THE SAME | 3 |
Chang-Hun Han | KR | Yuseong-Gu | 2010-11-25 / 20100296031 - OPTICAL FILM, PROTECTION FILM FOR POLARIZER, POLARIZING PLATE FABRICATED THEREFROM, AND DISPLAY DEVICE EMPLOYING THEREOF | 1 |
Chang-Hun Han | KR | Daejeon | 2016-04-28 / 20160115309 - (METH)ACRYLATE-BASED RESIN COMPOSITION HAVING EXCELLENT IMPACT RESISTANCE AND TRANSPARENCY | 21 |
Sang-Seop Han | KR | Daejeon | 2010-04-29 / 20100101569 - AUTOMATIC VIDEO INSTILLATOR | 1 |
Jeong-Woo Han | KR | Hawaseong | 2015-11-05 / 20150315460 - LUMINESCENT QUANTUM DOT | 1 |
Seon-Hwa Han | KR | Suwon | 2015-11-05 / 20150315333 - AROMATIC RESINS FOR UNDERLAYERS | 1 |
Peng Han | JP | Fujisawa-Shi | 2015-11-05 / 20150314400 - WELD METAL WITH EXCELLENT RESISTANCE TO HYDROGEN EMBRITTLEMENT, AND SOLID WIRE FOR SUBMERGED ARC WELDING | 1 |
Sung Han | US | Seattle | 2015-11-05 / 20150313913 - POSITIVE ALLOSTERIC MODULATORS OF THE GABA-A RECEPTOR IN THE TREATMENT OF AUTISM | 1 |
Jongwoo Han | KR | Seoul | 2015-12-03 / 20150350510 - CAMERA CAPABLE OF REDUCING MOTION BLUR IN A LOW LUMINANCE ENVIRONMENT AND VEHICLE INCLUDING THE SAME | 3 |
Cherng Chyi Han | US | San Jose | 2015-01-29 / 20150029616 - Wrap-Around Shielded Writer with Highly Homogeneous Shield Material | 23 |
Yonghae Han | KR | Gangwon-Do | 2015-11-05 / 20150313570 - OPERATION SWITCH FOR USE ON X-RAY SYSTEM, AND X-RAY SYSTEM INCLUDING SAME | 1 |
Yonghae Han | US | 2015-11-05 / 20150313570 - OPERATION SWITCH FOR USE ON X-RAY SYSTEM, AND X-RAY SYSTEM INCLUDING SAME | 1 | |
Chang-Wook Han | KR | Seoul | 2016-04-28 / 20160118611 - WHITE ORGANIC LIGHT EMITTING DEVICE | 20 |
Chang Su Han | KR | Cheonan-Si, Chungcheongnam-Do | 2015-10-29 / 20150311572 - APPARATUS FOR CONTROLLING TEMPERATURE OF BATTERY | 1 |
Jeehoon Han | KR | Asan-Si | 2015-10-29 / 20150309763 - SYSTEM AND METHOD FOR IMAGE DISPLAY | 1 |
Sun Kyu Han | KR | Daejeon | 2015-12-10 / 20150355410 - OPTICAL GRATING COUPLER HAVING WAVELENGTH TUNABLE STRUCTURE | 3 |
Jiwoong Han | US | Decatur | 2015-10-29 / 20150307840 - ENDOTHELIAL AND ENDOTHELIAL LIKE CELLS PRODUCED FROM FIBROBLASTS AND USES RELATED THERETO | 1 |
Seung Cheul Han | KR | Gwangju | 2015-10-29 / 20150306794 - METHOD FOR FABRICATING ULTRA-LOW DENSITY THREE-DIMENSIONAL THIN FILM STRUCTURE BASED ON PHOTO-LITHOGRAPHY | 1 |
Seung Hee Han | KR | Gyeonggi-Do | 2016-01-07 / 20160006498 - METHOD AND APPARATUS FOR TRANSMITTING CONTROL INFORMATION FROM RELAY NODE ON BACKHAUL UPLINK | 91 |
Luke Qing Han | CN | Shanghai | 2015-10-29 / 20150310082 - HADOOP OLAP ENGINE | 1 |
Shuqing Han | CN | Beijing | 2013-10-10 / 20130268556 - SYSTEM AND METHOD FOR RECORDING AND QUERYING ORIGINAL HANDWRITING AND ELECTRONIC DEVICE | 1 |
Sang Kook Han | KR | Seoul | 2015-10-29 / 20150311979 - OPTICAL NETWORK UNIT CAPABLE OF REDUCING OPTICAL BEAT INTERFERENCE AND METHOD FOR CONTROLLING THE SAME | 1 |
Yongbong Han | US | San Francisco | 2015-10-29 / 20150311525 - BATTERY CELL ENGINEERING AND DESIGN TO REACH HIGH ENERGY | 8 |
Sun-Kyung Han | KR | Seoul | 2009-01-08 / 20090011308 - Preparation of Gas Diffusion Layer for Fuel Cell | 1 |
Kang Han | CA | Vancouver | 2015-10-29 / 20150307426 - INDENE DERIVATIVES AS PHARMACEUTICAL AGENTS | 8 |
Wenyong Han | CN | Shenzhen | 2015-11-12 / 20150327053 - COMMUNICATIONS SYSTEM, CAPABILITY OPENNESS GATEWAY, AND METHOD FOR OPENING WIRELESS PIPE CAPABILITY | 2 |
Su-Jin Han | KR | Suwon-Si | 2015-12-03 / 20150349270 - ORGANIC COMPOUND, ORGANIC OPTOELECTRONIC DEVICE AND DISPLAY DEVICE | 3 |
Cheol-Soo Han | KR | Hwaseong-Si | 2015-10-22 / 20150303075 - METHOD OF FABRICATING A SEMICONDUCTOR PACKAGE | 1 |
Hyung Seok Han | KR | Seongnam-Si | 2015-10-22 / 20150302889 - METHOD FOR EDITING MOTION PICTURE, TERMINAL FOR SAME AND RECORDING MEDIUM | 1 |
Guofeng Han | CN | Beijing | 2015-10-22 / 20150302185 - METHOD AND APPARATUS FOR ACCOUNT INTERCOMMUNICATION AMONG APPS | 1 |
Fangbin Han | CN | Shanghai | 2015-10-22 / 20150299121 - MODULATORS OF THE RETINOID-RELATED ORPHAN RECEPTOR GAMMA (ROR-GAMMA) FOR USE IN THE TREATMENT OF AUTOIMMUNE AND INFLAMMATORY DISEASES | 1 |
Ke Han | CN | Shanghai | 2015-10-22 / 20150301581 - CONTEXT SENSING FOR COMPUTING DEVICES | 1 |
Yoon Sung Han | KR | Daejeon | 2015-10-22 / 20150298512 - SIDEWALL INSERT RUBBER COMPOSITION FOR RUN-FLAT TIRE AND TIRE PRODUCED USING THE SAME | 1 |
Seunghee Han | US | 2015-11-26 / 20150341882 - APPARATUS AND METHOD FOR CROSS-CARRIER QUASI CO-LOCATION SIGNALING IN A NEW CARRIER TYPE (NCT) WIRELESS NETWORK | 3 | |
Seunghee Han | KR | Kyoungkido, 11 | 2015-10-22 / 20150304063 - NOTIFICATION OF RECEIVER CAPABILITIES | 2 |
Dong-Woo Han | KR | Seoul | 2015-10-22 / 20150303194 - FINFET SEMICONDUCTOR DEVICES INCLUDING DUMMY STRUCTURES | 1 |
Sier Han | CN | Xi'An | 2015-10-22 / 20150302318 - UPDATING PREDICTION MODEL | 8 |
Jeong Ho Han | KR | Seoul | 2015-10-22 / 20150301712 - METHOD AND APPARATUS FOR CHANGING USER INTERFACE BASED ON USER MOTION INFORMATION | 8 |
Snag Wook Han | KR | Ansan-Si | 2015-10-15 / 20150296582 - LED LUMINESCENCE APPARATUS | 1 |
Yun-Cheol Han | KR | Gyeonggi-Do | 2009-03-19 / 20090072896 - Equalizer Filters Including a Low-Pass Filter Unit and an Equalizer Having a Group Delay Control Unit and Related Methods | 1 |
You-Keun Han | KR | Gyeonggi-Do | 2009-04-23 / 20090103374 - MEMORY MODULES AND MEMORY SYSTEMS HAVING THE SAME | 1 |
Yong In Han | KR | Gyeonggi-Do | 2009-08-20 / 20090206241 - IMAGE SENSOR | 2 |
Wan-Taek Han | KR | Gyeonggi-Do | 2009-09-24 / 20090240305 - Apparatus controlling electrical stimulation and/or health training/monitoring | 1 |
Shocky Han | KR | Gyeonggi-Do | 2010-01-28 / 20100023758 - DOCUMENT AUTHENTICATION USING ELECTRONIC SIGNATURE | 1 |
Shin Hye Han | KR | Gyeonggi-Do | 2008-11-27 / 20080294499 - Method and System for Managing Report on Goods Advertisement | 1 |
Seung-Do Han | KR | Gyeonggi-Do | 2010-09-02 / 20100219704 - SPEED-VARIABLE SINGLE PHASE INDUCTION MOTOR | 3 |
Sekyung Han | KR | Gyeonggi-Do | 2010-11-11 / 20100283471 - Method for Measuring SOC of a Battery Management System and the Apparatus Thereof | 1 |
Sang-Yup Han | KR | Gyeonggi-Do | 2010-08-19 / 20100207203 - SEMICONDUCTOR DEVICE WITH BURIED GATE AND METHOD FOR FABRICATING THE SAME | 1 |
Myunghee Han | KR | Gyeonggi-Do | 2010-12-09 / 20100309882 - HANDOVER METHOD FOR MOBILE WIRELESS NETWORK | 1 |
Myoung-Hee Han | KR | Gyeonggi-Do | 2010-11-04 / 20100279500 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Moon Gyu Han | KR | Gyeonggi-Do | 2011-03-03 / 20110048772 - CONDUCTING POLYMER INK | 1 |
Mi Ryeong Han | KR | Gyeonggi-Do | 2008-11-20 / 20080287440 - Novel Antifungal Triazole Derivatives | 1 |
Mahnjin Han | KR | Gyeonggi-Do | 2010-08-19 / 20100207941 - Adaptive 2N-ARY tree generating method, and method and apparatus for encoding and decoding 3D volume data using IT | 1 |
Kyu-Il Han | KR | Gyeonggi-Do | 2010-03-04 / 20100052522 - ORGANIC LUMINESCENCE DISPLAY PANEL | 1 |
Kyoung Bong Han | KR | Gyeonggi-Do | 2009-11-12 / 20090277124 - APPARATUS FOR CONNECTING A PRECAST DECK SLAB WITH A BEAM ON A BRIDGE AND METHOD FOR CONNECTING THE SLAB WITH THE BEAM USING THE SAME | 1 |
Ky Hyun Han | KR | Gyeonggi-Do | 2013-09-19 / 20130240820 - PHASE CHANGE RANDOM ACCESS MEMORY AND FABRICATION METHOD OF HEATING ELECTRODE FOR THE SAME | 4 |
Kwanghun Han | KR | Gyeonggi-Do | 2009-03-26 / 20090082057 - COGNITIVE RADIO TERMINAL DEVICE AND METHOD OF COMMUNICATING USING COGNITIVE RADIO | 1 |
Kook Min Han | KR | Gyeonggi-Do | 2013-10-03 / 20130256643 - METHODS FOR FORMING BANKS AND ORGANIC THIN FILM TRANSISTORS COMPRISING SUCH BANKS | 3 |
Ki-Seop Han | KR | Gyeonggi-Do | 2011-02-24 / 20110044355 - APPARATUS AND METHOD FOR RESTORING NETWORK CLOCK REFERENCE OF TRANSMISSION DATA, AND DATA RECEIVING APPARATUS | 1 |
Kil-Pyo Han | KR | Gyeonggi-Do | 2008-09-25 / 20080235279 - METHOD OF GENERATING AND PLAYING PLAYBACK FILE AND APPARATUS USING THE SAME | 1 |
Jungpyo Han | KR | Gyeonggi-Do | 2010-08-26 / 20100214975 - FAST DATA-LINK CONNECTION METHOD FOR SAVING CONNECTION TIME IN CDMA 2000 NETWORK | 1 |
Joon Soo Han | KR | Gyeonggi-Do | 2015-08-20 / 20150232745 - COATING COMPOSITION HAVING POLYSILAZANE AND WAVELENGTH CONVERTING AGENT AND WAVELENGTH CONVERTING SHEET PREPARED USING THE SAME | 5 |
Jai Yong Han | KR | Gyeonggi-Do | 2009-02-05 / 20090032402 - ELECTROPHORESIS DEVICE COMPRISING HOLE-CONTAINING STRUCTURE AND METHOD FOR FABRICATING THE SAME | 1 |
Jae-Uk Han | KR | Gyeonggi-Do | / - | 1 |
Jae Eok Han | KR | Gyeonggi-Do | 2010-05-13 / 20100120192 - SYNTHESIS OF I-III-VI2 NANOPARTICLES AND FABRICATION OF POLYCRYSTALLINE ABSORBER LAYERS | 1 |
Hee Hyun Han | KR | Gyeonggi-Do | 2009-11-12 / 20090279373 - AUTO-REFRESH OPERATION CONTROL CIRCUIT FOR REDUCING CURRENT CONSUMPTION OF SEMICONDUCTOR MEMORY APPARATUS | 1 |
Hee-Goo Han | KR | Gyeonggi-Do | 2008-12-18 / 20080313252 - Sphere Decoder and Decoding Method Thereof | 1 |
Hae Jung Han | KR | Gyeonggi-Do | 2010-08-05 / 20100196329 - COMPOSITION FOR TREATING ISCHEMIC LIMB DISEASE COMPRISING STEM CELLS DERIVED FROM ADIPOSE TISSUE | 1 |
Gyuyoung Han | KR | Gyeonggi-Do | 2010-05-06 / 20100113063 - METHOD AND SYSTEM FOR PROVIDING LOCATION MEASUREMENT OF NETWORK BASED TO MOBILE COMMUNICATION TERMINAL BY USING G-PCELL DATABASE ACCORDING TO LOCATION | 4 |
Gyu-Won Han | KR | Gyeonggi-Do | 2009-10-29 / 20090267087 - LOW RESISTANCE WIRING STRUCTURE AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 1 |
Gyoon Hee Han | KR | Gyeonggi-Do | 2015-09-10 / 20150252003 - Use of a Compound for Inducing Differentiation of Mesenchymal Stem Cells into Cartilage Cells | 7 |
Gyeong-Soo Han | KR | Gyeonggi-Do | 2009-02-26 / 20090052252 - METHODS OF APPLYING READ VOLTAGES IN NAND FLASH MEMORY ARRAYS | 1 |
Gong-Heum Han | KR | Gyeonggi-Do | 2011-11-03 / 20110266623 - Semiconductor Memory Device Having Three Dimensional Structure | 2 |
Gene Beck Han | KR | Gyeonggi-Do | 2010-08-12 / 20100205442 - METHOD AND APPARATUS FOR TRAFFIC COUNT KEY MANAGEMENT AND KEY COUNT MANAGEMENT | 1 |
Eui-Gyu Han | KR | Gyeonggi-Do | 2009-02-26 / 20090052252 - METHODS OF APPLYING READ VOLTAGES IN NAND FLASH MEMORY ARRAYS | 1 |
Duck Hee Han | KR | Gyeonggi-Do | 2010-02-18 / 20100041404 - HANDOVER METHOD AND APPARATUS BASED ON HISTORY INFORMATION IN WIRELESS NETWORKS | 1 |
Dong Youl Han | KR | Gyeonggi-Do | 2011-01-20 / 20110011855 - PREFABRICATED RECIPIENT BOX | 1 |
Dong-Hyeop Han | KR | Gyeonggi-Do | 2010-06-24 / 20100157367 - Method of transmitting changed printer information in real time and network printer using the same | 1 |
Chung-Guen Han | KR | Gyeonggi-Do | 2009-04-30 / 20090107402 - DEPOSITION APPARATUS AND CLEANING METHOD THEREOF | 1 |
Chul-Jong Han | KR | Gyeonggi-Do | 2011-12-01 / 20110290538 - CONNECTING STRUCTURE AND ADHESION METHOD OF PCB USING ANISOTROPIC CONDUCTIVE FILM, AND METHOD FOR EVALUATING CONNECTING CONDITION USING THE SAME | 2 |
Cheol-Young Han | KR | Gyeonggi-Do | 2009-06-25 / 20090161135 - Image forming apparatus | 1 |
Cheol Jong Han | KR | Gyeonggi-Do | 2010-08-05 / 20100197078 - DICING FILM HAVING SHRINKAGE RELEASE FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR PACKAGE USING THE SAME. | 1 |
Chang-Seob Han | KR | Gyeonggi-Do | 2009-03-05 / 20090061378 - ORTHODONTIC WIRE AND MANUFACTURING METHOD THEREOF | 1 |
Byung-Kil Han | KR | Gyeonggi-Do | 2010-08-19 / 20100207745 - HUMAN INTERACTION SYSTEMS USING KINESTHETIC FEEDBACK AND OPERATING METHOD THEREOF | 1 |
Boo-Young Han | KR | Gyeonggi-Do | 2008-12-18 / 20080308779 - Resin Guardrail | 1 |
Huben Han | CN | Shenzhen | 2015-10-15 / 20150296534 - METHOD AND DEVICE FOR PROCESSING SCHEDULING REQUEST IN HANDOVER SCENARIO | 1 |
Kwang Joon Han | KR | Suwon-Si | 2015-10-15 / 20150296143 - CAMERA MODULE | 1 |
Laiquan Han | CN | Shenzhen City | 2015-10-15 / 20150295842 - Queue Scheduling Method, Apparatus And System | 1 |
Yeon-Soo Han | KR | Chungcheongbuk-Do | 2015-10-15 / 20150295223 - DISTILLED WATER FILLING SYSTEM FOR INDUSTRIAL BATTERY | 1 |
Jae-Yong Han | KR | Seongnam-Si | 2015-10-15 / 20150292255 - FILLING MATERIAL, WINDOW AND DOOR SET, AND FILLING METHOD | 1 |
Dong Hoon Han | KR | Yeondong-Myeon | 2015-10-15 / 20150294932 - SEMICONDUCTOR PACKAGE SUBSTRATE | 1 |
Hyo Jung Han | KR | Daejeon | 2015-10-15 / 20150291631 - A NOVEL LIGAND COMPOUND, A PREPARATION METHOD THEREOF, A TRANSITION METAL COMPOUND INCLUDING THE LIGAND COMPOUND, AND A PREPARATION METHOD THEREOF | 1 |
Ki Won Han | KR | Daejeon | 2015-10-15 / 20150291631 - A NOVEL LIGAND COMPOUND, A PREPARATION METHOD THEREOF, A TRANSITION METAL COMPOUND INCLUDING THE LIGAND COMPOUND, AND A PREPARATION METHOD THEREOF | 1 |
Minah Han | KR | Seoul | 2015-10-15 / 20150291032 - Vehicle Control Apparatus And Method Thereof | 1 |
Zhiji Han | US | Rochester | 2015-10-15 / 20150290615 - METHODS FOR PRODUCING HYDROGEN USING NANOPARTICLE-CATALYST MIXTURES | 1 |
Tao Han | CA | Markham | 2015-10-15 / 20150296101 - Universal Film mode detection for interlaced video stream | 1 |
Sangbok Han | KR | Suwon-Si | 2015-10-22 / 20150301854 - APPARATUS AND METHOD FOR HARDWARE-BASED TASK SCHEDULING | 2 |
Kyuhong Han | KR | Daejeon | 2015-09-17 / 20150258977 - ENGINE-GENERATOR CONTROL METHOD AND SERIES HYBRID ELECTRIC COMBAT MANEUVERING SYSTEM USING THE SAME | 1 |
Youngeun Han | KR | Seongnam-Si | 2016-03-24 / 20160086364 - METHOD AND APPARATUS FOR APPLYING GRAPHIC EFFECT IN ELECTRONIC DEVICE | 2 |
Ruonan Han | US | Ithaca | 2015-10-08 / 20150288393 - System and Method for Signal Generation | 1 |
Dae Hoon Han | KR | Namyangju-Si | 2015-12-03 / 20150346747 - OFF SIGNAL GENERATOR AND POWER CONVETER INCLUDING THE SAME | 2 |
Dae Hoon Han | KR | Suwon-Si | 2016-04-21 / 20160111955 - CONVERTER | 3 |
Zhen-Ji Han | JP | Tokyo | 2015-10-08 / 20150287993 - BINDER FOR LITHIUM CELL, COMPOSITION FOR PRODUCING ELECTRODE, AND ELECTRODE | 1 |
Su-Young Han | KR | Gyeonggi-Do | 2015-10-08 / 20150286139 - Compound and Composition for Forming Lower Film of Resist Pattern, and Method for Forming Lower Film Using Same | 1 |
Yaohua Han | CN | Hebei Province | 2015-10-08 / 20150284632 - Liquid Crystal Compound Containing Cyclobutyl Group and Difluoromethyleneoxy Linking Group, and Preparation Method and Use Thereof | 1 |
Fei Han | US | 2015-10-08 / 20150283246 - Amphiphilic Block Copolymer And Preparation Method Thereof And Micellar Drug-Loading System Formed By Same With Antitumor Drug | 1 | |
Jung Sup Han | KR | Daejeon | 2015-12-03 / 20150344746 - ACRYLIC EMULSION PRESSURE SENSITIVE ADHESIVE COMPOSITION INCLUDING NANOMETER SCALE LATEX PARTICLES WITH MONOMODAL PARTICLE SIZE DISTRIBUTION AND METHOD OF PREPARING THE SAME (As Amended) | 2 |
Hyo-Soo Han | KR | Seoul | 2010-06-03 / 20100138921 - Countering Against Distributed Denial-Of-Service (DDOS) Attack Using Content Delivery Network | 1 |
Sang-Chul Han | KR | Suwon-Si | 2015-10-08 / 20150284847 - Method of Forming an Epitaxial Layer and Apparatus for Processing a Substrate Used for the Method | 8 |
Jie Han | CN | Xi'An | 2015-10-01 / 20150282262 - NON-ISOLATED LED DRIVING CIRCUIT | 1 |
Gyuwon Han | KR | Yeoju-Gun | 2015-10-01 / 20150279917 - ORGANIC LIGHT EMITTING DISPLAY | 1 |
Chung Chyung (justin) Han | US | San Jose | 2015-10-01 / 20150279806 - RECESSED SEMICONDUCTOR SUBSTRATES AND ASSOCIATED TECHNIQUES | 1 |
Xiaogang Han | SG | Singapore | 2015-10-01 / 20150278688 - EPISODIC AND SEMANTIC MEMORY BASED REMEMBRANCE AGENT MODELING METHOD AND SYSTEM FOR VIRTUAL COMPANIONS | 1 |
You Han | CN | Shanghai | 2015-10-01 / 20150278376 - METHOD AND APPARATUS FOR PRESENTING SEARCH RESULT | 1 |
Gun Hee Han | KR | Incheon | 2015-10-01 / 20150277710 - METHOD FOR INCREASING GUI RESPONSE SPEED OF USER DEVICE THROUGH DATA PRELOADING, AND SAID USER DEVICE | 1 |
Kwang Hoon Han | KR | Yongin | 2009-01-29 / 20090029489 - Endpoint Detection Device For Realizing Real-Time Control Of Plasma Reactor, Plasma Reactor With Endpoint Detection Device, And Endpoint Detection Method | 1 |
Kyu Sung Han | KR | Gyeongsangbuk-Do | 2010-06-10 / 20100144294 - METHOD FOR TUNING TO A RADIO BROADCAST FREQUENCY IN A PORTABLE TERMINAL | 1 |
Jiang Han | CN | Beijing | 2016-03-10 / 20160073421 - COMMUNICATION APPARATUS, COMMUNICATION SYSTEM AND COMMUNICATION METHOD | 3 |
Xinping Han | CN | Fuxin City | 2015-10-01 / 20150275665 - UNMANNED INTELLIGENT MINING MACHINE | 1 |
Hong Liang Han | CN | Beijing | 2013-01-03 / 20130007709 - SOFTWARE CONFIGURATION MANAGEMENT | 1 |
Jung Yoon Han | KR | Daejeon | 2013-01-10 / 20130008040 - APPARATUS FOR MEASURING DIMENSIONS OF SPACER GRID FOR NUCLEAR FUEL ASSEMBLIES | 1 |
Sangyoun Han | KR | Seongnam | 2012-05-10 / 20120115513 - METHOD FOR DISPLAYING AUGMENTED REALITY INFORMATION AND MOBILE TERMINAL USING THE METHOD | 1 |
Seon Hwa Han | KR | Gyeonggi-Do | 2015-10-01 / 20150278207 - ELECTRONIC DEVICE AND METHOD FOR ACQUIRING IMAGE DATA | 1 |
Hae Wook Han | KR | Gyeongsangbuk-Do | 2015-09-24 / 20150270303 - IMAGE SENSOR WITH MICRO LENS | 1 |
Tao Han | US | Clifton Park | 2015-09-24 / 20150270159 - FABRICATION OF SEMICONDUCTOR STRUCTURES USING OXIDIZED POLYCRYSTALLINE SILICON AS CONFORMAL STOP LAYERS | 1 |
Christopher Jienping Han | US | Sunnyvale | 2015-09-24 / 20150269146 - SYSTEM AND METHOD FOR COMPUTING, APPLYING, AND DISPLAYING DOCUMENT DELTAS | 1 |
Jun Han | AU | Vermont South | 2015-12-17 / 20150363215 - SYSTEMS AND METHODS FOR AUTOMATICALLY GENERATING MESSAGE PROTOTYPES FOR ACCURATE AND EFFICIENT OPAQUE SERVICE EMULATION | 3 |
Jee-Hoon Han | KR | Asan-Si | 2015-10-01 / 20150277026 - DISPLAY DEVICE | 2 |
Kook Min Han | KR | Suwon-Si | 2012-05-17 / 20120122275 - METHODS OF FABRICATING ORGANIC THIN FILM TRANSISTORS | 11 |
Kyung-Hee Han | US | Pasadena | 2015-09-24 / 20150267286 - BULK PLATINUM-COPPER-PHOSPHORUS GLASSES BEARING BORON, SILVER, AND GOLD | 1 |
Abel Han | CN | Shanghai | 2015-09-24 / 20150264954 - SOYMILK MAKER AND METHOD OF MAKING SOYMILK | 1 |
Kyung Heum Han | KR | Seongnam-Si | 2016-03-03 / 20160066210 - DEVICE AND METHOD FOR SIMULTANEOUSLY TRANSMITTING DATA IN MULTI-NETWORK | 2 |
Hyojeong Han | KR | Seoul | 2015-08-13 / 20150226737 - COMPLEX COMPRSING BEAD PARTICLE INCLUDING QUANTUM DOT LAYER AND METHOD OF DIAGNOSING MYOCARDIAL INFARCTION-RELATED DISEASE BY USING THE COMPLEX | 2 |
Chang Mok Han | KR | Suwon-Si | 2016-04-28 / 20160118807 - APPARATUS AND METHOD OF RECEIVING POWER WIRELESSLY, AND SYSTEM FOR SUPPLYING POWER WIRELESSLY USING THE SAME | 12 |
Dae Seob Han | KR | Seoul | 2015-10-08 / 20150287876 - LIGHT-EMITTING DEVICE | 9 |
Sang Wook Han | KR | Suwon-Si | 2015-05-14 / 20150130514 - APPARATUS AND METHOD FOR COMPENSATING FOR PROCESS VARIATION IN ELECTRONIC DEVICE | 3 |
Sang Wook Han | KR | Gyeonggi-Do | 2016-03-03 / 20160065139 - METHOD AND APPARATUS FOR SUPPLYING POWER TO A RADIO FREQUENCY POWER AMPLIFIER | 2 |
Eun Seok Han | KR | Seoul | 2009-08-13 / 20090201215 - QUADRIFILAR HELICAL ANTENNA | 1 |
Jung Gyun Han | KR | Gwangju | 2015-09-24 / 20150265120 - DUST COLLECTING APPARATUS AND VACUUM CLEANER HAVING THE SAME | 8 |
Jong-Chan Han | KR | Yongin-Si | 2016-03-31 / 20160094058 - EXTERNAL BATTERY APPARATUS AND CONTROL METHOD THEREOF | 2 |
Seung-Mok Han | KR | Hwaseong | 2015-09-17 / 20150263431 - ANTENNA FOR MOBILE-COMMUNICATION BASE STATION | 1 |
Won Han | KR | Gyeonggi-Do | 2015-10-22 / 20150303896 - QUARTZ VIBRATOR AND MANUFACTURING METHOD OF THE SAME | 2 |
Dong Hoon Han | KR | Incheon | 2015-09-17 / 20150259800 - PREPARING METHOD OF GRAPHENE BY USING NEAR-INFRARED AND APPARATUS THEREFOR | 1 |
Ji-Youn Han | KR | Suwon-Si | 2016-02-25 / 20160054864 - DISPLAY APPARATUS AND CONTROLLING METHOD THEREOF | 12 |
Yeon-Taek Han | KR | Suwon-Si | 2010-07-22 / 20100182324 - DISPLAY APPARATUS AND DISPLAY METHOD FOR PERFORMING ANIMATION OPERATIONS | 2 |
Yang-Suk Han | KR | Suwon-Si | 2008-12-25 / 20080318443 - Plasma enhanced cyclic deposition method of metal silicon nitride film | 1 |
Seunguk Han | KR | Suwon-Si | 2013-10-03 / 20130256774 - SEMICONDUCTOR MEMORY DEVICES | 3 |
Seung-Bong Han | KR | Suwon-Si | 2011-02-17 / 20110037993 - METHOD AND APPARATUS FOR BOOTING AN IMAGE REPRODUCTION APPARATUS | 1 |
Sang-Yeon Han | KR | Suwon-Si | 2012-01-05 / 20120001271 - GATE ELECTRODE AND GATE CONTACT PLUG LAYOUTS FOR INTEGRATED CIRCUIT FIELD EFFECT TRANSISTORS | 2 |
Sang-Jib Han | KR | Suwon-Si | 2009-08-27 / 20090212812 - Multi-chip package semiconductor device and method of detecting a failure thereof | 1 |
Myoung-Ok Han | KR | Suwon-Si | 2010-01-14 / 20100009885 - COMPOSITION FOR REMOVING A PHOTORESIST, METHOD OF PREPARING THE COMPOSITION, METHOD OF REMOVING A PHOTORESIST AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE COMPOSITION | 1 |
Kyung-Wan Han | KR | Suwon-Si | 2010-07-22 / 20100182927 - APPARATUS AND METHOD FOR TRANSMITTING CONTROL PACKET IN BROADBAND COMMUNICATION NETWORK | 1 |
Kyu-Nam Han | KR | Suwon-Si | 2009-12-17 / 20090311588 - ELECTRODE ASSEMBLY AND SECONDARY BATTERY HAVING THE SAME | 1 |
Kyu Il Han | KR | Suwon-Si | 2009-06-11 / 20090146554 - ORGANIC LIGHT EMITTING DIODE AND ORGANIC ELECTRO-LUMINESCENCE DISPLAY DEVICE THEREWITH | 1 |
Kyu Bum Han | KR | Suwon-Si | 2011-04-14 / 20110085092 - OPTICAL MODULATORS INCLUDING INCORPORATED INTO MOBILE TERMINAL PROJECTOR | 1 |
Kyoung-Tae Han | KR | Suwon-Si | 2009-06-11 / 20090147188 - DISPLAY AND METHOD OF MANUFACTURING THE SAME | 1 |
Kweon Hyung Han | KR | Suwon-Si | 2010-07-22 / 20100183843 - BIODEGRADABLE BIAXIALLY ORIENTED LAMINATED FILM | 1 |
Kum-Yon Han | KR | Suwon-Si | 2012-12-06 / 20120311561 - DISPLAY APPARATUS AND IMPLEMENTATION METHOD THEREOF | 9 |
Jungyup Han | KR | Suwon-Si | 2011-01-13 / 20110008667 - BATTERY PACK INCLUDING A PLURALITY OF UNIT CELLS | 1 |
Jung-Yub Han | KR | Suwon-Si | 2011-02-17 / 20110039142 - BATTERY PACK WITH IMPROVED HEAT DISSIPATION EFFICIENCY | 1 |
Joon-Mok Han | KR | Suwon-Si | 2012-03-15 / 20120063069 - PLASMA DISPLAY APPARATUS | 3 |
Jeong-Wuk Han | KR | Suwon-Si | 2009-03-19 / 20090071936 - METHOD OF MANUFACTURING INKJET PRINTHEAD AND INKJET PRINTHEAD MANUFACTURED USING THE SAME | 2 |
Jeonguk Han | KR | Suwon-Si | 2013-03-21 / 20130071976 - NONVOLATILE MEMORY DEVICES AND METHODS OF FORMING THE SAME | 2 |
Jang Soon Han | KR | Suwon-Si | 2010-07-22 / 20100181101 - PRINTED CIRCUIT BOARD | 1 |
Jai Yong Han | KR | Suwon-Si | 2012-05-03 / 20120108001 - METHOD FOR MANUFACTURING SOLAR CELL | 7 |
Jaiyong Han | KR | Suwon-Si | 2012-11-22 / 20120292185 - METHOD OF FABRICATING LIQUID FILM, METHOD OF ARRANGING NANO PARTICLES AND SUBSTRATE HAVING LIQUID THIN FILM FABRICATED USING THE SAME | 3 |
Hyo-Jin Han | KR | Suwon-Si | 2009-12-10 / 20090307163 - VIRTUAL MEASURING DEVICE AND METHOD | 1 |
Hye-Rhee Han | KR | Suwon-Si | 2015-09-24 / 20150268505 - LIQUID CRYSTAL DISPLAY | 9 |
Hee-Ju Han | KR | Suwon-Si | 2009-01-29 / 20090031349 - METHOD FOR SELECTING CHANNELS OF MAIN SCREEN/SUB-SCREEN, AND IMAGE DISPLAY APPARATUS USING THE SAME | 1 |
Gyoo-Wan Han | KR | Suwon-Si | 2010-02-11 / 20100035503 - METHOD OF MANUFACTURING FLAT PANEL DISPLAY DEVICE | 1 |
Geum Goo Han | KR | Suwon-Si | 2010-12-23 / 20100321589 - MOBILE TERMINAL CAPABLE OF RECEIVING MOBILE BROADCAST SERVICES AND CHANNEL CONTROL METHOD THEREFOR | 1 |
Du-Yeon Han | KR | Suwon-Si | 2010-06-10 / 20100141561 - Plasma display device | 1 |
Cheolsu Han | KR | Suwon-Si | 2015-12-24 / 20150371811 - MONOCHROMATOR AND CHARGED PARTICLE APPARATUS INCLUDING THE SAME | 2 |
Baik-Hee Han | KR | Suwon-Si | 2009-01-29 / 20090031036 - ENVIRONMENT INFORMATION PROVIDING METHOD, VIDEO APPARATUS AND VIDEO SYSTEM USING THE SAME | 1 |
Byung-Hun Han | KR | Seoul | 2013-03-07 / 20130057530 - POWER CONVERTING CIRCUIT OF A DISPLAY DRIVER | 5 |
Seunghe Han | US | Cupertino | 2015-09-17 / 20150264693 - ENB, UE AND METHOD FOR PHYSICAL RESOURCE BLOCK ALLOCATION IN MTC UE | 1 |
Yun-Feng Han | TW | Taipei | 2015-09-17 / 20150263550 - MULTI-PORT CHARGING DEVICE | 1 |
Min-Jung Han | KR | Pohang-City | 2011-08-04 / 20110191908 - REGULATOR FOR FLOWERING TIME, TRANSGENIC PLANT TRANSFORMED WITH THE SAME, AND METHOD FOR REGULATING FLOWERING TIME | 2 |
Kyoung-Tai Han | KR | Suwon-Si | 2014-11-27 / 20140349426 - ARRAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 8 |
Jin-Won Han | KR | Suwon-Si | 2008-12-11 / 20080303438 - Plasma display panel | 1 |
Jin Ho Han | KR | Seoul | 2016-04-21 / 20160110250 - CACHE MEMORY WITH FAULT TOLERANCE | 14 |
Min Han | KR | Seoul | 2012-05-17 / 20120122156 - RECOMBINANT PROTEIN OF FIBROBLAST GROWTH FACTOR HAVING ADHESIVE ACTIVITY FOR STEM CELLS AND METHOD FOR CULTURING STEM CELLS USING THE SAME | 2 |
Jae Wook Han | KR | Seoul | 2015-09-17 / 20150257531 - VERTICAL FRAME FOR DISPLAY STAND AND MULTIPURPOSE PREFABRICATED DISPLAY STAND USING SAME | 1 |
Se-Jun Han | KR | Daejeon | 2016-03-17 / 20160080799 - BROADCAST RECEIVER, MOBILE DEVICE, SERVICE PROVIDING METHOD, AND BROADCAST RECEIVER CONTROLLING METHOD | 7 |
Jung-Hee Han | KR | Seoul | 2015-09-17 / 20150259800 - PREPARING METHOD OF GRAPHENE BY USING NEAR-INFRARED AND APPARATUS THEREFOR | 8 |
Jaeyoung Han | KR | Seoul | 2015-11-19 / 20150334859 - MOBILE TERMINAL | 2 |
Jin-Kyu Han | KR | Seoul | 2016-01-28 / 20160029383 - METHOD AND APPARATUS OF RECEIVING DIFFERENT TYPES OF SUBFRAMES IN MOBILE COMMUNICATION SYSTEM | 167 |
Sang-Pil Han | KR | Seo-Gu | 2011-04-21 / 20110090932 - MULTIPLE DISTRIBUTED FEEDBACK LASER DEVICES | 1 |
Min Su Han | KR | Kyung Ju | 2009-06-18 / 20090155785 - REAL-TIME COLORIMETRIC SCREENING INHIBITORS OF ENDONUCLEASE WITH GOLD NANOPARTICLE SUBSTRATE | 2 |
Min Su Han | KR | Kyung | 2009-09-03 / 20090221095 - Colorimetric Screening of DNA Binding/Intercalating Agents with Gold Nanoparticle Probes | 1 |
Min Su Han | KR | Kyung-Buk | 2010-01-28 / 20100021894 - Nanoparticle-Based Colorimetric Detection Of Cysteine | 1 |
Min Su Han | KR | Suwon-Si | 2015-03-05 / 20150063866 - ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS | 3 |
Dong-Hun Han | KR | Daejeon | 2011-02-24 / 20110045338 - SEPARATOR HAVING POROUS COATING LAYER AND ELECTROCHEMICAL DEVICE CONTAINING THE SAME | 1 |
Dong-Hun Han | KR | Suwon-Si | 2013-10-31 / 20130287427 - IMAGE FORMING APPARATUS HAVING A CLEANING UNIT TO CLEAN AN OPTICAL SENSOR UNIT | 6 |
Jae-Hyuk Han | KR | Seoul | 2011-07-14 / 20110170215 - ASYMMETRIC DISK CLAMP AND SPINDLE MOTOR ASSEMBLY INCLUDING ASYMMETRIC DISK CLAMP | 2 |
Jung-Heon Han | KR | Anyang-Si | 2015-09-10 / 20150252356 - POROUS SOLID PHASE FOR RAPIDLY ISOLATING BIOLOGICAL MOLECULES FOR NUCLEIC ACID AMPLIFICATION REACTION FROM BIOLOGICAL SAMPLE, AND USE THEREOF | 1 |
Yaohua Han | CN | Shijiazhuang City | 2015-09-10 / 20150252261 - CYCLOHEPTANE DERIVATIVE AND PREPARATION METHOD AND USE THEREOF | 1 |
Hee-Seok Han | KR | Gyeonggi-Do | 2013-10-24 / 20130278161 - LED LIGHTING DEVICE USING BALLAST FOR FLUORESCENT LAMP | 3 |
Zhaobin Han | CN | Shanghai | 2016-03-03 / 20160060195 - NOVEL RUTHENIUM COMPLEX AND METHOD FOR PREPARING METHANOL AND DIOL | 2 |
Jing Han | US | 2015-12-24 / 20150373550 - CELL CLUSTERING BASED CONFIGURATION OF FLEXIBLE TIME DIVISION DUPLEX COMMUNICATION | 3 | |
Wenwen Han | CN | Beijing | 2015-09-10 / 20150254064 - Method and a Technical Equipment for Social Network Service | 1 |
Wenwen Han | US | 2015-09-10 / 20150254064 - Method and a Technical Equipment for Social Network Service | 1 | |
Kyuhyun Han | KR | Daejeon | 2014-12-11 / 20140365128 - METHOD FOR PREDICTING HOURLY CLIMATIC DATA TO ESTIMATE COOLING/HEATING LOAD | 1 |
Sang Hyo Han | KR | Gyeonggi-Do | 2014-05-08 / 20140123469 - METHOD OF MANUFACTURING RETAINER RING FOR POLISHING WAFER | 1 |
Jae Hee Han | KR | Suwon-Si | 2015-09-03 / 20150249757 - IMAGE FORMING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Richard Han | GB | Scotland | 2015-09-03 / 20150249667 - SELF-SERVICE TERMINAL (SST) THIN CLIENT | 1 |
Il Su Han | KR | Incheon | 2015-09-03 / 20150248250 - METHOD OF OPERATING DATA STORAGE DEVICE | 1 |
Sang-Yeob Han | KR | Anyang-Si | 2012-01-12 / 20120007018 - SLURRY COMPOSITIONS FOR SELECTIVELY POLISHING SILICON NITRIDE RELATIVE TO SILICON OXIDE, METHODS OF POLISHING A SILICON NITRIDE LAYER AND METHODS OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME | 7 |
Woo-Sic Han | KR | Anyang-Si | 2010-09-16 / 20100232392 - METHOD FOR PERFORMING A HANDOVER FROM A WCDMA SYSTEM TO A CDMA SYSTEM IN A MULTI-MODE MOBILE COMMUNICATION TERMINAL | 1 |
Hong-Gyu Han | KR | Anyang-Si | 2011-01-06 / 20110001433 - LED LIGHT EMITTING DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Chul-Yeub Han | KR | Anyang-Si | 2011-02-03 / 20110026915 - CAMERA MODULE | 1 |
Jin Wook Han | KR | Anyang-Si | 2011-02-17 / 20110041042 - APPARATUS AND METHOD FOR DETERMINING INTERLEAVED ADDRESS OF TURBO INTERLEAVER | 1 |
Dong-Hoon Han | KR | Anyang-Si | 2009-09-24 / 20090239668 - METHOD OF MATCHING GAME USERS, AND ELECTRONIC DEVICE USING THE SAME | 1 |
Jay Han | KR | Anyang-Si | 2010-03-04 / 20100056116 - METHOD AND SYSTEM FOR DISPLAYING INFORMATION COLLECTED BY PORTABLE TERMINALS | 1 |
Mi Ryeong Han | KR | Anyang-Si | 2012-12-06 / 20120309771 - NOVEL ANTIFUNGAL TRIAZOLE DERIVATIVES | 2 |
Seunghee Han | KR | Anyang-Si | 2016-05-12 / 20160135156 - METHOD AND DEVICE FOR INFORMATION TRANSMISSION IN WIRELESS COMMUNICATION SYSTEM | 138 |
Sang-Keun Han | KR | Anyang-Si | 2012-04-19 / 20120092528 - USER EQUIPMENT AND METHOD FOR PROVIDING AUGMENTED REALITY (AR) SERVICE | 3 |
Yong Seok Han | KR | Anyang-Si | 2012-07-19 / 20120181703 - PATTERNABLE ADHESIVE COMPOSITION, SEMICONDUCTOR PACKAGE USING THE SAME, AND METHOD OF MANUFACTURING SEMICONDUCTOR PACKAGE | 6 |
Mun Yong Han | KR | Anyang-Si | 2011-07-28 / 20110183694 - METHOD AND APPARATUS OF CELL SEARCHING IN A WIRELESS COMMUNICATION SYSTEM | 4 |
Jin Suk Han | KR | Anyang-Si | 2009-05-07 / 20090115749 - INPUT DEVICE | 1 |
Hyun-Jin Han | KR | Suwon-Si | 2011-03-24 / 20110069135 - APPARATUS AND METHOD OF DETECTING HORIZONTAL SYNCHRONIZATION SIGNAL IN IMAGE FORMING APPARATUS | 2 |
Kwon Whan Han | KR | Seoul | 2014-01-16 / 20140014958 - SEMICONDUCTOR CHIP MODULE AND SEMICONDUCTOR PACKAGE HAVING THE SAME | 21 |
Sung Hee Han | KR | Daejeon | 2015-09-03 / 20150247361 - PROTECTION DEVICE FOR BLIND STRING | 1 |
Jungjin Han | KR | Seoul | 2016-02-04 / 20160033549 - FLEXIBLE NEAR FIELD OPTICAL IMAGING DEVICE INCLUDING FLEXIBLE OPTICAL HEAD WITH THIN FILM LAYER FOR FORMATION OF DYNAMIC OPTICAL NANO APERTURES | 3 |
Ki Heung Han | KR | Seoul | 2009-10-22 / 20090262970 - HEADSET HAVING FERRITE BEADS FOR IMPROVING ANTENNA PERFORMANCE | 1 |
Yong-Seob Han | KR | Guri-Si Gyeonggi-Do | 2010-07-01 / 20100163339 - FIRE ESCAPE APPARATUS FOR BUILDING | 1 |
Bei Han | CN | Shanghai | 2015-12-10 / 20150357933 - METHOD FOR DESIGNING CASCADED MULTI-LEVEL INVERTER WITH MINIMIZED LARGE-SCALE VOLTAGE DISTORTION | 2 |
Dae-Woong Han | KR | Suwon-Si | 2015-08-27 / 20150243398 - X-RAY GRID STRUCTURE AND X-RAY APPARATUS INCLUDING THE SAME | 1 |
Charles Han | US | San Diego | 2008-09-25 / 20080233928 - METHOD AND APPARATUS FOR PROMPTING A CELLULAR TELEPHONE USER WITH INSTRUCTIONS | 1 |
Sangdon Han | US | San Diego | 2015-11-26 / 20150335618 - SUBSTITUTED 1,2,3,4-TETRAHYDROCYCLOPENTA[b]INDOL-3-YL)ACETIC ACID DERIVATIVES USEFUL IN THE TREATMENT OF AUTOIMMUNE AND INFLAMMATORY DISORDERS | 16 |
Yun Han | US | San Diego | 2012-09-20 / 20120238632 - MODULATORS OF RETINOL-RETINOL BINDING PROTEIN (RBP)-TRANSTHYRETIN (TTR) COMPLEX FORMATION | 4 |
Beom-Mo Han | US | San Diego | 2014-10-23 / 20140313821 - FIN-TYPE DEVICE SYSTEM AND METHOD | 7 |
Yi Han | US | San Diego | 2008-12-04 / 20080299586 - OLFACTORY RECEPTORS FOR ISOLVALERIC ACID AND RELATED MALODORANTS AND USE THEREOF IN ASSAYS FOR IDENTIFICATION OF BLOCKERS | 1 |
Shoufa Han | US | San Diego | 2009-09-24 / 20090238837 - High Affinity Siglec Ligands | 1 |
Charles Seunghwan Han | US | San Diego | 2012-08-09 / 20120200740 - Multimode GPS-enabled camera | 2 |
Chulmin Han | US | San Diego | 2015-01-22 / 20150022408 - ANTENNA WITH ACTIVE ELEMENTS | 7 |
Dong Han | US | San Diego | 2016-03-24 / 20160082014 - N-(HETERO)ARYL, 2-(HETERO)ARYL-SUBSTITUTED ACETAMIDES FOR USE AS WNT SIGNALING MODULATORS | 12 |
Jiahuai Han | US | San Diego | 2010-04-22 / 20100098689 - 4-1 bb ligand in inflammatory diseases | 2 |
Yun-Jung Han | KR | Yongin-Si | 2015-08-27 / 20150241995 - ELECTRONIC DEVICE AND METHOD FOR CONTROLLING DISPLAY | 1 |
Yun-Seok Han | KR | Daegu | 2015-08-27 / 20150241747 - ARRAY SUBSTRATE AND DISPLAY APPARATUS HAVING THE SAME | 1 |
Lu Han | US | Ashford | 2015-08-27 / 20150241056 - Reactor for Chemical-Looping Combustion | 1 |
Wei Han | CN | Wuhan | 2015-08-27 / 20150240117 - ABRASION-RESISTANT COATING MATERIAL AND METHOD OF USING THE SAME | 1 |
Lu Han | CN | Shenzhen | 2016-04-14 / 20160103924 - METHOD AND APPARATUS FOR ACQUIRING INFORMATION DIGEST | 3 |
Lu Han | CN | Beijing | 2016-02-04 / 20160033295 - PRESENTING HIERARCHIES OF MAP DATA AT DIFFERENT ZOOM LEVELS | 10 |
Lu Han | US | Herndon | 2014-09-18 / 20140262964 - Method of Processing a Bituminous Feed By Staged Addition of a Bridging Liquid | 7 |
Jae Yeol Han | KR | Ansan-City | 2015-08-27 / 20150239282 - LASER MARKING SYSTEM FOR SPRINGS | 2 |
Gang Han | US | Shrewsbury | 2015-12-17 / 20150362432 - COMPOSITIONS AND METHODS FOR UPCONVERTING LUMINESCENCE WITH ENGINEERED EXCITATION AND APPLICATIONS THEREOF | 2 |
Sang Yoon Daniel Han | CA | Toronto | 2015-08-27 / 20150239685 - COMPUTER-IMPLEMENTED CONTAINER TERMINAL MANAGEMENT SYSTEM AND METHOD | 1 |
Chunxiao Han | US | Dublin | 2014-10-09 / 20140302503 - COMPOSITIONS, METHODS AND SYSTEMS FOR POLYMERASE CHAIN REACTION ASSAYS | 2 |
Yanpeng Han | CN | Tianjin | 2015-08-27 / 20150237805 - Threshing and Separating Device and Combine Harvester Having the Same | 1 |
Ji-Sook Han | KR | Geumjeong-Gu Busan | 2015-08-27 / 20150238542 - METHOD FOR ISOLATING FRACTION HAVING ANTI-INFLAMMATORY OR OSTEOARTHRITIS-INHIBITING EFFECTS BY USING OYSTER SHELL CHIPS | 1 |
Sang Hoon Han | KR | Gyeonggi-Do | 2015-08-27 / 20150238403 - LOW VISCOUS COSMETIC COMPOSITION USING A NATURAL EMULSIFYING AGENT | 8 |
Se-Hee Han | KR | Seoul | 2016-03-17 / 20160077366 - DISPLAY DEVICE | 76 |
Sang-Myeon Han | KR | Hwaseong-Si | 2015-08-27 / 20150245018 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 1 |
Sang-Myeon Han | KR | Seoul | 2010-06-03 / 20100134461 - DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 4 |
Sang-Myeon Han | KR | Yonglin-City | 2014-03-13 / 20140071108 - PIXEL, DISPLAY DEVICE INCLUDING THE SAME, AND DRIVING METHOD THEREOF | 1 |
Wen-Ching Han | US | Newtown | 2015-09-03 / 20150246892 - N-SUBSTITUTED BIS(FLUOROALKYL)-1,4-BENZODIAZEPINONE COMPOUNDS | 9 |
Sung-Joon Han | KR | Seoul | 2009-10-29 / 20090268331 - HARD DISK DRIVE AND SERVO TRACK WRITING SYSTEM FOR THE SAME | 1 |
Dong Hee Han | KR | Hwasung-Si | 2010-08-26 / 20100217901 - Wireless Universal Serial Bus Apparatus and Operating Method Thereof | 1 |
Dong Hee Han | KR | Chungju | 2011-03-10 / 20110057290 - FUSE OF SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME | 1 |
Chang-Sun Han | KR | Daejeon | 2015-11-05 / 20150315321 - SUPERABSORBENT POLYMER | 17 |
Jong-Wook Han | KR | Daejeon | 2015-07-30 / 20150212206 - AUTOMATIC DEPENDENT SURVEILLANCE DATA PROTECTION METHOD FOR AIR TRAFFIC MANAGEMENT, AND SYSTEM FOR THE SAME | 37 |
Kangmin Han | KR | Suwon-Si | 2015-08-20 / 20150236203 - LIGHT EMITTING DEVICE PACKAGE, BACKLIGHT UNIT, LIGHTING DEVICE AND ITS MANUFACTURING METHOD | 1 |
Seungdo Han | KR | Seoul | 2016-05-19 / 20160137047 - DRIVING APPARATUS OF VEHICLE | 8 |
Sung Wook Han | KR | Seoul | 2014-11-13 / 20140333541 - SHOWCASE SYSTEM HAVING TRANSPARENT DISPLAY PANEL AND OPERATING METHOD THEREOF | 3 |
Young Hee Han | KR | Daejeon | 2016-03-24 / 20160087427 - SUPERCONDUCTIVE CURRENT LIMITING ELEMENT OF CURRENT LIMITER AND METHOD FOR MANUFACTURING SUPERCONDUCTIVE CURRENT LIMITING ELEMENT OF CURRENT LIMITER | 5 |
Sang Chul Han | KR | Daejeon | 2011-05-05 / 20110100156 - STRESS-REDUCING TYPE ROTOR | 1 |
Chung Ku Han | KR | Incheon | 2015-08-20 / 20150233061 - Composition for Sheet Using Biomass, Eco-Friendly Composite Sheet, and Fabrication Method for Thereof | 1 |
Yonmee Han | US | San Diego | 2015-08-20 / 20150232929 - METHODS AND COMPOSITIONS FOR DNA PROFILING | 1 |
Xu Han | CN | Beijing | 2015-08-20 / 20150235387 - INFORMATION PROCESSING METHOD AND ELECTRONIC DEVICE | 1 |
Yehui Han | US | Madison | 2015-08-20 / 20150236634 - SERIES CONNECTED DC INPUT INVERTERS | 1 |
Jeong-Nam Han | KR | Seoul | 2016-05-19 / 20160141417 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 25 |
Jong Woo Han | KR | Gyeonggi-Do | 2015-08-13 / 20150230028 - MEMS MICROPHONE | 1 |
Yong-Hee Han | KR | Suwon-Si | 2015-08-13 / 20150229984 - TERMINAL AND METHOD FOR PERFORMING BIDIRECTIONAL IPTV SERVICE | 2 |
Jung-Gu Han | KR | Anyang-Si | 2015-08-13 / 20150228574 - SEMICONDUCTOR DEVICES INCLUDING A BIT LINE STRUCTURE AND A CONTACT PLUG | 1 |
Jong-Hwi Han | KR | Incheon | 2015-08-13 / 20150228228 - CONTROL OF FLICKER IN DISPLAY IMAGES USING LIGHT EMITTING ELEMENT ARRAYS AS VIEWED BY A VIEWER IN MOTION | 1 |
Jaejoon Han | KR | Seoul | 2016-05-19 / 20160140383 - METHOD AND APPARATUS FOR EXTRACTING FACIAL FEATURE, AND METHOD AND APPARATUS FOR FACIAL RECOGNITION | 14 |
Yajun Han | CN | Beijing | 2015-08-13 / 20150227044 - SUPPORT STAGE | 1 |
Shuai Han | CN | Beijing | 2015-12-24 / 20150370124 - LIQUID CRYSTAL DISPLAY PANEL | 2 |
Hyun Kyung Han | KR | Seoul | 2009-06-11 / 20090146300 - Semiconductor packages and electronic products employing the same | 2 |
Young Han | US | La Crescenta | 2010-06-17 / 20100149323 - METHOD OF DENTAL MICROSCOPIC PROCEDURE | 2 |
Jung Hyun Han | KR | Seoul | 2011-08-11 / 20110193859 - Apparatus and method for generating octree based 3D map | 2 |
Jin Hee Han | KR | Gyeonggi-Do | 2010-08-12 / 20100201569 - SYSTEM AND METHOD FOR DETECTING LOCATION USING DATA COMMUNICATION NETWORK | 1 |
Jin Hee Han | KR | Seoul | 2015-11-12 / 20150323419 - APPARATUS AND METHOD FOR PARTIAL MONITORING OF OPTICAL FIBER | 2 |
Jin Hee Han | KR | Daejeon | 2015-04-23 / 20150113272 - METHOD AND APPARATUS FOR AUTHENTICATING AND MANAGING APPLICATION USING TRUSTED PLATFORM MODULE | 17 |
Kyungmin Han | KR | Seoul | 2015-08-13 / 20150223659 - ROBOT CLEANER AND CONTROL METHOD THEREOF | 1 |
Yeon-Soo Han | KR | Cheongju-Si Chungcheongbuk-Do | 2015-08-13 / 20150228962 - ADAPTOR PLUG FOR INDUSTRIAL BATTERY | 1 |
Kwang Hoon Han | KR | Suwon-Si | 2015-12-24 / 20150372897 - METHOD AND APPARATUS FOR RELAYING IN MULTICAST NETWORK | 9 |
Seungju Han | KR | Seoul | 2016-04-21 / 20160110587 - METHOD AND APPARATUS FOR FACIAL RECOGNITION | 3 |
Yaling Han | CN | Shenyang City | 2014-03-20 / 20140076324 - ISOLATED REFUGE CABIN | 2 |
Jeong-Hoon Han | KR | Seoul | 2016-04-28 / 20160119864 - METHOD AND APPARATUS FOR CONNECTING PORTABLE TERMINAL TO WLAN | 5 |
Young Jae Han | KR | Seoul | 2015-08-06 / 20150222188 - HIGH FREQUENCY TRANSFORMER FOR REDUCING LEAKAGE FLUX | 1 |
Young Ran Han | KR | Gyeonggi-Do | 2009-04-02 / 20090087121 - METHOD AND APPARATUS FOR ENHANCING DETAIL BASED ON NOISE ELIMINATION, AND METHOD AND APPARATUS FOR CALCULATING NOISE WEIGHT | 3 |
Young Ran Han | KR | Suwon-Si | 2016-05-12 / 20160133041 - APPARATUS AND METHOD FOR PROCESSING THREE DIMENSIONAL IMAGE ON MULTI-LAYER DISPLAY | 25 |
Sang-Pil Han | KR | Daejeon | 2016-04-28 / 20160116694 - OPTICAL MODULES | 35 |
In Young Han | KR | Cheonan-Si | 2015-08-06 / 20150220191 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
So-Ra Han | KR | Bucheon-Si | 2012-02-02 / 20120028434 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING ACID DIFFUSION | 5 |
Jae Hyun Han | KR | Daejeon | 2015-08-06 / 20150217781 - VEHICLE CONTROL DEVICE AND VEHICLE | 1 |
Sung Kyun Han | KR | Hwaseong-Si | 2015-08-06 / 20150216856 - STABLE PHARMACEUTICAL COMPOSITION COMPRISING SOLIFENACIN, AND METHOD FOR PREPARING THE SAME | 1 |
Jae Ho Han | KR | Daejeon | 2014-08-21 / 20140231859 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 8 |
Hun Sik Han | KR | Daejeon | 2015-08-06 / 20150221403 - FACILITY FOR REDUCING RADIOACTIVE MATERIAL AND NUCLEAR POWER PLANT HAVING THE SAME | 1 |
Byung Ki Han | KR | Suwon-Si | 2010-12-16 / 20100317312 - APPARATUS AND METHOD FOR COMPENSATING FOR DC-OFFSET IN DIRECT CONVERSION RECEIVER OF WIRELESS COMMUNICATIONS SYSTEM | 1 |
Seunghee Han | KR | Gyeongki-Do | 2010-11-04 / 20100279625 - METHOD FOF TRANSMITTING CONTROL INFORMATION IN WIRELESS COMMUNICATION SYSTEM | 1 |
Kyu Sang Han | US | Port Washington | 2016-05-05 / 20160120286 - HAIR DRYER PIK ATTACHMENT | 10 |
Qian Han | CN | Shenzhen | 2015-07-30 / 20150215953 - Method and system for establishing bearer supporting uplink semi-persistent scheduling and user equipment thereof | 1 |
Youn-Jung Han | KR | Seoul | 2015-07-30 / 20150215790 - ASSEMBLING A DATABASE OF SMALL CELL INFORMATION | 1 |
Sang-Wook Han | KR | Daejeon | 2015-07-30 / 20150214739 - DEVICE AND METHOD FOR COOPERATION CONTROL OF EMS AND DMS | 1 |
Dongmei Han | US | Shoreview | 2015-07-30 / 20150214623 - ISM BAND ANTENNA STRUCTURE FOR SECURITY SYSTEM | 1 |
Kyu-Young Han | KR | Seoul | 2015-07-30 / 20150214016 - APPARATUS AND METHOD OF TREATING A SUBSTRATE | 1 |
Ji-Min Han | KR | Seoul | 2008-11-27 / 20080292372 - FIXING DEVICE AND IMAGE FORMING APPARATUS HAVING THE SAME | 1 |
Sung Han | KR | Gyeonggi-Do | 2015-07-30 / 20150212617 - TOUCH SENSOR | 1 |
Dong-Oh Han | KR | Anyang-Si | 2015-07-30 / 20150210635 - NOVEL COMPOUND HAVING ABILITY TO INHIBIT 11B-HSD1 ENZYME OR PHARMACEUTICALLY ACCEPTABLE SALT THEREOF, METHOD FOR PRODUCING SAME, AND PHARMACEUTICAL COMPOSITION CONTAINING SAME AS ACTIVE INGREDIENT | 1 |
Maung Han | US | Arcadia | 2011-03-03 / 20110054778 - Method and Apparatus for Displaying Three-Dimensional Terrain and Route Guidance | 1 |
Maung Han | US | Torrance | 2014-09-18 / 20140281964 - METHOD AND SYSTEM FOR PRESENTING GUIDANCE OF GESTURE INPUT ON A TOUCH PAD | 7 |
Sang Yeul Han | KR | Yongin-Si | 2016-04-14 / 20160101154 - PEPTIDE FOR INHIBITION OF BINDING BETWEEN ANGIOPOIETIN-2 AND INTEGRIN AND USE THEREOF | 10 |
Shuangfeng Han | KR | Gyeonggi-Do | 2015-12-24 / 20150372794 - METHOD AND APPARATUS FOR GENERATING REFERENCE SIGNAL IN ANALOG/DIGITAL MIXED BF SYSTEM | 2 |
Shuangfeng Han | KR | Suwonsi | 2013-02-14 / 20130039401 - METHOD AND APARATUS FOR MIXED ANALOG/DIGITAL BEAMFORMING | 1 |
Seo-Won Han | KR | Suwon-Si | 2014-08-21 / 20140237494 - CONTENTS RECEIVING DEVICE AND METHOD FOR RECEIVING ENCODED CONTENTS, CONTENTS SUPPLYING DEVICE AND METHOD FOR SUPPLYING ENCODED CONTENTS | 1 |
Cheng-Nan Han | TW | Hsinchu | 2015-10-08 / 20150287892 - LIGHT EMITTING DEVICE | 9 |
Ju-Hee Han | KR | Yongin-Si | 2015-07-23 / 20150207493 - METHOD AND APPARATUS FOR SHIFTING DISPLAY DRIVING FREQUENCY TO AVOID NOISE OF ELECTRONIC SENSOR MODULE | 1 |
So-Yeon Han | KR | Yongin-City | 2015-07-23 / 20150207102 - FOLDABLE, FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Sangsu Han | KR | Seoul | 2015-07-23 / 20150206473 - IMAGE PROCESSING CONTROLLER, DISPLAY APPARATUS AND DRIVING METHOD THEREOF | 1 |
Weihong Han | CN | Chengdu | 2015-07-23 / 20150206025 - METHOD FOR IDENTIFYING AND EXTRACTING A LINEAR OBJECT FROM AN IMAGE | 1 |
Tai-Sheng Han | TW | New Taipei City | 2015-07-23 / 20150205466 - MOUSE BUTTON FUNCTION SETTING METHOD AND SYSTEM THEREOF | 1 |
Jungho Han | KR | Yongin-Si | 2015-07-23 / 20150205459 - METHOD AND DEVICE FOR MANAGING FOLDER | 1 |
Sang Woo Han | KR | Pohang-Si | 2015-07-23 / 20150204837 - Apparatus and Method for Predicting Slab Quality | 1 |
Jae-Woo Han | KR | Seoul | 2015-07-23 / 20150203883 - BIOSYNTHETIC GENE CLUSTER FOR CHEJUENOLIDE OF MARINE MICROORGANISM HAHELLA CHEJUENSIS | 1 |
Hyo-Seok Han | KR | Hwaseong-Si | 2016-05-12 / 20160131913 - WEARABLE ELECTRONIC DEVICE | 1 |
Seung Soo Han | KR | Seongnam-Si | 2015-07-23 / 20150202312 - INTERFERON-ALPHA FUSION PROTEIN IN WHICH CYTOPLASMIC TRANSDUCTION PEPTIDE AND POLYETHYLENE GLYCOL ARE BONDED TO ONE ANOTHER | 1 |
Ji-Won Han | KR | Gwangju | 2015-07-23 / 20150202241 - USE FOR DENDROPANAX MORBIFERA EXTRACT FOR ADJUSTING 15- HYDROXYPROSTAGLANDIN DEHYDROGENASE AND PGE 2 ACTIVITY | 1 |
Jing Han | CN | Chaoyang District | 2015-07-23 / 20150208442 - Method and Apparatus for Prioritizing an Uplink Resource Request | 1 |
Soon Hung Han | KR | Yuseong-Gu | 2015-07-23 / 20150205806 - APPARATUS AND METHOD FOR EXCHANGING CAD DATA | 1 |
Yunlong Han | CN | Hangzhou | 2015-07-23 / 20150207417 - CONTROL METHOD AND CONTROL CIRCUIT FOR SWITCHING POWER SUPPLY | 8 |
Hae-Chul Han | KR | Suwon-Si | 2013-11-21 / 20130308961 - IMAGE FORMING APPARATUS AND METHOD OF CONTROLLING DEVELOPING UNIT THEREOF | 1 |
Seok-Kyun Han | KR | Yuseong-Gu | 2015-12-03 / 20150346034 - ANTENNA MODULE FOR TRANSMITTING OR RECEIVING TERAHERTZ WAVES AND FOCAL PLANE ARRAY STRUCTURE FOR REAL-TIME TERAHERTZ IMAGING | 2 |
Bo Han | CN | Chengdu | 2015-09-03 / 20150249476 - METHOD AND APPARATUS FOR PROCESSING SIGNAL INTERFERENCE | 2 |
Song Han | US | Foster City | 2016-03-03 / 20160064725 - COMPOSITE STRUCTURES CONTAINING HIGH CAPACITY POROUS ACTIVE MATERIALS CONSTRAINED IN SHELLS | 21 |
Song Han | US | San Mateo | 2010-11-11 / 20100285358 - Electrode Including Nanostructures for Rechargeable Cells | 1 |
Keesook Han | US | Rome | 2015-07-16 / 20150200962 - METHOD AND SYSTEM FOR RESILIENT AND ADAPTIVE DETECTION OF MALICIOUS WEBSITES | 1 |
Ja-Hyung Han | US | Clifton Park | 2015-11-19 / 20150333121 - SHALLOW TRENCH ISOLATION INTEGRATION METHODS AND DEVICES FORMED THEREBY | 2 |
Jeong Man Han | KR | Suwon-Si | 2016-04-28 / 20160118807 - APPARATUS AND METHOD OF RECEIVING POWER WIRELESSLY, AND SYSTEM FOR SUPPLYING POWER WIRELESSLY USING THE SAME | 7 |
Yun Seok Han | KR | Nam-Gu | 2015-07-16 / 20150199929 - DISPLAY PANEL AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Ling Feng Han | CN | Shanghai | 2015-07-16 / 20150198540 - DRY REAGENT BASED WATER ANALYZER | 1 |
Young Soo Han | KR | Seoul | 2009-05-07 / 20090115329 - ORGANIC EL DEVICE | 1 |
Young Soo Han | KR | Gyeonggi-Do | 2010-04-29 / 20100103351 - PRISM SHEET HAVING WET-OUT PROPERTY AND LCD BACK LIGHT UNIT THEREBY | 1 |
Young Soo Han | KR | Uiwang-Si | 2009-03-05 / 20090059128 - Prism sheet having inclined ridges and liquid crystal display using the same | 1 |
Min Soo Han | KR | Suwon-Si | 2016-04-28 / 20160118551 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 3 |
Zhiqiang Han | CN | Shenzhen | 2016-02-11 / 20160044693 - Service Data Transmission Processing Method and Device and Service Data Transmission Method and Device | 11 |
Yongbin Han | US | Syracuse | 2008-08-21 / 20080199950 - Enhanced Bio-Assays By Using Gradient Nanotopgraphy | 1 |
Jongyoon Han | US | Ithaca | 2009-02-19 / 20090047681 - ENTROPIC TRAPPING AND SIEVING OF MOLECULES | 1 |
Geng Han | US | Fishkill | 2014-09-18 / 20140282297 - METHOD FOR GENERATING POST-OPC LAYOUT IN CONSIDERATION OF TOP LOSS OF ETCH MASK LAYER | 6 |
Geng Han | US | Yorktown Heights | 2010-12-16 / 20100318956 - METHOD OF INTEGRATED CIRCUIT CHIP FABRICATION AND PROGRAM PRODUCT THEREFOR | 1 |
Weiqiang Han | US | Weiqiang | 2009-05-07 / 20090117384 - Titania Nanocavities and Method of Making | 1 |
Melinda Y. Han | US | New York | 2014-07-03 / 20140183736 - GRAPHENE ELECTRODES FOR ELECTRONIC DEVICES | 2 |
Yufeng Han | US | Rochester | 2010-08-12 / 20100201371 - Harmonic Derived Arc Detector | 2 |
Sung Su Han | US | Niskayuna | 2010-11-11 / 20100283033 - CARBIDE NANOSTRUCTURES AND METHODS FOR MAKING SAME | 1 |
Pengyu Han | US | Troy | 2011-02-17 / 20110036984 - TUNABLE BROADBAND ANTI-RELFECTION APPARATUS | 1 |
Shu-Jen Han | US | Wappingers Falls | 2013-09-05 / 20130230978 - SELF-ALIGNED CONTACTS | 15 |
Kyu Sang Han | US | Port Washington | 2016-05-05 / 20160120286 - HAIR DRYER PIK ATTACHMENT | 10 |
Gi Chun Han | KR | Cheongju-Si | 2015-07-16 / 20150197830 - Method for Extracting Lithium from Solution Containing Lithium | 8 |
Yan Feng Han | CN | Ningbo City | 2015-07-16 / 20150199962 - CLASSIFYING SPOKEN CONTENT IN A TELECONFERENCE | 1 |
Yujeong Han | KR | Daejeon | 2015-08-06 / 20150222689 - APPARATUS AND METHOD FOR PROCESSING PACKETS | 2 |
Ka Ram Han | KR | Cheongju-Si | 2015-07-09 / 20150194579 - COLOR CONVERTING ELEMENT AND LIGHT EMITTING DEVICE INCLUDING THE SAME | 1 |
Ilsu Han | KR | Incheon | 2015-10-01 / 20150278087 - STORAGE DEVICE AND AN OPERATING METHOD OF THE STORAGE DEVICE | 2 |
Ki Tae Han | KR | Gyeonggi-Do | 2015-07-09 / 20150192381 - COMPOUND BOW HAVING IMPROVED VIBRATION-DAMPING PERFORMANCE | 1 |
Seong-Chan Han | KR | Cheonan-Si | 2012-08-16 / 20120207561 - ROUTER APPARATUS | 15 |
Jin-Kyu Han | US | 2008-09-25 / 20080232322 - METHOD AND APPARATUS FOR RECEIVING A CONTROL CHANNEL IN A MOBILE COMMUNICATION SYSTEM | 1 | |
Jin-Kyu Han | KR | Suwon-Si | 2014-03-06 / 20140064208 - METHOD, APPARATUS, AND SYSTEM FOR TRANSMITTING AND RECEIVING INFORMATION OF AN UNCODED CHANNEL IN AN ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING SYSTEM | 4 |
Jin-Kyu Han | KR | Gyeonggi-Do | 2014-03-27 / 20140087013 - Electro-Spinning Nozzle Pack and Electro-Spinning System Comprising the Same | 3 |
Young-Kyu Han | KR | Daejeon | 2010-09-23 / 20100239917 - ELECTROLYTE COMPRISING EUTECTIC MIXTURE AND SECONDARY BATTERY USING THE SAME | 2 |
Kae Han | TW | Hsinchu City | 2015-07-09 / 20150190674 - BOWED STRINGED INSTRUMENT BOWING EXERCISE APPARATUS AND MANUFACTURING METHOD THEREOF | 1 |
Seunghee Han | KR | Anyang-Shi | 2015-07-09 / 20150195822 - DYNAMIC HYBRID AUTOMATIC REPEAT REQUEST-ACKNOWLEDGEMENT (HARQ-ACK) TRANSMISSION WITH ENHANCED PHYSICAL DOWNLINK CONTROL CHANNELS | 1 |
Chih-Chieh Han | US | Los Angeles | 2012-08-02 / 20120198103 - EMBEDDED SYSTEM DEVELOPMENT PLATFORM | 2 |
Hyo Jin Han | KR | Gyeonsangbuk-Do | 2016-05-12 / 20160131323 - Vehicle Lamp | 1 |
Seung Ju Han | KR | Gwangju | 2016-05-12 / 20160129421 - AEROGEL FOR CAPTURING CARBON DIOXIDE | 1 |
Young Min Han | KR | Daejeon | 2016-04-07 / 20160095845 - COMPOSITION COMPRISING (S)-(-)-BENPROPERINE FOR PREVENTING OR TREATING CANCER | 6 |
Jung Han Han | KR | Seoul | 2015-07-02 / 20150189538 - APPARATUS AND METHOD FOR ACCESS POINT SELECTION | 1 |
Jae Mun Han | KR | Incheon | 2015-07-02 / 20150188613 - APPARATUS FOR PROCESSING INTERMITTENT MESSAGE IN TRAIN SYSTEM | 1 |
Kook Ii Han | KR | Seongnam-Si | 2015-07-02 / 20150188155 - MEMBRANE-ELECTRODE ASSEMBLY (MEA) FOR FUEL CELLS | 1 |
Licheng Marshal Han | US | Firsco | 2015-07-02 / 20150187711 - OPENING IN A MULTILAYER POLYMERIC DIELECTRIC LAYER WITHOUT DELAMINATION | 1 |
Seung Heon Han | KR | Gwacheon-Si | 2015-07-02 / 20150186816 - SYSTEM AND METHOD FOR ASSESSING SUSTAINABILITY OF OVERSEAS GAS FIELD | 2 |
Youngjoon Han | KR | Seoul | 2015-11-19 / 20150331143 - METHOD OF RECOGNIZING SLOPE CONDITION, SYSTEM USING THE SAME, AND RECORDING MEDIUM FOR PERFORMING THE SAME | 3 |
Yong Gil Han | KR | Gyeongsangbuk-Do | 2015-07-02 / 20150185980 - METHOD AND DEVICE FOR SWITCHING SCREENS | 1 |
Jonghyun Han | KR | Gyeonggi-Do | 2015-07-02 / 20150185916 - ELECTROSTATIC CAPACITIVE TOUCH SCREEN PANEL | 1 |
Yiping Han | CN | Wuxi | 2015-07-02 / 20150185177 - METHOD AND DEVICE FOR MEASURING CONCENTRATION OF SUBSTANCE IN FLUID | 1 |
Min Woo Han | KR | Seoul | 2015-07-02 / 20150183458 - METHOD OF DETECTING DEVIATION OF TRAVEL PATH OF VEHICLE | 1 |
Ju-Hee Han | KR | Gwangju | 2015-07-23 / 20150202241 - USE FOR DENDROPANAX MORBIFERA EXTRACT FOR ADJUSTING 15- HYDROXYPROSTAGLANDIN DEHYDROGENASE AND PGE 2 ACTIVITY | 2 |
Xiao Han | CN | Shanghai | 2015-07-02 / 20150189033 - Distributed Cache System | 1 |
Bing Han | CN | Shenzhen | 2016-02-04 / 20160033805 - LCD PANEL AND LCD DEVICE | 13 |
Ka Ram Han | KR | Seoul | 2014-06-19 / 20140173003 - METHOD AND SYSTEM FOR PROVIDING INSTANT MESSAGING SERVICE | 1 |
Dong Kwan Han | KR | Suwon-Si | 2015-12-17 / 20150362554 - SYSTEM ON CHIP | 2 |
Jingwei Han | CN | Shenzhen City | 2015-06-25 / 20150181420 - METHOD AND APPARATUS FOR MONITORING A PREDEFINED OPERATION IN A MOBILE TERMINAL | 1 |
Zhiyong Han | SG | Singapore | 2015-06-25 / 20150180981 - Communications Server Apparatus, Calling Device And Methods Of Operation Thereof | 1 |
Hongqiang Han | CN | Shanghai | 2015-06-25 / 20150180168 - Connector Assembly | 1 |
Sang-Il Han | KR | Seoul | 2016-03-24 / 20160087035 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Sung Yun Han | KR | Suwon | 2015-06-25 / 20150179334 - TRANSFORMER AND ADAPTER | 2 |
Mingchen Han | CN | Shenzhen | 2015-06-25 / 20150178933 - IMAGE FRAME CONVERSION METHOD AND VIDEO FRAME CONVERSION METHOD AND APPARATUS | 1 |
Dongzheng Han | CN | Hangzhou | 2016-01-07 / 20160003684 - METHOD FOR DISPLAYING DIFFERENT TEMPERATURES BY ELECTRONIC THERMOMETER AND ELECTRONIC THERMOMETER | 2 |
Hosung Han | KR | Changwon-Si | 2015-06-25 / 20150178094 - MULTISYSTEM AND METHOD OF BOOTING THE SAME | 1 |
Sangjae Han | KR | Suwon-Si | 2016-02-25 / 20160054564 - INTEGRATED CLUSTER AND HEAD-UP DISPLAY DEVICE FOR VEHICLE | 2 |
Dongkyoon Han | KR | Seongnam-Si | 2015-06-25 / 20150177884 - APPARATUS FOR SENSING TOUCH INPUT IN ELECTRONIC DEVICE | 1 |
Hui Han | US | Ithaca | 2015-06-25 / 20150177344 - MAGNETIC RESONANCE IMAGING SYSTEMS FOR INTEGRATED PARALLEL RECEPTION, EXCITATION AND SHIMMING AND RELATED METHODS AND DEVICES | 1 |
Hyo Jin Han | KR | Gyungsan | 2015-09-17 / 20150260366 - LAMP FOR VEHICLE | 3 |
Seok-Hee Han | KR | Seoul | 2010-03-18 / 20100069430 - PYRROLO[2,3-C]PYRIDINE DERIVATIVES AND PROCESSES FOR THE PREPARATION THEREOF | 1 |
Sang-Il Han | KR | Suwon-Si | 2010-12-09 / 20100310950 - Catalyst for fuel cell, ful cell systems including the same, and associated methods | 7 |
Sang-Il Han | KR | Yongin-Si | 2016-04-28 / 20160118686 - ELECTROLYTE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 37 |
Zhengxu Steve Han | US | Shrewsbury | 2012-05-17 / 20120123164 - Combinations of Eszopiclone and Trans 4-(3,4-Dichlorophenyl)-1,2,3,4-Tetrahydro-N-Methyl-1-Napthalenamine or Trans 4-(3,4-Dichlorophenyl)-1,2,3,4-Tetrahydro-1-Napthalenamine, and Methods of Treatment of Menopause and Mood, Anxiety, and Cognitive Disorders | 2 |
David Han | US | Quincy | 2009-06-04 / 20090144187 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR DETERMINING UNDISCLOSED ORDER VOLUME | 1 |
Keping Han | US | Reading | 2009-11-12 / 20090277871 - PLASMA MEDIATED ASHING PROCESSES THAT INCLUDE FORMATION OF A PROTECTIVE LAYER BEFORE AND/OR DURING THE PLASMA MEDIATED ASHING PROCESS | 1 |
Luming Han | US | Allston | 2010-02-04 / 20100030795 - Maintaining referential integrity while masking | 2 |
Jiawen Han | US | Newton | 2015-02-05 / 20150038430 - THERAPEUTIC PEPTIDOMIMETIC MACROCYCLES | 8 |
Hongling Han | US | Lexington | 2010-12-16 / 20100314537 - METHOD AND APPARATUS FOR ACTIVATION OF CATION TRANSMISSION MODE ION/ION REACTIONS | 1 |
Zhixiu Han | US | Acton | 2015-05-07 / 20150127118 - PROSTHETIC, ORTHOTIC OR EXOSKELETON DEVICE | 16 |
Zhengxu Han | US | Shrewesbury | 2008-09-04 / 20080214870 - Method of Preparing Amine Stereoisomers | 1 |
Cheng Han | US | Lexington | 2008-10-16 / 20080256563 - SYSTEMS AND METHODS FOR USING A LODESTONE IN APPLICATION WINDOWS TO INSERT MEDIA CONTENT | 1 |
Wen Khang Han | US | Lexington | 2013-04-11 / 20130090107 - DEVICE BASED TELECOMMUNICATIONS INITIATED DATA FULFILLMENT SYSTEM | 2 |
Tzong-Ru Han | US | Cambridge | 2009-07-02 / 20090165533 - SENSOR DEVICE WITH HEATED NANOSTRUCTURE | 2 |
May Han | US | Brookline | 2015-10-08 / 20150285817 - Method for treating and identifying lung cancer patients likely to benefit from EGFR inhibitor and a monoclonal antibody HGF inhibitor combination therapy | 12 |
Rong Han | US | Malden | 2010-09-30 / 20100247627 - FOXN1 AND PIGMENTATION | 1 |
Zhengxu Han | US | Shrewsbury | 2015-11-12 / 20150322053 - PROCESSES FOR PREPARING OF GLUCOPYRANOSYL-SUBSTITUTED BENZYL-BENZENE DERIVATIVES AND INTERMEDIATES THEREIN | 7 |
Jongyoon Han | US | Bedford | 2016-04-28 / 20160115045 - PURIFICATION OF ULTRA-HIGH SALINE AND CONTAMINATED WATER BY MULTI-STAGE ION CONCENTRATION POLARIZATION (ICP) DESALINATION | 20 |
Pengdi Han | US | Napierville | 2016-05-19 / 20160139436 - ELECTRO-OPTICAL SINGLE CRYSTAL ELEMENT, METHOD FOR THE PREPARATION THEREOF, AND SYSTEMS EMPLOYING THE SAME | 2 |
Lu Han | CN | Beijing | 2016-02-04 / 20160033295 - PRESENTING HIERARCHIES OF MAP DATA AT DIFFERENT ZOOM LEVELS | 10 |
Kyu Won Han | KR | Daejeon-Si | 2015-06-11 / 20150161420 - PASSIVE RADIO FREQUENCY IDENTIFICATION (RFID) READER, PASSIVE RFID TAG, AND TRANSMITTING AND RECEIVING METHODS USING EXTENDED PULSE-INTERVAL ENCODING (PIE) | 1 |
Dongfu Han | CN | Shenzhen | 2015-06-11 / 20150160828 - METHOD AND DEVICE FOR DISPLAYING INTERFACE | 1 |
Myunghee Han | KR | Pyeongtaek | 2015-06-11 / 20150159921 - CRYOCOOLER FOR NOISE AND VIBRATION REDUCTION AND CRYOPUMP INCLUDING THE SAME | 1 |
Mei Han | FR | Paris | 2009-03-12 / 20090066335 - Method for Fast Measurement of the Saturation and the Resistivity of a Porous Medium | 1 |
Xu Han | US | Columbia | 2015-03-12 / 20150072424 - CRYOGENIC COOLING THIN FILM EVAPORATOR | 3 |
Xu Han | US | Kingston | 2010-09-30 / 20100245589 - CAMERA CONTROL SYSTEM TO FOLLOW MOVING OBJECTS | 1 |
Hui-Quan Han | US | Thousand Oaks | 2014-07-10 / 20140194355 - Isolated Nucleic Acid Molecules Encoding Variant Activin Receptor Polypeptides | 6 |
Hq Han | US | Thousand Oaks | 2013-09-05 / 20130230515 - MYOSTATIN BINDING AGENTS | 9 |
Nianhe Han | US | Thousand Oaks | 2012-01-12 / 20120010256 - INHIBITORS OF 11-BETA-HYDROXY STEROID DEHYDROGENASE TYPE 1 | 9 |
Qi Han | US | Hockessin | 2010-03-18 / 20100069373 - SUBSTITUTED LACTAMS AS INHIBITORS OF A BETA PROTEIN PRODUCTION | 6 |
Dongmel Han | US | 2010-05-27 / 20100130481 - ANTICONVULSANT AND ANXIOLYTIC METHODS OF USING RECEPTOR SUBTYPE SELECTIVE AGENTS | 1 | |
Eungnak Han | US | Madison | 2011-01-20 / 20110014420 - PHOTOPATTERNABLE IMAGING LAYERS FOR CONTROLLING BLOCK COPOLYMER MICRODOMAIN ORIENTATION | 2 |
Bingsong Han | US | North Haven | 2011-04-07 / 20110082130 - PIPERAZINYL OXOALKYL TETRAHYDROISOQUINOLINES AND RELATED ANALOGUES | 2 |
Lushan Han | US | Baltimore | 2011-03-31 / 20110078205 - METHOD AND SYSTEM FOR FINDING APPROPRIATE SEMANTIC WEB ONTOLOGY TERMS FROM WORDS | 1 |
Dong P. Han | US | Ames | 2011-03-17 / 20110064760 - POLYPEPTIDES COMPRISING EPITOPES OF HIV GP41 AND METHODS OF USE | 1 |
Sheng-Cheng Han | US | Charlottesville | 2015-01-22 / 20150026845 - COMPOSITIONS AND RELATED METHODS FOR MODULATING ALKALOID PRODUCTION BY CONTROLLING PMT PROMOTER ACTIVATION MEDIATED BY TRANSCRIPTIONAL FACTORS ERF AND MYC | 2 |
Xiaoxia Han | US | 2010-12-23 / 20100321094 - METHOD AND CIRCUIT IMPLEMENTATION FOR REDUCING THE PARAMETER FLUCTUATIONS IN INTEGRATED CIRCUITS | 1 | |
Liangfeng Han | US | Baltimore | 2010-09-23 / 20100240574 - Heyl as a Therapeutic Target and a Diagnostic Marker for Neoplasia and Uses Therefor | 1 |
Eui-Hong Han | US | Woodbury | 2010-09-02 / 20100223215 - SYSTEMS AND METHODS OF MAKING CONTENT-BASED DEMOGRAPHICS PREDICTIONS FOR WEBSITES | 2 |
Bomie Han | US | Carmel | 2010-04-08 / 20100087631 - ANTI-MYOSTATIN ANTIBODIES | 2 |
Yingchang Han | US | West Lafayette | 2010-03-25 / 20100075004 - Apparatus and method for reducing microorganisms on produce using chlorine dioxide gas | 1 |
Xue Han | US | Baltimore | 2010-03-04 / 20100055019 - CALCIUM PHOSPHATE BODIES AND A PROCESS FOR MAKING CALCIUM PHOSPHATE BODIES | 1 |
Samuel Sangman Han | US | Plymouth | 2010-02-18 / 20100037915 - METHODS AND APPARATUS FOR MINIMIZING AIRBORNE DUST IN FLOOR MAINTENANCE MACHINES | 1 |
Gilsoo Han | US | Salt Lake City | 2010-02-11 / 20100035746 - Methods for Making Carbide-Metal Nanocomposite Powders | 1 |
Shufeng Han | US | Johnston | 2009-11-26 / 20090292412 - Vehicular Guidance System Having Compensation for Variations in Ground Elevation | 2 |
Jixiong Han | US | Woodbury | 2009-11-05 / 20090274932 - Sputtered metal film recording medium including texture promotion layer | 1 |
Yousoo Han | US | Bangor | 2011-10-27 / 20110260348 - METHOD FOR DRYING CELLULOSE NANOFIBRILS | 3 |
Kai Han | US | Blacksburg | 2009-06-11 / 20090150699 - SLEEP SCHEDULING METHOD BASED ON MOVING DIRECTIONS OF TARGET IN SENSOR NETWORK | 1 |
Xianlin Han | US | Clayton | 2009-05-28 / 20090134323 - MULTIDIMENSIONAL MASS SPECTROMETRY OF SERUM AND CELLULAR LIPIDS DIRECTLY FROM BIOLOGIC EXTRACTS | 3 |
Qingyou Han | US | Knoxville | 2009-05-21 / 20090126897 - METHOD AND APPARATUS FOR SEMI-SOLID MATERIAL PROCESSING | 1 |
Sang M. Han | US | Albuquerque | 2015-05-14 / 20150130017 - SEMICONDUCTOR DEVICE AND METHOD OF MAKING THE DEVICE | 6 |
Wesley Wehsin Han | US | Arlington | 2014-04-17 / 20140107121 - OLOPATADINE COMPOSITIONS AND USES THEREOF | 21 |
Yong-Hae Han | KR | Seoul | 2016-05-12 / 20160128966 - METHODS FOR TREATING LEUKOPENIA AND THROMBOCYTOPENIA | 1 |
Wulin Han | CN | Shijiazhuang | 2015-08-06 / 20150217978 - GANTRY BINARY SYNCHRONIZATION BLOCK-TYPE BRAKE | 2 |
Ye-Seul Han | KR | Gyeonggi-Do | 2014-10-30 / 20140319527 - ARRAY SUBSTRATE FOR NARROW BEZEL TYPE LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Da Woon Han | KR | Seoul | 2015-06-11 / 20150158191 - RAZOR CARTRIDGE HAVING COMB GUARD | 1 |
Pengdi Han | US | Bolingbrook | 2015-06-11 / 20150159296 - CRYSTAL GROWTH SYSTEM AND METHOD FOR LEAD-CONTAINED COMPOSITIONS USING BATCH AUTO-FEEDING | 8 |
Min-Sik Han | KR | Gyeonggi-Do | 2016-02-11 / 20160043727 - PERIOD MEASURING CIRCUIT AND SEMICONDUCTOR DEVICE INCLUDING THE SAME | 2 |
Seok-Jin Han | KR | Gyeonggi-Do | 2015-06-04 / 20150154933 - METHOD, APPARATUS, AND STORAGE MEDIUM FOR COMPENSATING FOR DEFECTIVE PIXEL OF DISPLAY | 1 |
Seung Jun Han | KR | Cheonansi | 2015-06-04 / 20150154488 - RADIO FREQUENCY IDENTIFICATION TAG AND METHOD OF MANUFACTURING THE SAME | 1 |
Jong Hee Han | KR | Gisan-Myeon | 2015-06-04 / 20150153762 - APPARATUS FOR REDUCING EFFORT OF CLUTCH PEDAL FOR VEHICLE | 1 |
Kwangjin Han | KR | Seongnam-Si | 2015-06-04 / 20150151756 - DEVICE AND METHOD FOR CONTROLLING SPEED OF VEHICLE | 2 |
Kyung Hun Han | KR | Seongnam | 2015-06-04 / 20150151042 - PROSTHESIS HAVING CONTROL FUNCTION | 1 |
Zhongbo Han | CN | Shenzhen | 2015-06-04 / 20150156614 - Method and Device for Adjusting and Processing Ringtone | 1 |
Jun Han | CN | Shanghai | 2015-06-04 / 20150154415 - SENSITIVE DATA PROTECTION DURING USER INTERFACE AUTOMATION TESTING SYSTEMS AND METHODS | 1 |
Wenqiang Han | CA | Calgary | 2015-06-04 / 20150152718 - Cyclic Solvent Hydrocarbon Recovery Process Using An Advance-Retreat Movement Of the Injectant | 1 |
Steve Han | US | Huntington Beach | 2016-04-07 / 20160095741 - BRUXISM GUARD WITH MANDIBLE COMPENSATION MECHANISM | 12 |
Doo Hyun Han | KR | Seongnam | 2015-05-28 / 20150149597 - AVN FOR VEHICLE AND MOBILE DEVICE | 1 |
Sang Hoon Han | KR | Seongnam-Si | 2015-05-28 / 20150149006 - CHAINLESS ELECTRIC BICYCLE | 1 |
Chul-Woong Han | KR | Cheonan-Si | 2015-05-28 / 20150147680 - HIGHLY FUNCTIONAL COMPOSITE NANOPARTICLES AND METHOD FOR PRODUCING SAME | 1 |
Yung Hee Han | KR | Bucheon-Si | 2015-05-28 / 20150147197 - APPARATUS FOR CIRCULATING COOLANT IN TURBOCHARGER | 1 |
Jingning Han | US | Santa Clara | 2016-05-19 / 20160142726 - ALTERNATING BLOCK CONSTRAINED DECISION MODE CODING | 4 |
Kyung Eun Han | KR | Gyeonggi-Do | 2015-05-28 / 20150145528 - PASSIVE INTERMODULATION MEASUREMENT DEVICE AND RELAY UNIT INCLUDING THE SAME | 1 |
Fei Han | US | Niskayuna | 2014-05-08 / 20140124589 - APPARATUS AND METHOD FOR REDUCING ACOUSTICAL NOISE IN SYNTHETIC JETS | 5 |
Fei Han | US | Clifton Park | 2014-12-04 / 20140352322 - ANNULAR STRIP MICRO-MIXERS FOR TURBOMACHINE COMBUSTOR | 11 |
Kyoungchan Han | KR | Gunpo-Si | 2016-04-21 / 20160108843 - METHOD AND SYSTEM FOR CONTROLLING ENGINE USING COMBUSTION PRESSURE SENSOR | 5 |
Seung Kook Han | KR | Seoul | 2016-04-21 / 20160108860 - ENGINE SYSTEM | 6 |
Lijuan Han | US | Simpsonville | 2015-05-28 / 20150143816 - MODULAR INDUSTRIAL GAS TURBINE EXHAUST SYSTEM | 4 |
Ki Bok Han | KR | Seoul | 2013-01-31 / 20130026455 - HYBRID ORGANIC-INORGANIC THIN FILM AND PRODUCING METHOD OF THE SAME | 1 |
Sang-Hyun Han | KR | Seoul | 2013-09-26 / 20130248997 - Semiconductor Devices Including Guard Ring Structures | 3 |
Sang-Hyun Han | KR | Gyeonggi-Do | 2011-11-17 / 20110279410 - TOUCH SCREEN INPUT APPARATUS | 5 |
Ji Hyung Han | KR | Jeju-Si | 2015-05-21 / 20150140469 - COMPARTMENTLESS ABIOTIC SUCROSE-AIR FUEL CELL | 1 |
Seokmin Han | KR | Seongnam-Si | 2015-05-21 / 20150139394 - X-RAY IMAGING APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Wei Han | US | Austin | 2015-05-21 / 20150139370 - INTEGRATED CIRCUIT WITH INTER-CHIP LINK FOR BOOT-UP | 1 |
Ki-Hoon Han | KR | Seoul | 2015-05-21 / 20150139328 - METHOD AND APPARATUS FOR LOSSLESS ENCODING AND DECODING | 1 |
Jae Hyun Han | KR | Gwangju | 2013-02-21 / 20130043742 - MOTOR | 2 |
Sang Kug Han | KR | Gwangju | 2010-12-02 / 20100302230 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Jung Gyun Han | KR | Gwangju | 2015-09-24 / 20150265120 - DUST COLLECTING APPARATUS AND VACUUM CLEANER HAVING THE SAME | 8 |
Jae Cheon Han | KR | Gwangju | 2012-09-06 / 20120223359 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME | 4 |
Ji-Yon Han | KR | Gwangju | 2011-03-31 / 20110073808 - PHOSPHOR, WHITE LIGHT EMITTING DEVICE INCLUDING THE PHOSPHOR AND METHOD OF PREPARING THE PHOSPHOR | 1 |
Seung Heon Han | KR | Gwangju | 2008-11-13 / 20080279557 - WDM-PON SYSTEM USING SELF-INJECTION LOCKING, OPTICAL LINE TERMINAL THEREOF, AND DATA TRANSMISSION METHOD | 1 |
Soo Wook Han | KR | Gwangju | 2009-05-14 / 20090123148 - All-Optical CSMA/CD Apparatus In Base A Ethernet And The Method Therefor | 1 |
Jae Myung Han | KR | Gwangju | 2016-04-14 / 20160102904 - REFRIGERATOR | 8 |
Seung Wan Han | KR | Gwangju | 2015-08-06 / 20150221097 - HARMLESS FRAME FILTER, HARMFUL IMAGE BLOCKING APPARATUS HAVING THE SAME, AND METHOD FOR FILTERING HARMLESS FRAMES | 15 |
Won-Taek Han | KR | Gwangju | 2015-09-17 / 20150261020 - ALL-FIBER ISOLATOR USING OPTICAL FIBER INCLUDING QUANTUM DOTS | 3 |
Ho Jae Han | KR | Gwangju | 2010-05-06 / 20100112684 - CELL CULTURE DISH FOR THE EMBRYOID BODY FORMATION FROM EMBRYONIC STEM CELLS | 1 |
Hye Jin Han | KR | Suwon-Si | 2008-12-11 / 20080305165 - SUSTAINED RELEASE ORAL FORMULATION AND PROCESS FOR THE PREPARATION THEREOF | 2 |
Hye Jin Han | KR | Incheon Metropolitan City | 2010-05-06 / 20100115289 - Method and apparatus for encrypting user data | 1 |
William Han | US | Santa Clara | 2015-05-21 / 20150138130 - CAPACITIVE TOUCH SYSTEM AND GAIN CONTROL METHOD THEREOF | 1 |
Hyejin Han | KR | Seoul | 2015-05-21 / 20150138088 - Apparatus and Method for Recognizing Spatial Gesture | 1 |
Jong Woo Han | KR | Suwon-Si | 2015-11-19 / 20150329356 - MEMS STRUCTURE AND METHOD OF MANUFACTURING THE SAME | 2 |
Guangtao Han | CN | Jiangsu | 2016-04-07 / 20160099347 - LATERALLY DIFFUSED METAL OXIDE SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR | 2 |
Jong-Su Han | KR | Pyeongtaek-Si | 2015-05-21 / 20150136728 - CLEANING COMPOSITION AND METHOD OF MANUFACTURING METAL WIRING USING THE SAME | 1 |
Hee Sun Han | KR | Suwon | 2016-05-05 / 20160126745 - NON-CONTACT TYPE POWER TRANSMITTING APPARATUS, NON-CONTACT TYPE POWER RECEIVING APPARATUS, AND NON-CONTACT TYPE POWER TRANSCEIVING APPARATUS | 2 |
Jai-Min Han | KR | Suwon | 2015-08-20 / 20150231695 - BRAKE CALIPER HOUSING HAVING DIFFERENT STRENGTH AND METHOD FOR MANUFACTURING THE SAME | 3 |
Sungjun Han | KR | Gunpo-Si | 2015-05-21 / 20150136541 - VARIABLE BRAKING SYSTEM | 1 |
Cheol-Min Han | KR | Jeollabuk-Do | 2015-11-26 / 20150335918 - ULTRASONIC PROBE HAVING GRADIENT INFORMATION AND DEVICE FOR ULTRASONIC DIAGNOSIS AND TREATMENT USING SAME | 2 |
Dong Ki Han | KR | Gwangmyeong-Si | 2015-05-21 / 20150135459 - BLADE MAINTENANCE DEVICE FOR WIND TURBINE | 1 |
Shuchang Han | CN | Beijing | 2013-12-19 / 20130335785 - METHOD AND SYSTEM FOR DOCUMENT PRINTING MANAGEMENT AND CONTROL, AND DOCUMENT SOURCE TRACKING | 1 |
Soon-Seob Han | KR | Seoul | 2016-02-04 / 20160033772 - HEAD MOUNTED DISPLAY DEVICE FOR DISPLAYING IMAGE AND METHOD THEREOF | 10 |
Hee Sun Han | KR | Suwon-Si | 2016-05-05 / 20160126748 - NON-CONTACT POWER TRANSMISSION DEVICE AND LOCATION-BASED SERVICE SYSTEM USING THE SAME | 4 |
Ting Han | US | Dallas | 2015-05-14 / 20150132783 - Neuroprotective Chemicals and Methods for Identifying and Using Same | 1 |
Jae Woo Han | KR | Daejeon | 2014-06-05 / 20140157427 - APPARATUS AND METHOD FOR VERIFYING INTEGRITY OF FIRMWARE OF EMBEDDED SYSTEM | 4 |
Chan Ho Han | KR | Seongnam-Si | 2015-10-22 / 20150304654 - SYSTEM AND APPARATUS FOR OBJECTIVE EVALUATION OF IMAGE QUALITY OF DIGITAL IMAGE MEDIA | 2 |
Won-Chull Han | KR | Cheonan-Si | 2015-05-14 / 20150130424 - BATTERY PACK AND PROTECTION METHOD USING THE SAME | 1 |
Jing Han | US | Dearborn | 2015-05-14 / 20150130217 - SORB ENERGY MANAGEMENT CROSSMEMBER | 1 |
Baixiang Han | CN | Shenzhen | 2015-05-14 / 20150129842 - Method For Manufacturing Organic Electroluminescence Device And Organic Electroluminescence Device Manufactured With Same | 1 |
Jonghye Han | KR | Changwon-Si | 2011-03-31 / 20110074589 - DIAGNOSTIC SYSTEM AND METHOD FOR HOME APPLIANCE | 9 |
Yifang Han | CN | Shanghai | 2015-05-14 / 20150135342 - CELL LINE OF RENAL SARCOMATOID CARCINOMA IN PERSONS OF HAN NATIONALITY AND PREPARATION METHOD THEREOF | 1 |
Woo Sup Han | KR | Yongin-Si | 2016-04-21 / 20160106389 - X-RAY APPARATUS AND X-RAY IMAGING METHOD | 43 |
Yoon-Seok Han | KR | Jung-Gu | 2015-05-14 / 20150129748 - Multiple Data Rate Counter, Data Converter including the Same, and Image Sensor Including the Same | 2 |
Yong In Han | KR | Seongnam-Si | 2009-08-27 / 20090213252 - IMAGE SENSOR | 2 |
Dong-Kyoon Han | KR | Seongnam-Si | 2016-05-19 / 20160140893 - METHOD FOR DISPLAYING CONTENT AND ELECTRONIC DEVICE THEREFOR | 11 |
Sung-Kee Han | KR | Seongnam-Si | 2012-12-20 / 20120319216 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD | 3 |
Seung-Hak Han | KR | Seongnam-Si | 2008-11-13 / 20080279600 - IMAGE FIXING APPARATUS AND IMAGE FORMING APPARATUS HAVING THE SAME | 1 |
Yong-Joo Han | KR | Seongnam-Si | 2008-11-20 / 20080285346 - Decoder, memory system, and physical position converting method thereof | 1 |
Jae-Ho Han | KR | Seongnam-Si | 2008-12-25 / 20080320174 - Data communication system and method | 2 |
Jong-Yeol Han | KR | Seongnam-Si | 2009-04-30 / 20090108047 - JAM-RESISTANT TACKER APPARATUS | 1 |
Joon Soo Han | KR | Seongnam-Si | 2015-12-17 / 20150364632 - SOLAR CELL HAVING WAVELENGTH CONVERTING LAYER AND MANUFACTURING METHOD THEREOF | 3 |
Jin-Su Han | KR | Seongnam-Si | 2009-07-02 / 20090166792 - IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME | 2 |
Jong-Woo Han | KR | Seongnam-Si | 2012-06-21 / 20120152886 - METHOD OF MANUFACTURING SUBSTRATE FOR CAPACITOR-EMBEDDED PRINTED CIRCUIT BOARD AND CAPACITOR-EMBEDDED PRINTED CIRCUIT BOARD | 2 |
Seung Wook Han | KR | Seongnam-Si | 2009-11-12 / 20090282005 - SIP NETWORK-BASED CONTENT SHARING METHOD AND SYSTEM | 1 |
Shin-Hee Han | KR | Seongnam-Si | 2015-03-05 / 20150061054 - MAGNETIC MEMORY DEVICE | 2 |
Sung-Jae Han | KR | Seongnam-Si | 2013-07-25 / 20130186436 - APPARATUS FOR CLEANING PHOTOMASK | 4 |
Sung Chul Han | KR | Seongnam-Si | 2011-02-24 / 20110044189 - METHOD FOR SINR MEASUREMENT WITH CONTROLLING RESIDUAL GAIN IN HSPA/HSDPA SYSTEM AND APPARATUS THEREOF | 2 |
Seok-Min Han | KR | Seongnam-Si | 2015-05-21 / 20150139395 - X-RAY IMAGING APPARATUS AND METHOD OF CONTROLLING THE SAME | 26 |
Hakson Han | KR | Seongnam-Si | 2013-08-22 / 20130213734 - HORIZONTALLY INSTALLED MUFFLER HAVING SPORTY TONE | 3 |
Sang-Su Han | KR | Seongnam-Si | 2011-03-24 / 20110069091 - METHOD OF DRIVING LIGHT SOURCE AND DISPLAY APPARATUS FOR PERFORMING THE METHOD | 2 |
Jinman Han | KR | Seongnam-Si | 2016-04-28 / 20160117110 - MEMORY SYSTEMS INCLUDING AN INPUT/OUTPUT BUFFER CIRCUIT | 30 |
Young Tae Han | KR | Seongnam-Si | 2010-05-20 / 20100124182 - Method and Apparatus for Classifying Traffic at Transport Layer | 1 |
Kab Tae Han | KR | Seongnam-Si | 2011-01-20 / 20110014094 - DISK TYPE MICROFLUIDIC DEVICE AND BLOOD TESTING APPARATUS USING THE SAME | 1 |
Jin Man Han | KR | Seongnam-Si | 2015-03-19 / 20150078087 - CONTROL METHOD OF NONVOLATILE MEMORY DEVICE | 3 |
Jing Han | US | San Jose | 2008-11-06 / 20080273031 - Page based rendering in 3D graphics system | 1 |
Jing Han | CN | Shenzhen | 2014-10-16 / 20140308968 - DYNAMIC SPECTRUM SHARING METHOD AND DEVICE | 7 |
Jing Han | CN | Shenzhen City | 2010-05-06 / 20100112298 - METHOD FOR MAKING HOUSING AND HOUSING THEREOF | 2 |
Jing Han | CN | Beijing | 2016-05-12 / 20160133187 - PIXEL CIRCUIT AND DRIVING METHOD THEREOF, DISPLAY APPARATUS | 88 |
Hyuk Han | KR | Seoul | 2015-07-16 / 20150199230 - MEMORY SYSTEM CAPABLE OF RE-MAPPING ADDRESS | 4 |
Xiangzi Han | CN | Shenzhen City | 2015-05-07 / 20150126133 - Method And Apparatus For Testing Radio Frequency Index Of Active Antenna System | 1 |
Seungchul Han | KR | Gyeonggi-Do | 2015-05-07 / 20150125993 - INTERPOSER, MANUFACTURING METHOD THEREOF, SEMICONDUCTOR PACKAGE USING THE SAME, AND METHOD FOR FABRICATING THE SEMICONDUCTOR PACKAGE | 1 |
Seung-Soo Han | KR | Gwangju-Si | 2015-05-07 / 20150125956 - COMPOSITION FOR MATURING DENDRITIC CELLS, AND METHOD FOR PREPARING ANTIGEN-SPECIFIC DENDRITIC CELLS USING SAME | 1 |
Dingding Han | CN | Shanghai | 2015-05-07 / 20150125885 - RTN4B POLYPEPTIDE, MONOCLONAL ANTIBODY THEREOF, MONOCLONAL ANTIBODY-PRODUCING HYBRIDOMA CELL STRAIN, AND, PREPARATION AND APPLICATION THEREOF | 1 |
Seung Ho Han | KR | Cheonan-Si | 2015-06-04 / 20150154288 - METHOD AND SYSTEM FOR PROCESSING LOG DATA | 2 |
Yonghee Han | KR | Gyeonggj-Do | 2015-05-07 / 20150124803 - METHOD AND APPARATUS FOR PROCESSING VoIP DATA | 1 |
Seung Hoon Han | KR | Gyeonggi-Do | 2015-10-01 / 20150277604 - TOUCH SENSOR MODULE | 3 |
Joon Han | KR | Hwaseong-Si | 2016-03-17 / 20160078919 - SEMICONDUCTOR MEMORY DEVICE COMPENSATING DIFFERENCE OF BITLINE INTERCONNECTION RESISTANCE | 2 |
Seung Min Han | KR | Pohang-Si | 2015-05-07 / 20150122083 - High Cleanliness Molten Steel Production Method and Refining Device | 1 |
Minho Han | KR | Daejeon | 2010-04-22 / 20100100929 - APPARATUS AND METHOD FOR SECURITY MANAGING OF INFORMATION TERMINAL | 2 |
Myung Soo Han | KR | Seoul | 2011-03-31 / 20110076749 - MICROALGAE WITH HIGH-EFFICIENT ABILITY TO REMOVE CARBON DIOXIDE AND USE THEREOF | 1 |
Sang Dae Han | KR | Seoul | 2015-05-07 / 20150127642 - ACTIVE CHRONICLE COMPARISON SYSTEM USING WEBSITES | 1 |
Sang Dae Han | KR | Jongno-Gu Seoul | 2015-05-07 / 20150127642 - ACTIVE CHRONICLE COMPARISON SYSTEM USING WEBSITES | 1 |
Seunghun Han | KR | Hwasung-City | 2015-05-07 / 20150123290 - SEMICONDUCTOR PACKAGES HAVING TRENCH-SHAPED OPENING AND METHODS FOR FABRICATING THE SAME | 1 |
Mengmeng Han | CN | Beijing | 2015-04-30 / 20150120866 - METHODS AND DEVICES FOR PROCESSING MOBILE TERMINAL RESOURCE | 1 |
Zhiming Han | US | Philadelphia | 2009-05-28 / 20090138979 - MANIPULATING SP1 ACTIVITY TO IMPROVE THERAPEUTIC CLONING | 1 |
Wen-Ching Han | US | Newtown | 2015-09-03 / 20150246892 - N-SUBSTITUTED BIS(FLUOROALKYL)-1,4-BENZODIAZEPINONE COMPOUNDS | 9 |
Chenglong Han | US | Malvern | 2010-06-10 / 20100145901 - MATRIX MARKER MODEL AND METHODS FOR ASSESSING AND TREATING ARTHRITIS AND RELATED DISORDERS | 1 |
Qiang Han | US | Levittown | 2009-03-19 / 20090076142 - USE OF THE IRRITATING PRINCIPAL OLEOCANTHAL IN OLIVE OIL, AS WELL AS STRUCTURALLY AND FUNCTIONALLY SIMILAR COMPOUNDS | 2 |
Bing Han | US | Lansdale | 2013-07-25 / 20130189853 - Low Temperature Deposition of Silicon-Containing Films | 7 |
Mingmin Han | US | Nazareth | 2011-03-31 / 20110077390 - BICYCLIC CYCLOHEXITOL NUCLEIC ACID ANALOGS | 1 |
Zhaohong Han | TW | New Taipei City | 2015-04-30 / 20150115988 - AUTOMATION TESTING SYSTEM FOR TESTING A MOTHERBOARD | 1 |
Su Bin Han | KR | Daejeon | 2015-04-30 / 20150115891 - POWER-SHARING CHARGING SYSTEM, CHARGING DEVICE, AND METHOD FOR CONTROLLING THE SAME | 1 |
Jin Woo Han | KR | Cheongju-Si | 2015-04-30 / 20150115352 - SEMICONDUCTOR DEVICE | 1 |
Sang-Min Han | KR | Geoje-Si | 2015-04-30 / 20150114970 - REINFORCING MEMBER FOR CORRUGATED MEMBRANE OF LNG CARGO TANK, MEMBRANE ASSEMBLY HAVING THE REINFORCING MEMBER AND METHOD FOR CONTRUCTING THE SAME | 1 |
Seung Hun Han | KR | Gyeonggi-Do | 2015-08-27 / 20150241215 - MICRO ELECTRO MECHANICAL SYSTEMS SENSOR | 3 |
Xiaoye Han | CA | Windsor | 2015-04-30 / 20150113961 - Diesel Engine Nox Reduction | 1 |
Jun-Hee Han | KR | Suwon-Si | 2015-04-30 / 20150113796 - METHOD OF MANUFACTURING CURVED SECONDARY BATTERY | 1 |
Changhoon Han | KR | Hwaseong-Si | 2015-04-30 / 20150121099 - DATA STORAGE SYSTEM AND METHOD ANALYZING NON-SIGNAL | 1 |
Sang Han | US | Albuquerque | / - | 1 |
Eun-Young Han | KR | Hwaseong-Si | 2015-04-30 / 20150114559 - PLASMA SHIELDING MEMBERS, PLASMA DETECTING STRUCTURES, AND PLASMA REACTION APPARATUSES | 1 |
Sung Ok Han | KR | Seoul | 2013-05-02 / 20130109070 - TRANSFORMANT FOR ENHANCING BIOETHANOL PRODUCTION, AND METHOD FOR PRODUCING ETHANOL BY USING SAID STRAIN | 2 |
Lei Han | SG | Singapore | 2011-12-01 / 20110291417 - DEVICE FOR CONVERSION OF WAVE ENERGY | 2 |
Lei Han | CN | Shenzhen City | 2010-06-03 / 20100132919 - HEAT SINK | 1 |
Lei Han | CN | Guangdong | 2009-06-11 / 20090148766 - SECONDARY BATTERY | 1 |
Lei Han | CN | Shenzhen | 2014-12-18 / 20140368996 - PIVOT MECHANISM OF FOLDABLE ELECTRONIC DEVICE | 11 |
Lei Han | US | Overland Park | 2011-07-14 / 20110173029 - RECURRING TIME ZERO | 2 |
Youngeun Han | KR | Gyeonggi-Do | 2016-05-05 / 20160125221 - METHOD FOR RECOGNIZING IRIS AND ELECTRONIC DEVICE THEREOF | 7 |
Hyeok Han | KR | Daejeon | 2015-07-30 / 20150213016 - METHOD FOR PERFORMING FULL-TEXT-BASED LOGIC OPERATION USING HASH | 2 |
Seong-Cheol Han | KR | Uijeongbu-Si | 2013-10-31 / 20130286000 - 2D/3D IMAGE DISPLAY DEVICE, ELECTRONIC IMAGE DISPLAY DEVICE, AND DRIVING METHOD THEREOF | 2 |
Chien Wei Han | US | Tucson | 2008-10-23 / 20080257400 - HOLOGRAPHICALLY ENHANCED PHOTOVOLTAIC (HEPV) SOLAR MODULE | 1 |
Haiyong Han | US | Chandler | 2013-10-17 / 20130273063 - METHODS AND KITS TO PREDICT THERAPEUTIC OUTCOME OF BTK INHIBITORS | 6 |
Wenhai Han | US | Chandler | 2010-09-30 / 20100244870 - DOPANT PROFILE MEASUREMENT MODULE, METHOD AND APPARATUS | 1 |
Danzxiang Han | US | Mesa | 2010-10-21 / 20100267085 - NOVEL PSEUDOCHLOROCOCCUM SPECIES AND USES THEREFOR | 1 |
Woojong Han | US | Phoenix | 2014-12-11 / 20140365796 - Power Management For A System On A Chip (SoC) | 16 |
Ilsu Han | US | Tempe | 2014-08-07 / 20140217553 - TEMPLATE LAYERS FOR HETEROEPITAXIAL DEPOSITION OF III NITRIDE SEMICONDUCTOR MATERIALS USING HVPE PROCESSES | 4 |
Kyungtae Han | US | Hillsboro | 2015-03-26 / 20150084872 - ADAPTIVE TOUCH SCANNING | 4 |
Fusen Han | US | Eugene | 2009-02-19 / 20090048327 - Polymorphs of Pyrrole Substituted 2-Indolinone Protein Kinase Inhibitors | 1 |
Kyung-Nam Han | US | Portland | 2010-12-16 / 20100318592 - Multiplicative Division Circuit With Reduced Area | 1 |
Danny Han | US | Happy Valley | 2010-03-04 / 20100053475 - ILLUMINATED STATUS INDICATOR FOR AN IMAGE DISPLAY DEVICE | 3 |
Kyungtae Han | US | Portland | 2015-09-10 / 20150254194 - POLLING DETERMINATION | 11 |
Young-Seok Han | KR | Gyeonggi-Do | 2008-08-21 / 20080200193 - Method and Apparatus for Sending Message Using Information Search Input Field | 1 |
Dong-Chul Han | KR | Gangnam-Gu | 2009-03-19 / 20090072644 - THRUST MAGNETIC BEARING SYSTEM | 1 |
Dong Joo Han | KR | Changwon-Si | 2011-03-24 / 20110067457 - CLOTH TREATING APPARATUS | 6 |
Dong Joo Han | KR | Gyeongsangnam-Do | 2010-04-08 / 20100083711 - LAUNDRY MACHINE | 7 |
Lin Han | US | Ewing | 2015-04-23 / 20150108461 - ELECTRONIC DEVICE WITH REDUCED NON-DEVICE EDGE AREA | 1 |
Ki Su Han | KR | Anyang-Si | 2016-04-28 / 20160114617 - METHOD FOR CUTTING SUBSTRATE | 2 |
Min Jin Han | KR | Osan-Si | 2015-04-23 / 20150107268 - TEMPERATURE CONTROL SYSTEM FOR SEMICONDUCTOR MANUFACTURING SYSTEM | 1 |
Gi Youl Han | KR | Cheonan-Si | 2015-04-23 / 20150107750 - METHOD AND APPARATUS FOR LAMINATING SCINTILLATOR PANEL AND IMAGING DEVICE PANEL | 1 |
Mi-Ja Han | KR | Jeonju-Si | 2012-09-20 / 20120234591 - ELECTROMAGNETIC BANDGAP STRUCTURE AND PRINTED CIRCUIT BOARD | 12 |
Mi-Ja Han | KR | Suwon-Si | 2010-06-03 / 20100132996 - ELECTROMAGNETIC BANDGAP STRUCTURE AND PRINTED CIRCUIT BOARD | 4 |
Mi-Ja Han | KR | Junjoo-Si | 2011-03-24 / 20110069470 - Electromagnetic interference noise reduction board using electromagnetic bandgap structure | 6 |
Il Young Han | KR | Euiwang-Si | 2013-09-26 / 20130248114 - CHIP BONDING APPARATUS | 2 |
Yang Han | US | Santa Clara | 2012-03-29 / 20120079340 - COMMUNICATIONS SYSTEM EMPLOYING LOCAL AND GLOBAL INTERLEAVING/DE-INTERLEAVING | 9 |
Young M. Han | KR | Bucheon | 2015-04-16 / 20150105993 - SYSTEM AND APPARATUS FOR CONTROLLING SPEED IN EXCESS SPEED ENFORCEMENT SECTION | 1 |
Chong Han | US | South San Francisco | 2015-06-04 / 20150152067 - PROCESS FOR MAKING HYDROXYLATED CYCLOPENTYLPYRIMIDINE COMPOUNDS | 2 |
Byung Sun Han | KR | Gimpo-Si | 2012-04-19 / 20120091009 - METHOD AND APPARATUS FOR PRODUCING NANO-SIZED SILVER PARTICLES USING ELECTROLYSIS | 2 |
Chaoqun Han | CN | Wuhan, Hubei | 2015-04-16 / 20150105244 - ELECTRODE MATERIAL AND ITS APPLICATIONS IN DIRECT FUEL CELL AND ELECTROCHEMICAL HYDROGENATION ELECTROLYTIC TANK | 1 |
Hoon Han | KR | Hwaseong-Si | 2016-03-31 / 20160090077 - CONTROL METHOD OF HYBRID VEHICLE | 4 |
Kyung Hyun Han | KR | Suwon-Si | 2008-12-25 / 20080314318 - Plasma processing apparatus and method thereof | 2 |
Hyun Joo Han | KR | Suwon-Si | 2011-06-30 / 20110154661 - Method of fabricating printed circuit board assembly | 2 |
Sang-Woo Han | KR | Cheonan-Si | 2015-12-03 / 20150346388 - OPTICAL MULTILAYERED UNIT AND DISPLAY DEVICE INCLUDING THE SAME | 2 |
Sungsu Han | KR | Goyang-Si | 2015-04-16 / 20150103038 - Display Device and Method for Driving The Same | 1 |
Kyu Won Han | KR | Daejeon | 2015-11-12 / 20150324619 - APPARATUS AND METHOD FOR CONTROLLING TAG | 3 |
Jong-Ki Han | KR | Seoul | 2016-03-10 / 20160073107 - METHOD AND APPARATUS FOR VIDEO ENCODING/DECODING USING INTRA PREDICTION | 5 |
Hongzheng Han | CN | Shanghai | 2015-07-23 / 20150206634 - PSEUDO-8-SHAPED INDUCTOR | 2 |
Man-Ho Han | KR | Cheongju-Si | 2011-03-24 / 20110068772 - APPARATUS AND METHOD FOR DETECTING MODE CHANGE IN AN ELECTRONIC DEVICE | 1 |
Seok Jin Han | US | Santa Rosa | 2011-06-30 / 20110157217 - METHODS AND SYSTEMS FOR SUB-PIXEL RENDERING WITH GAMMA ADJUSTMENT | 2 |
Sung Hee Han | KR | Seoul | 2011-03-24 / 20110069027 - MOBILE TERMINAL | 2 |
Hojea Han | JP | Mie | 2015-04-16 / 20150101842 - WATERPROOF COVER | 1 |
Kook Il Han | KR | Seongnam-Si | 2015-04-16 / 20150101750 - BONDING APPARATUS OF FUEL CELL STACK AND METHOD THEREOF | 1 |
Jeong Won Han | KR | Chungcheongnam-Do | 2015-04-16 / 20150101536 - MASK ASSEMBLY AND DEPOSITION APPARATUS USING THE SAME FOR FLAT PANEL DISPLAY | 1 |
Jae Hee Han | KR | Seoul | 2015-04-09 / 20150100838 - MEMORY TEST DEVICE AND OPERATING METHOD THEREOF | 1 |
Wooyong Han | KR | Daejeon | 2015-04-09 / 20150100192 - APPARATUS AND METHOD FOR CONTROLLING AUTONOMOUS VEHICLE PLATOONING | 1 |
Joon Han | KR | Seoul | 2008-10-30 / 20080266927 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF FABRICATING THE SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Chang-Soo Han | KR | Seoul | 2016-01-28 / 20160025714 - APPARATUS AND METHOD FOR DETECTING AND COUNTING RARE CELLS IN BLOOD | 5 |
Chang-Soo Han | KR | Dajeon | 2011-02-03 / 20110024333 - Method for separating nanotubes using microwave radiation | 1 |
Chang-Soo Han | KR | Daejeon | 2011-10-27 / 20110261346 - ULTRAVIOLET RAY DETECTION APPARATUS USING NANOCRYSTAL QUANTUM DOTS | 2 |
Sang-Wook Han | KR | Seoul | 2013-06-27 / 20130166150 - SYSTEM AND METHOD FOR CONTROLLING INTER-VEHICLE DISTANCE USING SIDE AND REAR SENSOR | 6 |
Sun-Young Han | KR | Gwangju | 2015-04-09 / 20150099288 - POROUS MEMBRANE HAVING IMMOBILIZED ENZYME, POROUS MEMBRANE COMPOSITE INCLUDING THE SAME, AND PREPARATION METHOD THEREOF | 1 |
Seung Hee Han | KR | Seoul | 2016-04-21 / 20160112231 - APPARATUS AND METHOD FOR TRANSMITTING DATA USING A PLURALITY OF CARRIERS | 43 |
Guodong Han | CN | Beijing | 2015-04-09 / 20150099854 - FLUIDIZED BED POLYMERIZATION REACTOR AND PROCESS FOR PREPARING POLYMER | 1 |
Ji-Eun Han | KR | Incheon | 2016-03-03 / 20160064380 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 3 |
Minyeol Han | KR | Yongin-Si | 2015-12-03 / 20150349320 - SECONDARY BATTERY | 14 |
Jung Pil Han | KR | Ulsan | 2015-07-09 / 20150192238 - VACUUM INSULATION PANEL WITH IMPROVED RUPTURING AND PREPARATION METHOD THEREOF | 9 |
Hyung Seok Han | KR | Daejeon | 2015-04-02 / 20150093630 - METHOD OF MANUFACTURING PRISMATIC TYPE BATTERY CASE | 1 |
Yu-Jin Han | KR | Yongin-Si | 2015-11-26 / 20150340732 - ELECTRODE STRUCTURE AND LITHIUM BATTERY INCLUDING THE SAME | 4 |
Jin-Woo Han | KR | Gyeonggi-Do | 2010-08-12 / 20100203126 - MULTILAYERED VITAMIN COMPLEX TABLET CONTAINING UBIDECARENONE | 1 |
Jin-Woo Han | KR | Daejeon | 2012-11-22 / 20120293363 - METHOD AND DEVICE FOR RECOGNIZING PRI MODULATION TYPE OF RADAR SIGNAL | 2 |
Jin-Hee Han | KR | Asan-Si | 2010-05-20 / 20100123237 - Semiconductor package of multi stack type | 1 |
Seung-Hoon Han | KR | Seoul | 2016-05-05 / 20160123721 - DEVICE AND METHOD FOR DETECTING POSITION OF OBJECT | 43 |
Se Hee Han | KR | Yongin-City | 2015-10-15 / 20150293385 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Kison Han | KR | Yuseong-Gu | 2015-04-02 / 20150091598 - TRANSFORMER FAULT DETECTION APPARATUS AND METHOD | 1 |
Young Ho Han | KR | Hwaseong-Si | 2015-04-02 / 20150090525 - MOLD FOR MAKING SOUND-ABSORBING DUCT AND SOUND-ABSORBING DUCT USING THE SAME | 1 |
Wonsuk Han | KR | Seoul | 2015-04-02 / 20150090334 - SOLAR CELL | 1 |
Yiding Han | US | Morrisville | 2015-04-02 / 20150095872 - GLOBAL ROUTER USING GRAPHICS PROCESSING UNIT | 1 |
Ying Han | KY | Grand Cayman | 2015-04-02 / 20150095176 - Domain Name Marketplace With Mobile Sales And Brokerage Platform | 1 |
Eungnak Han | US | Beaverton | 2015-04-02 / 20150093702 - EXPOSURE ACTIVATED CHEMICALLY AMPLIFIED DIRECTED SELF-ASSEMBLY (DSA) FOR BACK END OF LINE (BEOL) PATTERN CUTTING AND PLUGGING | 1 |
Kyungyeon Han | KR | Seoul | 2015-04-02 / 20150094225 - METHOD OF OBTAINING INFORMATION FOR IDENTIFYING TUMOR CELL UNDERGOING EPITHELIAL-MESENCHYMAL TRANSITION IN SAMPLE, METHOD OF IDENTIFYING TUMOR CELL UNDERGOING EPITHELIAL-MESENCHYMAL TRANSITION IN SAMPLE, METHOD OF DIAGNOSING SUBJECT HAVING TUMOR CELL UNDERGOING EPITHELIAL-MESENCHYMAL TRANSITION AND COMPOSITION OR KIT FOR IDENTIFYING TUMOR CELL UNDERGOING EPITHELIAL-MESENCHYMAL TRANSITION IN SAMPLE | 1 |
Yejun Han | IL | Urbaba | 2015-04-02 / 20150093790 - THERMOSTABLE C. BESCII ENZYMES | 1 |
Yongha Han | KR | Whasung-Si | 2013-06-13 / 20130147229 - DOOR IMPACT BEAM UNIT FOR 2-DOOR VEHICLE | 1 |
Minju Han | KR | Seoul | 2014-04-17 / 20140104523 - DISPLAY APPARATUS | 2 |
Rui Han | US | Boyds | 2009-11-19 / 20090286301 - EXTRACTION OF BIOMOLECULAR COMPLEXES ASSISTED BY ALTERNATING HYDROSTATIC PRESSURE | 1 |
Yaohua Han | CN | Shijiazhuang | 2015-01-22 / 20150021520 - Liquid Crystal Compound | 1 |
Kyu Suk Han | US | Ann Arbor | 2015-03-26 / 20150089236 - Real-Time Frame Authentication Using ID Anonymization In Automotive Networks | 1 |
Jae Ho Han | KR | Hwaseong-Si | 2016-05-12 / 20160133788 - SEMICONDUCTOR LIGHT-EMITTING DEVICES AND SEMICONDUCTOR LIGHT-EMITTING DEVICE PACKAGES | 2 |
Dong-Wook Han | KR | Yongin-Si | 2015-04-23 / 20150111097 - CATHODE ACTIVE MATERIAL, CATHODE INCLUDING THE CATHODE ACTIVE MATERIAL, AND SODIUM SECONDARY BATTERY INCLUDING THE CATHODE | 2 |
So Yeon Han | KR | Seoul | 2015-12-17 / 20150363030 - FLEXIBLE DISPLAY DEVICE | 3 |
Jieun Han | KR | Gunpo-Si | 2015-04-02 / 20150094271 - LEUCINE ZIPPER VARIANT AND USE THEREOF | 2 |
Seung Ju Han | KR | Seoul | 2016-05-19 / 20160139718 - APPARATUS AND METHOD FOR USER INPUT | 38 |
Jaeduk Han | KR | Seongnam-Si | 2015-03-26 / 20150084543 - LED ILLUMINATING APPARATUS HAVING ENHANCED QUANTITY OF LIGHT | 1 |
Gyu Wan Han | KR | Seoul | 2015-03-26 / 20150084185 - SEMICONDUCTOR DEVICE WITH A SEMICONDUCTOR DIE EMBEDDED BETWEEN AN EXTENDED SUBSTRATE AND A BOTTOM SUBSTRATE | 1 |
Hee Han | KR | Daejeon | 2016-03-10 / 20160071989 - LAMINATE AND THIN-FILM SOLAR CELL COMPRISING SAME | 3 |
Ki Han | JP | Tokyo | 2015-03-26 / 20150083160 - ULTRASONIC CLEANING DEVICE | 1 |
Huiquan Han | US | Thousand Oaks | 2016-05-19 / 20160137718 - Stabilized Receptor Polypeptides and Uses Thereof | 15 |
Hauk Han | KR | Hwaseong-Si | 2015-10-29 / 20150311298 - SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THE SAME | 10 |
Seung Bong Han | US | Fremont | 2015-03-26 / 20150089044 - CLIENT LOCATION DISCOVERY | 1 |
Kiseok Han | KR | Seoul | 2015-03-19 / 20150082257 - MOBILE TERMINAL AND CONTROL METHOD THEREOF | 1 |
Dongmei Han | US | San Mateo | 2010-06-10 / 20100144738 - INHIBITORS OF C-MET AND USES THEREOF | 2 |
Dongwoo Han | KR | Changwon-Si | 2015-03-19 / 20150081103 - HOME APPLIANCE, HOME APPLIANCE SYSTEM, AND CONTROL METHOD THEREOF | 1 |
Jeong-Uk Han | KR | Gyeonggi-Do | 2010-11-11 / 20100285641 - MASK ROM DEVICE, SEMICONDUCTOR DEVICE INCLUDING THE MASK ROM DEVICE, AND METHODS OF FABRICATING MASK ROM DEVICE AND SEMICONDUCTOR DEVICE | 4 |
Yong Taek Han | KR | Gunpo-Si Gyeonggi-Do | 2015-03-19 / 20150078728 - AUDIO-VISUAL WORK STORY ANALYSIS SYSTEM BASED ON TENSE-RELAXED EMOTIONAL STATE MEASUREMENT AND ANALYSIS METHOD | 1 |
Xiaoqin Han | CN | Beijing | 2016-02-18 / 20160048172 - ELECTRONIC APPARATUS AND METHOD FOR PRODUCING HOUSING THEREOF | 2 |
Sung Su Han | KR | Goyang-Si | 2016-04-28 / 20160116997 - INPUT SYSTEM AND METHOD FOR DETECTING TOUCH USING THE SAME | 4 |
Ji-Yoon Han | KR | Seoul | 2015-03-19 / 20150075549 - ATTACHING DEVICE FOR EXTENSION EYELASHES | 1 |
Min-Hyung Han | KR | Seoul | 2016-05-12 / 20160128454 - A BACKPACK FOR A MOBILE DEVICE USER | 1 |
Seong-Ho Han | KR | Gwangyang | 2012-06-07 / 20120138198 - BAKE-HARDENABLE COLD ROLLED STEEL SHEET WITH SUPERIOR STRENGTH, GALVANNEALED STEEL SHEET USING THE COLD ROLLED STEEL AND METHOD FOR MANUFACTURING THE COLD ROLLED STEEL SHEET | 2 |
Seong-Ho Han | KR | Chunlanam-Do | 2008-10-16 / 20080251168 - Bake-Hardenable Cold Rolled Steel Sheet With Superior Strength and Aging Resistance, Gal-Vannealed Steel Sheet Using the Cold Rolled Steel Sheet and Method For Manufacturing the Cold Rolled Steel Sheet | 2 |
Seong-Ho Han | KR | Pohang | 2009-11-05 / 20090272468 - Method for Manufacturing Bake-Hardenable High-Strength Cold-Rolled Steel Sheet | 1 |
Dongyeon Han | KR | Seoul | 2016-05-19 / 20160141999 - SYSTEM AND METHOD FOR ESTIMATING TEMPERATURE OF DRIVE MOTOR | 9 |
Dae Sang Han | KR | Gwangjin-Gu, Seoul | 2016-05-12 / 20160132141 - METHOD FOR MANUFACTURING HYBRID TRANSPARENT ELECTRODE AND HYBRID TRANSPARENT ELECTRODE | 1 |
Dae Sang Han | KR | Seoul | 2015-03-12 / 20150068787 - Method for Making Conductive Pattern and Conductive Pattern | 2 |
Hyeong Woo Han | KR | Chungbuk | 2013-03-07 / 20130059146 - LAMINATED SHEET FOR INTERIOR MATERIAL OF VEHICLE | 1 |
Seunghee Han | US | Cupertino | 2016-05-12 / 20160135141 - RAN PAGING MECHANISM TO ENABLE ENHANCED COVERAGE MODE | 62 |
Chang Min Han | KR | Kyungsangbuk-Do | 2009-03-12 / 20090066248 - PASTE, METHOD OF MANUFACTURING PLASMA DISPLAY PANEL USING THE PASTE AND PLASMA DISPLAY APPARATUS | 1 |
Chih-Hao Han | TW | Chiayi Hsien | 2008-09-04 / 20080210785 - WATER FEED PIPE FOR USE IN IRRIGATION | 1 |
Jeen-An Han | TW | Xizhi City | 2008-09-25 / 20080232045 - Multimedia module in switch case | 1 |
Chin-Chuan Han | TW | Hsinchu City | 2008-10-23 / 20080260239 - OBJECT IMAGE DETECTION METHOD | 1 |
Tzu Hsien Han | TW | Hsinchu City | 2016-04-21 / 20160111697 - SINGLE FIBER LAYER STRUCTURE OF MICRON FIBERS APPLIED IN SEPARATOR FOR BATTERY | 5 |
Chih-Hsiang Han | TW | Jhonghe City | 2008-11-20 / 20080286398 - Fast-to-install structure for a threaded rod of an injection molding machine | 1 |
Hsin Hui Han | TW | Hsin-Chu City | 2008-12-18 / 20080308514 - TRAY TRANSPORTATION DEVICE | 1 |
Xiang Jun Han | TW | Kuei San Hsiang | 2009-03-05 / 20090063034 - Navigation apparatus using image map and method thereof | 1 |
Tzung-Ting Han | TW | Hsinchu | 2014-02-20 / 20140050006 - DIODE-LESS ARRAY FOR ONE-TIME PROGRAMMABLE MEMORY | 5 |
Song-Rong Han | TW | Taipei Hsien | 2009-04-16 / 20090096488 - TIME CONSTANT CALIBRATION DEVICE AND RELATED METHOD THEREOF | 1 |
Tzung-Ting Han | TW | Yilan County | 2015-02-05 / 20150035068 - AIRGAP STRUCTURE AND METHOD OF MANUFACTURING THEREOF | 4 |
Chih-Ching Han | TW | Hsinchu City | 2009-07-02 / 20090167944 - Video-signal receiving apparatus and method | 1 |
Chin-Lung Han | TW | Hsinchu | 2009-10-01 / 20090245934 - BUSHING STRUCTURE FOR SHEATHING A SHAFT OF A TONER SUPPLY MEMBER | 2 |
Fang-Hsin Han | TW | Chung-Li | 2009-11-12 / 20090280385 - NOVEL PERFLUOROCARBON IONOMER MEMBRANE WITH HIGH PROTON CONDUCTIVITY AND PREPARATION THEREOF | 1 |
Shu-Jen Han | TW | Sindian City | 2009-12-24 / 20090317915 - Biochip detection device and detection method therof | 1 |
Kai-Shu Han | TW | Hsinchu County | 2014-01-23 / 20140022233 - Driving Device for Liquid Crystal Display | 4 |
Wei-Kuo Han | TW | Hsinchu City | 2016-02-25 / 20160053653 - WASTE HEAT EXCHANGER | 4 |
Tzung Ting Han | TW | Yilan City | 2012-06-28 / 20120161222 - METHOD FOR FILLING A PHYSICAL ISOLATION TRENCH AND INTEGRATING A VERTICAL CHANNEL ARRAY WITH A PERIPHERY CIRCUIT | 3 |
Chien-Yuan Han | TW | Miaoli | 2010-08-26 / 20100215062 - WAVELENGTH OR PULSEWIDTH TUNABLE MODE-LOCKED FIBER LASER SYSTEM | 1 |
Yi-Ting Han | TW | Taoyuan | 2010-09-16 / 20100235363 - ELECTRONIC DEVICE AND METHOD FOR DISPLAYING MULTIMEDIA FILES | 1 |
Zhen-Zhong Han | TW | Taiping City | 2010-11-11 / 20100283194 - ENERGY-SAVING VACUUM ADSORPTION APPARATUS | 2 |
Cheng-Nan Han | TW | Hsinchu | 2015-10-08 / 20150287892 - LIGHT EMITTING DEVICE | 9 |
Chang-Chih Han | TW | Taipei Hsien | 2011-03-17 / 20110062304 - DISPLAY POSITIONING MECHANISM WITH DIFFERENT TYPES OF HINGES AND RELATED DISPLAY DEVICE | 4 |
Joseph Shu-Tzu Han | TW | Sindian City | 2009-12-24 / 20090317915 - Biochip detection device and detection method therof | 1 |
Kwang-Hoon Han | KR | Icheon-Si | 2013-01-03 / 20130003638 - METHOD AND APPARATUS FOR COOPERATIVE SCHEDULING USING INTEREFERENCE BETWEEN MULTIPLE POINTS | 2 |
Seung Woo Han | CN | Beijing | 2016-05-12 / 20160132170 - Driving Unit for Touch Electrode, Driving Circuit, Touch Panel and Driving Method | 14 |
Byron Han | US | Cupertino | 2015-03-12 / 20150074615 - DEVICE, METHOD, AND GRAPHICAL USER INTERFACE FOR MANIPULATING USER INTERFACES BASED ON FINGERPRINT SENSOR INPUTS | 1 |
Zhong Han | CN | Guangzhou City | 2015-03-12 / 20150073161 - POMELO PEEL ESSENTIAL OIL EXTRACTION METHOD BY PEEL ICING AND BREAKING | 1 |
Junghee Han | KR | Seoul | 2011-03-17 / 20110063085 - METHOD FOR DETERMINING OPTIMAL FRAME SIZE FOR TAG COLLISION PREVENTION IN RFID SYSTEM | 1 |
Sang Soo Han | US | Pasadena | 2009-01-01 / 20090005243 - Doped metal organic frameworks for reversible H2 storage at ambient temperature | 1 |
Sang Soo Han | KR | Kyounggi-Do | 2011-03-17 / 20110063280 - ELECTRO-LUMINESCENCE DISPLAY DEVICE AND DRIVING APPARATUS THEREOF | 1 |
Yun-Heui Han | KR | Ansan-Si | 2015-03-12 / 20150072545 - SOLDERABLE ELECTRIC CONNECTOR | 1 |
Gi-Chun Han | KR | Chungcheongbuk-Do | 2015-03-12 / 20150071837 - Method for Manufacturing Lithium Hydroxide and Method Using Same for Manufacturing Lithium Carbonate | 1 |
Jung-Il Han | KR | Seongnam-Si | 2015-08-13 / 20150229507 - TRANSMITTING APPARATUS, RECEIVING APPARATUS AND CONTROL METHODS THEREOF | 3 |
Jae-Ryong Han | KR | Gyeonggi-Do | 2015-04-30 / 20150116249 - METHOD AND ELECTRONIC DEVICE FOR PROCESSING FINGER MOTION | 2 |
Fuqiang Han | CN | Shenzhen | 2015-10-29 / 20150310324 - RADIO FREQUENCY IDENTIFICATION TAG AND LOW DROPOUT REGULATOR (LDO) CIRCUIT CONSUMING ULTRA-LOW POWER | 3 |
Kwang-Jin Han | KR | Ulsan | 2015-12-03 / 20150343525 - METHOD FOR CENTRIFUGAL CASTING OF MOTOR ROTOR | 2 |
Seung Jun Han | KR | Cheonan-Si Chungcheongnam-Do | 2015-03-12 / 20150069135 - UHF RFID TAG COMPRISING SEPARATE LOOP PORTION SHEET AND DIPOLE PORTION SHEET | 1 |
Bo Han | CN | Shanghai | 2016-04-14 / 20160102092 - Ring-fused bicyclic pyridyl derivatives as FGFR4 inhibitors | 5 |
Bo Han | US | Ann Arbor | 2009-09-24 / 20090239221 - RECURRENT GENE FUSIONS IN PROSTATE CANCER | 1 |
Bo Han | CN | Wuhan | 2014-03-20 / 20140080026 - ENERGY STORAGE AND SUPPLY SYSTEM AND DIRECT FUEL CELL BASED ON ORGANIC LIQUID HYDROGEN STORAGE MATERIALS | 2 |
Bo Han | US | Los Angeles | 2010-06-17 / 20100150892 - PF4-DEPLETED PLATELET CONTAINING BLOOD PREPARATIONS AND RELATED KITS, DEVICES AND METHODS FOR HARD AND SOFT TISSUE REPAIR | 1 |
Bo Han | US | Temple City | 2013-08-08 / 20130202564 - Systems and Methods of Cell Activated, Controlled Release Delivery of Growth Factors for Tissue Repair and Regeneration | 5 |
Bo Han | CN | Beijin | 2010-09-16 / 20100231802 - METHOD AND SYSTEM FOR CARRYING OUT RELIABILITY CLASSIFICATION FOR MOTION VECTORS IN A VIDEO | 1 |
Bo Han | US | College Park | 2013-10-17 / 20130272166 - MULTI-HOP WIRELESS NETWORKS | 4 |
Bo Han | CN | Beijing | 2013-03-21 / 20130070722 - COMMUNICATION METHOD USING SPATIAL DIVISION MULTIPLE ACCESS (SDMA), AND BASE STATION | 6 |
Seol Hee Han | KR | Gyeonggi-Do | 2014-05-08 / 20140124420 - HYBRID ARTIFICIAL WETLAND WATER PURIFICATION SYSTEM, SEWAGE TREATMENT DEVICE USING SAME, AND NATURAL NONPOINT PURIFICATION DEVICE CAPABLE OF SIMULTANEOUSLY PURIFYING RIVER AND LAKE WATER | 1 |
Zheng Tao Han | CN | Zhengzhou | 2016-04-21 / 20160106698 - Compositions And Methods Of Use Of Phorbol Esters | 11 |
Yeji Han | KR | Paju-Si | 2013-02-21 / 20130043503 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Gyu Bong Han | KR | Bucheon-Si | 2011-11-03 / 20110268433 - PAN-TILT APPARATUS | 1 |
Joseph Han | US | Irvine | 2016-04-07 / 20160097190 - FILL VALVE LEAK PREVENTION SYSTEM | 9 |
Zhen X. Han | CN | Shanghai | 2015-03-05 / 20150067319 - SNAPSHOTS IN A HYBRID STORAGE DEVICE COMPRISING A MAGNETIC DISK AND A SOLID STATE DISK | 1 |
Kyoung-Bin Han | KR | Gumi-Si | 2015-03-05 / 20150065209 - COVER MEMBER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Sanghyun Han | KR | Gyeonggi-Do | 2015-03-05 / 20150063339 - METHOD AND APPARATUS FOR CONFIGURING WIRELESS COMMUNICATION USING DEVICE NAMES IN ELECTRONIC DEVICE | 1 |
Kyu Sung Han | KR | Gyeonggi-Do | 2015-03-05 / 20150063174 - TRANSMITTER APPARATUS OF MOBILE DEVICE AND OPERATION METHOD THEREOF | 1 |
Seung Shin Han | KR | Ansan-Si | 2015-03-05 / 20150063109 - APPARATUS FOR CONTROLLING NETWORK TRAFFIC | 1 |
Sung Yun Han | KR | Suwon-Si | 2015-05-14 / 20150130578 - TRANSFORMER AND POWER SUPPLY DEVICE INCLUDING THE SAME | 4 |
Ki-Wook Han | KR | Gyeonggi-Do | 2015-03-05 / 20150061575 - METHOD AND APPARATUS FOR CHARGING A BATTERY | 1 |
Gi Ho Han | KR | Suwon-Si | 2015-05-14 / 20150129291 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD | 3 |
Sang Hoon Han | KR | Bucheon-Si | 2015-03-05 / 20150060633 - MOUNTING DEVICE FOR ABSORBING VIBRATION | 1 |
Songyi Han | KR | Seoul | 2015-03-05 / 20150060432 - COOKING APPLIANCE AND METHOD FOR CONTROLLING THE SAME | 1 |
Jianwei Han | CN | Zhongshan City | 2015-03-05 / 20150059643 - TYPE OF FINE METAL MASK (FFM) USED IN OLED PRODUCTION AND THE METHOD OF MANUFACTURING IT | 1 |
Seohyun Han | KR | Seoul | 2012-01-05 / 20120005589 - MOBILE TERMINAL AND METHOD FOR CONTROLLING THE OPERATION OF THE MOBILE TERMINAL | 1 |
Hwadong Han | KR | Goyang-Si | 2015-03-05 / 20150060867 - DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hwadong Han | KR | Goyang | 2014-04-03 / 20140091997 - SHIFT REGISTER AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME | 1 |
Sang-Bong Han | KR | Gyeonggi-Do | 2015-02-26 / 20150058101 - MANAGING PERSONAL PARKING SPACE | 1 |
Ming Han | SG | Singapore | 2015-02-26 / 20150056532 - FUEL CELL APPARATUS AND METHOD OF OPERATION | 1 |
Dongwook Han | KR | Yongin-Si | 2015-04-02 / 20150093644 - SODIUM MANGANESE COMPOSITE OXIDE AND ELECTRODE AND SODIUM SECONDARY BATTERY USING THE SAME | 2 |
Xiaogang Han | CN | Shenzhen | 2016-03-31 / 20160094327 - METHODS FOR SENDING AND RECEIVING ACK/NACK INFORMATION, BASE STATION, AND TERMINAL | 3 |
Sung-Sik Han | KR | Gyeonggi-Do | 2011-03-17 / 20110066163 - Hemostatic Clip and Hemostatic Clip Operation Apparatus Using the Same | 1 |
Won Han | KR | Suwon-Si | 2016-05-19 / 20160138920 - ANGULAR VELOCITY SENSOR | 3 |
Yong In Han | KR | Seoul | 2016-02-04 / 20160037112 - Image Sensor | 2 |
In Hee Han | KR | Gyeongsangnam-Do | 2011-11-24 / 20110285262 - LAUNDRY WASHING APPARATUS | 3 |
In Hee Han | KR | Changwon-Si | 2011-08-11 / 20110192886 - DRUM FOR WASHER AND DRYER | 2 |
Chang-Dong Han | KR | Seoul | 2010-11-25 / 20100298946 - ARTIFICIAL KNEE JOINT INCLUDING PLURAL FLEXIONS IN A FEMUR JOINT MEMBER | 1 |
Sang Yun Han | KR | Gyeonggi-Do | 2016-03-17 / 20160074822 - QUANTITATIVE CATALYST SUPPLY DEVICE | 2 |
Hyun-Sik Han | KR | Gyeonggi-Do | 2015-02-19 / 20150051068 - IMPROVEMENT IN PROCESS FOR THERMAL FIXATION OF CATALYTICALLY ACTIVE COMPONENT ONTO ALUMINA SUPPORT | 1 |
Won Kyu Han | KR | Seoul | 2012-03-29 / 20120074575 - COPPER LINE HAVING SELF-ASSEMBLED MONOLAYER FOR ULSI SEMICONDUCTOR DEVICES, AND A METHOD OF FORMING SAME | 3 |
Feng Han | US | Baltimore | 2015-08-20 / 20150236848 - HANDSHAKING PROTOCOL FOR TIME-REVERSAL SYSTEM | 3 |
Dehua Han | US | Fremont | 2015-02-19 / 20150049400 - GRADIENT WRITE GAP FOR PERPENDICULAR MAGNETIC RECORDING WRITER | 1 |
Wei Han | CN | Hong Kong | 2015-02-19 / 20150050494 - Incorporating Metals, Metal Oxides and Compounds on the Inner and Outer Surfaces of Nanotubes and Between the Walls of the Nanotubes and Preparation Thereof | 1 |
Min-Koo Han | KR | Seoul | 2014-05-22 / 20140138700 - NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 18 |
Wei Han | CN | Zhengzhou City | 2015-02-19 / 20150051403 - Use of 15-benzylidene-14-deoxy-11, 12-dehydroandrographolide derivative in manufacture of medicaments for protecting liver and anti-hepatitis C virus | 1 |
Jae Hee Han | KR | Seongnam-Si | 2015-02-19 / 20150050208 - Enzyme-Mediated Assimilation of DNA-Functionalized Single-Walled Carbon Nanotubes (SWNTs) | 1 |
Sang Hui Han | KR | Ulsan | 2015-02-19 / 20150050470 - IN-MOLD TRANSFER FILM AND METHOD FOR FABRICATING THE SAME | 1 |
Woo Joon Han | KR | Daejeon | 2015-02-19 / 20150049938 - VISUAL CORTICAL CIRCUIT APPARATUS, VISUAL CORTICAL IMITATION SYSTEM AND OBJECT SEARCH SYSTEM USING VISUAL CORTICAL CIRCUIT APPARATUS | 1 |
Minkoo Han | KR | Seoul | 2009-12-17 / 20090309868 - Active matrix organic light emitting diode display and method of driving the same | 1 |
Mun Sung Han | KR | Daejeon | 2016-04-21 / 20160110453 - SYSTEM AND METHOD FOR SEARCHING CHOREOGRAPHY DATABASE BASED ON MOTION INQUIRY | 9 |
Chan Han | US | Midland | 2015-03-19 / 20150079367 - FIRE RESISTANT COMPOSITE STRUCTURE | 10 |
Kyoung Ja Han | KR | Seoul | 2011-01-13 / 20110010736 - MULTIPURPOSE TV CONVERTER SYSTEM | 3 |
Sang Sup Han | KR | Daejeon | 2015-10-15 / 20150290581 - CARBON DIOXIDE ABSORBING COMPOSITION INCLUDING ANTISOLVENT, AND METHOD AND APPARATUS FOR ABSORBING CARBON DIOXIDE USING THE SAME | 11 |
Hsi-Rong Han | TW | Taiwan | 2009-08-20 / 20090207119 - DEMULTIPLEXER DRIVE CIRCUIT | 1 |
Hsi-Rong Han | TW | Taichung County | 2012-04-12 / 20120086655 - TOUCH DISPLAY PANEL | 8 |
Hsi-Rong Han | TW | Wurih Township | 2011-02-03 / 20110026665 - Bidirectional Shift Register | 2 |
Hsi-Rong Han | TW | Tai Chung County | 2013-08-01 / 20130194528 - DISPLAY STRUCTURE | 4 |
Jeong Won Han | KR | Cheonan-Si | 2015-03-12 / 20150068023 - ALIGNING METHOD OF MASK ASSEMBLY USING DEPOSITION APPARATUS | 2 |
Sung-Woo Han | KR | Gyeonggi-Do | 2016-04-14 / 20160104684 - SEMICONDUCTOR MEMORY DEVICE HAVING PADS | 24 |
Donglin Han | JP | Kyoto-Shi | 2015-02-12 / 20150044597 - SOLID ELECTROLYTE, METHOD FOR MANUFACTURING SOLID ELECTROLYTE, SOLID ELECTROLYTE LAMINATE, METHOD FOR MANUFACTURING SOLID ELECTROLYTE LAMINATE, AND FUEL CELL | 1 |
Sanghoon Han | KR | Suwon City | 2010-04-29 / 20100104522 - COMPOSTION FOR SKIN EXTERNAL USE CONTAINING OMEGA-3 FATTY ACID | 1 |
Seong Yeon Han | KR | Yongin-Si | 2016-03-24 / 20160084464 - LAMP LENS WITH REDUCED CHROMATIC ABERRATION AND LAMP FOR VEHICLE USING THE SAME | 3 |
Soyeon Han | KR | Yongin-City | 2015-02-12 / 20150043174 - WINDOW PANEL FOR A DISPLAY APPARATUS | 1 |
Hong Hee Han | KR | Gwangmyeong-Si | 2015-02-12 / 20150042582 - MULTI-TOUCH SCREEN DEVICE | 1 |
Jung Jae Han | KR | Gwangmyeong-Si | 2015-11-19 / 20150330273 - METHOD AND APPARATUS FOR DIAGNOSING BYPASS VALVE ON OIL COOLING CIRCUIT FOR VEHICLES | 3 |
Sung-Wook Han | US | Sunnyvale | 2016-03-24 / 20160088523 - PER USER UPLINK MEDIUM ACCESS CONTROL ON A WI-FI COMMUNICATION NETWORK | 11 |
Chung-Soo Han | KR | Seoul | 2013-12-26 / 20130342235 - PROBE CARD | 1 |
Qiuhua Han | CN | Shanghai | 2016-03-31 / 20160093718 - SEMICONDUCTOR STRUCTURES AND FABRICATION METHOD THEREOF | 14 |
Young Ki Han | KR | Seoul | 2015-12-03 / 20150348755 - GAS DISTRIBUTION APPARATUS AND SUBSTRATE PROCESSING APPARATUS INCLUDING SAME | 8 |
Jung-Soo Han | KR | Busan | 2008-11-06 / 20080275111 - Novel Use of Lignan Compounds | 1 |
Byeong-Il Han | KR | Busan | 2013-10-17 / 20130272081 - METHOD OF OPERATING A SEMICONDUCTOR MEMORY DEVICE | 3 |
Jin Gon Han | KR | Busan | 2009-06-18 / 20090157374 - SIMULATION TEST SYSTEM AND METHOD FOR TESTING VEHICLE ELECTRONIC COMPONENT | 2 |
Kyoo Seung Han | KR | Busan | 2010-07-22 / 20100183924 - ELECTRODE-ACTIVE ANION-DEFICIENT NON-STOICHIOMETRIC LITHIUM IRON PHOSPHATE, METHOD FOR PREPARING THE SAME, AND ELECTROCHEMICAL DEVICE USING THE SAME | 1 |
Jung-Gyun Han | KR | Busan | 2009-01-22 / 20090019821 - Multi-cyclone dust separator and a vacuum cleaner using the same | 1 |
Joong Won Han | KR | Busan | 2010-07-08 / 20100170631 - FOLDING AND SHAPE-FORMING APPARATUS AND METHOD FOR PREPREG | 1 |
Sang Cheol Han | KR | Busan | 2013-02-28 / 20130052115 - METHOD OF MANUFACTURING SPHERICAL MESOPOROUS SILICA CONTAINING DISPERSED SILVER NANOPATICLES, AND SPHERICAL MESOPOROUS SILICA MANUFACTURED BY SAID METHOD | 2 |
Jin Han | KR | Busan | 2013-08-08 / 20130203095 - METHOD OF SCREENING PLACENTAL PROTEINS RESPONSIBLE FOR PATHOPHYSIOLOGY OF PREECLAMPSIA, AND MARKER FOR EARLY DIAGNOSIS AND PREDICTION OF PREECLAMPSIA | 9 |
Kwang Hee Han | KR | Cheongju-Si Chungcheongbuk-Do | 2015-02-05 / 20150036429 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Hailin Han | US | Oak Ridge | 2015-02-05 / 20150035601 - SPLIT BIASED RADIO FREQUENCY POWER AMPLIFIER WITH ENHANCED LINEARITY | 1 |
Shinhee Han | KR | Seongnam-Si | 2016-01-07 / 20160005739 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Su-Jin Han | KR | Uiwang-Si | 2015-03-05 / 20150060788 - COMPOUND FOR AN ORGANIC OPTOELECTRIC DEVICE, ORGANIC OPTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE OPTOELECTRIC DEVICE | 2 |
Falong Han | CN | Beijing | 2015-02-05 / 20150036913 - METHOD, APPARATUS AND EQUIPMENT OF INSPECTING QUALITY OF LCD | 1 |
Tae Seong Han | KR | Goyang-Si, Gyeonggi-Do | 2015-02-05 / 20150035847 - APPARATUS FOR CONVERTING DATA AND DISPLAY APPARATUS USING THE SAME | 1 |
Jiawen Han | US | Newton | 2015-02-05 / 20150038430 - THERAPEUTIC PEPTIDOMIMETIC MACROCYCLES | 8 |
Il Seok Han | KR | Hwaseong-Si | 2015-02-05 / 20150035646 - APPARATUS AND METHOD FOR SIMPLIFYING WIRELESS CONNECTION AND DATA SHARING | 1 |
Jae Woong Han | KR | Sungnam | 2009-02-19 / 20090045392 - Nitride semiconductor device | 1 |
Jae Woong Han | KR | Seoul | 2016-02-25 / 20160056338 - LIGHT EMITTING DEVICE AND LIGHTING SYSTEM | 8 |
Jiwon Han | KR | Hwaseong-Si | 2015-01-29 / 20150029683 - FOLDABLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Soyeon Han | KR | Seoul | 2016-02-18 / 20160048171 - FOLDABLE DISPLAY DEVICE | 6 |
Kyung Woo Han | KR | Seoul | 2016-02-18 / 20160048171 - FOLDABLE DISPLAY DEVICE | 4 |
Seungjun Han | KR | Daejeon | 2015-01-29 / 20150029308 - APPARATUS AND METHOD FOR RECONSTRUCTING SCENE OF TRAFFIC ACCIDENT | 1 |
Seung-Ryong Han | KR | Jeonju-Si | 2015-05-14 / 20150130793 - MULTI-VIEW IMAGE DISPLAY APPARATUS AND MULTI-VIEW IMAGE DISPLAY METHOD THEREOF | 2 |
Sang Wook Han | KR | Ansan-Si | 2015-10-22 / 20150305098 - LED DRIVING CIRCUIT FOR CONTINUOUSLY DRIVING LED, LED LIGHTING DEVICE COMPRISING SAME AND DRIVING METHOD | 4 |
Jeong-Won Han | KR | Gyeonggi-Do | 2015-01-29 / 20150026947 - MANUFACTURING APPARATUS AND METHOD OF MASK ASSEMBLY | 1 |
Quanbin Han | HK | Kowloon | 2015-01-15 / 20150017734 - QUALITY CONTROL MARKER AND ITS USE IN HERBS AUTHENTICATION | 1 |
Quanbin Han | HK | Hong Kong | 2015-12-24 / 20150366841 - Pd-Ib for Treating Inflammation | 5 |
Sang Yeul Han | KR | Yongin-Si | 2016-04-14 / 20160101154 - PEPTIDE FOR INHIBITION OF BINDING BETWEEN ANGIOPOIETIN-2 AND INTEGRIN AND USE THEREOF | 10 |
Jaehyun Han | KR | Daejeon | 2015-09-17 / 20150261350 - TERMINAL, VEHICLE HAVING THE SAME AND METHOD FOR THE CONTROLLING THE SAME | 2 |
Jong Hyun Han | KR | Gwangju | 2015-10-15 / 20150294427 - METHOD FOR PROPOSING LANDMARK | 2 |
Jae-Young Han | KR | Seoul | 2012-10-04 / 20120253933 - METHOD OF INTRODUCING ADVERTISEMENTS AND PROVIDING THE ADVERTISEMENTS BY USING ACCESS INTENTIONS OF INTERNET USERS AND A SYSTEM THEREOF | 2 |
Soyeon Han | KR | Yongin-Si | 2015-01-22 / 20150024170 - WINDOW MEMBER AND IMAGE DISPLAY APPARATUS INCLUDING THE SAME | 1 |
Lijing Han | CN | Shanghai | 2015-01-22 / 20150022767 - FRINGE FIELD SWITCH LIQUID CRYSTAL DISPLAY AND COLOR FILTER SUBSTRATE | 1 |
Jin Woo Han | KR | Suwon | 2015-04-16 / 20150102891 - CHIP ELECTRONIC COMPONENT, BOARD HAVING THE SAME, AND PACKAGING UNIT THEREOF | 2 |
Won-Shik Han | KR | Seoul | 2011-03-03 / 20110053263 - Multipotent Cancer Stem Cell Lines and Method for Producing the Same | 1 |
Taejoon Han | US | Clifton Park | 2016-02-18 / 20160049495 - SEMICONDUCTOR STRUCTURES WITH COPLANAR RECESSED GATE LAYERS AND FABRICATION METHODS | 6 |
Jong Woo Han | KR | Gyunggi-Do | 2014-01-02 / 20140002257 - TIRE LOCATION DISTINCTION DEVICE AND TIRE LOCATION DISTINCTION METHOD USING THE SAME | 3 |
Jong Woo Han | KR | Seongnam | 2011-12-22 / 20110310055 - Haptic feedback actuator, haptic feedback device and electronic device | 3 |
Jung Min Han | KR | Siheung-Si | 2015-01-22 / 20150020966 - APPARATUS AND METHOD FOR FORMING LUBRICANT RECESS HAVING MINUTE CONFIGURATION IN CURVED INNER SURFACE | 1 |
Youn Soo Han | KR | Ulsan | 2015-01-22 / 20150020565 - PART CORRECTING DEVICE FOR AUTOMOBILE PART | 2 |
Chang Hun Han | KR | Icheon-City | 2009-07-30 / 20090189206 - CMOS image sensor and method of fabricating the same | 3 |
Chang Hun Han | KR | Gyeonggi-Do | 2010-05-06 / 20100110247 - IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME | 3 |
Chang Hun Han | KR | Seoul | 2013-05-30 / 20130135786 - VARIABLE CAPACITOR AND METHOD FOR DRIVING THE SAME | 2 |
Xifeng Han | US | Eden Prairie | 2014-06-05 / 20140153134 - WRITER WITH PROTRUDED SECTION AT TRAILING EDGE | 1 |
In-Sub Han | KR | Daejeon | 2015-01-22 / 20150024299 - UNIT CELL FOR SOLID-OXIDE FUEL CELL AND SOLID-OXIDE FUEL CELL USING SAME | 8 |
Sungkoo Han | KR | Seoul | 2015-01-22 / 20150022877 - METHOD OF AND DEVICE FOR CONTROLLING REFLECTIVE COLOR OF POLYMERIC COLLOIDAL PHOTONIC CRYSTAL DISPLAY USING MIXED DISPERSION MEDIUM | 1 |
Kyung Woo Han | KR | Yongin-Si | 2015-01-22 / 20150024170 - WINDOW MEMBER AND IMAGE DISPLAY APPARATUS INCLUDING THE SAME | 1 |
Eun-Hee Han | KR | Seoul | 2015-10-22 / 20150301374 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Sang-Youl Han | KR | Seoul | 2011-02-03 / 20110025631 - CAPACITIVE TOUCH SCREEN | 2 |
Hae Wook Han | KR | Gyeonggi-Do | 2015-01-22 / 20150021604 - CMOS IMAGE SENSOR HAVING OPTICAL BLOCK AREA | 1 |
Hyun-Gyu Han | KR | Daejeon | 2015-01-15 / 20150017507 - CONNECTING ELEMENT FOR SECONDARY BATTERY AND SECONDARY BATTERY COMPRISING THE SAME | 1 |
Minghui Han | US | San Jose | 2016-03-24 / 20160087782 - MULTI-DROP CHANNELS INCLUDING REFLECTION ENHANCEMENT | 6 |
Chang Wook Han | KR | Gyeonggi-Do | 2011-03-03 / 20110053316 - Organic Thin Film Transistor With Tunneling Barrier Layer and Method for Manufacturing the Same | 1 |
Sang-Min Han | KR | Hwaseong-Si | 2008-10-23 / 20080260381 - COMMUNICATION APPARATUS USING CHAOTIC SIGNAL AND METHOD THEREOF | 1 |
Wantaek Han | KR | Hwaseong-Si | 2009-03-19 / 20090073623 - APPARATUS AND METHOD FOR SAFETY POWER CONTROL | 2 |
Seung Sik Han | KR | Hwaseong-Si | 2009-06-18 / 20090152752 - Method of Forming Crash Panel for Vehicles | 1 |
Seung-Jae Han | KR | Hwaseong-Si | 2010-02-25 / 20100045668 - Apparatus and Method for 3D Packet Scale Down with Proxy Server in Mobile Environment | 3 |
Jong-Heon Han | KR | Hwaseong-Si | 2014-02-13 / 20140043222 - GATE DRIVE CIRCUIT AND DISPLAY APPARATUS HAVING THE SAME | 4 |
Hee-Chul Han | KR | Hwaseong-Si | 2016-03-17 / 20160080633 - METHOD FOR CAPTURING IMAGE AND IMAGE CAPTURING APPARATUS | 15 |
Jung-Suk Han | KR | Hwaseong-Si | 2015-07-09 / 20150194105 - METHOD OF MORE QUICKLY CALIBRATING DISPLAY PANELS AND CALIBRATION APPARATUS FOR PERFORMING THE SAME | 6 |
Wan Su Han | KR | Hwaseong-Si | 2008-11-20 / 20080283262 - Device and method for variable torque fastening | 1 |
Dong-Woo Han | KR | Hwaseong-Si | 2009-03-12 / 20090068585 - DISSOLUTION PROMOTER AND PHOTORESIST COMPOSITION INCLUDING THE SAME | 1 |
Sung-Hee Han | KR | Hwaseong-Si | 2014-09-18 / 20140264727 - SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME | 3 |
Sang-Uk Han | KR | Hwaseong-Si | 2016-04-21 / 20160111299 - Methods of Fabricating Tape Film Packages | 20 |
Chang-Min Han | KR | Hwaseong-Si | 2010-06-10 / 20100142707 - DATA TRANSCEIVING APPARATUS AND METHOD THEREOF | 1 |
Jeong-Uk Han | KR | Hwaseong-Si | 2010-07-08 / 20100171168 - NON-VOLATILE MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Gongheum Han | KR | Hwaseong-Si | 2010-07-15 / 20100177584 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Jeonghee Han | KR | Hwaseong-Si | 2010-10-07 / 20100252909 - Three-Dimensional Memory Devices | 1 |
Man-Ho Han | KR | Hwaseong-Si | 2010-10-21 / 20100266967 - POLYMER FOR FORMING ORGANIC ANTI-REFLECTIVE COATING LAYER | 1 |
Dae Sung Han | KR | Hwaseong-Si | 2010-11-25 / 20100294752 - Method of controlling cooking apparatus with temperature display unit | 2 |
Myang-Sik Han | KR | Hwaseong-Si | 2010-12-02 / 20100301263 - Slurry composition for a chemical mechanical polishing process and method of manufacturing a semiconductor device using the slurry composition | 1 |
Jae-Hyun Han | KR | Hwaseong-Si | 2010-12-23 / 20100319853 - Gas supply device and apparatus for processing a substrate | 2 |
Joocheol Han | KR | Hwaseong-Si | 2011-01-13 / 20110008957 - METAL INTERCONNECTION METHOD OF SEMICONDUCTOR DEVICE | 1 |
Kyu Bum Han | KR | Hwaseong-Si | 2015-07-16 / 20150200661 - DRIVING METHOD AND DRIVING CIRCUIT FOR POWER SWITCHING DEVICE | 7 |
Sung-Soo Han | KR | Hwaseong-Si | 2015-11-26 / 20150336816 - DRAW SOLUTES AND FORWARD OSMOSIS WATER TREATMENT APPARATUSES, AND METHODS USING THE SAME, AND METHODS OF PRODUCING DRAW SOLUTES | 22 |
Hee-Seok Han | KR | Hwaseong-Si | 2012-05-24 / 20120127214 - LIGHT EMITTING DIODE DRIVING CIRCUIT, AND DISPLAY DEVICE HAVING THE SAME | 7 |
Su-Hee Han | KR | Suwon-Si | 2010-10-07 / 20100255370 - ELECTROLYTE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING THE SAME | 4 |
Su-Hee Han | KR | Su-Won-Si | 2011-03-03 / 20110050178 - ELECTROLYTIC SOLUTION FOR LITHIUM BATTERY, LITHIUM BATTERY EMPLOYING THE SAME AND METHOD FOR OPERATING THE LITHIUM BATTERY | 1 |
Jin Han | CA | Markham | 2008-08-21 / 20080197018 - DEVICE WITH A PRE-DEFINED AND GUIDED CAPILLARY FILL DESIGN | 1 |
Elliott Jong-Soo Han | CA | La Canada | 2008-09-18 / 20080227563 - Golf club grip | 1 |
Ying Han | CA | Richmond | 2008-11-27 / 20080294694 - Method, apparatus, system, medium, and signals for producing interactive video content | 1 |
Mei Han | CA | Toronto | 2016-02-18 / 20160045550 - Method of Expanding Double Negative T Cells | 2 |
Mark Han | CA | North York | 2015-07-09 / 20150191796 - Method and Apparatus for Correlating Levels of Biomarker Products with Disease | 3 |
Kang Han | CA | Richmond | 2010-02-18 / 20100041613 - NOVEL ANTIBIOTIC COMPOSITIONS | 1 |
Victor Khin Maung Han | CA | London | 2010-05-06 / 20100113286 - METHODS FOR DETECTION OF PREECLAMPSIA | 1 |
Xiaomei Han | CA | Ashton | 2010-09-30 / 20100246412 - ETHERNET OAM FAULT PROPAGATION USING Y.1731/802.1AG PROTOCOL | 1 |
Zhiping Han | CA | La Salle | 2010-11-11 / 20100281855 - MODEL BASED METHOD FOR SELECTIVE CATALYST REDUCER UREA DOSING STRATEGY | 3 |
Samuel Han | CA | Alberta | 2011-01-06 / 20110001406 - CONSOLE HAVING CABINET AND SUPPORT STRUCTURE | 3 |
Kang Han | CA | Vancouver | 2015-10-29 / 20150307426 - INDENE DERIVATIVES AS PHARMACEUTICAL AGENTS | 8 |
Hui Han | CA | Fredericton | 2011-03-03 / 20110050223 - MAGNETIC RESONANCE APPARATUS AND METHOD | 1 |
Sun Young Han | KR | Gyeonggi-Do | 2011-02-03 / 20110028467 - HETEROCYCLIC DERIVATIVES | 1 |
Sang-Ho Han | KR | Cheonlanam-Do | 2008-08-21 / 20080196799 - Steel Sheet for Deep Drawing Having Excellent Secondary Work Embrittlement Resistance, Fatigue Properties and Plating Properties, and Method for Manufacturing the Same | 1 |
Sang-Ho Han | KR | Pohang-Si | 2008-09-04 / 20080210346 - Method of Manufacturing Super Formable High Strength Steel Sheet | 1 |
Sang-Ho Han | KR | Kyungsangbook-Do | 2008-10-16 / 20080251166 - Precipitation Hardening Cold Rolled Steel Sheet Having Excellent Yield Ratios, and the Method for Manufacturing the Same | 1 |
Sang-Ho Han | KR | Nam-Ku, Pohang | 2009-05-21 / 20090126837 - COLD ROLLED STEEL SHEET HAVING SUPERIOR FORMABILITY AND HIGH YIELD RATIO, PROCESS FOR PRODUCING THE SAME | 1 |
Sang-Ho Han | KR | Chunlanam-Do | 2009-11-05 / 20090272468 - Method for Manufacturing Bake-Hardenable High-Strength Cold-Rolled Steel Sheet | 1 |
Sangsoo Han | KR | Seoul | 2010-01-07 / 20100001944 - Apparatus and method for driving light source of back light unit | 1 |
Sun Kyoung Han | DE | Berlin | 2010-12-23 / 20100321176 - DISPLAY DEVICE FOR A VEHICLE FOR DISPLAYING INFORMATION RELATING TO THE OPERATION OF THE VEHICLE AND METHOD FOR DISPLAYING THIS INFORMATION | 1 |
Guiping Han | CN | Shenzhen | 2015-11-12 / 20150325234 - Systems and Methods for Configuring Matching Rules Related to Voice Input Commands | 3 |
Won Taek Han | KR | Gwangsan-Gu | 2014-12-25 / 20140379053 - MEDICAL MASK DEVICE WHICH USES OPTICAL FIBERS | 1 |
Hyun Joo Han | KR | Uiwang-Si | 2015-12-03 / 20150344670 - Thermoplastic Resin Composition and Article Comprising the Same | 5 |
Joo Won Han | US | Santa Clara | 2015-05-28 / 20150144154 - METHOD FOR IN-SITU CHAMBER CLEAN USING CARBON MONOXIDE (CO) GAS UTLIZED IN AN ETCH PROCESSING CHAMBER | 3 |
Bum Soo Han | KR | Yuseong-Gu | 2011-03-03 / 20110052455 - MOBILE TYPE ELECTRON ACCELERATOR | 1 |
Dong-Soo Han | KR | Yuseong-Gu | 2009-03-12 / 20090070378 - SYSTEM AND METHOD FOR PROVIDING HEALTHCARE PROGRAM SERVICE BASED ON VITAL SIGNALS AND CONDITION INFORMATION | 1 |
In Sub Han | KR | Yuseong-Gu | 2009-06-18 / 20090155660 - TUBULAR SOLID OXIDE FUEL CELLS | 1 |
Chang-Soo Han | KR | Yuseong-Gu | 2009-10-08 / 20090250102 - PHOTOELECTRIC CONVERSION DEVICE USING SEMICONDUCTOR NANOMATERIALS AND METHOD OF MANUFACTURING THE SAME | 1 |
Dong Cho Han | KR | Yuseong-Gu | 2009-12-31 / 20090325972 - NOVEL CINNAMALDEHYDE DERIVATIVES HAVING IMPROVED SOLUBILITY IN WATER, A METHOD FOR PREPARING THE SAME AND A PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Ki-Son Han | KR | Yuseong-Gu | 2010-04-01 / 20100079148 - UHF PARTIAL DISCHARGE AND ITS LOCATION MEASURING DEVICE FOR HIGH-VOLTAGE POWER DEVICES | 1 |
Il-Song Han | KR | Yuseong-Gu | 2010-07-29 / 20100191918 - Cache Controller Device, Interfacing Method and Programming Method Using the Same | 1 |
Kyu-Suk Han | KR | Yuseong-Gu | 2011-05-26 / 20110126015 - SINK AUTHENTICATION SYSTEM AND METHOD USING MOBILE COMMUNICATION NETWORK | 2 |
Jae Man Han | KR | Seoul | 2014-12-25 / 20140377453 - Insulating Adhesive Composition for Metal-Based Copper Clad Laminate (MCCL), Coated Metal Plate Using Same, and Method for Manufacturing Same | 1 |
Chein-Hsuan Han | US | Sunnyvale | 2009-01-29 / 20090028941 - Pulsatile gastric retentive dosage forms | 1 |
Jiaping Han | US | Sunnyvale | 2013-06-13 / 20130145969 - Low Embodied Energy Wallboards and Methods of Making Same | 2 |
Xiaochun Han | US | Sunnyvale | 2009-12-24 / 20090318484 - Inhibitors of JNK | 2 |
Gina Han | US | Sunnyvale | 2014-10-02 / 20140297519 - AUTOMATIC RESTITUTION OF TRANSACTION FEES, PUNISHMENT OF NON-PAYING BIDDERS, AND MANAGEMENT OF APPEALS | 2 |
Chien-Hsuan Han | US | Sunnyvale | 2016-02-11 / 20160038411 - PULSATILE GASTRIC RETENTIVE DOSAGE FORMS | 7 |
Sang-Soo Han | KR | Seoul | 2015-11-05 / 20150314271 - HYDROGEN DISSOCIATION CATALYST COMPRISING IR-AU ALLOY | 2 |
Kwang Kyu Han | KR | Suwon-Si | 2014-12-25 / 20140377071 - Washing Machine | 1 |
Man-Seok Han | KR | Suwon-Si | 2011-03-03 / 20110052952 - ELECTRODE ASSEMBLY AND RECHARGEABLE BATTERY HAVING AN ELECTRODE ASSEMBLY | 13 |
Kwan Young Han | KR | Seongnam-Si | 2016-05-12 / 20160132148 - ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY | 6 |
Woo-Sung Han | KR | Seoul | 2013-01-03 / 20130001606 - SUB-MOUNT, LIGHT EMITTING DEVICE INCLUDING SUB-MOUNT AND METHODS OF MANUFACTURING SUCH SUB-MOUNT AND/OR LIGHT EMITTING DEVICE | 3 |
Jae Won Han | KR | Seoul | 2010-12-23 / 20100321312 - METHOD FOR PROCESSING TOUCH SIGNAL IN MOBILE TERMINAL AND MOBILE TERMINAL USING THE SAME | 1 |
Jae Won Han | KR | Suwon-Si | 2009-07-30 / 20090189283 - ALUMINUM METAL LINE OF A SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Tae-Kyo Han | KR | Gwangyang-Si | 2014-06-05 / 20140150930 - HOT PRESS FORMING STEEL PLATE, FORMED MEMBER USING SAME, AND METHOD FOR MANUFACTURING THE PLATE AND MEMBER | 1 |
Seunghee Han | KR | Gyeonggi-Do | 2014-12-25 / 20140376474 - METHOD FOR SETTING OPERATING CHANNEL IN WHITE SPACE BAND AND DEVICE THEREFOR | 8 |
Sang-Kwon Han | KR | Pohang-Si | 2014-12-25 / 20140377453 - Insulating Adhesive Composition for Metal-Based Copper Clad Laminate (MCCL), Coated Metal Plate Using Same, and Method for Manufacturing Same | 1 |
Chan-Hee Han | KR | Gyeongsangbuk-Do | 2014-12-25 / 20140374137 - Electrical Steel Sheet and Method for Manufacturing the Same | 1 |
Kyung-Seop Han | KR | Gyeongsangbuk-Do | 2010-09-02 / 20100219642 - WIND TURBINE WITH SINGLE MAIN BEARING | 1 |
Kyung Sup Han | KR | Gyeongsangbuk-Do | 2009-12-03 / 20090295012 - SEPARATING PLATE FOR POLYMER ELECTROLYTE MEMBRANE FUEL CELL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Sang-Choul Han | KR | Gyeongsangbuk-Do | 2015-12-31 / 20150381960 - 3D IMAGE REPRODUCTION DEVICE AND METHOD CAPABLE OF SELECTING 3D MODE FOR 3D IMAGE | 4 |
Yonggil Han | KR | Gyeongsangbuk-Do | 2015-10-01 / 20150282012 - ELECTRONIC DEVICE AND METHOD FOR PROVIDING CALL SERVICES FOR THE SAME | 6 |
Sang-Bok Han | KR | Gyeonggi-Do | 2014-12-18 / 20140372726 - MEMORY MANAGEMENT METHOD AND APPARATUS | 1 |
Jang Nam Han | KR | Busan | 2014-12-18 / 20140371898 - APPARATUS AND METHOD FOR MANAGING MACHINE TOOL INFORMATION FOR HETEROGENEOUS NUMERICAL CONTROL DEVICES | 1 |
Boram Han | KR | Gyeonggi-Do | 2016-05-05 / 20160122764 - RESPIRATORY DISEASE-RELATED GENE SPECIFIC SIRNA, DOUBLE-HELICAL OLIGO RNA STRUCTURE CONTAINING SIRNA, COMPOSITON CONTAINING SAME FOR PREVENTING OR TREATING RESPIRATORY DISEASE | 2 |
Sang Mi Han | KR | Seoul | 2015-07-09 / 20150191450 - ISOQUINOLINE-5-CARBOXAMIDE DERIVATIVE HAVING INHIBITORY ACTIVITY FOR PROTEIN KINASE | 2 |
Yiming Han | CN | Wuhan | 2014-12-25 / 20140377153 - METHOD FOR PREPARATION OF HIGH PURITY,CRYSTALLINE COBALT NITRATE FROM SPENT COBALT/SILICA CATALYST | 4 |
Seung Hyun Han | KR | Yongin-Si | 2015-06-25 / 20150180272 - METHOD AND CIRCUIT FOR CHANGING CAPACITANCE VALUE OF OUTPUT CAPACITOR OF POWER FACTOR CORRECTOR | 2 |
Jinsu Han | KR | Seoul | 2014-12-18 / 20140366394 - SYSTEM AND METHOD FOR DETERMINING VEHICLE DOOR AXIS ADJUSTMENT MOUNT | 1 |
Dennis P. Han | US | Whitefish Bay | 2014-12-18 / 20140371723 - Rapid Access Vitreal Injection Guide | 1 |
Sheng Han | CN | Shenzhen | 2014-12-18 / 20140368076 - Electric Motor | 1 |
Zhengwei Han | CN | Shenzhen | 2014-12-18 / 20140368159 - Mobile terminal and device and method for Charging same | 1 |
Hui Han | CN | Xi'An | 2015-03-12 / 20150071304 - METHOD AND APPARATUS FOR CONTROLLING DATA TRANSMISSION | 2 |
Sier Han | CN | Xi'An | 2015-10-22 / 20150302318 - UPDATING PREDICTION MODEL | 8 |
Byron Bay Han | US | Cupertino | 2014-12-11 / 20140366158 - Using Biometric Verification to Grant Access to Redacted Content | 1 |
Jiandong Han | CN | Jinan | 2014-12-11 / 20140363874 - METHOD FOR PRODUCING LACCASE BY MEANS OF LIQUID FERMENTATION OF LENTINUS EDODES | 1 |
Meng Han | DE | Eindhoven | 2011-01-13 / 20110007299 - LASER SENSOR SYSTEM BASED ON SELF-MIXING INTERFERENCE | 1 |
Yeqiang Han | CN | Hangzhou | 2014-12-11 / 20140362408 - MULTI-CORE PROCESSOR BASED IMAGE DATA ROTATING PROCESSING SYSTEM FOR HIGH-SPEED DIGITAL TEXTILE PRINTER AND METHOD | 1 |
Kyung Seob Han | KR | Gunpo-Si | 2009-11-05 / 20090275190 - METHOD FOR FORMING BUFFER LAYER FOR GaN SINGLE CRYSTAL | 1 |
Kwonhee Han | KR | Gunpo-Si | 2010-02-11 / 20100036571 - INFORMATION METHOD OF ECONOMICAL DRIVING FOR MANUAL TRANSMISSION VEHICLE | 1 |
Ji-Haeng Han | KR | Gunpo-Si | 2010-10-14 / 20100261102 - MASK AND MANUFACTURING METHOD OF A SEMICONDUCTOR DEVICE AND A THIN FILM TRANSISTOR ARRAY PANEL USING THE MASK | 2 |
Se Jong Han | KR | Gunpo-Si | 2010-11-04 / 20100279372 - METHOD OF PRETREATING LIGNOCELLULOSE-BASED BIOMASS | 1 |
Hee Sik Han | KR | Gunpo-Si | 2013-10-10 / 20130263922 - BACK SHEET FOR SOLAR CELLS AND METHOD FOR PREPARING THE SAME | 3 |
Dong-Hyun Han | KR | Gunpo-Si | 2013-10-17 / 20130273726 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE INCLUDING PROCESS MONITORING PATTERN HAVING OVERLAPPING INPUT/OUTPUT PAD ARRAY AREA | 6 |
Sanghun Han | KR | Gunpo-Si | 2014-04-24 / 20140114077 - HIGH TRANSMISSIONAL GREEN DYE FOR LCD AND SYNTHETIC METHOD THEREOF | 4 |
Yong Woon Han | KR | Gunpo-Si | 2016-04-28 / 20160120048 - WEARABLE ELECTRONIC DEVICE | 4 |
Sang-Woo Han | KR | Daegu | 2014-12-11 / 20140361744 - ON-BOARD BATTERY CHARGER FOR ELECTRIC VEHICLES AND CONTROL METHOD THEREOF | 1 |
Seung-Woo Han | KR | Gyeonggi-Do | 2014-12-11 / 20140361738 - METHOD OF GENERATING LOAD VARIATION FOR DETECTING WIRELESS POWER RECEIVING UNIT IN WIRELESS CHARGING, AND WIRELESS POWER RECEIVING UNIT | 1 |
Jae Hyun Han | KR | Uiwang-Si | 2016-05-05 / 20160122600 - ADHESIVE COMPOSITION, ADHESIVE FILM PREPARED FROM THE SAME AND DISPLAY MEMBER INCLUDING THE SAME | 6 |
Jae-Sun Han | KR | Chonan-Shi | 2008-12-18 / 20080308131 - Method and apparatus for cleaning and driving wafers | 1 |
Peidong Han | US | Saline | 2014-12-11 / 20140360972 - LIGHTWEIGHT CONTAINER BASE | 1 |
Jisheng Han | AU | Calamvale | 2012-03-08 / 20120056194 - BARRIER STRUCTURES AND METHODS OF FORMING SAME TO FACILITATE SILICON CARBIDE EPITAXY AND SILICON CARBIDE-BASED MEMORY FABRICATION | 4 |
Ji Hun Han | KR | Daejeon | 2015-12-31 / 20150377558 - HEAT EXCHANGE SYSTEM | 2 |
Sang Yun Han | KR | Kyeonggi-Do | 2014-12-11 / 20140363578 - APPARATUS AND METHOD FOR QUANTITATIVELY COATING CATALYST SUPPORT | 1 |
Zhaoming Han | CN | Fushun | 2014-05-08 / 20140124408 - Wet Start-up Method for Hydrogenation Unit, Energy-Saving Hydrogenation Process and Hydrogenation Apparatus | 1 |
Duk Sun Han | KR | Icheon-Si Gyeonggi-Do | 2014-12-11 / 20140363974 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Duk Sun Han | KR | Icheon-Si | 2013-06-27 / 20130161839 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Duk Sun Han | KR | Icheon | 2011-11-10 / 20110276928 - METHOD FOR CONTROLLING PATTERN UNIFORMITY OF SEMICONDUCTOR DEVICE | 1 |
Ki Jin Han | US | Atlanta | 2010-04-15 / 20100094609 - Modeling electrical interconnections in three-dimensional structures | 1 |
Dong Hoon Han | US | Alpharetta | 2010-06-10 / 20100145651 - SELF-CALIBRATION SYSTEMS AND METHODS | 1 |
Allenn Han | US | Atlanta | 2012-08-09 / 20120202553 - SHARING ANTENNAS FOR INCREASED MULTIPLE-INPUT UPLINK RECEPTION | 3 |
Jeonghu Han | US | Atlanta | 2013-01-31 / 20130027135 - SYSTEMS AND METHODS FOR ADAPTIVE BIAS CIRCUITS FOR A POWER AMPLIFIER | 7 |
Jae-Hwan Han | KR | Seoul | 2014-01-30 / 20140027897 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME | 5 |
Mei Han | US | Cupertino | 2009-11-05 / 20090274385 - SUPER RESOLUTION USING GAUSSIAN REGRESSION | 2 |
Seok Jin Han | US | Cupertino | 2014-08-07 / 20140218388 - PRE-SUBPIXEL RENDERED IMAGE PROCESSING IN DISPLAY SYSTEMS | 7 |
Seokjin Han | US | Cupertino | 2009-11-12 / 20090278855 - MEMORY STRUCTURES FOR IMAGE PROCESSING | 1 |
Young-Su Han | KR | Namdong-Gu | 2013-02-14 / 20130038639 - DISPLAY DEVICE AND A DRIVING METHOD THEREOF | 3 |
Gun Hee Han | KR | Gyeonggi-Do | 2009-10-22 / 20090262229 - IMAGE SENSOR FOR HIGH-SPEED DATA READOUT | 1 |
Gun Hee Han | KR | Seoul-Si | 2009-12-24 / 20090317309 - Desktop sterilizer for books | 1 |
Gun Hee Han | KR | Goyang-Si | 2011-11-03 / 20110266417 - DIGITAL DOUBLE SAMPLING METHOD, A RELATED CMOS IMAGE SENSOR, AND A DIGITAL CAMERA COMPRISING THE CMOS IMAGE SENSOR | 2 |
Jefferson Y. Han | US | Holliswood | 2016-01-07 / 20160004357 - Techniques for Locally Improving Signal to Noise in a Capacitive Touch Sensor | 30 |
Ki-Cheol Han | KR | Seoul | 2011-02-03 / 20110027772 - Antigen Detection Kit and Method | 1 |
Ji-Yeon Han | KR | Busan | 2016-03-03 / 20160062514 - ELECTRONIC DEVICE, METHOD FOR CONTROLLING THE ELECTRONIC DEVICE, AND RECORDING MEDIUM | 2 |
Seung-Hoon Han | KR | Suwon-Si | 2016-04-14 / 20160104438 - DISPLAY DEVICE AND METHOD OF CONTROLLING THE SAME | 5 |
Gyeong-Ho Han | KR | Gyeonggi-Do | 2009-01-22 / 20090022850 - ACID TOLERANT LEUCONOSTOC MESENTEROIDES WITH EXCELLENT MANNITOL PRODUCTIVITY AND METHOD FOR PRODUCING A KIMCHI USING THE SAME | 1 |
In-Taek Han | KR | Seoul | 2015-10-08 / 20150286094 - FILM FOR BACKLIGHT UNIT AND BACKLIGHT UNIT AND LIQUID CYRSTAL DISPLAY INCLUDING SAME | 26 |
Sangho Han | KR | Chungcheonbuk-Do | 2009-08-20 / 20090206503 - In-Line Process for Preparing Wood Plastic Composite Panel With the Appearance and Texture Similar to Natural Lumbers and Apparatus Therefor | 1 |
Chang-Hee Han | KR | Chungbuk | 2010-07-29 / 20100190341 - APPARATUS, METHOD FOR DEPOSITING THIN FILM ON WAFER AND METHOD FOR GAP-FILLING TRENCH USING THE SAME | 1 |
Chang-Hee Han | KR | Daejeon | 2014-10-02 / 20140294653 - MARTENSITIC OXIDE DISPERSION STRENGTHENED ALLOY WITH ENHANCED HIGH-TEMPERATURE STRENGTH AND CREEP PROPERTY, AND METHOD OF MANUFACTURING THE SAME | 5 |
Seung-Ho Han | KR | Choongchungnam-Do | 2011-02-03 / 20110029286 - NONDESTRUCTIVE ANALYSIS FOR PERIODIC STRUCTURE | 1 |
Min Gyu Han | KR | Seoul | 2014-10-16 / 20140308937 - Method of Displaying Contents By Using Device Identifier of Wireless Communication Device, Method of Providing Contents, User Terminal For Performing the Contents Displaying Method, and System For Providing Contents to Perform the Contents Providing Method | 2 |
Jae-Sung Han | KR | Seoul | 2015-07-09 / 20150195116 - TRANSMITTER, RECEIVER AND CONTROLLING METHOD THEREOF | 2 |
Bo Han | US | Bridgewater | 2014-12-04 / 20140355433 - METHOD AND APPARATUS FOR SCHEDULING COMMUNICATION TRAFFIC FLOWS | 1 |
Dongxue Han | CN | Shenzhen | 2014-12-04 / 20140355288 - LAMP AND VEHICLE COMPRISING THE SAME | 1 |
Chang Hoon Han | KR | Hanam-Si | 2014-12-04 / 20140353660 - FLAT PANEL DISPLAY DEVICE WITH OXIDE THIN FILM TRANSISTOR AND METHOD OF FABRICATING THE SAME | 1 |
Ki Young Han | KR | Gyeonggi-Do | 2014-12-04 / 20140357287 - METHOD AND APPARATUS FOR RESOURCE ALLOCATION OF BASE STATION, AND SERVER FOR MULTI-CELL COOPERATION USING UPLINK SIGNAL CHANNEL | 1 |
Myeongbo Han | KR | Asan-Si | 2014-12-04 / 20140357160 - METHOD AND APPARATUS OF SYMMETRICALLY CHAMFERING SUBSTRATE | 2 |
Hong-Qiang Han | CN | Kunshan | 2013-11-28 / 20130316590 - ELECTRICAL CONNECTOR WITH SPACER | 41 |
Sang-Min Han | KR | Hwanseong-Si | 2008-11-20 / 20080284472 - APPARATUS FOR ADJUSTING BANDWIDTH AND CENTRAL FREQUENCY OF OSCILLATING SIGNAL GENERATED FROM CHAOTIC SIGNAL AND METHOD FOR GENERATING SIGNAL THEREOF | 1 |
Sang-Min Han | KR | Gyeonggi-Do | 2014-10-02 / 20140292589 - ANTENNA FOR PORTABLE DEVICE | 4 |
Cheol Han | KR | Sungnam City | 2010-07-15 / 20100179453 - Upper Limb Measurement and Rehabilitation Method and System | 1 |
Seok-Woong Han | KR | Gyeonggi-Do | 2013-02-28 / 20130055261 - CONTROLLING VIRTUAL MACHINE IN CLOUD COMPUTING SYSTEM | 1 |
Bong-Hee Han | KR | Gyeonggi-Do | 2015-09-17 / 20150261418 - ELECTRONIC DEVICE AND METHOD FOR DISPLAYING CONTENT | 2 |
Nianhe Han | CN | Shanghai | 2014-11-27 / 20140350032 - PROPENOATE DERIVATIVES OF BETULIN | 1 |
Kyung-Woo Han | KR | Yongin-City | 2015-05-14 / 20150132520 - FLAT PANEL DISPLAY APPARATUS | 3 |
Chengzhang Han | CN | Shenzhen | 2014-11-27 / 20140347825 - CIRCUIT BOARD AND POWER CONVERSION APPARATUS HAVING CIRCUIT BOARD | 1 |
Young-In Han | KR | Yongin-Si | 2014-11-27 / 20140347450 - METHOD AND APPARATUS FOR CREATING 3D IMAGE OF VEHICLE SURROUNDINGS | 1 |
Man Hee Han | KR | Daejeon | 2011-11-10 / 20110276004 - MICRONEEDLE CAP SYSTEM ENABLING ADJUSTMENT OF OUTFLOW RATE OF ACTIVE SUBSTANCE | 6 |
Sang-Won Han | KR | Gyeonggi-Do | 2014-11-27 / 20140347346 - DISPLAY DRIVER INTEGRATED CIRCUIT AND A DISPLAY SYSTEM INCLUDING THE SAME | 1 |
Chenggong Han | CN | Hangzhou | 2014-11-27 / 20140346598 - HIGH VOLTAGE PMOS (HVPMOS) TRANSISTOR WITH A COMPOSITE DRIFT REGION AND MANUFACTURE METHOD THEREOF | 1 |
Young-Seop Han | KR | Daegu | 2009-11-26 / 20090291669 - METHOD FOR CREATING PHOTO FILES IN ACCORDANCE WITH SCHEDULER FUNCTION AND MOBILE COMMUNICATION TERMINAL SUPPORTING THE SAME | 1 |
Sang Chul Han | KR | Daegu | 2012-05-17 / 20120118548 - Plate Heat Exchanger | 3 |
Dong-Seog Han | KR | Daegu | 2015-12-10 / 20150353050 - SAFETY BELT SYSTEM FOR VEHICLE SEATS | 10 |
Hyung Soo Han | KR | Daegu | 2015-02-12 / 20150045858 - Brain Cooling Device for Craniotomy Patient | 6 |
Jin Ho Han | KR | Daegu | 2010-06-24 / 20100156404 - ULTRASONIC PROBE FOR PRODUCING FOUR DIMENSIONAL IMAGE | 1 |
Seong Cheol Han | KR | Daegu | 2010-07-08 / 20100174294 - ELIMINATION-EQUIPMENT OF HORNY SUBSTANCE TO THE SOLE OF A FOOT | 3 |
Woon-Hyeuk Han | KR | Daegu | 2010-10-28 / 20100270012 - AUTOMOTIVE HEAT EXCHANGER TO THE UNIFICATION OF HEADER AND TANK AND FABRICATING METHOD THEREOF | 1 |
In Sik Han | KR | Daegu | 2014-12-11 / 20140360346 - BULLETPROOF FABRIC AND BODY ARMOR MANUFACTURED BY USING SAME | 17 |
Wook-Shin Han | KR | Daegu | 2011-02-24 / 20110047144 - SYSTEM, METHOD, AND APPARATUS FOR PARALLELIZING QUERY OPTIMIZATION | 4 |
Kyu-Hui Han | KR | Daegu | 2013-11-21 / 20130311373 - APPARATUS AND METHOD FOR PAYING FOR A PRODUCT USING A NEAR FIELD COMMUNICATION DEVICE | 2 |
Chang-Ho Han | KR | Hwaseong-Si | 2016-01-07 / 20160005590 - METHOD AND APPARATUS FOR FABRICATING SEMICONDUCTOR DEVICE | 2 |
Won Seok Han | KR | Daejeon | 2016-02-04 / 20160035568 - METHOD OF MANUFACTURING TRANSITION METAL CHALCOGENIDE THIN FILM | 9 |
Yun Heui Han | KR | Seoul | 2014-11-27 / 20140346898 - JUNCTION BOX HAVING THE MPPT CONTROL FUNCTION THAT IS INDIVIDUALLY EMBEDDED IN A SOLAR CELL MODULE, AND METHOD FOR DRIVING SAME | 1 |
Yun Heui Han | US | 2014-11-27 / 20140346898 - JUNCTION BOX HAVING THE MPPT CONTROL FUNCTION THAT IS INDIVIDUALLY EMBEDDED IN A SOLAR CELL MODULE, AND METHOD FOR DRIVING SAME | 1 | |
Kyu Bong Han | KR | Gyeonggi-Do | 2014-11-27 / 20140345453 - CYLINDER DEVICE HAVING IMPROVED WEAR RESISTANCE THROUGH OPTIMAL ARRANGEMENT OF FINE TEXTURES | 1 |
Seong Seok Han | KR | Whasung-Si | 2011-01-27 / 20110021130 - AIR-CONDITIONER FOR VEHICLE | 1 |
Kyoung-Tai Han | KR | Suwon-Si | 2014-11-27 / 20140349426 - ARRAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 8 |
Ji Young Han | KR | Seoul | 2016-03-24 / 20160088451 - MESSAGE SERVICE SUPPORT METHOD AND PORTABLE DEVICE USING THE SAME | 4 |
Yong Hee Han | KR | Chungcheongbuk-Do | 2014-11-20 / 20140341690 - PARALLEL LINKAGE-TYPE WORKING APPARATUS FOR CONSTRUCTION EQUIPMENT | 1 |
He-Tao Han | CN | Shenzhen | 2014-11-20 / 20140340862 - ELECTRONIC DEVICE WITH SUPPORTING APPARATUS | 1 |
Jae-Ryong Han | KR | Cheonan-Si | 2015-03-19 / 20150077357 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 2 |
Jung-Mok Han | KR | Cheonan-Si | 2014-11-20 / 20140340381 - DISPLAY APPARATUS | 1 |
In Suk Han | KR | Incheon | 2014-11-20 / 20140339826 - DIFFUSER CONTROLLER FOR TIDAL STREAM POWER GENERATION | 1 |
Gang Han | US | San Jose | 2009-03-26 / 20090079687 - Load sensing forced mode lock | 4 |
Leon Han | US | San Jose | 2011-05-12 / 20110108153 - Apparatus and method for rapidly adjustable liquid blending ratio | 3 |
Nianci Han | US | San Jose | 2012-06-07 / 20120138472 - METHOD OF FORMING A PROCESS CHAMBER COMPONENT HAVING ELECTROPLATED YTTRIUM CONTAINING COATING | 3 |
Yun-Sik Han | US | San Jose | 2008-11-13 / 20080278849 - Flow balancer for track misregistration improvement | 1 |
Chunqi Han | US | San Jose | 2009-07-02 / 20090172324 - Storage system and method for opportunistic write-verify | 3 |
Yu Han | US | San Jose | 2009-12-10 / 20090303070 - Distributed Decision Making Area Earthquake Warning System | 1 |
Jinqiang Han | US | San Jose | 2010-07-08 / 20100174749 - SECURING DBMS EVENT NOTIFICATIONS | 1 |
Joseph H. Han | US | San Jose | 2011-11-10 / 20110272811 - USING UNSTABLE NITRIDES TO FORM SEMICONDUCTOR STRUCTURES | 4 |
Keeyong Han | US | San Jose | 2010-11-11 / 20100287174 - IDENTIFYING A LEVEL OF DESIRABILITY OF HYPERLINKED INFORMATION OR OTHER USER SELECTABLE INFORMATION | 1 |
Sang Chul Han | US | San Jose | 2010-12-02 / 20100302153 - DEPRESSABLE TOUCH SENSOR | 1 |
Wei Han | CN | Beijing | 2015-12-31 / 20150380058 - METHOD, DEVICE, TERMINAL, AND SYSTEM FOR AUDIO RECORDING AND PLAYING | 7 |
Kwang Joon Han | KR | Seoul | 2015-04-30 / 20150116591 - CAMERA MODULE | 3 |
Sangchul Han | KR | Pyeongtaek-Si | 2015-11-12 / 20150323848 - DISPLAY PANEL AND DISPLAY DEVICE | 3 |
Won-Gil Han | KR | Cheongju-Si | 2014-12-04 / 20140359372 - METHOD OF DETECTING FAULTS OF OPERATION ALGORITHMS IN A WIRE BONDING MACHINE AND APPARATUS FOR PERFORMING THE SAME | 2 |
Guanglin Han | CN | Shenzhen | 2015-06-04 / 20150156790 - METHOD, APPARATUS, AND SYSTEM FOR RESOURCE SCHEDULING | 10 |
Il-Young Han | KR | Gyeonggi-Do | 2009-05-28 / 20090134202 - REFLOW APPARATUS AND METHOD | 1 |
Il-Young Han | KR | Uiwang-Si | 2012-11-29 / 20120298656 - APPARATUS FOR FABRICATING SEMICONDUCTOR DEVICES | 3 |
Jian Han | US | Huntsville | 2016-02-04 / 20160034637 - METHOD FOR EVALUATING AN IMMUNOREPERTOIRE | 17 |
Won Taek Han | KR | Buk-Gu | 2011-02-17 / 20110037454 - CdSe QUANTUM DOTS DOPED OPTICAL FIBER AND A CURRENT SENSOR USING THE SAME | 1 |
Wooseok Han | US | San Ramon | 2015-11-05 / 20150315150 - KINASE INHIBITORS AND METHODS OF THEIR USE | 12 |
Wooseok Han | US | Emeryvile | 2010-03-25 / 20100075965 - PI3 KINASE INHIBITORS AND METHODS OF THEIR USE | 1 |
Jinsong Han | HK | Hong Kong | 2010-02-25 / 20100045442 - RFID Privacy-Preserving Authentication System and Method | 1 |
Jin Ho Han | KR | Seoul | 2016-04-21 / 20160110250 - CACHE MEMORY WITH FAULT TOLERANCE | 14 |
Jeong-Uk Han | KR | Suwon-Si | 2012-03-22 / 20120068249 - Nonvolatile memory device and method of manufacturing the same | 20 |
Jung-Ho Han | KR | Seoul | 2015-05-21 / 20150141532 - Solvent-Substitution Solvent Used in Aerogel Production, and Hydrophobised Aerogel Production Method Using Same | 4 |
Seong Ju Han | KR | Seoul | 2014-11-13 / 20140334767 - APPARATUS AND METHOD FOR MEASURING BENDING AND TOUCH USING OPTICAL WAVEGUIDE | 1 |
Kyung-Wan Han | KR | Seongnam-Si | 2014-11-13 / 20140334447 - APPARATUS AND METHOD FOR PERFORMING HANDOVER IN RADIO LOCAL ACCESS NETWORK COMMUNICATION SYSTEM | 1 |
Jae Min Han | KR | Seoul | 2016-04-28 / 20160113820 - SHOES FOR CAST-WORN PATIENT | 2 |
Dong Han | CN | Shenzhen | 2014-11-13 / 20140333255 - HOLDER FOR PORTABLE ELECTRONIC DEVICE | 1 |
Dongho Han | KR | Seoul | 2015-07-23 / 20150207456 - POWER CONVERSION APPARATUS, PHOTOVOLTAIC MODULE AND COMMUNICATION DEVICE AND PHOTOVOLTAIC SYSTEM INCLUDING THE SAME | 3 |
Jong-Heon Han | KR | Yongin-City | 2015-03-12 / 20150070408 - PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME | 2 |
Yoon Ho Han | KR | Incheon | 2014-11-27 / 20140345677 - SUBSTRATE MATERIAL OF IRON-NICKEL ALLOY METAL FOIL FOR CIGS SOLAR CELLS | 2 |
Jeong-Won Han | KR | Yongin-City | 2015-02-19 / 20150048070 - SEALING APPARATUS, SUBSTRATE-SEALING APPARATUS INCLUDING THE SAME AND SUBSTRATE-SEALING METHOD | 14 |
Chang Han | US | Pleasanton | 2014-11-13 / 20140332820 - Flip Light Emitting Diode Chip and Method of Fabricating the Same | 8 |
Min Ah Han | KR | Seoul | 2014-11-06 / 20140330264 - PET-RFA COMPLEX MEDICAL DEVICE AND TREATMENT METHOD USING THE SAME | 1 |
Seung-Woo Han | KR | Seoul | 2016-02-11 / 20160043573 - METHOD AND APPARATUS FOR CONTROLLING WIRELESS POWER TRANSMISSION | 11 |
Suh Joon Han | US | Schwenksville | 2015-05-07 / 20150122529 - POLYMERIC COATINGS FOR COATED CONDUCTORS | 2 |
Jae Hong Han | KR | Gwacheon-Si | 2014-11-06 / 20140329286 - EQUOL CONCENTRATION INCREASING AGENT CONTAINING PSICOSE AS ACTIVE INGREDIENT | 1 |
Jeong Heon Han | KR | Seoul | 2015-07-02 / 20150182408 - MOTION ASSISTIVE APPARATUS AND METHOD OF CONTROLLING THE SAME | 4 |
Zheng Han | FR | Grenoble | 2014-11-06 / 20140326700 - PROCESS AND DEVICE FOR FORMING A GRAPHENE LAYER | 1 |
Sungjong Han | KR | Seoul | 2014-11-13 / 20140331690 - AUXILIARY SECONDARY BARRIER, LIQUEFIED NATURAL GAS STORAGE TANK INCLUDING SAME AND METHOD FOR MANUFACTURING THE LIQUEFIED NATURAL GAS STORAGE TANK | 2 |
Jonghee Han | KR | Daegu | 2014-11-06 / 20140326101 - CABLE PULLING DEVICE USED IN PARKING MODE MANUALLY RELEASING DEVICE FOR SHIFT-BY-WIRE TYPE AUTOMATIC TRANSMISSION | 1 |
Jun Young Han | KR | Seoul | 2015-09-24 / 20150266762 - COMPLEX APPARATUS OF REVERSE ELECTRODIALYSIS EQUIPMENT AND DESALINATION PLANT AND METHOD FOR IMPROVING POWER DENSITY THEREOF | 2 |
Liang Han | US | Sunnyvale | 2016-04-07 / 20160097833 - Wireless Electronic Device With Calibrated Reflectometer | 15 |
Amy Qi Han | US | 2010-04-15 / 20100093878 - CROSSLINKABLE FLUOROPOLYMER, CROSSLINKED FLUOROPOLYMERS AND CROSSLINKED FLUOROPOLYMER MEMBRANES | 2 | |
Amy Qi Han | US | Hockessin | 2015-08-20 / 20150231114 - 3-CYCLOALKYLAMINOPYRROLIDINE DERIVATIVES AS MODULATORS OF CHEMOKINE RECEPTORS | 13 |
Kyung Taeg Han | KR | Gyunggi-Do | 2010-11-18 / 20100289051 - CHIP COATED LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF | 2 |
Kyung Taeg Han | KR | Hwasung | 2011-02-10 / 20110031526 - LIGHT EMITTING DIODE PACKAGE AND FABRICATION METHOD THEREOF | 7 |
Seong Yeon Han | KR | Gwangju | 2015-07-16 / 20150198762 - LED PACKAGE AND A BACKLIGHT UNIT COMPRISING SAID LED PACKAGE | 15 |
Yichun Han | CN | Shenzhen Guangdong | 2014-08-28 / 20140245267 - TEST CASE SCREENING METHOD AND SYSTEM | 1 |
Jong-Won Han | KR | Chungcheongnam-Do | 2009-06-04 / 20090140758 - TEST CARRIER | 1 |
Sang-Sun Han | KR | Chungcheongnam-Do | 2009-09-24 / 20090237586 - DISPLAY ASSEMBLY AND DISPLAY DEVICE HAVING THE SAME | 1 |
Jang-Hyuck Han | KR | Chungcheongnam-Do | 2010-07-22 / 20100183664 - ATTENUATED RECOMBINANT NEWCASTLE DISEASE VIRUS AND VACCINE CONTAINING THE SAME | 1 |
Byeong-Hoon Han | KR | Chungcheongnam-Do | 2011-01-27 / 20110021582 - BENZOPHENONE THIAZOLE DERIVATIVES USEFUL FOR INHIBITING FORMATION OF MICROTUBULE AND METHOD FOR PRODUCING THE SAME | 1 |
Kyung Ryul Han | KR | Chungcheongnam-Do | 2008-10-09 / 20080247899 - Contoured PCD and PCBN for twist drill tips and end mills and methods of forming the same | 1 |
Hun Han | KR | Chungcheongnam-Do | 2009-01-15 / 20090016022 - SEMICONDUCTOR MODULE | 1 |
Moon Ki Han | KR | Chungcheongnam-Do | 2009-04-23 / 20090104412 - External Light Blocking Film For Display Device, Filter For Display Device Having The Same, And Method Of Fabricating The Same | 1 |
Se Hyun Han | KR | Chungcheongnam-Do | 2009-08-27 / 20090216486 - Method for measuring three-dimension shape | 1 |
Byung-Hoon Han | KR | Chungcheongnam-Do | / - | 1 |
Chang-Hoon Han | KR | Chungcheongnam-Do | 2010-01-21 / 20100013083 - SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME | 2 |
Song-Yi Han | KR | Chungcheongnam-Do | 2015-04-30 / 20150116382 - LIGHT UNIT AND DISPLAY DEVICE INCLUDING THE SAME | 2 |
Jung Hyun Han | KR | Chungcheongnam-Do | 2012-02-16 / 20120041178 - Coenzyme Q10 Nanoparticles, Preparation Method Thereof and Composition Containing Said Nanoparticles | 5 |
Chang Mok Han | KR | Chungcheongnam-Do | 2014-05-15 / 20140132454 - ANTENNA PATTERN FRAME, METHOD AND MOLD FOR MANUFACTURING THE SAME, ELECTRONIC DEVICE CASE HAVING ANTENNA PATTERN FRAME EMBEDDED THEREIN, AND METHOD FOR MANUFACTURING THE SAME | 6 |
Cheol-Min Han | KR | Jeonju-Si | 2014-10-30 / 20140323815 - APPARATUS FOR CONTROLLING MEDICAL DEVICE SAFETY AND METHOD FOR SAME | 1 |
Dong Hoon Han | KR | Uiwang-Si | 2014-10-30 / 20140323625 - Flame Retardant Thermoplastic Resin Composition and Article Comprising the Same | 1 |
Ii Han | KR | Kyonggi-Do | 2015-04-30 / 20150117297 - METHOD OF TRANSMITTING/RECEIVING CONTROL MESSAGE IN A MOBILE COMMUNICATION SYSTEM PROVIDING MULTIMEDIA BROADCAST/MULTICAST SERVICE | 2 |
Young-Goo Han | KR | Gyeonggi-Do | 2014-10-30 / 20140321299 - METHOD AND APPARATUS FOR DETERMINING CHANNEL QUALITY IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Xiu-Feng Han | CN | Beijing | 2014-10-30 / 20140321199 - Nano Multilayer Film, Field Effect Tube, Sensor, Random Accessory Memory and Preparation Method | 1 |
Suion Han | JP | Mishima-Shi | 2014-10-30 / 20140318616 - BACK-PROTECTIVE SHEET FOR SOLAR CELL MODULE AND SOLAR CELL MODULE USING SAME | 1 |
Dong Han | CN | Haigang Qinhuangdao | 2014-10-30 / 20140318480 - MAGNETIC LIQUID LEVEL GAUGE FOR BOILER DRUM WATER LEVEL MEASUREMENT | 1 |
Hongtao Han | US | Mooresville | 2011-02-10 / 20110033152 - OPTICAL ASSEMBLY | 3 |
Jonghee Han | US | Cary | 2009-05-14 / 20090122856 - METHOD AND APPARATUS FOR ENCODING DATA | 1 |
Hongtao Han | US | Charlotte | 2009-11-26 / 20090290833 - Optical device including at least one replicated surface and associated methods | 1 |
Yu Han | US | Cary | 2010-03-25 / 20100077506 - Peroxidase Gene Nematode Inducible Promotors and Methods of Use | 3 |
Li Han | US | Apex | 2013-11-21 / 20130308334 - LUMINESCENT DEVICE | 4 |
Joseph K. Han | US | Virginia Beach | 2014-10-30 / 20140323446 - USE OF ZILEUTON FOR THE TREATMENT OF NASAL POLYPS IN CYSTIC FIBROSIS PATIENTS | 1 |
Sungwook Han | KR | Pyeongtaek-Si | 2014-11-13 / 20140334100 - DIGITAL SIGNAGE | 2 |
Seung Hoon Han | KR | Gumi-Si | 2014-10-30 / 20140320626 - MICROSCOPE FOR MONITORING OPTICAL COHERENCE TOMOGRAPHY | 1 |
Young-Bae Han | KR | Gumi-Si | 2015-10-01 / 20150277019 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE SAME | 2 |
Weijuan Han | CN | Beijing | 2014-10-30 / 20140323501 - AMINO-PROPYLENE-GLYCOL DERIVATIVES, PREPARATION METHOD AND PHARMACEUTICAL COMPOSITION AND USE THEREOF | 1 |
Dong Gi Han | KR | Seoul | 2014-04-10 / 20140096941 - INDOOR UNIT OF AIR CONDITIONER AND METHOD OF CONTROLLING THE SAME | 2 |
Kyu-Suk Han | KR | Seoul | 2009-02-12 / 20090041250 - Authentication method in communication system | 1 |
Tae Hyun Han | KR | Seoul | 2014-10-23 / 20140317401 - SERVER, SYSTEM, AND METHOD FOR ISSUING MOBILE CERTIFICATE | 1 |
Sang Mi Han | KR | Seosan | 2014-10-23 / 20140314871 - METHOD FOR PURIFYING BEE VENOM ON MASS SCALE | 1 |
Honggul Han | KR | Busan | 2015-12-24 / 20150366470 - BIO SIGNAL MEASURING APPARATUS USING BANDWIDTH OF PULSE SIGNAL AND USER MONITORING SYSTEM INCLUDING THE SAME | 3 |
Jung-Hun Han | KR | Gyeonggi-Do | 2014-10-23 / 20140312701 - POWER SUPPLY CIRCUIT IN ELECTRONIC DEVICE AND CONTROL METHOD THEREOF | 1 |
Myung-Suk Han | KR | Yongin-City | 2015-02-26 / 20150053933 - ORGANIC LIGHT-EMITTING DEVICE | 4 |
Byung Woo Han | KR | Suwon | 2014-10-30 / 20140318843 - MULTILAYER CERAMIC ELECTRONIC COMPONENT AND MOUNTING BOARD THEREFOR | 3 |
Gong-Heum Han | KR | Hwaseong-Si | 2016-03-03 / 20160064057 - ADDRESS ALIGNER AND MEMORY DEVICE INCLUDING THE SAME | 6 |
Wenjie Han | CN | Hebei Province | 2014-10-23 / 20140316175 - Process for Extracting Lycopene | 1 |
Yuchun Han | CN | Beijing | 2015-07-02 / 20150183911 - AMPHIPHILIC MACROMOLECULE AND THE PURPOSE OF THIS AMPHIPHILIC MACROMOLECULE | 2 |
Sun Young Han | KR | Suwon-Si | 2015-11-12 / 20150326707 - TERMINAL DEVICE AND REMOTE CONTROL METHOD THEREOF, MOBILE DEVICE AND REMOTE CONTROL METHOD THEREOF | 4 |
Hyo Jun Han | KR | Seoul | 2014-10-23 / 20140315202 - METHOD FOR ASSEMBLING MULTIPLE TARGET LOCI TO SINGLE NUCLEIC ACID SEQUENCE | 1 |
Jihun Han | KR | Daejeon | 2014-09-25 / 20140288737 - METHOD AND SYSTEM FOR CONTROLLING POWER OF FUEL CELL VEHICLE | 1 |
Sungjoon Han | KR | Seoul | 2014-10-02 / 20140298318 - COMPUTER-EXECUTABLE APPLICATION PACKAGING METHOD, COMPUTER-EXECUTABLE DEVICE AND STORAGE MEDIA PERFORMING THE SAME | 1 |
Yongxin Han | CN | Beijing | 2015-10-22 / 20150299171 - INHIBITORS OF BRUTON'S TYROSINE KINASE | 3 |
Jongwong Han | KR | Cheonan-Si | 2010-07-01 / 20100164525 - TEST SOCKET, TEST APPARATUS WITH TEST SOCKET | 1 |
Sang-Youn Han | KR | Cheonan-Si | 2012-08-09 / 20120200815 - DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME | 6 |
Moon-Soo Han | KR | Cheonan-Si | 2009-05-14 / 20090123695 - Cover tape and electronic component packaging system using the cover tape | 1 |
Sang-Sun Han | KR | Cheonan-Si | 2011-08-04 / 20110187961 - DISPLAY APPARATUS | 4 |
Seongchan Han | KR | Cheonan-Si | 2012-03-08 / 20120058650 - SEMICONDUCTOR MODULE AND SEMICONDUCTOR DEVICE INCLUDING THE SAME | 2 |
Chang-Hoon Han | KR | Cheonan-Si | 2013-11-07 / 20130294778 - Optical Connector and Stack Module Including the Same | 3 |
Chang Sung Han | KR | Cheonan-Si | 2011-08-25 / 20110207830 - COMPOSITION FOR TREATING OR PREVENTING AMYLOID-RELATED DISEASES COMPRISING 4-O-METHYLHONOKIOL | 2 |
Jun-Soo Han | KR | Cheonan-Si | 2012-01-19 / 20120013007 - PACKAGE-ON-PACKAGE SEMICONDUCTOR PACKAGE HAVING SPACERS DISPOSED BETWEEN TWO PACKAGE SUBSTRATES | 3 |
Dong-Chul Han | KR | Cheonan-Si | 2014-01-16 / 20140015129 - STACKED PACKAGE INCLUDING SPACERS AND METHOD OF MANUFACTURING THE SAME | 5 |
Jong-Won Han | KR | Cheonan-Si | 2016-04-21 / 20160109480 - TEST SOCKET FOR TESTING SEMICONDUCTOR CHIP PACKAGE AND METHOD OF MANUFACTURING THE SAME | 4 |
Min-Suk Han | KR | Cheonan-Si | 2011-05-12 / 20110108415 - APPARATUS AND METHOD FOR PLATING A SUBSTRATE | 1 |
Yongxin Han | CN | Shanghai | 2014-10-02 / 20140296136 - HCV NS3 PROTEASE INHIBITORS | 1 |
Yueh-Chiang Han | TW | Taipei County | 2009-04-30 / 20090111757 - HCV PROTEASE INHIBITORS | 1 |
Meng-Ju Han | TW | Taipei County | 2011-06-16 / 20110141258 - EMOTION RECOGNITION METHOD AND SYSTEM THEREOF | 4 |
Song-Rong Han | TW | Taipei County | 2011-01-13 / 20110006817 - TRIANGULAR WAVE GENERATOR, SSCG UTILIZING THE TRIANGULAR WAVE GENERATOR, AND RELATED METHOD THEREOF | 2 |
Yung Han | TW | Taipei County | 2013-08-01 / 20130194805 - LED LIGHTING STRUCTURE | 8 |
Chien-Chung Han | TW | Hsinchu City | 2015-01-29 / 20150029641 - COMPOSITION AND METHOD FOR FORMING ELECTROACTIVE POLYMER SOLUTION OR COATING COMPRISING CONJUGATED HETEROAROMATIC POLYMER, ELECTROACTIVE POLYMER SOLUTION, CAPACITOR AND ANTISTATIC OBJECT COMPRISING THE ELECTROACTIVE COATING, AND SOLID ELECTROLYTIC CAPACITOR AND METHOD FOR FABRICATING THE SAME | 2 |
Seong-Wook Han | KR | Suwon-Si | 2015-01-15 / 20150016721 - IMAGE-QUALITY IMPROVEMENT METHOD, APPARATUS, AND RECORDING MEDIUM | 2 |
Bing Han | CN | Shenzhen City | 2015-07-02 / 20150185550 - COLOR FILTER SUBSTRATE AND LIQUID CRYSTAL DISPLAY PANEL | 5 |
Jong-Kwon Han | KR | Gyeonggi-Do | 2008-12-25 / 20080318278 - Ammonia-Specific 5'-Xmp Aminase Mutant | 1 |
Ming-Hung Han | TW | Hsinchu City | 2014-10-02 / 20140291739 - JUNCTION-LESS TRANSISTOR HAVING REVERSE POLARITY STRUCTURE | 1 |
Seon-Ho Han | KR | Daejeon | 2014-02-13 / 20140044221 - DIGITAL RF RECEIVER | 15 |
Ruoban Han | US | Somerville | 2014-10-09 / 20140302403 - NON-AQUEOUS ELECTROLYTE FOR RECHARGEABLE MAGNESIUM ION CELL | 1 |
Nam-Soo Han | KR | Chungcheongbuk-Do Cheongju | 2010-11-04 / 20100281555 - METHOD FOR MARKING BIO-INFORMATION INTO GENOME OF ORGANISM AND ORGANISM MARKED WITH THE BIO-INFORMATION | 1 |
Seong Yeon Han | KR | Yongin | 2014-10-09 / 20140301102 - MULTI-ARRAY LED CHIP FOR EMBODYING CUT-OFF LINE AND HEAD LAMP HAVING THE SAME | 1 |
Jeong Woo Han | KR | Seoul | 2012-07-05 / 20120168207 - FLEXIBLE MULTILAYER TYPE THIN FILM CAPACITOR AND EMBEDDED PRINTED CIRCUIT BOARD USING THE SAME | 2 |
Mi Jung Han | KR | Gyunggi-Do | 2008-10-23 / 20080257742 - Method of manufacturing printed circuit board for semiconductor package | 1 |
Chun-Chieh Han | TW | Taipei City | 2014-10-16 / 20140308616 - COMPOSITION OF AN AQUEOUS ETCHANT CONTAINING A PRECURSOR OF OXIDANT AND PATTERNING METHOD FOR CONDUCTIVE CIRCUIT | 1 |
Kwan-Hee Han | KR | Suwon-Si | 2014-10-16 / 20140308033 - OPTICAL LINE MONITORING SYSTEM AND METHOD | 1 |
Chunlei Han | CN | Beijing | 2014-10-23 / 20140315997 - POLYMORPHS OF CDDO ETHYL ESTER AND USES THEREOF | 1 |
Ick-Sang Han | KR | Yongin-Si | 2012-04-19 / 20120095766 - SPEECH RECOGNITION APPARATUS AND METHOD | 10 |
Yong Hee Han | KR | Namyangju-Si | 2014-10-16 / 20140306312 - MEMS Sensor Packaging and Method Thereof | 1 |
Qin Han | CN | Beijing | 2014-09-25 / 20140287930 - SUB-TOTIPOTENT STEM CELL PRODUCT AND APPARENT HEREDITARY MODIFYING LABEL THEREOF | 1 |
Ji Woong Han | US | Decatur | 2015-09-17 / 20150259649 - CELLULAR COMPOSITIONS USED TO RESTORE STEM CELL OR PROGENITOR CELL FUNCTION AND METHODS RELATED THERETO | 2 |
Yi Han | US | Atlanta | 2014-10-02 / 20140298260 - SYSTEMS AND METHODS FOR UTILIZING MICRO-INTERACTION EVENTS ON COMPUTING DEVICES TO ADMINISTER QUESTIONS | 1 |
Seunghee Han | US | Santa Clara | 2014-10-02 / 20140293988 - TIMING SYNCHRONIZATION IN DISCOVERY SIGNALS | 3 |
Ningxu Han | CN | Shenzhen | 2014-10-16 / 20140305807 - Cathode Protection Method for Reinforced Concrete Structure and Apparatus Therefor | 2 |
Xiaoqin Han | CN | Shenzhen | 2014-10-02 / 20140293831 - Coordinated Processing Method and System for Northbound Data Configuration and Self-Organized Network Configuration | 1 |
Jeong-Hee Han | KR | Suwon-Si | 2009-11-19 / 20090285030 - MULTI-BIT NONVOLATILE MEMORY DEVICES AND METHODS OF OPERATING THE SAME | 2 |
Jeong-Hee Han | KR | Gyeonggi-Do | 2015-08-27 / 20150238599 - NONSPECIFIC IMMUNOSTIMULATOR COMPOSITION, METHOD OF PREPARATION THE SAME, AND ITS USE | 7 |
Jeong-Hee Han | KR | Hwaseong-Si | 2014-09-04 / 20140246726 - METHODS FOR MANUFACTURING SEMICONDUCTOR DEVICES USING ETCH STOP DIELECTRIC LAYERS AND RELATED DEVICES | 8 |
Yiping Han | US | La Jolla | 2011-01-27 / 20110018638 - SPLIT-BIASED CURRENT SCALABLE BUFFER | 4 |
Jisheng Han | CN | Beijing | 2014-10-09 / 20140303683 - METHOD FOR THE TREATMENT OF AUTISM | 1 |
Jin-Man Han | US | San Jose | 2014-03-06 / 20140063954 - METHOD OF STORING DATA ON A FLASH MEMORY DEVICE | 14 |
Jungmin Han | KR | Yongin-Si | 2016-05-05 / 20160126542 - POSITIVE ACTIVE MATERIAL, POSITIVE ELECTRODE AND LITHIUM BATTERY INCLUDING THE POSITIVE ACTIVE MATERIAL, AND METHOD OF MANUFACTURING THE POSITIVE ACTIVE MATERIAL | 1 |
Seong Ok Han | KR | Yuseong-Gu | 2016-05-05 / 20160126537 - CARBON ELECTRODE AND METHOD FOR MANUFACTURING THEREOF | 1 |
Wei Han | CN | Shenzhen | 2016-05-05 / 20160126482 - Assembly of Vertically Aligned Nanotube Arrays Containing Particles and Application Thereof | 1 |
Dae Soo Han | KR | Cheongju-Si | 2016-05-05 / 20160126036 - INTERLOCK APPARATUS OF VACUUM CIRCUIT BREAKER | 2 |
Huisheng Han | CN | Nantong | 2016-05-05 / 20160126024 - Switch Contact Element and Its Preparation Method | 1 |
Hongmei Han | US | Fremont | 2016-05-05 / 20160125899 - PMR WRITER WITH CONSTANT SIDE WALL ANGLE | 1 |
Sang-Su Han | KR | Seoul | 2016-05-05 / 20160125845 - DISPLAY APPARATUS AND METHOD OF OPERATING DISPLAY APPARATUS | 1 |
Geng Han | CN | Beijing | 2016-05-05 / 20160124855 - PROCESSING AN INPUT/ OUTPUT OPERATION REQUEST | 1 |
Seung Shin Han | KR | Cheonan-Si | 2016-05-05 / 20160124414 - PLC SYSTEM | 1 |
Ganghee Han | US | Philadelphia | / - | 1 |
Ying Han | US | Cheshire | 2015-11-12 / 20150320757 - GUANIDINE DERIVATIVES FOR THE TREATMENT OF HEPATITIS C | 17 |
Ming Han | CN | Weifang | 2014-10-16 / 20140309188 - USE OF PHARMACEUTICAL COMPOSITION COMPRISING DEOXYNUCLEOSIDE AND NUCLEOSIDE FOR TREATMENT OF TUMOR | 1 |
Hyojun Han | KR | Seoul | 2014-10-16 / 20140309118 - METHOD OF PREPARING NUCLEIC ACID MOLECULES | 1 |
Min Gyu Han | KR | Dongjak-Gu Seoul | 2014-10-16 / 20140308937 - Method of Displaying Contents By Using Device Identifier of Wireless Communication Device, Method of Providing Contents, User Terminal For Performing the Contents Displaying Method, and System For Providing Contents to Perform the Contents Providing Method | 1 |
Ho-Sung Han | KR | Gyeonggi-Do | 2008-10-30 / 20080266603 - Method of controlling print job schedule and printing system using the method | 1 |
Woosung Han | KR | Seoul | 2009-03-12 / 20090065032 - Apparatus and method for removing photoresist from a substrate | 1 |
Hongyuan Han | US | New Vernon | 2014-10-16 / 20140305946 - CONCEAL TRASH CAN LID COVER | 1 |
Gaohuai Han | CN | Hangzhou | 2013-09-26 / 20130254446 - Memory Management Method and Device for Distributed Computer System | 1 |
Lu-Sha Han | CN | Hangzhou | 2014-04-24 / 20140114885 - URBAN TRAFFIC STATE DETECTION BASED ON SUPPORT VECTOR MACHINE AND MULTILAYER PERCEPTRON | 1 |
Su Dong Han | KR | Gyeonggi-Do | 2015-07-02 / 20150183338 - THERMAL MANAGEMENT SYSTEM FOR FUEL CELL VEHICLES | 5 |
Weizhi Han | CN | Hangzhou | 2014-10-16 / 20140308773 - METHOD OF FABRICATING HETEROJUNCTION BATTERY | 1 |
Yunlong Han | CN | Hangzhou | 2015-07-23 / 20150207417 - CONTROL METHOD AND CONTROL CIRCUIT FOR SWITCHING POWER SUPPLY | 8 |
Liyou Han | JP | Kyoto | / - | 1 |
Gang Han | JP | Saitama | / - | 1 |
Gang Han | JP | Yonago | 2009-10-22 / 20090260481 - METHOD FOR PRODUCING TITANIUM METAL | 1 |
Li-Kun Han | JP | Toyama | 2010-02-25 / 20100048505 - Composition Having Effect of Treating, Preventing, or Improving Diabetes or Diabetic Complication and Drink Comprising the Same | 1 |
Ki Han | JP | Hamura-Shi | 2013-08-29 / 20130220390 - ULTRASONIC CLEANING DEVICE | 3 |
Munsu Han | JP | Kanagawa | 2010-07-01 / 20100162522 - DAMPER DEVICE | 1 |
Li-Biao Han | JP | Ibaraki | 2010-07-08 / 20100174079 - PROCESS FOR PRODUCTION OF OPTICALLY ACTIVE PHOSPHOROUS COMPOUND | 1 |
Kisheong Han | JP | Hiratsuka-Shi | 2012-10-18 / 20120264874 - DISPERSION AND AQUEOUS COATING COMPOSITION COMPRISING THE DISPERSION | 2 |
Xiaofeng Han | JP | Kanagawa | 2015-10-29 / 20150309996 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD | 14 |
Mina Han | JP | Wako-Shi | 2010-03-04 / 20100051871 - FLUORESCENT FILM | 1 |
Zhengchun Han | CN | Hangzhou | 2014-05-08 / 20140127373 - TEA BEVERAGE AND METHOD FOR PRODUCING THE SAME | 1 |
Zongling Han | CN | Hangzhou | 2014-08-28 / 20140243376 - O-AMINO BENZONITRILE COMPOUNDS, METHOD FOR PREPARING SAME AND USES THEREOF | 1 |
Jae Sun Han | KR | Uiwang-Si | 2014-10-02 / 20140291869 - ANISOTROPIC CONDUCTIVE FILM INCLUDING CONDUCTIVE ADHESIVE LAYER AND SEMICONDUCTOR DEVICE CONNECTED BY THE SAME | 8 |
Jae Sun Han | KR | Seoul | 2015-06-11 / 20150158425 - BIOLOGICALLY CONTROLLED VEHICLE AND METHOD OF CONTROLLING THE SAME | 4 |
Kyuil Han | KR | Gyeongsangbuk-Do | 2014-03-06 / 20140061598 - Organic Light Emitting Display Device and Method of Fabricating the Same | 1 |
Kyoung Rok Han | KR | Gyeonggi-Do | 2013-06-20 / 20130153981 - NONVOLATILE MEMORY DEVICES AND METHODS OF MANUFACTURING THE SAME | 1 |
Kyoung Rok Han | KR | Yongin-Si Gyeonggi-Do | 2013-04-11 / 20130087843 - SEMICONDUCTOR DEVICE AND CAPACITOR | 1 |
Bing Han | CN | Beijing | 2016-03-03 / 20160063702 - MEDICAL IMAGE PROCESSING APPARATUS, MEDICAL IMAGE PROCESSING METHOD AND MEDICAL IMAGE DEVICE | 11 |
Hyein Han | KR | Gyeonggi-Do | 2012-10-04 / 20120249444 - Touch Sensor Integrated Type Display Device | 1 |
Jinkyu Han | KR | Seoul | 2014-10-09 / 20140301320 - HARQ METHOD AND APPARATUS FOR COMMUNICATION SYSTEM | 8 |
Seungdo Han | KR | Seoul | 2016-05-19 / 20160137047 - DRIVING APPARATUS OF VEHICLE | 8 |
Seungdo Han | KR | Geumcheon-Gu | 2013-10-31 / 20130285485 - ELECTRIC MOTOR AND ELECTRIC VEHICLE HAVING THE SAME | 1 |
Eun-Gu Han | KR | Seoul | 2014-10-02 / 20140295108 - ADHESIVE COMPOSITION, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE COMPRISING THE SAME | 1 |
Sung Sik Han | KR | Seoul | 2011-01-20 / 20110011820 - WINE BOTTLE CAP ASSEMBLY AND MANUFACTURING METHOD AND APPARATUS THEREOF | 1 |
Ying-Jie Han | CN | Shanghai | 2011-07-14 / 20110169456 - SWITCH EMBEDDED INTEGRATED CIRCUIT AND METHOD FOR BATTERY PROTECTION | 2 |
Dingyi Han | CN | Shanghai | 2008-11-20 / 20080288348 - Ranking online advertisements using retailer and product reputations | 1 |
Sharen Han | CN | Shanghai | 2009-05-14 / 20090125806 - Instant message system with personalized object and method thereof | 1 |
Qiuhua Han | CN | Shanghai | 2016-03-31 / 20160093718 - SEMICONDUCTOR STRUCTURES AND FABRICATION METHOD THEREOF | 14 |
Ke Song Han | CN | Shanghai | 2009-10-29 / 20090270116 - Device and Method for Replying to Received Text Messages | 1 |
Liang Han | CN | Shanghai | 2010-07-22 / 20100185690 - DYNAMIC MANIPULATION OF ARCHIVE FILES | 1 |
Jinsong Han | CN | Shanghai | 2010-08-12 / 20100205146 - Methods for Utilizing the Resources of a Digital Display Device by a Computer | 1 |
Xingchun Han | CN | Shanghai | 2016-05-05 / 20160122344 - Novel 6,7-dihydrobenzo[a]quinolizin-2-one derivatives for the treatment and prophylaxis of hepatitis B virus infection | 7 |
Yinan Han | CN | Shanghai | 2012-01-12 / 20120009794 - Method for Planarization of Wafer and Method for Formation of Isolation Structure in Top Metal Layer | 2 |
Zhi Han | CN | Shanghai | 2011-01-20 / 20110012411 - ROTARY MECHANISM OF ROTARY SEATS | 1 |
Seung Heon Han | KR | Seoul | 2014-09-18 / 20140261680 - SOLAR CELL AND METHOD OF FABRICATING THE SAME | 9 |
Kapsu Han | GB | Middlesex | 2014-10-16 / 20140310653 - DISPLAYING HISTORY INFORMATION FOR APPLICATION | 1 |
Kapsu Han | GB | Thames | 2014-01-02 / 20140002502 - METHOD AND APPARATUS FOR OUTPUTTING GRAPHICS TO A DISPLAY | 1 |
Kwangseok Han | GB | Cambridge | 2012-12-13 / 20120314508 - CONTROL CIRCUITRY FOR MEMORY CELLS | 2 |
Richard Han | GB | Angus | 2016-04-14 / 20160103990 - Unattended Secure Device Authorization | 6 |
Richard A. Han | GB | Lundie | 2008-12-04 / 20080301326 - Detecting loss of communication with peripherals | 1 |
Shejiao Han | GB | Angus | 2013-09-05 / 20130228523 - FLUID TREATMENT APPARATUS | 1 |
Xue Han | GB | Nottingham | 2014-09-25 / 20140288328 - SEPARATION TECHNIQUE, PHOTO-OXIDATION OF ORGANIC SUBSTRATES, AND PHOTO CATALYSTS | 1 |
Jae Sun Han | KR | Uiwang-Si | 2014-10-02 / 20140291869 - ANISOTROPIC CONDUCTIVE FILM INCLUDING CONDUCTIVE ADHESIVE LAYER AND SEMICONDUCTOR DEVICE CONNECTED BY THE SAME | 8 |
Jong Hee Han | KR | Seoul | 2016-05-05 / 20160127843 - HEARING APPARATUS INCLUDING COIL OPERABLE IN DIFFERENT OPERATION MODES | 15 |
Nam Su Han | KR | Chil Gok Gun Gyeongbuk | 2010-08-26 / 20100212684 - HAIR TOOLS HAVING AN ROLLER OF VARIOUS SHAPE | 1 |
Gi Ho Han | KR | Suwon | 2013-12-26 / 20130341429 - PARTICLE DISPERSION DEVICE | 2 |
Yang-Suk Han | KR | Suwon | 2009-05-21 / 20090130414 - Preparation of A Metal-containing Film Via ALD or CVD Processes | 1 |
Jeong Man Han | KR | Suwon | 2009-06-11 / 20090147553 - DC POWER SUPPLY FOR VARYING OUTPUT VOLTAGE ACCORDING TO LOAD CURRENT VARIATION | 1 |
Jae Sun Han | KR | Suwon | 2009-08-20 / 20090208854 - Photosensitive Resin Composition for Forming Column Spacer of Liquid Crystal Display, Method for Forming Column Spacer Using the Composition, Column Spacer Formed by the Method, and Display Device Comprising the Column Spacer | 1 |
Sang Heon Han | KR | Suwon | 2014-05-15 / 20140131726 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Kyung Su Han | KR | Suwon | 2011-01-20 / 20110013435 - AC DETECTION CIRCUIT FOR POWER SUPPLY | 2 |
Mun Seok Han | KR | Suwon | 2011-08-25 / 20110208137 - MEDICATED SLEEVE | 2 |
Seong Yeon Han | KR | Suwon | 2009-06-18 / 20090155938 - LIGHT EMITTING DIODE PACKAGE WITH DIFFUSER AND METHOD OF MANUFACTURING THE SAME | 3 |
Seong Yoen Han | KR | Suwon | 2010-02-25 / 20100047941 - HIGH POWER LED PACKAGE AND FABRICATION METHOD THEREOF | 1 |
Dong Ok Han | KR | Suwon | 2012-10-18 / 20120262163 - HALL SENSOR FOR CANCELING OFFSET | 12 |
Young Suk Han | KR | Suwon | 2010-05-27 / 20100127302 - LIGHT EMITTING DIODE PACKAGE | 1 |
Jin-Man Han | US | Santa Clara | 2012-08-30 / 20120221779 - PROGRAMMING MEMORY DEVICES | 9 |
Kyoung Sun Han | DE | Berlin | 2014-07-31 / 20140215369 - Device and Method for Displaying a Multitude of Planar Objects | 2 |
Sang-Yong Han | KR | Suwon-Si | 2013-12-12 / 20130330098 - POWER CONTROL METHOD AND APPARATUS TO HEAT A HEATING ROLLER | 7 |
Jang-Hee Han | KR | Kyunggi | 2016-05-05 / 20160123790 - SENSOR FOR MEASURING WATER LEVELS OF GROUNDWATER AND SURFACE WATER USING MAGNETOSTRICTION DISPLACEMENT AND MULTI MEASUREMENT SYSTEM FOR GROUNDWATER AND SURFACE WATER BY USING THE SAME | 1 |
Jun Han | CN | Xiamen, Fujian | 2016-05-05 / 20160123495 - LEVER TYPE SWITCH VALVE | 1 |
Kyung Mi Han | KR | Yongin-Si | 2016-05-05 / 20160122426 - ANTI-VEGF ANTIBODY, AND PHARMACEUTICAL COMPOSITION FOR PREVENTING, DIAGNOSING OR TREATING CANCER OR ANGIOGENESIS-RELATED DISEASES, CONTAINING SAME | 1 |
Dong Keun Han | KR | Seoul | 2013-10-24 / 20130280335 - BIOMEDICAL IMPLANTS COMPRISING SURFACE-MODIFIED CERAMIC PARTICLES AND BIODEGRADABLE STEREO COMPLEX POLYMERS, ITS USE FOR SUPPRESSING INFLAMMATION AND IMPROVEMENT OF MECHANICAL PROPERTY, AND PREPARATION METHOD THEREOF | 12 |
Sang Oh Han | KR | Seoul | 2016-05-05 / 20160121890 - ADAPTIVE CRUISE CONTROL SYSTEM FOR VEHICLE USING V2V COMMUNICATION AND CONTROL METHOD THEREOF | 1 |
Dong Yeon Han | KR | Hwaseong | 2016-05-05 / 20160121876 - CONTROL METHOD AND SYSTEM FOR PREVENTING MOTOR FROM OVERHEATING WHEN TMED HYBRID VEHICLE IS DRIVEN | 1 |
Tao-Yang Han | US | Atlanta | 2016-05-05 / 20160125100 - AUTO-CONVERSION MECHANISM FOR MULTIPLE THREE-DIMENSIONAL OBJECT REPRESENTATIONS TO FACILITATE COLLABORATION | 3 |
Mi-Kyoung Han | KR | Suwon-Si | 2009-05-21 / 20090128836 - IMAGE FORMING APPARATUS AND MULTI-CROPPED IMAGE FORMING METHOD THEREOF | 1 |
Andrew Han | US | Boston | 2016-02-04 / 20160035178 - MULTI SOURCED POINT ACCUMULATION INTERACTIVE GAME | 2 |
Feng Han | US | Lawrenceville | 2008-12-18 / 20080310737 - EXEMPLAR-BASED HETEROGENEOUS COMPOSITIONAL METHOD FOR OBJECT CLASSIFICATION | 1 |
Feng Han | US | Melville | 2012-04-19 / 20120093398 - SYSTEM AND METHOD FOR MULTI-AGENT EVENT DETECTION AND RECOGNITION | 4 |
Feng Han | US | Johnston | 2012-12-20 / 20120324598 - GENETIC LOCI ASSOCIATED WITH FUSARIUM SOLANI TOLERANCE IN SOYBEAN | 11 |
Feng Han | US | Princeton | 2010-08-12 / 20100202657 - SYSTEM AND METHOD FOR OBJECT DETECTION FROM A MOVING PLATFORM | 2 |
Feng Han | CN | Shanghai | 2016-05-05 / 20160126307 - SEMICONDUCTOR DEVICE HAVING SUPER JUNCTION STRUCTURE, METHOD FOR MANUFACTURING THE SAME AND METHOD FOR MANUFACTURING SUPER JUNCTION STRUCTURE | 8 |
Jin-Ping Han | US | Fishkill | 2016-03-03 / 20160064564 - Semiconductor Devices and Methods of Manufacture Thereof | 35 |
Kwangsoo Han | KR | Gyeonggi-Do | 2014-09-18 / 20140273632 - CONNECTOR JOINT SUPPORT MODULE, ELECTRONIC DEVICE INCLUDING THE SAME, AND METHODS OF ASSEMBLING AND DISASSEMBLING ELECTRONIC DEVICE | 1 |
Jae Yong Han | KR | Seoul | 2010-11-11 / 20100285579 - PREANTRAL FOLLICLE DERIVED EMBRYONIC STEM CELLS | 4 |
Young Kyu Han | KR | Daejeon-City | 2008-11-06 / 20080272369 - Organic electronic device | 1 |
Taeman Han | KR | Daejeon-City | 2009-06-18 / 20090157249 - SECTION OVERSPEED WARNING APPARATUS AND SYSTEM | 1 |
Seong Ok Han | KR | Daejeon-City | 2009-07-09 / 20090176646 - CATALYST SUPPORT USING CELLULOSE FIBERS, PREPARATION METHOD THEREOF, SUPPORTED CATALYST COMPRISING NANO-METAL CATALYST SUPPORTED ON CARBON NANOTUBES DIRECTLY GROWN ON SURFACE OF THE CATALYST SUPPORT, AND METHOD OF PREPARING THE SUPPORTED CATALYST | 1 |
Youn-Hee Han | KR | Daejeon-City | 2009-11-26 / 20090290564 - APPARATUS FOR AND METHOD OF SUPPORTING NETWORK-BASED MOBILITY FOR DUAL STACK NODES | 1 |
Tae Man Han | KR | Daejeon-City | 2010-02-04 / 20100027558 - HYBRID IMPLICIT TOKEN CARRIER SENSING MULTIPLE ACCESS/COLLISION AVOIDANCE PROTOCOL | 1 |
Seok-Kyun Han | KR | Daejeon-City | 2011-01-13 / 20110006824 - WAKE-UP RECEIVER AND WAKE-UP METHOD USING DUTY CYCLING AND POWER OFF TECHNIQUE | 2 |
Chang-Soo Han | KR | Daejeon-City | 2012-05-31 / 20120132266 - PHOTOELECTRIC CONVERSION DEVICE USING SEMICONDUCTOR NANOMATERIAL | 4 |
Jong-Wook Han | KR | Daejeon-City | 2010-09-23 / 20100241626 - CYBERTAG FOR LINKING INFORMATION TO DIGITAL OBJECT IN IMAGE CONTENTS, AND CONTENTS PROCESSING DEVICE, METHOD AND SYSTEM USING THE SAME | 1 |
Munsung Han | KR | Daejeon-City | 2010-06-10 / 20100145695 - APPARATUS FOR CONTEXT AWARENESS AND METHOD USING THE SAME | 1 |
Jae Min Han | KR | Daejeon | 2010-05-13 / 20100118294 - Apparatus for Detecting the Leakage of Heavy Water in Nuclear Reactor System and Detection Method Using the Same | 2 |
Sang-Kyun Han | US | Campbell | 2016-04-28 / 20160119544 - Camera Non-Touch Switch | 3 |
Dongil Han | KR | Daejeon | 2011-01-13 / 20110007983 - METHOD AND APPARATUS OF DETECTING IMAGE OBJECTS | 1 |
Lufeng Han | CN | Shenzhen | 2009-08-27 / 20090213749 - METHOD FOR DETECTING THE QUALITY OF A SERVICE PARAMETER AND NETWORK SIDE COMMUNICATION DEVICE | 1 |
Weizheng Han | CN | Shenzhen | 2009-11-12 / 20090280781 - METHOD AND DEVICE FOR STORING AND TRANSMITTING MESSAGES | 1 |
Jianrui Han | CN | Shenzhen | 2015-07-23 / 20150207753 - METHOD, APPARATUS, AND SYSTEM FOR ASSIGNING TRIBUTARY PORT NUMBER | 16 |
Xin Han | CN | Shenzhen | 2008-12-04 / 20080301516 - METHOD AND DEVICE FOR RETRANSMITTING DATA | 1 |
Xiangyang Han | CN | Shenzhen | 2009-05-21 / 20090126554 - Finger musical instrument | 1 |
Yili Han | CN | Shenzhen | 2009-06-04 / 20090142658 - Lithium Ion Battery | 3 |
Qianquan Han | CN | Shenzhen | 2009-06-25 / 20090160821 - ULTRASONIC DIAGNOSTIC APPARATUS AND CONTROL PANEL THEREOF | 1 |
Jian Han | CN | Shenzhen | 2010-03-04 / 20100052579 - DRIVE CIRCUIT FOR A CAPACITIVE LOAD | 1 |
Runsheng Han | CN | Shenzhen | 2010-04-22 / 20100097974 - Method and device for realizing paging in mobile switching center pool | 2 |
Cuihong Han | CN | Shenzhen | 2010-04-29 / 20100103907 - DEVICE AND METHOD FOR BIT-INTERWEAVING | 2 |
Yubin Han | CN | Shenzhen | 2010-07-15 / 20100180064 - Method and device for implementing USB endpoint multiplexing | 1 |
Xinwei Han | CN | Shenzhen | / - | 1 |
Jia-Qing Han | CN | Shenzhen | 2010-11-25 / 20100299566 - DEBUGGING MODULE FOR ELECTRONIC DEVICE AND METHOD THEREOF | 1 |
Young-Joo Han | KR | Seoul | 2011-01-06 / 20110003043 - Spaghetti Noodle Packed in Retort Pouch and Method for Preparing the Same | 1 |
Yifan Han | CN | Hong Kong | 2014-09-18 / 20140271480 - USES OF INDOLE-KETONES OR INDOLIDONES AS NEURO-PROTECTIVE DRUGS | 1 |
Kyu-Sung Han | KR | Yuseong-Ku | 2009-01-01 / 20090004091 - Carbonnitride nanotubes with nano-sized pores on their stems, their preparation method and control method of size and quantity of pore thereof | 1 |
Kyu-Sung Han | KR | Seoul | 2015-11-26 / 20150338054 - Illuminating Device and Vehicle Lamp Comprising Same | 6 |
Kyu-Sung Han | KR | Daejeon | 2014-01-23 / 20140021589 - Semiconductor Photocatalyst Coated with Graphitic Carbon Film and Method of Fabricating the Same | 7 |
Seunghee Han | KR | Anyangshi, Kyoungkido | 2015-12-17 / 20150365960 - PHYSICAL RESOURCE BLOCK (PRB)-RESTRICTED INTERFERENCE AVERAGING IN A WIRELESS COMMUNICATION SYSTEM | 3 |
Haixiong Han | CN | Shanghai | 2014-09-18 / 20140275219 - USES OF THE HUMAN ZFX GENE AND DRUGS ASSOCIATED WITH SAME | 1 |
Xu Han | CN | Shanghai | 2016-03-24 / 20160084644 - SYSTEM AND METHOD OF MEASURING GEOMETRIC CHARACTERISTICS OF OBJECT | 2 |
Huy-Dung Han | US | Santa Clara | 2014-09-18 / 20140274192 - POWER CONTROL OF NEIGHBOR DISCOVERY SIGNALS | 6 |
Yiping Han | US | Santa Clara | 2014-09-18 / 20140280214 - METHOD AND SYSTEM FOR MULTI-PHASE RANKING FOR CONTENT PERSONALIZATION | 2 |
Jong-Kyu Han | KR | Gyeonggi-Do | 2009-10-15 / 20090257646 - APPARATUS AND METHOD FOR DETECTING DEFECTS IN WAFER USING LINE SENSOR CAMERA | 1 |
Sang-Ik Han | KR | Suwon-Si | 2009-01-22 / 20090021230 - DRIVING DEVICE AND DISLAY DEVICE INCLUDING THE SAME | 1 |
Sang-Ik Han | KR | Asan-Si | 2010-03-18 / 20100067218 - Backlight Assembly, Display Apparatus Having The Same And Method Of Assembling The Same | 1 |
Chun-Ku Han | KR | Suwon-Si | 2010-07-08 / 20100171777 - APPARATUS AND METHOD OF PROTECTING INKJET PRINTER HEAD | 2 |
Seung Hee Han | KR | Gyeonggi | 2011-01-06 / 20110003567 - METHOD OF EFFICIENT POWER BOOSTING | 1 |
Seung Hee Han | KR | Anyang-Si Gyeongki-Do | 2010-11-25 / 20100296429 - Method for Obtaining Synchronization Signal In Wireless Communication System | 1 |
Young Seok Han | KR | Seoul | 2015-04-16 / 20150106847 - CONTENT PROVIDING APPARATUS, SYSTEM, AND METHOD FOR RECOMMENDING CONTENTS | 3 |
Myung Seok Han | KR | Gunpo-Shi | 2012-03-29 / 20120075841 - LED EARRING STRUCTURE FOR BODY PIERCING JEWELRY | 1 |
Seung Ii Han | KR | Suwon-Si | 2015-04-30 / 20150114155 - VACCUM CLEANER | 3 |
Jong-Hoon Han | KR | Gyeonggi-Do | 2015-05-14 / 20150134138 - ELECTRIC POWER MANAGEMENT | 2 |
Byoung-Jin Han | KR | Gyeonggi-Do | 2011-01-06 / 20110004928 - PASSWORD INPUT SYSTEM USING ALPHANUMERIC MATRICES AND PASSWORD INPUT METHOD USING THE SAME | 2 |
Tao Han | CN | Shanghai | 2014-11-27 / 20140349842 - PREPARATION METHOD OF POLYESTER SYNTHESIS COMPOSITE CATALYST | 2 |
Gean Han | US | San Jose | 2014-09-11 / 20140254100 - Cooling Apparatus for Fanless Desktop Enclosure of an Elastomericly Suspended Circuit Board | 1 |
Yen-Hao Han | TW | Hsinchu City | 2015-11-12 / 20150324021 - POINTER CONTROL METHOD | 2 |
Jeehoon Han | KR | Hwaseong-Si | 2014-09-11 / 20140252444 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND DEVICE FABRICATED THEREBY | 1 |
Yu Dae Han | KR | Ansan-Si | 2015-11-26 / 20150340581 - LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME | 9 |
James Han | US | Long Grove | 2014-09-11 / 20140258940 - HIERARCHICAL NAVIGATION WITH RELATED OBJECTS | 1 |
Seung Jun Han | KR | Kyungsangnam-Do | 2010-08-05 / 20100193751 - Silver Paste for Forming Conductive Layers | 3 |
Qiang Han | US | Plainsboro | 2014-09-11 / 20140256784 - 4H-THIENO[3,2-C]CHROMENE-BASED INHIBITORS OF NOTUM PECTINACETYLESTERASE AND METHODS OF THEIR USE | 1 |
Nam Seok Han | KR | Gyeonggi-Do | 2014-09-11 / 20140256711 - Novel Compound Having Angiogenesis Inhibitory Activity, Method for Preparing Same, and Pharmaceutical Composition Comprising Same | 1 |
Jung Chul Han | KR | Gyeonggi-Do | 2012-08-30 / 20120218818 - NONVOLATILE MEMORY DEVICE AND METHOD FOR OPERATING THE SAME | 9 |
Shih-Jui Han | TW | Taipei | 2015-09-17 / 20150257754 - SYSTEMS AND METHODS FOR SUTURE DELIVERY | 3 |
Han Han | US | Belmont | 2016-01-07 / 20160000934 - NANOPARTICLES STABILIZED WITH NITROPHENYLBORONIC ACID COMPOSITIONS | 3 |
Janghee Han | US | San Jose | 2014-09-04 / 20140249061 - Lubricating Compositions and Methods of Use Thereof | 1 |
Jee-Hoon Han | KR | Hwaseseong-Si | 2014-09-04 / 20140248755 - METHODS OF FABRICATING NONVOLATILE MEMORY DEVICES INCLUDING VOIDS BETWEEN ACTIVE REGIONS AND RELATED DEVICES | 1 |
Qian Han | US | San Diego | 2016-04-21 / 20160109911 - SUPPORT FRAME WITH INTEGRATED PHASE CHANGE MATERIAL FOR THERMAL MANAGEMENT | 4 |
Dong-Seok Han | KR | Seoul | 2014-09-04 / 20140247961 - APPARATUS AND METHOD FOR DISPLAYING WATERMARK ON A SCREEN | 1 |
Guang Han | US | Arlington Heights | 2015-08-06 / 20150223206 - METHOD AND APPARATUS FOR NEGOTIATION AND NOTIFICATION OF A NETWORK ACCESS TIME PERIOD IN A WIRELESS COMMUNICATION SYSTEM | 10 |
Jung-Min Han | KR | Seoul | 2014-09-04 / 20140249045 - NOVEL USE OF LEUCYL TRNA SYNTHETASE | 8 |
Jeongnam Han | KR | Seoul | 2016-01-28 / 20160027901 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 11 |
Jeong-Hee Han | KR | Hwaseong-Si | 2014-09-04 / 20140246726 - METHODS FOR MANUFACTURING SEMICONDUCTOR DEVICES USING ETCH STOP DIELECTRIC LAYERS AND RELATED DEVICES | 8 |
Seungyeop Han | US | Seattle | 2014-08-28 / 20140244254 - FACILITATING DEVELOPMENT OF A SPOKEN NATURAL LANGUAGE INTERFACE | 1 |
Gi Beom Han | KR | Daejeon | 2015-10-29 / 20150311521 - DEVICE FOR PREPARING LITHIUM COMPOSITE TRANSITION METAL OXIDE, LITHIUM COMPOSITE TRANSITION METAL OXIDE PREPARED USING THE SAME, AND METHOD OF PREPARING LITHIUM COMPOSITE TRANSITION METAL OXIDE | 3 |
Jong-Ho Han | KR | Gyeonggi-Do | 2014-08-28 / 20140240260 - METHOD AND APPARATUS FOR PROVIDING USER INTERFACE | 1 |
Heeseok Han | KR | Gyeonggi-Do | 2014-10-16 / 20140306615 - LED LIGHTING DEVICE USING BALLAST | 2 |
Seunghee Han | US | Cupertino | 2016-05-12 / 20160135141 - RAN PAGING MECHANISM TO ENABLE ENHANCED COVERAGE MODE | 62 |
Chuan-An Han | TW | Kaohsiung | 2014-06-12 / 20140159487 - Energy-saving central control system and energy-saving lighting device comprised thereof | 1 |
Da-Pon Han | TW | New Taipei City | 2013-05-09 / 20130112533 - ELETRICAL CONTACT INCLUDING STAINLESS STEEL MATERIAL | 1 |
Dong Hoon Han | KR | Gyeonggi-Do | 2010-07-29 / 20100190549 - METHOD OF EXECUTING VIDEO GAME IN MOBILE TERMINAL AND SYSTEM FOR PERFORMING THE SAME | 1 |
Jun Han | CN | Guangdong | 2016-02-25 / 20160055570 - METHOD, APPARATUS, AND SYSTEM FOR PROCESSING SERVICES | 2 |
Jun Han | US | Sunnyvale | 2010-12-23 / 20100320123 - Selective Catalysts Having Silica Supports For Naphtha Hydrodesulfurization | 6 |
Jun Han | US | St. Paul | 2010-10-21 / 20100267929 - PRRS Viruses, Infectious Clones, Mutants Thereof, and Methods of Use | 1 |
Jeff Han | TW | Taoyuan County | 2013-04-04 / 20130083528 - WATER-PROOF AND DUST-PROOF MEMBRANE ASSEMBLY AND APPARATUS USING THE SAME | 1 |
Seung-Chul Han | KR | Asan-Si | 2010-11-04 / 20100275687 - HOUSING FOR A MEASURING EQUIPMENT AND MEASURING EQUIPMENT HAVING THE SAME | 1 |
Kun-Chin Han | TW | Hsin-Chu County | 2013-09-05 / 20130229575 - Digital TV Data Processing Method and System Thereof | 1 |
Byeong Hee Han | KR | Namwon-Si | 2013-07-25 / 20130191040 - METHOD AND APPARATUS FOR LOCATING A SOURCE OF DAMAGE IN A LARGE COMPOSITE MATERIAL STRUCTURE | 1 |
Seung Kook Han | KR | Suwon-Si | 2015-03-12 / 20150068204 - ENGINE SYSTEM | 10 |
Tsung-Hsun Han | TW | Kaohsiung | 2014-08-28 / 20140239091 - Gas Injector and Cover Plate Assembly for Semiconductor Equipment | 8 |
Kai-Ping Han | US | Miramar | 2015-05-14 / 20150132257 - FUSION MOLECULES AND IL-15 VARIANTS | 9 |
Chen-Hsien Han | TW | Hsinchu City | 2012-10-25 / 20120268425 - SENSING APPARATUS AND SENSING METHOD FOR SENSING TOUCH POSITION ON DISPLAY PANEL | 9 |
Jin-Yong Han | KR | Jeju-Si | 2014-08-21 / 20140237403 - USER TERMINAL AND METHOD OF DISPLAYING IMAGE THEREOF | 1 |
Seung-Jun Han | KR | Daejeon | 2015-05-21 / 20150142248 - APPARATUS AND METHOD FOR PROVIDING LOCATION AND HEADING INFORMATION OF AUTONOMOUS DRIVING VEHICLE ON ROAD WITHIN HOUSING COMPLEX | 2 |
Jang Han | US | Lafayette | 2015-12-31 / 20150376621 - MODIFIED SMALL INTERFERING RNA MOLECULES AND METHODS OF USE | 6 |
Jung Gu Han | KR | Incheon | 2014-08-21 / 20140235761 - COMPOSITION FOR BIOMASS FILM USING FOOD BYPRODUCT OF WHEAT BRAN OR SOYBEAN HULL AND BIOMASS FILM USING THE SAME | 1 |
Hye Kyung Han | KR | Daejeon | 2014-08-21 / 20140235749 - ACRYLONITRILE-ACRYLATE-STYRENE GRAFT COPOLYMER AND THERMOPLASTIC RESIN COMPOSITION COMPRISING THEREOF | 1 |
Jun Hee Han | US | Fremont | 2014-08-21 / 20140235056 - SYSTEM, METHOD AND APPARATUS FOR ION MILLING IN A PLASMA ETCH CHAMBER | 1 |
Shijin Han | CN | Shenzhen | 2014-08-21 / 20140233379 - USER SCREENING METHOD AND BASE STATION FOR USER SCREENING | 1 |
Zhu Han | US | Sugar Land | 2014-08-21 / 20140232528 - SYSTEM AND METHOD FOR SECURING BACKSCATTER WIRELESS COMMUNICATION | 1 |
Qiwen Han | US | East Brunswick | 2014-08-21 / 20140232041 - INTERNAL MOLD RELEASE AGENTS FOR POLYURETHANE MATERIALS | 1 |
Gyeong-Hee Han | KR | Yongin-City | 2014-08-21 / 20140231370 - SUBSTRATE LOADING DEVICE | 1 |
Jun-Hyun Han | KR | Seoul | 2012-09-13 / 20120228123 - METHOD AND APPARATUS FOR PLASMA ION IMPLANTATION OF SOLID ELEMENT | 4 |
Xiaopeng Han | CN | Beijing | 2014-08-21 / 20140233809 - Method and Device for Processing Geological Information | 1 |
Sol Han | KR | Seoul | 2012-07-05 / 20120169270 - BATTERY PACK APPARATUS INCLUDING A MULTI-CHANNEL 4-TERMINAL NETWORK CHARGING APPARATUS AND A MULTI-CHANNEL BATTERY POWER SUPPLY MODULE | 2 |
Sol Han | KR | Daejeon | 2010-10-28 / 20100272951 - TWIN-FREE SINGLE CRYSTAL NOBLE-METAL NANO WIRE AND FABRICATION METHOD OF TWIN-FREE SINGLE CRYSTAL NOBLE-METAL NANO WIRE | 1 |
Jae Ho Han | KR | Daejeon | 2014-08-21 / 20140231859 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 8 |
Byung Woo Han | KR | Gyunggi-Do | 2014-05-08 / 20140125194 - MULTILAYER CERAMIC ELECTRONIC COMPONENT | 1 |
Sungho Han | KR | Seoul | 2015-06-11 / 20150157208 - APPARATUS FOR MEASURING CONDITION OF OBJECT | 2 |
Sang Hun Han | KR | Gyeonggi-Do | 2015-04-16 / 20150105476 - O/W TYPE COSMETIC COMPOSITION WITH IMPROVED DOSAGE FORM STABILITY | 3 |
Yong Su Han | KR | Icheon-Si Gyeonggi-Do | 2015-03-12 / 20150072518 - BUMP STRUCTURES IN SEMICONDUCTOR PACKAGES AND METHODS OF FABRICATING THE SAME | 2 |
Shu-Jen Han | US | New York | 2014-05-29 / 20140147675 - STRUCTURE AND METHOD FOR A GRAPHENE-BASED APPARATUS | 1 |
Li Han | JP | Tokyo | 2014-05-15 / 20140135289 - METHOD FOR PREVENTING BRAIN ATROPHY | 1 |
Junkyu Han | JP | Tsukuba | 2014-05-29 / 20140147848 - COMPOSITIONS AND METHODS FOR LINEAR ALKYLBENZENE SULFONATE (LAS) RISK ASSESSMENT | 1 |
Junkyu Han | JP | Tsukuba-Shi | 2014-05-29 / 20140148399 - Compositions and methods for treating or ameliorating obesity or for reducing diabetic hypercholesterolemia | 2 |
Sang-Chul Han | KR | Seoul | 2014-06-05 / 20140156067 - ROBOT FOR INSPECTING PIPELINES | 1 |
Jae-Eun Han | KR | Gyeonggi-Do | 2014-06-05 / 20140153513 - METHOD AND APPARATUS FOR SETTING UP AN INTERNET PROTOCOL ADDRESS IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Daewon Han | KR | Suwon-Si | 2010-08-26 / 20100216001 - Rechargeable battery | 1 |
Miran Han | KR | Seoul | 2015-04-16 / 20150105125 - WATCH TYPE MOBILE TERMINAL AND METHOD FOR CONTROLLING THE SAME | 3 |
Miaomiao Han | CN | Zhejiang | 2014-06-12 / 20140163745 - Method for Optimizing the Configuration of Distributed CCHP System | 1 |
Yanling Han | CN | Beijing | 2015-11-26 / 20150340135 - VARIABLE RESISTANCE AND MANUFACTURING METHOD THEREOF | 2 |
Mijin Han | KR | Goyang-Si | 2014-06-12 / 20140160694 - DISPLAY DEVICE AND APPARATUS FOR SIDE SURFACE SEALING OF DISPLAY PANEL | 1 |
Jung-Hoon Han | KR | Hwaseong-Si | 2015-09-17 / 20150262625 - SEMICONDUCTOR DEVICE HAVING AIR-GAP | 3 |
Qi Han | CN | Shanghai | 2014-05-22 / 20140143463 - SYSTEM ON CHIP AND CORRESPONDING MONITORING METHOD | 1 |
Xiaozheng Han | CN | Shanghai | 2014-06-19 / 20140169240 - Access Control Method, User Equipment, Access Network, and Communications System | 1 |
Ju Young Han | KR | Suwon-Si | 2009-07-23 / 20090185639 - DC OFFSET CORRECTION CIRCUIT FOR CANCELING A DC OFFSET IN REAL TIME AND A RECEIVING SYSTEM HAVING THE SAME | 1 |
Jung-Suk Han | KR | Hwasung-Si | 2010-03-25 / 20100073641 - DISPLAY DEVICE | 1 |
Seung Il Han | KR | Suwon-Si | 2015-08-13 / 20150223653 - ROBOT CLEANER | 2 |
Xiaoyan Han | CN | Beijing | 2016-02-04 / 20160036267 - ELECTRONIC DEVICE PROTECTIVE CASE | 6 |
Sungwook Han | KR | Seoul | 2015-05-07 / 20150124403 - IMAGE DISPLAY DEVICE | 3 |
Feng Han | US | San Diego | 2015-11-12 / 20150326293 - HYBRID VIRTUAL ANTENNA MAPPING FOR MULTIPLE-INPUT MULTIPLE-OUTPUT SYSTEM | 3 |
Seung Heon Han | KR | Suwon-Si | 2014-07-03 / 20140184953 - TOUCH PANEL | 1 |
Seung Heon Han | KR | Gyeonggi-Do | 2014-05-15 / 20140134401 - MAGNETIC SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kyung Joon Han | KR | Suwon-Si | 2015-07-02 / 20150187918 - POWER SEMICONDUCTOR DEVICE | 2 |
Sang-Shin Han | KR | Suwon-Si | 2015-01-15 / 20150014775 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Jung Su Han | KR | Daejeon | 2015-08-13 / 20150225553 - LATEX COMPOSITION FOR DIP MOLDING AND DIP-MOLDED ARTICLE PRODUCED THEREFROM | 6 |
Sang-Jun Han | KR | Seoul | 2016-04-21 / 20160110573 - METHOD OF WRITING AND REPRODUCING MULTIMEDIA SERVICE BY USING TAG AND APPARATUS THEREFOR | 25 |
Byung-Gil Han | KR | Daegu | 2015-04-23 / 20150110358 - APPARATUS AND METHOD FOR DETECTING VEHICLE NUMBER PLATE | 3 |
Seung-Hun Han | KR | Daegu | 2014-07-03 / 20140183567 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Mi-Young Han | KR | Paju-Si, Gyeonggi-Do | 2014-07-03 / 20140183499 - ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Sang-Dae Han | KR | Paju-Si | 2014-07-03 / 20140183571 - DISPLAY DEVICE | 1 |
Jung Youp Han | KR | Seoul | 2011-12-29 / 20110315167 - Controlling Method of Dishwaser | 10 |
Yu Han | US | Quincy | 2014-05-08 / 20140128762 - SEIZURE DETECTION AND EPILEPTOGENIC LESION LOCALIZATION | 1 |
Keping Han | US | Lexington | 2014-07-10 / 20140193963 - Techniques For Forming 3D Structures | 1 |
Jimin Han | US | Salt Lake City | 2015-04-30 / 20150118758 - COMPOUNDS, SENSORS, METHODS, AND SYSTEMS FOR DETECTING GAMMA RADIATION | 2 |
In Suk Han | US | Sandy | 2010-02-25 / 20100048038 - MAGNETIC COMPONENT CONNECTOR, CIRCUIT BOARDS FOR USE THEREWITH, AND KITS FOR BUILDING AND DESIGNING CIRCUITS | 2 |
Chih-Kang Han | TW | Hsin-Chu City | 2015-08-20 / 20150237267 - VIDEO GENERATING SYSTEM WITH MULTIPLE IMAGE SENSORS AND RELATED METHOD THEREOF | 2 |
Min Sik Han | KR | Icheon-Si | 2014-06-26 / 20140176214 - PHASE SPLITTER | 1 |
Changhee Han | KR | Seoul | 2014-07-10 / 20140191986 - METHOD FOR CONTROLLING A TERMINAL USING A DOUBLE TOUCH GESTURE AND TERMINAL THEREOF | 1 |
Yiping W. Han | US | Beachwood | 2009-03-26 / 20090081656 - METHOD FOR DETECTING A BACTERIAL PATHOGEN | 1 |
Jungyoup Han | US | Cincinnati | 2015-06-25 / 20150177233 - Point of Care Testing Assay System Using Novel Microfluidics | 3 |
Bai Han | US | Westlake | 2009-11-05 / 20090272278 - ADJUSTABLE GRILL APPARATUS WITH BURNER CONTROLS AND SUPPORTS | 1 |
Yiping Han | US | Beachwood | 2014-07-24 / 20140206011 - METHODS AND COMPOSITIONS OF DIAGNOSING AND TREATING FUSOBACTERIUM NUCLEATUM RELATED DISORDERS | 2 |
Chang Dae Han | US | Akron | 2012-02-09 / 20120035330 - MOLECULE-BASED MAGNETIC POLYMERS AND METHODS | 2 |
Tao Han | US | Akron | 2014-09-25 / 20140284828 - METHOD OF CHARACTERIZATION OF VISCOELASTIC STRESS IN ELONGATED FLOW MATERIALS | 5 |
Daewoo Han | US | Cincinnati | 2013-12-26 / 20130344763 - Methods for Electrospinning Hydrophobic Coaxial Fibers into Superhydrophobic and Oleophobic Coaxial Fiber Mats | 2 |
Yingchang Han | US | Dublin | 2015-11-05 / 20150313272 - METHOD AND APPARATUS FOR ASEPTICALLY DOSING AND PREPARING FOOD MATERIALS | 2 |
Jong H. Han | US | Pataskala | 2010-03-18 / 20100064765 - Apparatus and method for material testing of microscale and nanoscale samples | 1 |
Xiangmin Han | US | Stow | 2014-10-23 / 20140316020 - PROCESSING AIDS FOR USE IN MANUFACTURING EXTRUDED POLYSTYRENE FOAMS USING LOW GLOBAL WARMING POTENTIAL BLOWING AGENTS | 4 |
Chang Woo Han | KR | Daejeon | 2008-11-20 / 20080285316 - AC/DC CONVERTER AND AC/DC CONVERSION METHOD USING THE SAME | 1 |
Shizhong Han | US | Farmington Hills | 2008-08-28 / 20080203120 - Spare Tire Tuned Mass Damper | 1 |
Zhipeng Han | US | Canton | 2011-08-25 / 20110203894 - COMPACT TORQUE TRANSMITTING ASSEMBLY | 2 |
Jin Kyu Han | US | Ann Arbor | / - | 1 |
Taeyoung Han | US | Bloomfield Hills | 2015-07-16 / 20150197136 - SYSTEMS FOR IMPROVING CLIMATE COMFORT FOR REAR VEHICLE PASSENGERS | 20 |
Yong-Pil Han | US | Ann Arbor | 2008-11-13 / 20080277767 - Semiconductor device including a planarized surface and method thereof | 1 |
Xu Han | US | Novi | 2016-04-28 / 20160115877 - M INDEX DETERMINATION SYSTEMS AND METHODS FOR WIEBE FUNCTIONS | 9 |
Chan Han | US | Midland | 2015-03-19 / 20150079367 - FIRE RESISTANT COMPOSITE STRUCTURE | 10 |
Chang Han | US | Fremont | 2014-07-10 / 20140190727 - METHOD OF FABRICATING FLEXIBLE METAL CORE PRINTED CIRCUIT BOARD | 1 |
Jeong Sik Han | KR | Seoul | 2012-08-23 / 20120210583 - METHOD FOR MANUFACTURING INCLINED CURVE-TYPE BLADE BODY, BLADE BODY MADE THEREFROM, AND NAIL CLIPPER HAVING BLADE BODY THEREOF | 3 |
Seok-Jae Han | KR | Seongnam-Si | 2016-02-18 / 20160050744 - ELECTRONIC DEVICE AND SEMICONDUCTOR PACKAGE WITH THERMALLY CONDUCTIVE VIA | 7 |
Sunggu Han | KR | Seongnam-Si | 2014-05-29 / 20140149231 - SYSTEM AND METHOD OF IMPOSING AND MANAGING PENALTY FOR BREACH OF ADVERTISING CONTRACT | 1 |
Young-Eun Han | KR | Seongnam-Si | 2015-09-10 / 20150257104 - METHOD FOR CONTROLLING BEACON SIGNAL OF ELECTRONIC DEVICE AND ELECTRONIC DEVICE THEREOF | 2 |
James Han | US | San Jose | 2014-07-17 / 20140200928 - METHODS AND APPARATUS FOR AUTOMATED WEB PORTAL AND VOICE SYSTEM DATA AGGREGATION | 1 |
Xing Han | US | San Jose | 2014-05-08 / 20140127717 - HYDROXAMATE SUBSTITUTED AZAINDOLINE-CYANINE DYES AND BIOCONJUGATES OF THE SAME | 1 |
Hee-Joong Han | KR | Changwon-City | 2014-07-17 / 20140198392 - ZOOM LENS SYSTEM | 1 |
In-Young Han | KR | Yongin-City | 2014-07-17 / 20140198267 - DISPLAY DEVICE INTEGRATED WITH TOUCH SCREEN PANEL | 1 |
Myong-Suk Han | KR | Yongin-City | 2014-06-12 / 20140160695 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Ouck Han | KR | Yongin-City | 2015-10-22 / 20150299358 - POLYMER COMPOUND AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE HAVING THIN-FILM ENCAPSULATION STRUCTURE INCLUDING THE POLYMER COMPOUND | 3 |
Yonggil Han | KR | Gumi-Si | 2016-02-18 / 20160048320 - ELECTRONIC APPARATUS AND SCREEN DISPLAY METHOD THEREOF | 6 |
Yong-Gil Han | KR | Gumi-Si | 2015-07-23 / 20150205993 - METHOD FOR PROVIDING CONTROL FUNCTION USING FINGERPRINT SENSOR AND ELECTRONIC DEVICE THEREOF | 6 |
Kuker Han | CN | Shenzhen | 2015-08-27 / 20150237914 - Electronic Cigarette with a Plurality of Atomizers | 2 |
Xing-Hua Han | CN | Shenzhen | 2014-12-18 / 20140368388 - HOUSING OF ELECTRONIC DEVICE, AND METHOD FOR MAKING THE HOUSING | 2 |
Jong-Soo Han | KR | Daejeon | 2010-10-07 / 20100255215 - Composition of Decolorable Ink and Decoloring Method | 1 |
Ya Han | CN | Shenzhen | 2014-05-15 / 20140137226 - Method and System for Processing Identity Information | 1 |
Kwan Hee Han | KR | Gyeonggi-Do | 2009-11-26 / 20090290866 - Communication recovering system for wavelength division multiplexed passive optical network | 3 |
Hannah Han | US | Fremont | 2009-08-06 / 20090197482 - STAMPED BEAM CONNECTOR | 1 |
Yichun Han | CN | Shenzhen | 2014-05-08 / 20140130017 - TEST CASE SCREENING METHOD AND SYSTEM | 1 |
Bai Han | US | Chadds Ford | 2014-07-17 / 20140199647 - GAS BURNER ASSEMBLY | 4 |
Young Cheol Han | KR | Seoul | 2008-12-11 / 20080306809 - METHOD AND SYSTEM FOR PROVIDING SPONSOR MATCH ADVERTISEMENT SERVICE | 1 |
Taewoo Han | KR | Seoul | 2014-07-24 / 20140208165 - MULTI-CORE DEVICE, TEST DEVICE, AND METHOD OF DIAGNOSING FAILURE | 1 |
Liang Han | US | Pleansanton | 2014-07-24 / 20140207845 - Reducing Buffer Usage for TCP Proxy Session Based on Delayed Acknowledgement | 1 |
Kyung-Ah Han | KR | Daejeon | 2015-01-22 / 20150023147 - METHODS FOR MANAGING TRANSACTION IN SOFTWARE DEFINED NETWORK | 3 |
Jae Hwan Han | KR | Suwon | 2015-04-02 / 20150090483 - MULTILAYER CERAMIC CAPACITOR, MANUFACTURING METHOD THEREOF, AND BOARD HAVING THE SAME MOUNTED THEREON | 5 |
Seung Hun Han | KR | Suwon | 2014-05-29 / 20140144236 - ACCELERATION SENSOR | 1 |
Sang Hwa Han | KR | Paju-Si | 2014-07-24 / 20140204293 - DISPLAY PANEL AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Manho Han | KR | Seoul | 2014-05-29 / 20140148194 - LOCATION POSITION MOBILE DEVICE MANAGEMENT SYSTEM | 2 |
Gill Sang Han | KR | Anyang-Si | 2014-07-24 / 20140202527 - SOLAR CELL AND METHOD OF MANUFACTURING THE SOLAR CELL | 1 |
Se-Jun Han | KR | Yongin-Si | 2014-07-31 / 20140215525 - IMAGE DISPLAY APPARATUS, CONTENT RECOMMENDATION INFORMATION RECEIVING METHOD, SERVER AND CONTENT RECOMMENDATION METHOD | 1 |
Sung Han | KR | Suwon-Si | 2015-04-16 / 20150101848 - SURFACE-TREATED COPPER FOIL AND COPPER-CLAD LAMINATE PLATE INCLUDING THE SAME, PRINTED CURCUIT BOARD USING THE SAME, AND METHOD FOR MANUFACTURING THE SAME | 3 |
Songi Han | US | Houston | 2015-09-17 / 20150259712 - FATTY ACIDS WITH MG TRANSPORTER AND MG | 2 |
Xiaolei Han | CN | Shang Hai | 2014-06-12 / 20140164002 - JOINT DECODING APPARATUS AND METHOD, NECESSITY JUDGING METHOD AND APPARATUS, AND RECEIVER | 1 |
Man Ho Han | KR | Seoul | 2014-06-05 / 20140157353 - MOBILE DEVICE SECURITY MANAGEMENT SYSTEM | 1 |
Sang-Hyun Han | KR | Suwon-Si | 2015-03-05 / 20150065095 - METHOD FOR TRANSMITTING NOTIFICATION INFORMATION AND ELECTRONIC DEVICE THEREOF | 4 |
Ki-Tae Han | KR | Suwon-Si | 2010-11-18 / 20100290415 - Apparatus and method for bandwidth request in broadband wireless communication system | 1 |
Jong-Min Han | KR | Daejeon | 2012-08-30 / 20120220626 - NOVEL QUINOLINE COMPOUND, AND COMPOSITION CONTAINING CENTIPEDE EXTRACT OR COMPOUNDS ISOLATED THEREFROM FOR PREVENTION AND TREATMENT OF CARDIOVASCULAR DISEASE | 4 |
Sung Jun Han | KR | Gunpo | 2014-06-12 / 20140158808 - SAFETY DEVICE FOR VEHICLE | 1 |
James Han | US | Palm Harbor | 2013-04-18 / 20130096480 - ACCESS DISCONNECTION SYSTEMS WITH ARTERIAL AND VENOUS LINE CONDUCTIVE PATHWAY | 4 |
Shuhong Han | US | Gainesville | 2010-11-18 / 20100291683 - Modified Antigen Presenting Cells and Methods of Use | 1 |
Bing Han | US | Gainesville | 2014-11-27 / 20140348439 - System and Method for Compressing Images and Video | 2 |
Yan Han | US | Orlando | 2010-06-10 / 20100142970 - Direct Detection Differential Polarization-Phase-Shift Keying for High Spectral Efficiency Optical Communication | 1 |
Ke Han | US | Tallahassee | 2014-12-04 / 20140352853 - Age-Hardening Process Featuring Anomalous Aging Time | 5 |
Kai-Ping Han | US | Miramar | 2015-05-14 / 20150132257 - FUSION MOLECULES AND IL-15 VARIANTS | 9 |
Min Han | US | Boulder | 2010-06-17 / 20100154070 - PiggyBac as a Tool for Genetic Manipulation and Analysis in Vertebrates | 2 |
Yongxin Han | US | Boulder | 2014-05-29 / 20140148436 - AKT PROTEIN KINASE INHIBITORS | 2 |
Yongxin Han | US | Longmont | 2010-07-01 / 20100168123 - AKT PROTEIN KINASE INHIBITORS | 2 |
Hyungchul Han | US | Fort Collins | 2010-09-23 / 20100239707 - Increased Meat Tenderness Via Induced Post-Mortem Muscle Tissue Breakdown | 1 |
Yeun-Kwei Han | US | Louisville | 2011-09-01 / 20110213082 - INSULINOTROPIC PEPTIDE SYNTHESIS USING SOLID AND SOLUTION PHASE COMBINATION TECHNIQUES | 4 |
Jeong-Min Han | KR | Gyeongsangnam-Do | 2010-11-04 / 20100278675 - 2 STAGE ROTARY COMPRESSOR | 2 |
Jeong-Min Han | KR | Changwon-Shi | 2010-11-11 / 20100284847 - 2 STAGE ROTARY COMPRESSOR | 1 |
Jeong-Min Han | KR | Changwon | 2010-11-11 / 20100281898 - APPARATUS AND METHOD FOR CONTROLLING OPERATION OF COMPRESSOR | 2 |
Hakki Han | KR | Seoul | 2010-11-11 / 20100282546 - MAGNETIC ELEVATOR DOOR COUPLER | 1 |
Jeongwon Han | KR | Cheonan-Si | 2014-07-31 / 20140209025 - DEPOSITION MASK AND DEPOSITION APPARATUS HAVING THE SAME | 1 |
Byung-Uk Han | KR | Yongin-City | 2015-12-10 / 20150357396 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING DISCONTINUOUS INSULATING LAYER | 24 |
Sung-Wook Han | KR | Yongin-City | 2013-02-14 / 20130037792 - ORGANIC LIGHT EMITTING DIODE DISPLAY | 3 |
Su-Eun Han | KR | Yongin-City | 2010-06-10 / 20100144035 - Delivery system for nucleic acids using cationic polymer conjugates | 1 |
Sam-Ii Han | KR | Yongin-City | 2010-07-15 / 20100177023 - SHIFT REGISTER AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME | 1 |
Sang-Jin Han | KR | Yongin-City | 2014-12-25 / 20140373784 - LINEAR EVAPORATION SOURCE AND DEPOSITION APPARATUS HAVING THE SAME | 3 |
Gyoo-Wan Han | KR | Yongin-City | 2015-08-27 / 20150243934 - LASER BEAM IRRADIATION APPARATUS AND MANUFACTURING METHOD OF ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME | 16 |
Sun-Young Han | KR | Seoul | 2008-10-30 / 20080271079 - Extended Description to Support Targeting Scheme, and Tv Anytime Service and System Employing the Same | 1 |
Sang-In Han | KR | Yongin-Si | 2014-08-07 / 20140223424 - IMAGE FORMING APPARATUS, TRACKING APPARATUS, MANAGING APPARATUS AND METHOD OF UPDATING FIRMWARE OF IMAGE FORMING APPARATUS | 1 |
Ki-Woong Han | KR | Seoul | 2008-12-11 / 20080306249 - METHOD OF SELECTIVELY REMOVING PROTEIN FROM BIOLOGICAL SAMPLES USING CHEMICALS | 1 |
Young Nam Han | KR | Daejeon | 2009-06-18 / 20090156221 - Handover method and apparatus in mobile network | 1 |
Wenli Han | US | Long Grove | 2008-08-28 / 20080206339 - VERY-PURE SUPERPOROUS HYDROGELS HAVING OUTSTANDING SWELLING PROPERTIES | 1 |
Xian-Zhong Han | US | Decatur | 2012-09-13 / 20120231150 - Digestive Enzyme Inhibitor and Methods of Use | 3 |
Pengdi Han | US | Urbana | 2009-01-29 / 20090025628 - HYBRID STOCKBARGER ZONE-LEVELING MELTING METHOD FOR DIRECTED CRYSTALLIZATION AND GROWTH OF SINGLE CRYSTALS OF LEAD MAGNESIUM NIOBATE-LEAD TITANATE (PMN-PT) SOLID SOLUTIONS AND RELATED PIEZOCRYSTALS | 1 |
Pengdi Han | US | Bolingbrook | 2015-06-11 / 20150159296 - CRYSTAL GROWTH SYSTEM AND METHOD FOR LEAD-CONTAINED COMPOSITIONS USING BATCH AUTO-FEEDING | 8 |
James K. Han | US | Long Grove | 2013-04-04 / 20130083035 - GRAPHICAL SYMBOL ANIMATION WITH EVALUATIONS FOR BUILDING AUTOMATION GRAPHICS | 3 |
William W. Han | US | Long Grove | 2012-10-04 / 20120248039 - DIALYSIS SYSTEM INCLUDING MULTI-HEATER POWER COORDINATION | 7 |
Min Su Han | US | Evanston | 2010-03-18 / 20100068817 - COLORIMETRIC DETECTION OF METALLIC IONS IN AQUEOUS MEDIA USING FUNCTIONALIZED NANOPARTICLES | 1 |
James Han | US | Naperville | 2010-11-11 / 20100284317 - System and Method for Redirecting Messages to an Active Interface of a Multiple-Interface Device | 2 |
Lu M. Han | US | Chicago | 2009-07-30 / 20090191833 - HIGH PERFORMANCE CMOS RADIO FREQUENCY RECEIVER | 1 |
Yanchou Han | US | Naperville | 2009-11-26 / 20090292642 - Method and system for automatically issuing digital merchant based online payment card | 1 |
Yoon Soo Han | US | Champaign | 2009-12-03 / 20090296188 - Energy-Efficient Optoelectronic Smart Window | 1 |
Seok-Hee Han | US | Dunlap | 2015-12-31 / 20150381087 - Sensorless Control of Switched Reluctance Machines for Low Speeds and Standstill | 3 |
Yoo Jeong Han | US | Skokie | 2010-07-08 / 20100173975 - Expressed Pseudogene Regulates Gene Expression | 2 |
Jiahua Han | US | Grayslake | 2010-05-06 / 20100112406 - ULTRASONICALLY ENHANCED FUEL CELL SYSTEMS AND METHODS OF USE | 2 |
Sung-Woong Han | KR | Guri-Si | 2010-11-11 / 20100284611 - IMAGE SENSOR AND IMAGE SENSING METHOD FOR CHARACTER RECOGNITION | 2 |
Seok Han | KR | Gyeonggi-Do | 2009-07-09 / 20090176177 - METHOD OF FORMING A PATTERN USING A PHOTORESIST COMPOSITION FOR IMMERSION LITHOGRAPHY | 1 |
Seok Han | KR | Seoul | 2010-06-17 / 20100151389 - Alkaline developable photosensitive materials | 1 |
Hyung-Jun Han | KR | Chungcheongbuk-Do | 2009-08-06 / 20090195671 - METHOD FOR INTEGRATING IMAGE SENSOR | 2 |
Il-Seok Han | KR | Chungcheongbuk-Do | 2010-10-28 / 20100270605 - NONVOLATILE MEMORY CELL AND METHOD FOR FABRICATING THE SAME | 1 |
Sangho Han | KR | Chungcheongbuk-Do | 2010-06-03 / 20100137513 - Process for Preparation of Composite Containing Wood Fiber Component and Polymer Resin | 2 |
Bong-Soo Han | KR | Chungcheongbuk-Do | 2009-04-09 / 20090093559 - Absorbent and Antibacterial Polystyrene Paper (PSP) Sheet, Method for Producing Thereof and Tray Using the Same | 1 |
Sang Bae Han | KR | Chungcheongbuk-Do | 2010-11-11 / 20100286207 - Novel 2-Oxo-Heterocyclic Compounds And The Pharmaceutical Compositions Comprising The Same | 2 |
Sang Mi Han | KR | Seosan-Si | 2010-11-11 / 20100286774 - ARTIFICIAL EARDRUM USING SILK PROTEIN AND METHOD OF FABRICATING THE SAME | 2 |
Shu Han | CN | Beijing | 2014-05-29 / 20140149920 - METHOD AND ELECTRONIC DEVICE FOR SWITCHING APPLICATION PROGRAMS | 1 |
Hao Han | US | Williamsburg | 2016-04-07 / 20160100359 - POWER SAVING WI-FI TETHERING | 2 |
Kuk-Hyun Han | KR | Suwon-Si | 2016-04-21 / 20160110573 - METHOD OF WRITING AND REPRODUCING MULTIMEDIA SERVICE BY USING TAG AND APPARATUS THEREFOR | 20 |
Jaehyun Han | KR | Seoul | 2014-07-17 / 20140198873 - COMMUNICATION DEVICE | 1 |
Min Gu Han | KR | Gwangju | 2014-08-07 / 20140216551 - POLYMER SOLAR CELL AND METHOD OF FORMING THE SAME | 1 |
Jonghee Han | KR | Seoul | 2016-01-07 / 20160006040 - DIRECT REFORMING CATALYST FOR MOLTEN CARBONATE FUEL CELLS, METHOD FOR PREPARING THE SAME AND METHOD FOR IMPROVING LONG-TERM STABILITY THEREOF BY WETTABILITY CONTROL ON MOLTEN CARBONATE ELECTROLYTE | 26 |
In-Cheon Han | KR | Songpa-Gu | 2010-03-18 / 20100068420 - OPTICALLY COMPENSATED ACRYLIC PRESSURE-SENSITIVE ADHESIVE COMPOSITION, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE CONTAINING THE SAME | 1 |
In-Cheon Han | KR | Garak-Dong Songpa-Gu Seoul | 2010-05-27 / 20100129569 - Acrylic Pressure-Sensitive Adhesive Compositions | 1 |
Shuyong Han | CN | Beijing | 2015-08-20 / 20150231429 - UNIDIRECTIONAL, SPRINKLER-TYPE, ANTIPERSONNEL-FRAGMENTATION-FREE FIRE-EXTINGUISHING BOMB | 3 |
Shuyong Han | CN | Beijng | 2013-08-22 / 20130214045 - LOW-ALTITUDE LOW-SPEED SMALL TARGET INTERCEPTING METHOD | 1 |
Yu Han | CN | Shenzhen | 2015-01-15 / 20150014133 - SWITCH ASSEMBLY | 18 |
Dongyeon Han | KR | Seoul | 2016-05-19 / 20160141999 - SYSTEM AND METHOD FOR ESTIMATING TEMPERATURE OF DRIVE MOTOR | 9 |
Dong Won Han | KR | Daejeon | 2013-02-07 / 20130035601 - APPARATUS AND METHOD FOR GENERATING DIGITAL HEALTH SCREENING FORM | 15 |
Sung Su Han | KR | Seoul | 2010-10-28 / 20100270233 - WATER PURIFYING FILTER AND METHOD FOR FABRICATING THE SAME | 1 |
In Cheon Han | KR | Uiwang-Si | 2016-04-28 / 20160115355 - ADHESIVE FILM FOR POLARIZING PLATE, POLARIZING PLATE INCLUDING THE SAME AND OPTICAL DISPLAY INCLUDING THE SAME | 24 |
Il-Su Han | KR | Bupyeong-Gu | 2013-06-13 / 20130148440 - METHOD FOR OPERATING MEMORY SYSTEM IN RING TOPOLOGY | 1 |
Manhyeop Han | KR | Seoul | 2016-05-12 / 20160132166 - Touch Sensor Integrated Display Device | 19 |
Seung-Hee Han | KR | Hwaseong-Si | 2014-11-13 / 20140335850 - METHOD AND APPARATUS FOR DETERMINING SERVING SUB-CELL IN WIRELESS COMMUNICATION SYSTEM INCLUDING MULTIPLE SUB-CELL | 14 |
Sanggil Han | KR | Seoul | 2014-06-12 / 20140160010 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE SAME | 1 |
Hyoyoung Han | KR | Seoul | 2012-03-08 / 20120060109 - TERMINAL AND CONTENTS SHARING METHOD FOR TERMINAL | 1 |
Yunjung Han | KR | Seoul | 2014-08-07 / 20140223282 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE SAME | 1 |
Kyul Han | KR | Yongin-City | 2015-11-05 / 20150318515 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 18 |
Sungsu Han | KR | Gyeonggi-Do | 2015-07-02 / 20150185914 - TOUCH SENSING SYSTEM | 3 |
Sungsu Han | KR | Paju-Si | 2014-06-12 / 20140160061 - DISPLAY DEVICE AND TOUCH SENSING METHOD THEREOF | 1 |
Kang-Hee Han | KR | Seoul | 2009-03-12 / 20090067960 - WAFER GUIDE FOR PREVENTING WAFER BREAKAGE IN SEMICONDUCTOR CLEANING APPARATUS | 1 |
Jin Tae Han | KR | Gyeonggi-Do | 2014-11-27 / 20140348888 - COSMETIC COMPOSITION CONTAINING INORGANIC POWDER | 2 |
Bokyung Han | KR | Seoul | 2009-05-21 / 20090131717 - COMPOSITION FOR PROTECTING SKIN | 1 |
Jung-Gyun Han | KR | Gwangju-City | 2010-10-21 / 20100263163 - SEALING MEMBER FOR DUST SEPARATING APPARATUS | 16 |
Chul Ju Han | KR | Seoul | 2012-02-09 / 20120034235 - Marker for Liver-Cancer Diagnosis and Recurrence and Survival Prediction, a Kit Comprising the Same, and Prognosis Prediction in Liver-Cancer Patients Using the Marker | 1 |
Subin Han | KR | Daejeon | 2013-10-31 / 20130285627 - APPARATUS AND METHOD FOR CONTROLLING A PLURALITY OF POWER CONVERTING MODULES AND APPARATUS AND METHOD FOR ANALYZING POWER QUANTITY IMBALANCE | 1 |
Gunhee Han | KR | Seoul | 2012-09-13 / 20120229204 - SWITCHED CAPACITOR CIRCUIT | 3 |
Gunhee Han | KR | Gwangyang-Si | 2009-12-03 / 20090295956 - Decimation filters, analog-to-digital converters including the same, and image sensors including the converters | 1 |
Gunhee Han | KR | Goyang-Si | 2010-10-21 / 20100265114 - Analog-to-digital conversion and implementations thereof | 3 |
Chang Suk Han | KR | Ansan-Si | 2016-04-14 / 20160104816 - LIGHT EMITTING DEVICE AND METHOD FOR PREPARING THE SAME | 19 |
Ja-Young Han | KR | Daejeon | 2010-10-21 / 20100267982 - HIGH ADHESIVE ACRYLATE MONOMER AND METHOD FOR PREPARING THE SAME | 1 |
Jung-Gyun Han | KR | Gyangju-City | 2010-09-09 / 20100224073 - Dual Cyclone Dust-Collecting Apparatus Vacuum Cleaner | 1 |
Gun-Hee Han | KR | Seoul | 2013-06-27 / 20130162857 - IMAGE SENSORS AND IMAGE PROCESSING SYSTEMS | 2 |
Jong Hye Han | KR | Gyeongsangnam-Do | 2011-11-17 / 20110279281 - LAUNDRY MACHINE | 2 |
Jong Hye Han | KR | Changwon-Si | 2013-07-18 / 20130185079 - HOME APPLIANCE, HOME APPLIANCE SYSTEM, AND METHOD FOR OPERATING SAME | 9 |
Hee-Chul Han | KR | Hwaseong-Si | 2016-03-17 / 20160080633 - METHOD FOR CAPTURING IMAGE AND IMAGE CAPTURING APPARATUS | 15 |
In Gu Han | KR | Seoul | 2014-05-08 / 20140125242 - ILLUMINATION SYSTEM | 1 |
Jong Young Han | KR | Anyang-Si | 2014-07-10 / 20140192720 - METHOD FOR TRANSMITTING AND RECEIVING PAGING INFORMATION IN A BROADBAND WIRELESS ACCESS SYSTEM | 8 |
Steve Han | US | Upland | 2015-04-23 / 20150107597 - VALVE ASSEMBLY FOR RESPIRATORY SYSTEMS | 12 |
Jai Min Han | KR | Ansan | 2014-05-08 / 20140124309 - BRAKE DISK FOR VEHICLE | 7 |
Yun Heui Han | KR | Ansan | 2011-03-10 / 20110058337 - SMART JUNCTION BOX FOR SOLAR CELL MODULE | 1 |
Man-Soo Han | KR | Gwangju-Si | 2010-07-15 / 20100175680 - Sealing Device For An Oven Door | 2 |
Man-Soo Han | KR | Jeollanam-Do | 2010-09-02 / 20100221006 - APPARATUS AND METHOD FOR EFFICIENT BANDWIDTH ALLOCATION ON TIME DIVISION MULTIPLE ACCESS-BASED PASSIVE OPTICAL NETWORK (TDMA-PON) | 2 |
Jeongman Han | KR | Seoul | 2012-04-19 / 20120094459 - Semiconductor Devices Including Compressive Stress Patterns and Methods of Fabricating the Same | 1 |
Young Boo Han | KR | Suwon-Si | 2013-04-11 / 20130087418 - DRUM-INTEGRATED ELECTRIC PARKING BRAKE | 1 |
Seong-Ii Han | KR | Suwon-Si | 2008-12-25 / 20080318363 - Stack circuit member and method | 1 |
Jong-Jin Han | KR | Pohang-City | 2011-08-04 / 20110191908 - REGULATOR FOR FLOWERING TIME, TRANSGENIC PLANT TRANSFORMED WITH THE SAME, AND METHOD FOR REGULATING FLOWERING TIME | 2 |
Ki-Young Han | KR | Yongin-Si | 2013-07-25 / 20130189976 - METHOD AND APPARATUS FOR MANAGING SOUNDING REFERENCE SIGNAL RESOURCE IN WIRELESS COMMUNICATION SYSTEM | 23 |
Ki Moon Han | KR | Anseong-Si | 2015-12-24 / 20150372320 - STACK STRUCTURE FOR FUEL CELL | 3 |
Ji Hoon Han | KR | Daejeon | 2010-10-07 / 20100255363 - Medium and Large Size Battery Module of Vertical Stacking Structure | 1 |
Min-Yeol Han | KR | Yongin-Si | 2016-05-19 / 20160141564 - RECHARGEABLE BATTERY | 23 |
Jae-Jong Han | KR | Seoul | 2016-03-24 / 20160086943 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 10 |
Sang Kyu Han | KR | Osan-Si | 2014-08-07 / 20140222695 - INTEGRATED AUTHENTICATION SYSTEM USING ELECTRONIC CONTRACT | 1 |
Dongqi Han | CN | Shenzhen | 2014-08-07 / 20140220698 - METHOD FOR RAPIDLY MEASURING MELATONIN ADULTERATION OF CHINESE PATENT MEDICINE OR HEALTHCARE FOOD | 1 |
Zheng Han | US | 2014-08-07 / 20140219498 - DATA ACQUISITION METHOD AND DEVICE FOR MOTION RECOGNITION, MOTION RECOGNITION SYSTEM AND COMPUTER READABLE STORAGE MEDIUM | 1 | |
Joo Hun Han | KR | Hwaseong-Si | 2014-08-07 / 20140218919 - LED LENS AND LED MODULE FOR TWO-SIDED LIGHTING, AND LED TWO-SIDED LIGHTING APPARATUS USING SAME | 1 |
Sang-Hyuk Han | KR | Hwasung-Si | 2010-07-01 / 20100163797 - Thulium-Containing Fluorescent Substance For White Light Emitting Diode And Manufacturing Method Thereof | 1 |
Yong In Han | KR | Bucheon-Si | 2014-08-07 / 20140218567 - Image Sensor and Method of Generating Binning Image Using the Same | 1 |
Kyung Joon Han | KR | Seoul | 2016-02-25 / 20160056641 - WIRELESS POWER TRANSMISSION SYSTEM AND METHOD OF CONTROLLING THE SAME | 2 |
Qiang Han | CA | Vancouver | 2016-04-28 / 20160117346 - SPATIAL ANALYTICS EXTENSIONS | 3 |
Ji Won Han | KR | Daejeon | 2015-10-22 / 20150299637 - APPARATUS FOR AUTOMATICALLY PREPARING CELL-FREE PROTEINS AND METHOD FOR PREPARING PROTEINS USING SAME | 2 |
Woo Han | KR | Seoul | 2014-07-31 / 20140212077 - PACKAGING POUCH | 1 |
Mi Young Han | KR | Seoul | 2009-01-15 / 20090018026 - PROTEIN MARKERS FOR DIAGNOSING STOMACH CANCER AND THE DIAGNOSTIC KIT USING THEM | 1 |
Injae Han | KR | Seoul | 2016-04-28 / 20160115638 - CLOTHES TREATING APPARATUS AND CONTROL METHOD THEREOF | 5 |
Joo Hyun Han | US | Bellevue | 2012-10-18 / 20120263818 - DEVICE FOR TENSIONING A PREFORM | 2 |
Chris Han | US | Seattle | 2014-09-04 / 20140250394 - USER INTERFACE FOR DOCUMENT TABLE OF CONTENTS | 4 |
Allen Ming-Kuang Han | US | Bellevue | 2009-03-05 / 20090058689 - KEYBOARD OF HANDHELD ELECTRONIC DEVICE | 1 |
Alixandra M. Han | US | Seattle | 2009-12-10 / 20090307604 - MANAGING PERMISSIONS IN A COLLABORATIVE WORKSPACE | 1 |
Allen M. Han | US | Snoqualmie | 2009-05-07 / 20090116181 - ELECTRONIC DEVICES WITH SURFACE FEATURES | 1 |
Wei-Jer Han | US | Federal Way | 2009-05-07 / 20090115594 - Vehicular wireless signal controller and its control method | 1 |
Mu Han | US | Redmond | 2010-09-23 / 20100239081 - DYNAMIC CONFIGURATION OF CALL CONTROLS FOR COMMUNICATION PERIPHERALS | 5 |
Hor Siu Ivan Han | US | Bellevue | 2010-09-30 / 20100250575 - Query Throttling During Query Translation | 1 |
Bo Ram Han | KR | Bucheon-Si | 2014-11-27 / 20140350233 - SIRNA CONJUGATE AND PREPARATION METHOD THEREOF | 4 |
Seung Do Han | KR | Seoul | 2010-09-23 / 20100236281 - REFRIGERATOR AND METHOD FOR CONTROLLING THE SAME | 10 |
Man-Hee Han | KR | Daejeon-Si | 2009-12-17 / 20090312691 - MICRO NEEDLE ROLLER ASSEMBLY | 1 |
Man-Hee Han | KR | Asan-City | 2010-09-23 / 20100237478 - Lead frame and semiconductor package having the same | 1 |
Sang Kyoo Han | KR | Daejeon | 2014-07-03 / 20140184093 - SINGLE STAGE FORWARD-FLYBACK CONVERTER AND POWER SUPPLY APPARATUS FOR LIGHT EMITTING DIODE | 11 |
Sang Kyoo Han | KR | Daejeon-Si | 2016-03-17 / 20160079872 - POWER CONVERTER | 3 |
Liyuan Han | JP | Ibaraki | 2014-07-24 / 20140202537 - DYE-SENSITIZED SOLAR CELL AND SENSITIZING DYE | 1 |
Changsoo Han | KR | Daejeon | 2014-07-17 / 20140199773 - DETECTION METHOD USING COLORIMETRIC ANALYSIS | 1 |
Hyung-Kyu Han | KR | Seoul | 2009-01-22 / 20090022627 - Adsorption fabric and method of fabricating the same | 1 |
Sang Yong Han | KR | Gyeonggi-Do | 2010-09-23 / 20100242023 - APPARATUS AND METHOD FOR DETECTING PROGRAM PLAGIARISM THROUGH MEMORY ACCESS LOG ANALYSIS | 1 |
Kyeong-Eun Han | KR | Jeonju-Si | 2010-06-24 / 20100158523 - METHODS OF TRANSMITTING AND RECEIVING MULTICAST OR BROADCAST FRAME IN OLT AND ONU FOR WDM-PON, WDM-PON SYSTEM, AND OLT FOR WDM-PON | 2 |
Young Chang Han | KR | Jeonju-Si | 2011-06-23 / 20110146215 - OIL SEPARATOR | 5 |
Tae-Jong Han | KR | Jeonju-Si | 2012-11-01 / 20120276719 - METHODS OF FORMING SEMICONDUCTOR MEMORY DEVICES HAVING VERTICALLY STACKED MEMORY CELLS THEREIN | 3 |
Dong-Won Han | KR | Daejeon-City | 2008-08-28 / 20080209493 - Contents Browsing Apparatus And Method | 1 |
Dong-Won Han | KR | Daejon | 2013-10-17 / 20130271433 - ELECTRONIC SENSORY PEN AND METHOD FOR INPUTTING/OUTPUTTING SENSORY INFORMATION USING THE SAME | 4 |
Dong-Won Han | KR | Yongin-City | 2015-07-02 / 20150188084 - FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 26 |
Sang Heon Han | KR | Suwon-Si | 2016-04-07 / 20160099378 - METHOD OF FABRICATING SEMICONDUCTOR LIGHT EMITTING DEVICE | 7 |
Wenming Han | CN | Jiangsu | 2015-03-19 / 20150076405 - LIQUID CRYSTAL COMPOSITION AND DISPLAY DEVICE THEREOF | 2 |
Sejong Han | KR | Gyeonggi-Do | 2014-07-10 / 20140193854 - Method for Mass-Producing Antifreeze Protein Derived from Polar Yeast | 1 |
Xiufeng Han | CN | Haidian District | 2013-04-25 / 20130099780 - MAGNETIC NANO-MULTILAYERS FOR MAGNETIC SENSORS AND MANUFACTURING METHOD THEREOF | 1 |
Dae-Won Han | KR | Suwon-Si | 2010-09-16 / 20100233528 - Rechargeable battery | 11 |
Khine N. Han | US | Phoenix | 2014-07-03 / 20140189190 - MECHANISM FOR FACILITATING DYNAMIC CANCELLATION OF SIGNAL CROSSTALK IN DIFFERENTIAL INPUT/OUTPUT CHANNELS | 1 |
Yuanhong Han | US | Ardmore | 2010-09-16 / 20100235946 - PLANT TRANSCRIPTIONAL FACTORS AS MOLECULAR MARKERS | 1 |
Hyoun Soo Han | KR | Hwaseong | 2014-07-03 / 20140188339 - PARKING ASSIST SYSTEM AND METHOD | 1 |
Wei Han | CN | Henan | 2014-07-03 / 20140187627 - USES OF C15-SUBSTITUTED ANDROGRAPHOLIDE DERIVATIVES IN THE PREPARATION OF ANTI-HEPATITIS B VIRUS MEDICAMENT | 1 |
Soohyun Han | KR | Seoul | 2010-04-15 / 20100093400 - MOBILE TERMINAL AND DISPLAY METHOD THEREOF | 1 |
Xiaofeng Han | US | Allentown | 2015-11-05 / 20150318952 - HYBRID OPTICAL TRANSMITTER AND/OR RECEIVER STRUCTURE | 2 |
Jun-Won Han | KR | Seoul | 2015-06-11 / 20150162195 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING PURIFIED BLOCK COPOLYMERS AND SEMICONDUCTOR DEVICES | 4 |
Jinyi Han | US | Danville | 2011-05-26 / 20110124498 - Hydroprocessing Bulk Catalyst and Methods of Making Thereof | 11 |
Gi Ho Han | KR | Hwaseong | 2009-02-12 / 20090038141 - METHOD FOR MANUFACTURING ANTENNA | 1 |
Yingjie Han | CN | Beijing | 2014-06-26 / 20140176685 - IMAGE PROCESSING METHOD AND IMAGE PROCESSING APPARATUS | 1 |
Weimin C. Han | US | Portland | 2014-06-26 / 20140175566 - CONVERTING A HIGH DIELECTRIC SPACER TO A LOW DIELECTRIC SPACER | 1 |
Min-Soo Han | KR | Seoul | 2014-06-19 / 20140171402 - AZETIDINE DERIVATIVE AND ANTIDEPRESSANT COMPOSITION INCLUDING THE SAME | 1 |
Seung-Han Han | KR | Seoul | 2014-06-19 / 20140170032 - METAL FILTER FOR PURIFYING EXHAUST GAS FROM SHIP, AND PREPARATION METHOD THEREOF | 1 |
Ru Han | US | Chandler | 2014-06-19 / 20140168909 - GAPPED ATTACHMENT STRUCTURES | 1 |
Woo-Seok Han | US | 2014-06-19 / 20140168290 - PIXEL AND ORGANIC LIGHT EMITTING DISPLAY USING THE SAME | 1 | |
Seung Sook Han | KR | Suwon-Si | 2009-03-12 / 20090066668 - TERMINAL AND METHOD FOR ENTERING COMMAND IN THE TERMINAL | 1 |
Seung Sook Han | KR | Seoul | 2010-09-02 / 20100222112 - METHOD FOR DISPLAYING ITEMS IN MOBILE TERMINAL AND MOBILE TERMINAL USING THE SAME | 1 |
Seung Sook Han | KR | Gyeonggi-Do | 2010-04-22 / 20100099465 - METHOD OF DISPLAYING OBJECT AND TERMINAL CAPABLE OF IMPLEMENTING THE SAME | 1 |
Kyungtae Han | US | Portland | 2015-09-10 / 20150254194 - POLLING DETERMINATION | 11 |
Yui Han | CA | Edmonton | 2014-06-12 / 20140162698 - GROUP-BASED SOCIAL INTERACTION USING LOCATION-AWARE MOBILE DEVICES | 1 |
Youngtae Han | KR | Seoul | 2014-06-12 / 20140161611 - POWER GENERATING APPARATUS USING FLOWING WATER | 1 |
Jae Ho Han | US | 2014-06-12 / 20140159083 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND FABRICATION METHOD THEREOF | 1 | |
Ja-Yong Han | KR | Daejeon Metropolitan City | 2010-08-26 / 20100213422 - UV CURABLE PHOTOCHROMIC COMPOSITION AND PRODUCTS FORMED BY USING THE SAME | 1 |
Yong-Gyu Han | KR | Daejeon Metropolitan City | 2012-07-05 / 20120172548 - POLYOLEFIN AND PREPARATION METHOD THEREOF | 3 |
Hee Han | KR | Daejeon Metropolitan City | 2010-06-03 / 20100133654 - METHOD FOR MANUFACTURING CAPACITOR OF SEMICONDUCTOR | 1 |
Dong Hoon Han | KR | Daejeon Metropolitan City | 2009-08-20 / 20090206914 - SEMICONDUCTOR DEVICE GENERATING VARIED INTERNAL VOLTAGES | 1 |
Sang Choll Han | KR | Daejeon Metropolitan City | 2010-04-15 / 20100090371 - Method of patterning conductive layers, method of manufacturing polarizers, and polarizers manufactured using the same | 2 |
Se-Jun Han | KR | Daejeon Metropolitan City | 2011-08-18 / 20110202582 - METHOD AND APPARATUS FOR MANAGING TAG OF MULTIMEDIA CONTENT | 5 |
Fei Han | CN | Shenzhen | 2015-04-16 / 20150105637 - PULSE OXIMETRY-BASED CARDIO-PULMONARY RESUSCITATION (CPR) QUALITY FEEDBACK SYSTEMS AND METHODS | 2 |
Byung-Il Han | KR | Seoul | 2012-01-26 / 20120023137 - METHOD AND APPARATUS FOR STORING DATA IN MOBILE TERMINAL | 2 |
Kyu-Hee Han | KR | Bupyeong-Gu | 2011-06-09 / 20110136332 - METHODS OF FORMING INTEGRATED CIRCUIT DEVICES WITH CRACK-RESISTANT FUSE STRUCTURES | 1 |
Sung-Jun Han | KR | Seoul | 2014-06-05 / 20140155387 - Anti-Inflammation Compounds | 1 |
Min Seok Han | KR | Suwon-Si | 2014-06-05 / 20140152114 - WIRELESS POWER TRANSMISSION SYSTEM, WIRELESS POWER RECEIVING APPARATUS, AND WIRELESS POWER RECEIVING METHOD | 1 |
Jung Taek Han | KR | Uiwang-Si | 2014-06-05 / 20140152061 - CHAIR HAVING INTEGRATED SAFETY BELT | 1 |
Seunghun Han | KR | Asan-Si | 2015-09-24 / 20150270242 - SEMICONDUCTOR PACKAGES AND METHODS OF FABRICATING THE SAME | 3 |
Kyung Rok Han | DE | Friedrichshafen | 2010-08-19 / 20100207347 - SPRING STRUT BEARING | 1 |
Sung Woo Han | KR | Seoul | 2009-12-10 / 20090303877 - COMMUNICATION METHOD BASED ON TRANSMISSION CONTROL PROTOCOL AND MOBILE COMMUNICATION TERMINAL TO PERFORM THE METHOD | 1 |
Sung Woo Han | KR | Ichon-Shi | 2010-04-15 / 20100090736 - DELAY LOCKED LOOP CIRCUIT AND MEMORY DEVICE HAVING THE SAME | 1 |
Sung Woo Han | KR | Ichon-Si | 2010-05-13 / 20100117702 - DUTY CYCLE CORRECTION APPARATUS AND SEMICONDUCTOR INTEGRATED CIRCUIT HAVING THE SAME | 2 |
Myoung-Nam Han | KR | Seoul | 2009-12-24 / 20090314706 - Cartridge Module of Hollow Fiber Membranes | 1 |
Hong Hee Han | KR | Gwangmyeong-Si Gyeonggi-Do | 2014-05-29 / 20140146020 - MULTITOUCH RECOGNIZING DEVICE | 1 |
Yu Han | CN | Beijing | 2016-03-10 / 20160073134 - SIZE BASED TRANSFORM UNIT CONTEXT DERIVATION | 33 |
Shih-Chang Han | TW | Taipei City | 2009-07-02 / 20090169129 - Method for automatically transforming color space and prospect of an imaging device | 7 |
Cheng-Wen Han | TW | Taipei City | 2010-05-06 / 20100107692 - Manufacturing Method and Structure of Night Glow Glass | 1 |
Chia-Hui Han | TW | Taipei City | 2008-08-21 / 20080198823 - METHOD FOR ESTABLISHING A WIRELESS LOCAL AREA NETWORK LINK | 1 |
Yung-Hsiang Han | TW | Taipei City | 2008-09-11 / 20080222498 - SEQUENTIAL DECODING METHOD AND APPARATUS THEREOF | 1 |
Jui-Cheng Han | TW | Taipei City | 2008-11-13 / 20080278204 - INJECTION-LOCKED FREQUENCY DIVIDER EMBEDDED AN ACTIVE INDUCTOR | 1 |
Chau-Chung Han | TW | Taipei City | 2009-10-01 / 20090246887 - Diamond Crystallites For Biotechnological Applications | 1 |
Jen-Yu Han | TW | Taipei City | 2010-08-12 / 20100201568 - METHOD FOR IMPLEMENTING GPS SURVEYING FIELD WORK PLANNING USING 3D TOPOGRAPHIC INFORMAITON AND METHOD FOR ANALYZING 3D TOPOGRAPHIC INFORMATION | 1 |
Chung-Cheng Han | TW | Taipei City | 2012-11-22 / 20120294777 - EXTRACTION APPARATUS | 2 |
Kyung Soo Han | US | Timonium | 2016-01-28 / 20160025001 - ONE-STROKE INTERNAL COMBUSTION ENGINE | 13 |
Sang Bok Han | KR | Suwon-Si | 2014-05-15 / 20140136745 - METHOD AND APPARATUS FOR ALLOCATING INTERRUPTS IN A MULTI-CORE SYSTEM | 1 |
Sang-Woo Han | KR | Seoul | 2011-08-18 / 20110198481 - Image sensor and operating method | 2 |
Sang-Woo Han | KR | Gyeonggi-Do | 2010-03-04 / 20100056138 - METHOD FOR TRANSMITTING MULTIMEDIA MESSAGE TO ROAMED MULTIMEDIA MESSAGE RECEIVER AND SYSTEM THEREOF | 1 |
Hee Han | KR | Seoul | 2009-01-22 / 20090024690 - METHOD AND SYSTEM FOR ACCESSING ON-LINE CONTENT ACCORDING TO SIMPLE CODE OF OFF-LINE CONTENT | 1 |
Hong Han | CA | Toronto | 2014-05-15 / 20140134737 - Alternative Splicing Modulators and Splice Variants and Their Use in the Control and Detection of Pluripotency and Differentiation | 1 |
Chae Jin Han | KR | Busan | 2016-05-05 / 20160121652 - FIXED AND ROTATING CART CASTER | 1 |
Shaowel Han | US | Palo Alto | 2010-08-05 / 20100198512 - METHOD AND APPARATUS FOR PROVIDING RELIABLE EXTENDED EPHEMERIS QUALITY INDICATORS | 1 |
May H. Han | US | Palo Alto | 2009-08-20 / 20090208481 - Proteomic analysis of active multiple sclerosis lesions | 1 |
Amy Aimei Han | US | Palo Alto | 2013-07-18 / 20130181104 - FLAT PANEL DISPLAY INCLUDING A HINGE ASSEMBLY | 3 |
Shaowei Han | US | Palo Alto | 2013-01-24 / 20130021202 - Systems and Methods of Communication in an Assisted Navigation System | 6 |
Byungwook Christopher Han | US | Palo Alto | 2010-01-14 / 20100011059 - Self-Marketing System and Method | 1 |
Bin Han | US | Palo Alto | 2015-12-03 / 20150343241 - Novel EPID dosimetry method and system for radiation therapy | 2 |
Hanyoung Han | US | Sunnyside | 2013-02-07 / 20130034580 - NOVEL FORMULATIONS WHICH STABILIZE AND INHIBIT PRECIPITATION OF IMMUNOGENIC COMPOSITIONS | 1 |
Jun Han | US | San Diego | 2015-10-29 / 20150309650 - EFFICIENT LOSSLESS COMPRESSION FOR PERIPHERAL INTERFACE DATA TRANSFER | 2 |
Sang Nam Han | KR | Busan | 2016-05-05 / 20160122123 - INTERMEDIATE BULK CONTAINER | 1 |
Jun Han | US | Athens | 2013-02-14 / 20130041592 - Method And System Using Computer Simulation For The Quantitative Analysis Of Glycan Biosynthesis | 1 |
Seung-Jae Han | KR | Gyeonggi-Do | 2013-04-04 / 20130082962 - METHOD AND APPARATUS FOR HANDLING TOUCH INPUT IN A MOBILE TERMINAL | 1 |
Sang Soo Han | KR | Namyul-Ri | 2013-04-11 / 20130088477 - LIQUID CRYSTAL DISPLAY DEVICE AND DRIVING METHOD THEREOF | 1 |
Seung Il Han | KR | Sosa | 2013-04-25 / 20130098402 - ROBOT CLEANER AND CONTROL METHOD FOR THE SAME | 1 |
Ki Jung Han | KR | Suwon-City | / - | 1 |
Sam S. Han | US | Beavercreek | 2015-01-15 / 20150018110 - Storage at Indoor Golf Driving Range | 2 |
Hye-Eun Han | KR | Buk-Gu | 2013-05-02 / 20130108613 - AMYLOID-BETA CLEARANCE | 1 |
Jeong Yeol Han | KR | Daejeon | 2015-07-09 / 20150193558 - METHOD AND DEVICE FOR GENERATING ENGINEERING TOPOLOGY OF DIGITAL SUBSTATION | 2 |
Hyo Sang Han | KR | Bupyung-Gu | 2010-07-15 / 20100178923 - Method For Relocating SRNS In A Mobile Communication System | 2 |
Zhiqiang Han | US | Fenton | 2009-06-25 / 20090163431 - COMPOSITIONS AND METHODS FOR MODULATION OF PDX-1 | 1 |
Weiping Han | US | 2009-01-29 / 20090031434 - ANIMAL MODELS FOR OBESITY AND NEURODEGENERATIVE DISEASES | 1 | |
Haiyon Han | US | Chandler | 2013-05-09 / 20130116196 - MUSCLE FATIGUE SUBSTANCE CYTOKINES AND METHODS OF INHIBITING TUMOR GROWTH THEREWITH | 1 |
In-Hyo Han | KR | Chungcheongbuk-Do | 2013-05-09 / 20130113688 - ARRAY SUBSTRATE FOR GATE-IN-PANEL-TYPE ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE | 1 |
Sang Bae Han | KR | Gyeonggi-Do | 2010-06-03 / 20100133156 - BIOFILTER UNITS AND WATER TREATMENT FACILITIES WITH USING THE SAME BIOFILTER UNITS | 1 |
Jongho Han | KR | Seoul | 2015-09-03 / 20150248040 - BACKLIGHT UNIT AND DISPLAY APPARATUS THEREOF | 2 |
Tae Seop Han | KR | Gumi-Si | 2009-05-21 / 20090131040 - DEVICE DIAGNOSTICS AND MONITORING METHOD AND SYSTEM | 2 |
Sang Min Han | KR | Gumi-Si | 2009-02-26 / 20090055181 - MOBILE TERMINAL AND METHOD OF INPUTTING MESSAGE THERETO | 1 |
Mi Kyung Han | KR | Gumi-Si | 2012-03-29 / 20120078400 - Memory Expansion Pack For Providing Content To Portable Terminal | 2 |
Kyu Hui Han | KR | Gumi-Si | 2009-08-20 / 20090210704 - SYSTEM AND METHOD FOR WITHDRAWING RIGHTS OBJECT OF THE DIGITAL CONTENTS | 2 |
Seung Hee Han | KR | Gumi-Si | 2015-10-22 / 20150305067 - RANDOM ACCESS METHOD AND SIGNALLING METHOD FOR THE SAME | 7 |
Kyu Sung Han | KR | Gumi-Si | 2010-07-08 / 20100173664 - Method and apparatus for controlling transmission power | 1 |
Seungjin Han | KR | Changwon-Si | 2014-05-15 / 20140130524 - APPARATUS FOR CONTROLLING AN AIR CONDITIONER AND A METHOD FOR OPERATING THE SAME | 1 |
Ju-Tak Han | KR | Daegu | 2013-05-23 / 20130131346 - Dye for dye-sensitized solar cell and Solar cell using it | 1 |
Jinyoung Han | KR | Seoul | 2012-08-23 / 20120215847 - METHOD FOR PROVIDING DIARY-BASED SOCIAL NETWORK SERVICE AND SYSTEM USING THE SAME | 2 |
Jeong Su Han | KR | Suwon-Si | 2016-05-05 / 20160121251 - CONTAMINATION SENSOR, AIR PURIFIER HAVING THE SAME AND CONTROL METHOD THEREOF | 24 |
Seong Joo Han | KR | Yongin-Si | 2010-06-24 / 20100154999 - Blind with solar batteries and control method thereof | 9 |
Young-Soo Han | KR | Yongin-Si | 2015-12-03 / 20150346985 - DEVICE AND METHOD FOR PROVIDING USER INTERFACE SCREEN | 12 |
Yoon Suk Han | KR | Seoul | 2009-12-31 / 20090321779 - SIDE VIEW LIGHT EMITTING DIODE PACKAGE | 2 |
Brent M. Han | US | Fairfax | 2010-06-24 / 20100161526 - Ranking With Learned Rules | 1 |
Sang Heon Han | KR | Gyeonggi-Do | 2013-06-13 / 20130146840 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 2 |
Hyunjoo Han | KR | Yongin-Si | 2013-06-20 / 20130153286 - SHIELDING SYSTEM FOR MOBILE DEVICE AND METHOD FOR ASSEMBLING THE SYSTEM | 1 |
Dong Hun Han | KR | Busanjin-Gu | 2013-04-11 / 20130086822 - CLIMBING SHOES OUTSOLE HAVING GOOD ADHESIVE AND NON-SLIP PROPERTIES AND METHOD FOR MANUFACTURING THEREOF | 1 |
Ho-Seok Han | KR | Suwon-Si | 2011-07-07 / 20110164199 - LIQUID CRYSTAL DISPLAY WITH HOUSING EXPOSED FLEXIBLE PRINTED CIRCUIT | 2 |
Sangwon Han | KR | Seoul | 2009-09-03 / 20090219092 - HIGHLY LINEAR DIFFERENTIAL AMPLIFIER WITH A NOVEL RESISTIVE SOURCE DEGENERATION NETWORK | 1 |
Jong-Hun Han | KR | Hwaseong-Si | 2013-05-02 / 20130106889 - GRAPHICS PROCESSING METHOD AND DEVICES USING THE SAME | 1 |
Jong-Hun Han | KR | Yongin-Si | 2013-05-02 / 20130106883 - DISPLAY CONTROLLER AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Fei Han | US | New York City | 2013-07-11 / 20130176026 - Magnetic Resonance Imaging Methods | 1 |
Dong Hee Han | KR | Seoul | 2015-07-02 / 20150184603 - CONTROL APPARATUS FOR ENGINE HAVING TURBOCHARGER AND METHOD THEREOF | 28 |
Kyoung-Sik Han | KR | Ichon-Shi | 2008-11-13 / 20080280442 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
Dae Hoon Han | KR | Gyeonggi-Do | 2013-08-01 / 20130194832 - CONVERTER DRIVING CIRCUIT, DUAL-MODE LLC RESONANT CONVERTER SYSTEM, AND METHOD OF DRIVING DUAL-MODE LLC RESONANT CONVERTER | 2 |
Kyung Hoon Han | KR | Gwangsan-Gu | 2013-08-01 / 20130192176 - SHRINK PACKING SYSTEM | 1 |
Juhyun Han | KR | Gyeonggi-Do | 2009-08-20 / 20090208983 - Diagnose device for measuring the ratio of proteins with similar structure | 1 |
Kyung-Soo Han | KR | Seoul | 2012-01-19 / 20120011767 - TREE GUARD | 3 |
Daewoong Han | KR | Gyeonggi-Do | 2010-05-27 / 20100128410 - FILM CAPACITOR | 1 |
Taejoon Han | US | San Ramon | 2013-01-03 / 20130001754 - IN-SITU PHOTORESIST STRIP DURING PLASMA ETCHING OF ACTIVE HARD MASK | 3 |
Jin Suk Han | KR | Seoul | 2016-04-21 / 20160109719 - Lens Moving Apparatus | 7 |
In Soo Han | KR | Gyeonggi-Do | 2010-01-14 / 20100007162 - APPARATUS AND METHOD FOR MANUFACTURING SUPER HIGH FLUIDITY URETHANE-BASED SPHERICAL FINE POWDER | 2 |
Kyeong-Soo Han | KR | Daejeon | 2014-09-11 / 20140259040 - METHODS AND APPARATUSES FOR DEDUCING A VIEWING HOUSEHOLD MEMBER PROFILE | 7 |
Jae Cheon Han | KR | Daejeon | 2008-11-13 / 20080279118 - SYSTEM AND METHOD FOR PROVIDING SESSION INITIATION PROTOCOL BASED CONFERENCE SERVICE | 1 |
Seunghee Han | KR | Anyang-Si Gyeonggi-Do | 2014-05-15 / 20140133373 - METHOD AND APPARATUS FOR TRANSMITTING CONTROL INFORMATION | 1 |
Sung-Man Han | KR | Gyeonggi-Do | 2013-05-09 / 20130113688 - ARRAY SUBSTRATE FOR GATE-IN-PANEL-TYPE ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE | 1 |
Sub Han | KR | Seoul | 2009-02-05 / 20090033280 - CONTACT-LESS POWER SUPPLY, CONTACT-LESS CHARGER SYSTEMS AND METHOD FOR CHARGING RECHARGEABLE BATTERY CELL | 1 |
Sub Han | KR | Gyeonggi-Do | 2009-04-23 / 20090102419 - WIRELESS CHARGER DECREASED IN VARIATION OF CHARGING EFFICIENCY | 3 |
Ki-Hun Han | KR | Seoul | 2015-10-15 / 20150296223 - APPARATUS AND METHOD FOR ENCODING AND DECODING MOVING PICTURE USING ADAPTIVE SCANNING | 5 |
Dong-Hoon Han | KR | Seoul | 2015-12-03 / 20150346709 - SEMICONDUCTOR PROCESS MANAGEMENT SYSTEM, SEMICONDUCTOR MANUFACTURING SYSTEM INCLUDING THE SAME, AND METHOD OF MANUFACTURING SEMICONDUCTOR | 5 |
Yongxin Han | CA | Kirkland | 2015-02-12 / 20150045325 - FUSED AROMATIC PTP-1B INHIBITORS | 16 |
Jae-Kwang Han | KR | Gyeonggi-Do | 2013-09-26 / 20130250748 - APPARATUS AND METHOD FOR PROVIDING MULTI-RAB SERVICE IN COMMUNICATION SYSTEM | 1 |
Shuguo Han | SG | Singapore | 2013-05-23 / 20130132989 - Method and Device for Collecting Audience Information | 1 |
Yong Heng Han | SG | Singapore | 2015-06-25 / 20150179377 - ACTUATOR FOR A SWITCHING DEVICE | 3 |
Changmin Han | KR | Cheongju-Si | 2013-10-03 / 20130260211 - NOVEL DEVICE FOR LAMINATING ELECTRODE ASSEMBLY AND SECONDARY BATTERY MANUFACTURED USING THE SAME | 8 |
Kwang Hoon Han | KR | Suwon-Si | 2015-12-24 / 20150372897 - METHOD AND APPARATUS FOR RELAYING IN MULTICAST NETWORK | 9 |
Sang Hwa Han | KR | Seoul | 2013-05-23 / 20130127210 - VENTILATION APPARATUS FOR SEAT | 1 |
Do Suck Han | KR | Seongnam-Si | 2013-09-12 / 20130234380 - SPRING OF SUSPENSION FOR VEHICLE | 1 |
Kiwook Han | KR | Seongnam-Si | 2013-10-17 / 20130275633 - METHOD AND SYSTEM FOR OPERATING ACCESSORY IN TERMINAL AND TERMINAL SUPPORTING THE SAME | 1 |
Sungwon Han | KR | Seoul | 2015-02-12 / 20150043592 - TERMINAL APPARATUS AND METHOD OF CONTROLLING TERMINAL APPARATUS | 2 |
Byung-Hun Han | KR | Eunpyeong-Gu | 2015-12-17 / 20150364093 - GRADATION VOLTAGE GENERATOR AND DISPLAY DRIVING APPARATUS | 2 |
Scott Han | US | Lawrenceville | 2016-01-07 / 20160002134 - PROCESS FOR PREPARING DIARYL OXIDES BY DECARBOXYLATION | 14 |
Taehee Han | US | Princeton | 2016-05-05 / 20160120700 - Intrastromal Corneal Reshaping Method and Apparatus for Correction of Refractive Errors Using Ultra-Short and Ultra-Intensive Laser Pulses | 1 |
Seung Yeol Han | KR | Daejeon | 2015-01-22 / 20150025204 - Hybrid Supported Metallocene Catalyst, Method for Preparing the Same, and Process for Preparing Polyolefin Using the Same | 1 |
Sang Won Han | KR | Hwaseong-Si | 2013-10-24 / 20130276283 - INJECTOR CUP UNIT FOR CONNECTING FUEL INJECTION PIPE AND METHOD OF FABRICATING THE SAME | 1 |
Young Gyu Han | KR | Yuseong-Gu | 2013-10-31 / 20130289297 - "Precise Control of Molecular Weight and Chain Shape Control in Carbon Dioxide/Epoxide Alternating Copolymerization and Preparation of Low Molecular Weight Poly(alkylene Carbonate) Thereby" | 1 |
Jeong-Woo Han | KR | Yongin-Si | 2016-03-24 / 20160087261 - POSITIVE ELECTRODE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING THE SAME | 5 |
Sang-Hoon Han | KR | Gwangmyeong-Si Gyeonggi-Do | 2013-10-31 / 20130291011 - TRANSCODING SERVER AND METHOD FOR OVERLAYING IMAGE WITH ADDITIONAL INFORMATION THEREIN | 1 |
Jungmin Han | KR | Seoul | 2013-11-07 / 20130295433 - METHOD FOR PREPARING ANODE ACTIVE MATERIAL | 9 |
Sung Woo Han | KR | Ichon | 2010-03-11 / 20100061167 - DATA OUTPUT CIRCUIT | 13 |
Myeong Woo Han | KR | Hwaseong-Si | 2016-05-05 / 20160126200 - SEMICONDUCTOR DEVICE PACKAGE WITH INTEGRATED ANTENNA FOR WIRELESS APPLICATIONS | 1 |
Jae-Kwan Han | KR | Hwaseong-Si | 2013-11-07 / 20130292812 - LEAD FRAME FOR SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE PACKAGE USING THE LEAD FRAME | 1 |
Jiawen Han | FR | Paris | 2015-10-01 / 20150274824 - ANTAGONIST ANTIBODY FOR THE TREATMENT OF CANCER | 2 |
Young-Seop Han | KR | Yeongtong-Gu | 2009-02-26 / 20090055480 - SYSTEM AND METHOD FOR SHARING DATA IN LAN | 1 |
Young-Seop Han | KR | Dalseo-Gu | 2009-12-03 / 20090298476 - APPARATUS AND METHOD FOR DISPLAYING RELEVANT MESSAGES | 1 |
Young-Seop Han | KR | Suseong-Gu | 2011-10-20 / 20110258670 - METHOD AND APPARATUS FOR VIDEO TRANSMISSION IN COMMUNICATION SYSTEM FOR SUPPORTING INTERNET PROTOCOL TELEVISION SERVICE BETWEEN HETEROGENEOUS NETWORKS | 4 |
Myeong Woo Han | KR | Suwon Gyeonggi-Do | 2013-08-15 / 20130207869 - SIDE-FACE RADIATION ANTENNA AND WIRELESS COMMUNICATION MODULE | 1 |
Jae Ho Han | KR | Gyeonggi-Do | 2013-11-21 / 20130307007 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Chang Hun Han | KR | Icheon-Si | 2014-05-08 / 20140124843 - Photo Sensor | 12 |
Myeong Woo Han | KR | Suwon-Si | 2016-05-19 / 20160142077 - DUAL-BAND FILTER AND OPERATING METHOD THEROF | 3 |
Seong-Jong Han | KR | Seoul | 2010-02-04 / 20100030378 - MULTI-FUNCTION ROBOT FOR MOVING ON WALL USING INDOOR GLOBAL POSITIONING SYSTEM | 1 |
Jong Hee Han | KR | Seoul | 2016-05-05 / 20160127843 - HEARING APPARATUS INCLUDING COIL OPERABLE IN DIFFERENT OPERATION MODES | 15 |
Jong Hee Han | KR | Changwon-Si | 2013-04-18 / 20130093586 - INPUT DEVICE OF WASHING MACHINE AND CONTROL METHOD OF THE SAME | 7 |
Jong Hee Han | KR | Gyeongsangnam-Do | 2010-01-14 / 20100005839 - WASHING MACHINE | 3 |
Gi-Choon Han | KR | Hwaseong-Si | 2013-11-28 / 20130314524 - DISPLAY APPARATUS, HEARING LEVEL CONTROL APPARATUS, AND METHOD FOR CORRECTING SOUND | 1 |
Jae Mun Han | KR | Hwaseong-Si | 2013-04-25 / 20130103225 - TRAIN SPEED MEASURING DEVICE AND METHOD | 1 |
Wan Taek Han | KR | Hwaseong-Si | 2013-10-24 / 20130281872 - SYSTEM, MEDIUM, AND METHOD TO CONDUCE A USER'S BREATHING | 1 |
Sung Kon Han | KR | Geoje-Si | 2013-11-21 / 20130306643 - LNG TANK AND OPERATION OF THE SAME | 3 |
Sung Kon Han | KR | Seoul | 2009-09-03 / 20090218354 - LIQUEFIED NATURAL GAS STORAGE TANK FOR FLOATING MARINE STRUCTURE | 1 |
Kyu-Young Han | US | Urbana | 2013-10-03 / 20130256564 - STED Microscopy With Pulsed Excitation, Continuous Stimulation, And Gated Registration Of Spontaneously Emitted Fluorescence Light | 1 |
Xian-Zhong Han | US | Huntley | 2013-11-21 / 20130309386 - PROCESS FOR PREPARING INHIBITED NON-PREGELATINIZED GRANULAR STARCHES | 1 |
James Han | US | Crystal Lake | 2013-12-05 / 20130324900 - SYSTEM AND METHOD FOR ACTIVE BYPASS DIALYSIS ACCESS DISCONNECTION DETECTION | 1 |
Yin-Lung Han | TW | Tainan City | 2015-07-02 / 20150184121 - CULTURING MEDIUM AND METHOD FOR CULTURING A BACTERIUM OF GENUS TEPIDIMONAS | 4 |
Wei Han | CN | Huangshi | 2013-04-25 / 20130099030 - METHOD FOR EXTRACTING COPPER FROM CINDERS | 1 |
Wei Han | CN | New Territories | 2013-12-05 / 20130323613 - GRAPHENE-BASED SELF-HUMIDIFYING MEMBRANE AND SELF-HUMIDIFYING FUEL CELL | 1 |
Sam-Il Han | KR | Yongin-Si | 2009-02-19 / 20090045716 - ELECTRON EMISSION DEVICE | 1 |
Sam-Il Han | KR | Suwon-Si | 2009-12-17 / 20090309477 - FLAT PANEL DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 4 |
Seok-Jong Han | KR | Kyonggi-Do | 2009-11-26 / 20090288748 - Cellulose raw cord for rubber reinforcement | 1 |
Dong-Hee Han | KR | Suwon-Si | 2009-11-19 / 20090283725 - PHOTOSENSITIVE PASTE COMPOSITION, PDP ELECTRODE MANUFACTURED USING THE COMPOSITION, AND PDP COMPRISING THE PDP ELECTRODE | 1 |
Jong-Won Han | KR | Anyang-Si | 2013-10-31 / 20130290887 - METHOD AND TERMINAL FOR DISPLAYING A PLURALITY OF PAGES,METHOD AND TERMINAL FOR DISPLAYING A PLURALITY OF APPLICATIONS BEING EXECUTED ON TERMINAL, AND METHOD OF EXECUTING A PLURALITY OF APPLICATIONS | 1 |
Seung Shin Han | KR | Anyang-Si | 2013-12-12 / 20130332636 - METHOD FOR CONFIGURATING CANOPEN NETWORK, METHOD FOR OPERATING SLAVE DEVICE OF CANOPEN NETWORK AND SYSTEM FOR CONTROLLING PLC DEVICE USING CANOPEN NETWORK | 1 |
Eun-Sil Han | KR | Daejeon-Si | 2008-10-30 / 20080265758 - Organometallic complexes and organic electroluminescence device using the same | 1 |
Eun-Bong Han | KR | Suwon-Si | 2015-07-02 / 20150183163 - THREE-DIMENSIONAL PRINTER AND OPERATING METHOD FOR THE SAME | 16 |
Dong-Won Han | KR | Suwon-Si | 2011-10-27 / 20110260882 - METHOD AND APPARATUS FOR PROXIMITY SENSING OF A PORTABLE TERMINAL | 9 |
Jianwen Han | US | Danbury | 2009-08-13 / 20090200171 - ELECTROCHEMICAL SENSING AND DATA ANALYSIS SYSTEM, APPARATUS AND METHOD FOR METAL PLATING | 1 |
Jinkyu Han | US | Allen | 2015-07-30 / 20150215090 - APERIODIC AND PERIODIC CSI FEEDBACK MODES FOR COORDINATED MULTI-POINT TRANSMISSION | 4 |
Weishan Han | US | Katy | 2014-05-15 / 20140137036 - Operation Window for Portable Devices with Touchscreen Displays | 1 |
Song-Rong Han | TW | Taipei | 2009-05-21 / 20090128203 - PLL-BASED TIMING-SIGNAL GENERATOR AND METHOD OF GENERATING TIMING SIGNAL BY SAME | 1 |
Guo-Rui Han | TW | Taipei | 2009-07-23 / 20090185698 - Structure of an andio device | 2 |
Cheng-Hua Han | TW | Taipei | 2008-10-02 / 20080242189 - Intelligent toy for children | 1 |
Hsin Hui Han | TW | Taipei | 2008-12-18 / 20080309928 - Automatic Optical Inspection Device, Chip Sorting Apparatus and Method | 1 |
Chia-Hui Han | TW | Taipei | 2013-11-28 / 20130314424 - Display System for Electronic Device and Display Module Thereof | 4 |
Ming-Chun Han | TW | Taipei | 2009-04-23 / 20090103756 - Multi-Media Device | 1 |
Min Ju Han | KR | Seoul | 2015-04-30 / 20150116645 - LIQUID CRYSTAL DISPLAY | 5 |
Young-Seop Han | KR | Suwon-Si | 2012-12-06 / 20120309312 - SYSTEM AND METHOD FOR TRANSMITTING/RECEIVING DATA BY USING A MOBILE COMMUNICATION TERMINAL IN A ZIGBEE PAN | 13 |
Se Jun Han | KR | Suwon-Si | 2013-12-19 / 20130334552 - SEMICONDUCTOR LIGHT EMITTING ELEMENT, AND LIGHT EMITTING DEVICE | 1 |
Young Gun Han | KR | Seoul | 2008-10-16 / 20080254219 - Method And Device For Preparing Powder On Which Nano Metal, Alloy, And Ceramic Particles Are Uniformly Vacuum-Deposited | 1 |
Kook-Jin Han | KR | Kyungsangbook-Do | 2008-11-27 / 20080292894 - Chrome Free Composition for Metal Surface Treatment and Surface-Treated Metal Sheet | 1 |
Yi Han | CN | Qingdao City | 2013-12-26 / 20130344216 - ERYTHRITOL COCRYSTALS AND METHOD OF MAKING SAME | 1 |
Ji-Hye Han | KR | Gyeonggi-Do | 2014-06-26 / 20140179118 - SURFACE TREATMENT METHOD FOR SEMICONDUCTOR DEVICE | 2 |
Kwang Sik Han | KR | Suwon-Si | 2014-01-09 / 20140012543 - DIAGNOSIS CONTROL METHOD OF AIR CONDITIONER | 1 |
Michael Han | US | San Diego | 2014-05-08 / 20140124877 - CONDUCTIVE INTERCONNECT INCLUDING AN INORGANIC COLLAR | 2 |
Jae Joon Han | KR | Seoul | 2015-12-24 / 20150370337 - APPARATUS AND METHOD FOR CONTROLLING INTERFACE | 40 |
Man-Seok Han | KR | Yongin-Si | 2015-10-22 / 20150303456 - NEGATIVE ELECTRODE COMPOSITION, AND NEGATIVE ELECTRODE AND LITHIUM BATTERY CONTAINING THE SAME | 21 |
Jeong Su Han | KR | Suwon-Si | 2016-05-05 / 20160121251 - CONTAMINATION SENSOR, AIR PURIFIER HAVING THE SAME AND CONTROL METHOD THEREOF | 24 |
Jae-Il Han | KR | Seoul | 2013-08-01 / 20130196280 - METHOD FOR DETERMINING POSITION OF ORTHODONTICS MINI-SCREW AND APPARATUS THEREOF | 2 |
Gilwon Han | KR | Seoul | 2009-08-27 / 20090213570 - BACKLIGHT UNIT | 2 |
Daewon Han | KR | Yongin-Si | 2014-01-16 / 20140017565 - RECHARGEABLE BATTERY HAVING CURRENT COLLECTION PLATE WITH PROTRUSION | 1 |
Seong Ho Han | KR | Seoul | 2012-06-07 / 20120137933 - HEAVY WEIGHT CONCRETE COMPOSITION USING SLAG BYPRODUCTS | 3 |
Jae-Hee Han | KR | Yongin-Si | 2013-07-04 / 20130169321 - INTEGRATED CIRCUIT (IC), ADAPTIVE POWER SUPPLY USING IC CHARACTERISTICS AND ADAPTIVE POWER SUPPLY METHOD ACCORDING TO IC CHARACTERISTICS, ELECTRONIC DEVICE INCLUDING THE SAME AND MANUFACTURING METHOD OF IC | 1 |
Youn-Ho Han | KR | Yongin-Si | 2014-01-16 / 20140016351 - LIGHT SOURCE MODULE AND LIGHT SOURCE ASSEMBLY HAVING THE SAME | 1 |
Liqun Han | US | Pleasanton | 2015-11-26 / 20150340200 - ELECTRON BEAM IMAGING WITH DUAL WIEN-FILTER MONOCHROMATOR | 8 |
Shu-Jen Han | US | Yorktown Heights | 2012-05-10 / 20120112310 - DIFFUSION SIDEWALL FOR A SEMICONDUCTOR STRUCTURE | 2 |
Jun Han Han | KR | Daejeon | 2016-03-17 / 20160079319 - DUAL-MODE PIXELS INCLUDING EMISSIVE AND REFLECTIVE DEVICES, AND DUAL-MODE DISPLAY USING THE PIXELS | 32 |
Sang-Do Han | KR | Cheonan-Si | 2011-05-19 / 20110115517 - BUILT-OFF TEST DEVICE AND TEST SYSTEM INCLUDING THE SAME | 1 |
Junhee Han | KR | Seoul | 2011-05-19 / 20110115712 - MOBILE TERMINAL | 1 |
Xiaowei Han | CN | Shenzhen City | 2014-01-23 / 20140025780 - Across-Application Network Communication Method And Device | 1 |
So Hee Han | KR | Yongin-Si | 2015-03-19 / 20150077030 - DUAL INVERTER SYSTEM AND METHOD OF CONTROLLING THE SAME | 2 |
In Sub Han | KR | Nonsan-Si | 2016-05-05 / 20160126537 - CARBON ELECTRODE AND METHOD FOR MANUFACTURING THEREOF | 1 |
Jae Gook Han | KR | Yongin-Si | 2011-05-19 / 20110117498 - PHOTOSENSITIVE RESIN COMPOSITION | 1 |
Meng Han | DE | Aachen | 2012-02-23 / 20120044477 - LASER DIODE BASED MULTIPLE-BEAM LASER SPOT IMAGING SYSTEM FOR CHARACTERIZATION OF VEHICLE DYNAMICS | 3 |
Woo-Sung Han | KR | Seongnam-Shi | 2011-05-19 / 20110119644 - METHODS OF ARRANGING MASK PATTERNS AND ASSOCIATED APPARATUS | 1 |
Kwanhee Han | KR | Gyeonggi-Do | 2014-01-30 / 20140029755 - METHOD AND APPARATUS FOR CONTROLLING SOUND SIGNAL OUTPUT | 1 |
Kyung-Won Han | KR | Changwon-City | 2014-01-30 / 20140028081 - IN-WHEEL DRIVING DEVICE | 1 |
Yong-Hee Han | KR | Daegu Metropolitan City | 2010-07-01 / 20100169367 - METHOD AND DEVICE FOR SELECTING A WORD TO BE DEFINED IN MOBILE COMMUNICATION TERMINAL HAVING AN ELECTRONIC DICTIONARY | 1 |
Jinyi Han | US | Danville | 2011-05-26 / 20110124498 - Hydroprocessing Bulk Catalyst and Methods of Making Thereof | 11 |
Young-Seop Han | KR | Gyeonggi-Do | 2016-05-05 / 20160127528 - APPARATUS AND METHOD FOR PROVIDING INCOMING AND OUTGOING CALL INFORMATION IN A MOBILE COMMUNICATION TERMINAL | 5 |
In Sub Han | KR | Chubu-Myeon | 2014-04-10 / 20140099441 - METHOD FOR SYNTHESIZING CARBON NANOWIRES AT HIGH DENSITY ON SURFACE OF PORES OR GAPS IN STRUCTURE, AND HIERARCHICAL STRUCTURE SYNTHESIZED BY THE METHOD | 1 |
You Keun Han | US | Johns Creek | 2014-02-13 / 20140043920 - MEMORY DEVICE AND MEMORY SYSTEM INCLUDING THE SAME | 2 |
Yong Cheol Han | KR | Gyeongbuk | 2014-02-13 / 20140043577 - Flexible Printed Circuit Film and Display Device Using the Same | 1 |
Sang-Uk Han | KR | Gyeonggi-Do | 2009-04-02 / 20090085185 - STACK-TYPE SEMICONDUCTOR PACKAGE, METHOD OF FORMING THE SAME AND ELECTRONIC SYSTEM INCLUDING THE SAME | 1 |
Ji-Soo Han | KR | Gyeonggi-Do | 2009-04-02 / 20090085816 - Internal antenna having surface-mounted receptacle | 1 |
Mi-Ja Han | KR | Jeonlu-Si | 2009-04-02 / 20090085691 - Printed circuit board with embedded chip capacitor and chip capacitor embedment method | 1 |
Seung-Hee Han | KR | Suwon-Si | 2014-12-18 / 20140372010 - METHOD FOR DIAGNOSING EGR SYSTEM | 2 |
Gang-Hee Han | KR | Suwon-Si | 2014-02-27 / 20140053973 - GRAPHENE LAMINATE AND METHOD OF PREPARING THE SAME | 3 |
Sang-Bae Han | KR | Cheongju-Si | 2009-04-02 / 20090087444 - PHARMACEUTICAL COMPOSITION COMPRISING POLYSACCHARIDES FROM ANGELICA GIGAS NAKAI FOR ACTIVATION OF DENDRITIC CELLS | 1 |
Lei Han | US | Greenville | 2014-05-08 / 20140125133 - LED-BASED EMERGENCY LIGHTING EQUIPMENT AND METHODOLOGY | 1 |
Yu Han | US | Research Triangle Park | 2009-04-02 / 20090089896 - PLANT METABOLITE EXPORTER GENE PROMOTERS | 1 |
Lei Han | CN | Beijing | 2014-07-03 / 20140187224 - PROTOCOL VERSION NEGOTIATION METHOD, MOBILE TERMINAL, BASE STATION AND COMMUNICATIONS SYSTEM | 3 |
Chul-Ho Han | KR | Seoul | 2016-05-19 / 20160142763 - ELECTRONIC DEVICE FOR IDENTIFYING PERIPHERAL APPARATUS AND METHOD THEREOF | 9 |
Seung-Hee Han | KR | Daejeon | 2013-06-20 / 20130160010 - APPARATUS AND METHOD FOR PROVIDING CONTENTS SERVICE WITH VIRTUAL MACHINE | 1 |
Jae Chul Han | NZ | St. Heliers Auckland | 2014-06-19 / 20140166005 - CONTROL OF HUMIDIFIER CHAMBER TEMPERATURE FOR ACCURATE HUMIDITY CONTROL | 3 |
Gap Su Han | KR | Yongin-City | 2011-05-26 / 20110120507 - CLEANING APPARATUS AND HIGH PRESSURE CLEANER FOR USE THEREIN | 1 |
Wan Kyo Han | KR | Seoul | 2009-03-05 / 20090058992 - THREE DIMENSIONAL PHOTOGRAPHIC LENS SYSTEM | 1 |
Tiefu Han | CN | Fujian | 2011-05-26 / 20110121099 - SPRAYING DEVICE | 1 |
Huaicheng Han | CN | Jiangsu | 2011-05-26 / 20110125424 - COMPOSITE GAS FLUID FLOW MEASURING METHOD AND ITS DEVICE | 1 |
Shu-Jen Han | US | Hopewell Junction | 2012-10-18 / 20120261728 - EMBEDDED STRESSOR FOR SEMICONDUCTOR STRUCTURES | 2 |
Jung-Yup Han | KR | Yongin-Si | 2015-11-12 / 20150325825 - BATTERY PACK | 13 |
Yang Han | NL | Eindhoven | 2011-05-26 / 20110122808 - SENSING AND COMMUNICATION PROTOCOLS FOR SHARED SPECTRUM USAGE IN A RADIO COGNITIVE RELAY SYSTEM | 1 |
Sang-Il Han | KR | Yongin-City | 2014-05-22 / 20140141325 - RECHARGEABLE LITHIUM BATTERY AND NEGATIVE ELECTRODE FOR SAME | 3 |
Young-Ho Han | KR | Hwasung-Shi | 2011-06-02 / 20110126526 - EXHAUST PIPE STRUCTURE OF VEHICLE | 1 |
Yang Hee Han | KR | Jeollanam-Do | 2014-03-06 / 20140063625 - Lens Assembly and Optical System Including the Same | 1 |
Yonghee Han | KR | Gyeonggi-Do | 2014-06-05 / 20140152912 - OLED DISPLAY DEVICE HAVING TOUCH SENSOR AND METHOD OF MANUFACTURING THE SAME | 2 |
Yonghee Han | KR | Paju-Si | 2014-03-06 / 20140062916 - TOUCH PANEL AND METHOD OF MANUFACTURING THE SAME AND DISPLAY DEVICE USING THE SAME | 1 |
Jeong-Won Han | KR | Yongin-City | 2015-02-19 / 20150048070 - SEALING APPARATUS, SUBSTRATE-SEALING APPARATUS INCLUDING THE SAME AND SUBSTRATE-SEALING METHOD | 14 |
Young H. Han | US | Denver | 2011-06-02 / 20110127200 - GARMENT SORTING SYSTEM FOR CLEANERS | 1 |
Sang-Woo Han | KR | Yongin-City | 2014-12-04 / 20140353874 - COVER WINDOW AND MANUFACTURING METHOD OF THE SAME | 3 |
Seunghee Han | KR | Hwaseong-Si | 2011-06-02 / 20110130938 - FUEL INJECTING CONTROL METHOD DURING COASTING OF VEHICLE | 1 |
Kyungjin Han | KR | Hwaseong-Si | 2011-06-02 / 20110127211 - DIESEL FUEL FILTER | 1 |
Donghee Han | KR | Hwaseong-Si | 2012-11-15 / 20120285165 - ENGINE SYSTEM BASED ON TURBO CHARGER AND FUEL RATIO IMPROVING METHOD THEREOF | 2 |
Su-Hee Han | KR | Yongin-City | 2013-07-25 / 20130189561 - REINFORCING MATERIAL FOR BATTERY CELL AND BATTERY CELL INCLUDING THE SAME | 1 |
Woo-Seok Han | KR | Yongin-City | 2015-01-15 / 20150015562 - SCAN DRIVING DEVICE AND DISPLAY DEVICE INCLUDING THE SAME | 2 |
Seungkook Han | KR | Suwon-Si | 2012-11-15 / 20120285165 - ENGINE SYSTEM BASED ON TURBO CHARGER AND FUEL RATIO IMPROVING METHOD THEREOF | 2 |
Pil Kyoo Han | KR | Suwon-Si | 2011-06-02 / 20110128244 - MOBILE DEVICE AND METHOD FOR OPERATING THE TOUCH PANEL | 1 |
Jae-Kwang Han | KR | Suwon-Si | 2011-06-02 / 20110128134 - APPARATUS AND METHOD FOR PROVIDING HAPTIC FUNCTION IN PORTABLE TERMINAL | 1 |
Jaeseok Han | KR | Seoul | 2011-06-02 / 20110128238 - ELECTRIC DEVICE AND CONTROL METHOD THEREOF | 1 |
Sung Woo Han | KR | Icheon-Si | 2014-03-06 / 20140062557 - METHOD FOR REDUCING OUTPUT DATA NOISE OF SEMICONDUCTOR APPARATUS AND SEMICONDUCTOR APPARATUS IMPLEMENTING THE SAME | 1 |
Jae-Seong Han | KR | Seoul | 2011-06-02 / 20110128856 - APPARATUS AND METHOD FOR SCHEDULING SERVICE BASED ON NETWORK DELAY | 1 |
Xiaojiang Han | CN | Shenzhen | 2011-06-02 / 20110128904 - Earthquake and tsunami warning system and a transmission method for a primary notification message thereof | 1 |
Jungyup Han | KR | Yongin-Si | 2011-06-02 / 20110129719 - Battery Pack and Battery Pack Stack | 1 |
Dong-Il Han | KR | Uiwang-Si | 2015-05-14 / 20150132572 - Polycarbonate Glazing and Method of Preparing the Same | 4 |
Sung Jun Han | KR | Gunpo-Si | 2014-03-06 / 20140061352 - ANCHOR PRE-TENSIONER FOR SAFETY SEAT BELT | 1 |
Jaewon Han | KR | Seoul | 2015-03-19 / 20150077377 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE OPERATION OF THE MOBILE TERMINAL | 2 |
Sang-Ho Han | KR | Nam-Ku | 2013-09-26 / 20130248059 - METHOD FOR MANUFACTURING A PRECIPITATION-HARDENING COLD-ROLLED STEEL SHEET HAVING EXCELLENT YIELD RATIOS | 1 |
Jung-Yup Han | KR | Yongin-Si | 2015-11-12 / 20150325825 - BATTERY PACK | 13 |
Sang-Ho Han | KR | Seoul | 2013-09-26 / 20130254692 - METHOD OF GENERATING AN ELECTRONIC FOLDER AND AN ELECTRONIC DEVICE THEREOF | 1 |
Sang-Go Han | KR | Cheonan-Si | 2014-03-13 / 20140074423 - BUILT-OFF TEST DEVICE AND TEST SYSTEM INCLUDING THE SAME | 1 |
Seungchan Han | KR | Cheonan-Si | 2013-08-08 / 20130200524 - PACKAGE-ON-PACKAGE TYPE SEMICONDUCTOR PACKAGES AND METHODS FOR FABRICATING THE SAME | 1 |
Min Woo Han | KR | Gimpo-Si | 2011-06-09 / 20110132682 - STEER BY WIRE APPARATUS | 1 |
Min Jin Han | KR | Gyeonggi-Do | 2014-03-13 / 20140072015 - WIDE RANGE TEMPERATURE CONTROL SYSTEM FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT USING THERMOELECTRIC ELEMENT | 1 |
Ju Han | US | Albany | 2013-11-07 / 20130294676 - Diagnostic and Prognostic Histopathology System Using Morphometric Indices | 1 |
Woo Sup Han | KR | Gyeonggi-Do | 2013-02-28 / 20130053683 - MAGNETIC RESONANCE IMAGING (MRI) SYSTEM AND METHOD OF CONTROLLING THE SAME | 2 |
Mi-Young Han | KR | Paju-Si | 2016-04-28 / 20160118611 - WHITE ORGANIC LIGHT EMITTING DEVICE | 5 |
Mi-Young Han | KR | Gyeonggi-Do | 2014-03-13 / 20140070196 - ORGANIC LIGHT EMITTING DISPLAY DEVICE | 2 |
Jung-Eun Han | KR | Eunpyeong-Gu | 2011-06-09 / 20110135136 - CUSTOMIZED EARPHONE | 1 |
Ho-Sung Han | KR | Suwon-Si | 2013-07-04 / 20130169986 - IMAGE FORMING APPARATUS AND METHOD OF DISPLAYING OPTION SCREEN THEREOF | 2 |
Hui Han | US | San Jose | 2011-06-09 / 20110137908 - ASSIGNING INTO ONE SET OF CATEGORIES INFORMATION THAT HAS BEEN ASSIGNED TO OTHER SETS OF CATEGORIES | 1 |
Xinhai Han | US | Sunnyvale | 2013-06-27 / 20130161629 - ZERO SHRINKAGE SMOOTH INTERFACE OXY-NITRIDE AND OXY-AMORPHOUS-SILICON STACKS FOR 3D MEMORY VERTICAL GATE APPLICATION | 3 |
Hou-You Han | CN | Guang Shui City | 2013-08-08 / 20130203275 - LIGHT STRING SYSTEM | 3 |
Min-Woo Han | KR | Incheon-Si | 2014-03-20 / 20140082496 - APPARATUS AND METHOD FOR CONTROLLING VOLUME | 1 |
Young-Tak Han | KR | Daejeon | 2016-04-28 / 20160116694 - OPTICAL MODULES | 35 |
Sung-Su Han | KR | Gyeongju-Si | 2011-06-16 / 20110141040 - TOUCH PANEL AND LIQUID CRYSTAL DISPLAY DEVICE INCLUDING THE SAME | 1 |
Kiwon Han | KR | Paju-Shi | 2009-02-05 / 20090035830 - Method for Preparing Optically Active Amines | 1 |
Kiwon Han | KR | Kyoungsan-City | 2011-06-16 / 20110141768 - HIGH BRIGHTNESS LIGHT PANEL | 1 |
Xiaomei Han | CA | Kanata | 2011-06-16 / 20110141911 - CONNECTIVITY FAULT MANAGEMENT TIMEOUT PERIOD CONTROL | 1 |
Sung-Wook Han | US | 2013-07-25 / 20130188539 - BLOCKING COMMUNICATION BETWEEN ROGUE DEVICES | 2 | |
Dong-Hyuk Han | KR | Seoul | 2011-06-16 / 20110142159 - METHOD AND APPARATUS FOR HYBRID VIRTUAL MIMO TRANSMISSION IN WIRELESS AD-HOC NETWORK | 1 |
Sang-Jin Han | KR | Gyeonggi-Do | 2013-04-04 / 20130086168 - SYSTEM AND METHOD FOR SHARING DLNA NETWORK INFORMATION | 1 |
Meng-Ju Han | TW | Sanxia Township | 2011-06-23 / 20110150301 - Face Identification Method and System Using Thereof | 2 |
Sang-Jin Han | KR | Suwon-Si | 2013-12-19 / 20130335450 - APPARATUS AND METHOD FOR CHANGING IMAGES IN ELECTRONIC DEVICE | 1 |
Joon-Soo Han | KR | Siheung-Si | 2014-03-20 / 20140077725 - ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME | 1 |
Lu Han | US | Piscataway | 2011-10-20 / 20110258303 - SYSTEM AND METHOD FOR PERSONAL DEVICE SHARING USING SOCIAL NETWORKS | 2 |
Gang Han | US | Fremont | 2015-05-21 / 20150142600 - PRESENTING AN IMAGE OF ASSEMBLED COMPONENTS | 3 |
Sanchu Han | US | Allen | 2012-11-01 / 20120275311 - Automatic Network Topology Detection and Modeling | 2 |
Seung Wan Han | KR | Gwangju | 2015-08-06 / 20150221097 - HARMLESS FRAME FILTER, HARMFUL IMAGE BLOCKING APPARATUS HAVING THE SAME, AND METHOD FOR FILTERING HARMLESS FRAMES | 15 |
Kun-Wook Han | KR | Seongnam-Si | 2014-09-25 / 20140287542 - IR SENSING TRANSISTOR AND MANUFACTURING METHOD OF DISPLAY DEVICE INCLUDING THE SAME | 16 |
Jeong-Soo Han | KR | Seongnam-Si | 2011-06-23 / 20110154246 - Image forming apparatus with touchscreen and method of editing input letter thereof | 1 |
Young Tae Han | KR | Gyeonggi-Do | 2011-06-23 / 20110153828 - LOAD BALANCING APPARATUS AND METHOD FOR REGULATING LOAD USING THE SAME | 1 |
Seung-Min Han | KR | Gyeonggi-Do | 2011-06-23 / 20110153812 - APPARATUS AND METHOD FOR DISTRIBUTING CLOUD COMPUTING RESOURCES USING MOBILE DEVICES | 1 |
Kyoung-Bo Han | KR | Gyeonggi-Do | 2011-06-23 / 20110147779 - LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME | 1 |
Sang-Woo Han | KR | Gunpo-Si | 2011-06-23 / 20110154250 - METHOD FOR SEARCHING CONTENT | 1 |
Sang Hun Han | KR | Gunpo-Si | 2011-06-23 / 20110149213 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Youn-Hee Han | KR | Daejeon | 2011-06-23 / 20110149909 - METHOD OF BINDING NETWORK-BASED FLOW THROUGH NOTIFICATION OF TERMINAL | 1 |
Kyeongeun Han | KR | Daejeon | 2011-10-27 / 20110261682 - APPARATUS AND METHOD FOR TRANSMITTING AND RECEIVING DYNAMIC LANE INFORMATION IN MULTI-LANE BASED ETHERNET | 3 |
Jin-Soo Han | KR | Daejeon | 2015-07-23 / 20150207459 - APPARATUS AND METHOD FOR COLLECTING STATE INFORMATION OF SOLAR MODULE | 6 |
Jae Hee Han | KR | Daejeon | 2011-06-23 / 20110151777 - DUAL MODE SATELLITE VERY SMALL APERTURE TERMINAL APPARATUS AND CONTROLLING METHOD THEREOF | 3 |
Xu Han | US | San Jose | 2016-03-03 / 20160064812 - Electronic Device Antenna With Interference Mitigation Circuitry | 2 |
Tae Hoon Han | KR | Seoul | 2014-03-27 / 20140085913 - DISPLAY ROOM MIRROR | 1 |
Xiaojiang Han | CN | Guangdong | 2011-06-23 / 20110151828 - METHOD AND SYSTEM FOR TRANSMITTING THE NOTIFICATION MESSAGE IN EARTHQUAKE AND TSUNAMI WARNING SYSTEM | 1 |
Bonghee Han | KR | Gyeonggi-Do | 2014-03-27 / 20140089832 - APPARATUS AND METHOD FOR SWITCHING SPLIT VIEW IN PORTABLE TERMINAL | 2 |
Bonghee Han | KR | Suwon-Si | 2014-03-27 / 20140089831 - APPARATUS AND METHOD FOR CONTROLLING SPLIT VIEW IN PORTABLE DEVICE | 1 |
Seon Hee Han | KR | Yuseong-Gu | 2011-06-23 / 20110152461 - RESIN HAVING SUPERIOR IMPACT STRENGTH AND COLOR, AND METHOD FOR PREPARING THE SAME | 1 |
Chung-Suk Han | KR | Ansan-Si | 2014-06-26 / 20140181335 - METHOD AND SYSTEM FOR COMMUNICATION BETWEEN DEVICES | 3 |
Frank Han | US | Menlo Park | 2012-03-29 / 20120078745 - METHODS AND APPARATUS FOR APPLYING BIDS USED IN A PRIMARY AUCTION TO A SECONDARY AUCTION | 2 |
Grace Han | US | Sunnyvale | 2011-06-23 / 20110153388 - SYSTEM AND METHOD FOR COLLECTING END USER FEEDBACK FOR STATIONERY DESIGNS | 1 |
Ryan S. Han | US | Saratoga | 2014-08-21 / 20140236210 - TISSUE EXPANDERS, IMPLANTS, AND METHODS OF USE | 5 |
Myung Jin Han | KR | Busan | 2014-03-27 / 20140085037 - MULTILAYERED POWER INDUCTOR AND METHOD FOR PREPARING THE SAME | 1 |
Moo Young Han | KR | Seoul | 2014-03-27 / 20140083845 - APPARATUS FOR GENERATING FINE BUBBLES HAVING A POSITIVE CHARGE AND WATER TREATMENT APPARATUS USING SAME | 1 |
Man-Jin Han | KR | Seoul | 2010-09-16 / 20100235402 - Method for Grasping Information of Web Site Through Analyzing Structure of Web Page | 2 |
Liang-Kai Han | TW | Taipei City | 2012-07-19 / 20120181612 - LOW TCR HIGH RESISTANCE RESISTOR | 2 |
Jeong-Man Han | KR | Gumi-Si | 2011-06-30 / 20110157106 - APPARATUS AND METHOD FOR CONTROLLING DUAL DISPLAY DEVICE USING RGB INTERFACE | 1 |
Sangsoo Han | KR | Chilgok-Gun | 2011-06-30 / 20110157132 - DISPLAY DEVICE AND METHOD FOR CONTROLLING GATE PULSE | 1 |
Xu Han | CN | Wuhan | 2013-09-05 / 20130230442 - METHOD AND APPARATUS FOR COLLECTING CARBON DIOXIDE FROM FLUE GAS | 1 |
Shih-Jui Han | TW | Houli Township | 2014-03-06 / 20140067078 - METHOD FOR BONE REPAIR | 2 |
Cheng-Hua Han | TW | Taichung | 2011-06-30 / 20110159777 - Doll with flexible arms and legs | 1 |
Yang Han | US | New York | 2011-06-30 / 20110160081 - FUNCTIONAL COMPLEMENTATION ASSAY FOR DEFINED GPCR OLIGOMERS | 1 |
Feng Han | CN | Shanghai | 2016-05-05 / 20160126307 - SEMICONDUCTOR DEVICE HAVING SUPER JUNCTION STRUCTURE, METHOD FOR MANUFACTURING THE SAME AND METHOD FOR MANUFACTURING SUPER JUNCTION STRUCTURE | 8 |
Sang Bae Han | KR | Seoul | 2015-10-15 / 20150293083 - METHOD FOR DETECTING FOOD POISONING BACTERIA USING MAGNETIC NANOPARTICLES AND SOLUTION HAVING HIGH VISCOSITY | 2 |
Tae-Man Han | KR | Daejeon-Si | 2014-11-13 / 20140337680 - CAN COMMUNICATION APPARATUS AND METHOD THEREOF | 3 |
Woo-Yong Han | KR | Daejeon-Si | 2014-04-03 / 20140092735 - APPARATUS AND METHOD FOR CONTROLLING CONGESTION IN VEHICULAR COMMUNICATION | 1 |
Fei Han | US | Clifton | 2011-07-07 / 20110162370 - FUEL SYSTEM ACOUSTIC FEATURE TO MITIGATE COMBUSTION DYNAMICS FOR MULTI-NOZZLE DRY LOW Nox COMBUSTION SYSTEM AND METHOD | 1 |
Youn Hee Han | KR | Daejeon-Si | 2013-04-25 / 20130100930 - METHOD AND APPARATUS FOR SUPPORTING NETWORK-BASED FLOW MOBILITY | 1 |
Jaehoon Han | KR | Yongin-Si | 2013-08-22 / 20130215827 - VOIP PROCESSING METHOD AND APPARATUS OF MOBILE TERMINAL IN MOBILE COMMUNICATION SYSTEM | 1 |
Byung Wook Han | KR | Pohang-Si | 2013-06-20 / 20130155831 - METHOD AND APPARATUS FOR DETECTING RADIO SIGNAL | 1 |
Moonsuk Han | KR | Daejeon | 2011-07-07 / 20110163044 - CERAMIC STRUCTURE FOR WATER TREATMENT, WATER TREATMENT APPARATUS AND METHOD | 1 |
Hyun-Joo Han | KR | Gyeonggi-Do | 2016-04-28 / 20160120039 - METHOD OF MANUFACTURING PRINTED-CIRCUIT BOARD ASSEMBLY | 1 |
Jaehoon Han | JP | Bunkyo-Ku | 2014-02-27 / 20140054726 - METHOD OF PRODUCING SEMICONDUCTOR WAFER, SEMICONDUCTOR WAFER, METHOD OF PRODUCING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 1 |
Cheonsoo Han | JP | Yamanashi | 2014-03-06 / 20140060572 - PLASMA PROCESSING APPARATUS AND CLEANING METHOD FOR REMOVING METAL OXIDE FILM | 1 |
Cheonsoo Han | JP | Nirasaki | 2014-04-03 / 20140090597 - PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS | 1 |
Changho Han | JP | Tokyo | 2013-06-06 / 20130143616 - RADIO COMMUNICATION SYSTEM, RADIO COMMUNICATION METHOD, AND BASE STATION | 1 |
Kyung-Hoon Han | KR | Seoul | 2014-04-10 / 20140101524 - PORTABLE DEVICE AND IMAGE DISPLAYING METHOD THEREOF | 1 |
Xue Han | US | Chestnut Hill | 2015-07-09 / 20150192567 - RED-SHIFTED OPSIN MOLECULES AND USES THEREOF | 4 |
Tao Han | CN | Guangdong | 2011-07-07 / 20110166429 - Integrated Colligation Evaluating Device for Human Body Enginery Indexes | 1 |
Yun-Cheol Han | KR | Yongin-Si | 2015-08-13 / 20150223758 - ELECTROCARDIOGRAM SENSOR AND METHOD OF PROCESSING SIGNALS USING THE SAME | 3 |
Ruihua Han | US | Troy | 2014-03-20 / 20140076269 - ACOUSTIC AND THERMAL COVER ASSEMBLY | 1 |
Shuangbing Han | US | Midland | 2015-11-26 / 20150337190 - Metal Containing Condensation Reaction Catalysts, Methods for Preparing the Catalysts, and Compositions Containing the Catalysts | 2 |
Jinsoo Han | KR | Suwon-Si | 2014-04-10 / 20140099935 - METHOD AND SYSTEM FOR UPDATE OF NETWORK-RELATED INFORMATION, AND ELECTRONIC DEVICE SUPPORTING THE SAME | 1 |
Song Han | US | Foster City | 2016-03-03 / 20160064725 - COMPOSITE STRUCTURES CONTAINING HIGH CAPACITY POROUS ACTIVE MATERIALS CONSTRAINED IN SHELLS | 21 |
Eun Hee Han | KR | Asan-Si | 2013-07-04 / 20130171565 - ORGANIC ANTI REFLECTIVE LAYER COMPOSITION | 1 |
Ki Suk Han | KR | Gyeonggi-Do | 2011-07-14 / 20110167592 - SLIDE HINGE APPARATUS | 1 |
Kyoungtai Han | KR | Asan-Si | 2014-04-10 / 20140098317 - ARRAY SUBSTRATE AND LIQUID CRYSTAL DISPLAY HAVING THE SAME | 1 |
Sang-Kyeong Han | KR | Asan-Si | 2013-12-26 / 20130342236 - TEST INTERFACE BOARDS AND TEST SYSTEMS | 1 |
Se Hyun Han | KR | Asan-Si | 2013-05-23 / 20130128280 - METHOD FOR MEASURING THREE-DIMENSION SHAPE OF TARGET OBJECT | 1 |
Yoonoh Han | KR | Asan-Si | 2013-10-03 / 20130260592 - SEMICONDUCTOR CHIP PACKAGE TEST SOCKETS | 1 |
Shoubin Han | JP | Nagoya-Shi | 2011-07-14 / 20110168121 - FIXING STRUCTURES FOR INTAKE MANIFOLDS | 1 |
Meng-Ju Han | TW | New Taipei City | 2013-06-06 / 20130142395 - DISTANCE MEASUREMENT APPARATUS AND METHOD | 1 |
Meng-Ju Han | TW | Hsinchu | 2014-04-10 / 20140098218 - MOVING CONTROL DEVICE AND AUTONOMOUS MOBILE PLATFORM WITH THE SAME | 1 |
Dong-Su Han | KR | Suwon-Si | 2011-07-14 / 20110168761 - APPARATUS FOR REPAIRING SEMICONDUCTOR MODULE | 1 |
Su Jung Han | KR | Seoul | 2014-04-10 / 20140097798 - BATTERY PROTECTION CIRCUIT MODULE DEVICE | 1 |
Dong Yeon Han | KR | Seoul | 2016-05-05 / 20160121742 - SYSTEM AND METHOD FOR CONTROLLING CHARGING BATTERY OF HYBRID VEHICLE | 3 |
Dongchul Han | KR | Cheonan-Si | 2011-07-14 / 20110171338 - APPARATUS AND METHOD FOR MOLDING COMPOUND | 1 |
Hanyoung Han | US | Fort Lee | 2011-07-14 / 20110172393 - NOVEL FORMULATIONS WHICH STABILIZE AND INHIBIT PRECIPITATION OF IMMUNOGENIC COMPOSITIONS | 1 |
Zhiqiang Han | US | Lexington | 2011-07-14 / 20110172409 - Method for nucleic acid isolation by solid phase reversible binding of nucleic acids | 1 |
Ki-Soo Han | KR | Seoul | 2011-07-14 / 20110172574 - SKIN STIMULATOR | 1 |
Sang Yun Han | KR | Daejeon | 2015-07-09 / 20150193453 - METHOD AND APPARATUS FOR STORING WAVEFORM DATA | 3 |
Michael Han | CA | Vancouver | 2014-09-18 / 20140280517 - System and Method for Capturing Interaction Data Relating to a Host Application | 2 |
Tae Ho Han | CA | Toronto | 2013-08-01 / 20130197979 - ONLINE CONTENT MANAGEMENT | 1 |
Xiaobing Han | CA | Winnipeg | 2015-08-06 / 20150218235 - ANTIMICROBIAL COMPOSITIONS COMPRISING A HYALURONIC ACID BINDING PEPTIDE AND A beta-LACTAM ANTIBIOTIC | 3 |
Quancheng Han | CN | Shenzhen | 2016-04-28 / 20160119534 - PHOTOGRAPHING METHOD AND TERMINAL | 1 |
Hye Kyung Han | KR | Daejon | 2014-04-17 / 20140107276 - ASA GRAFT COPOLYMER COMPOSITION | 1 |
Seung-Mok Han | KR | Busan | 2011-07-21 / 20110175784 - METHOD FOR INSTALLING RADIATOR ELEMENTS ARRANGED IN DIFFERENT PLANES AND ANTENNA THEREOF | 1 |
Jong-Woo Han | KR | Seoul | 2013-07-04 / 20130169427 - TIRE PRESSURE MONITORING SYSTEM | 8 |
Shao-You Han | CN | Shenzhen City | 2011-11-03 / 20110268394 - OPTICAL FIBER CONNECTOR WITH SHUTTER | 3 |
Ki-Woong Han | KR | Yongin-Si | 2011-07-28 / 20110183325 - METHOD AND APPARATUS FOR DISRUPTING CELLS AND PURIFYING NUCLEIC ACID USING A SINGLE CHIP | 2 |
Byung-Kwon Han | US | Santa Clara | 2011-07-21 / 20110177638 - SEMICONDUCTOR LIGHT EMITTING DEVICE WITH CURVATURE CONTROL LAYER | 1 |
Ji-Hwan Han | KR | Yongin-City | 2011-07-21 / 20110177640 - Method for manufacturing an organic light emitting diode display | 1 |
Yoon-Chi Han | US | New York | 2011-07-21 / 20110178159 - INHIBITORY RNAS THAT REGULATE HEMATOPOIETIC CELLS | 1 |
Zhu Han | CN | Shanghai | 2011-12-29 / 20110320709 - REALIZING A STORAGE SYSTEM | 2 |
Sang Hoon Han | KR | Seoul | 2016-05-19 / 20160136898 - APPARATUS AND METHOD FOR CONTROL OF THREE DIMENSIONAL PRINTING | 21 |
Woo Sup Han | KR | Yongin | 2013-08-15 / 20130208868 - X-RAY DEVICE AND METHOD FOR CONTROLLING THE SAME | 1 |
Mi-Ja Han | KR | Jeonju-Si | 2012-09-20 / 20120234591 - ELECTROMAGNETIC BANDGAP STRUCTURE AND PRINTED CIRCUIT BOARD | 12 |
Wanwen Han | US | Jersey City | 2014-04-17 / 20140108557 - SYSTEM PROVIDING AN INTERACTIVE CONFERENCE | 8 |
Kyu-Jin Han | KR | Yongin-Si | 2011-07-28 / 20110180916 - MULTI-CHIP PACKAGE HAVING FRAME INTERPOSER | 1 |
Hui Han | CA | Frederiction | 2011-07-28 / 20110181284 - MAGNETIC FIELD GRADIENT MONITOR APPARATUS AND METHOD | 1 |
Bin Han | CN | Beijing | 2015-09-24 / 20150266763 - VACUUM MELTING FURNACE FOR INFRARED GLASS AND MELTING SYSTEM AND METHOD THEREOF | 4 |
Mun Seok Han | KR | Suwon-Si | 2012-08-02 / 20120197221 - TRANSEPIDERMAL DRUG DELIVERY SYSTEM CONTAINING RIVASTIGMINE | 2 |
Wen-Hsiang Han | TW | New Taipei | 2014-04-17 / 20140102754 - STRUCTURE OF A NETWORKING CABLE | 1 |
Yiding Han | US | Logan | 2011-07-28 / 20110185328 - System and Method for Circuit Design Floorplanning | 1 |
Zhenyu Han | CN | Panzhihua | 2016-03-03 / 20160060736 - PEARLITIC STEEL RAIL WITH HIGH STRENGTH AND TOUGHNESS AND PRODUCING METHOD THEREOF | 6 |
Andrew Han | US | Cambridge | 2016-02-25 / 20160054307 - LED ASSAY READER WITH TOUCHSCREEN CONTROL AND BARCODE SAMPLE ID | 4 |
Keping Han | US | Beverly | 2014-04-17 / 20140103010 - PLASMA MEDIATED ASHING PROCESSES THAT INCLUDE FORMATION OF A PROTECTIVE LAYER BEFORE AND/OR DURING THE PLASMA MEDIATED ASHING PROCESS | 1 |
Ggoch Ddeul Han | US | Cambridge | 2014-04-17 / 20140102539 - FUNCTIONALIZED NANOSTRUCTURES AND RELATED DEVICES | 1 |
Kyunghoon Han | KR | Seoul | 2014-04-17 / 20140104210 - APPARATUS AND METHOD FOR DISPLAYING INFORMATION IN A PORTABLE TERMINAL DEVICE | 6 |
Jae Hwan Han | KR | Suwon-Si | 2016-02-11 / 20160042857 - CHIP ELECTRONIC COMPONENT AND BOARD HAVING THE SAME | 3 |
Tsung-Hsun Han | TW | Kaohsiung | 2014-08-28 / 20140239091 - Gas Injector and Cover Plate Assembly for Semiconductor Equipment | 8 |
Jeff Han | TW | Zhongli City | 2011-08-04 / 20110188247 - WATER-PROOF AND DUST-PROOF MEMBRANE ASSEMBLY AND APPLICATIONS THEREOF | 1 |
Sang-Min Han | KR | Gyeongsangnam-Do | 2011-08-04 / 20110186580 - REINFORCING MEMBER FOR CORRUGATED MEMBRANE OF LNG CARGO TANK, MEMBRANE ASSEMBLY HAVING THE REINFORCING MEMBER AND METHOD FOR CONSTRUCTING THE SAME | 1 |
Chung Chyung Han | US | San Jose | 2015-06-04 / 20150155202 - POWER/GROUND LAYOUT FOR CHIPS | 6 |
Sungwoo Han | KR | Seoul | 2013-08-15 / 20130210488 - IMAGE DISPLAY DEVICE AND METHOD OF CONTROLLING THE SAME | 2 |
Shao-Fei Han | CN | Shenzhen City | 2011-08-04 / 20110187858 - ELECTRONIC DEVICE AND METHOD FOR SURVEILLANCE CONTROL THEREOF | 1 |
Suh Joon Han | US | Bell Mead | 2011-08-04 / 20110188202 - Algae Oil Based Dielectric Fluid for Electrical Components | 1 |
Yu Han | SG | Nanos | 2011-08-04 / 20110189071 - MESOPOROUS NANOSTRUCTURES | 1 |
Pei Han | US | Mountain View | 2013-05-02 / 20130109738 - Control of Cardiac Growth, Differentiation and Hypertrophy | 1 |
Sang Jo Han | KR | Daejeon | 2011-08-04 / 20110190163 - Genome-Wide Construction of Schizosaccharomyces Pombe Heterozygous Deletion Mutants Containing Gene-Specific Barcodes by the Methods of 4-Round Serial or Block PCR, or Total Gene Synthesis Thereof | 1 |
Eui Seok Han | KR | Seoul | 2012-04-19 / 20120092363 - APPARATUS EQUIPPED WITH FLEXIBLE DISPLAY AND DISPLAYING METHOD THEREOF | 2 |
Youngbae Han | KR | Gyeongsangbuk-Do | 2014-04-24 / 20140111742 - WIRE MESH TYPE DIFFUSER PLATE AND METHOD OF FABRICATING THE SAME, AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE WIRE MESH TYPE DIFFUSER PLATE | 1 |
Jong Young Han | KR | Gyeonggi-Do | 2011-09-08 / 20110216691 - DATA TRANSMISSION METHOD ACCORDING TO RADIO RESOURCE ALLOCATION IN MULTI-HOP RELAY SYSTEM | 10 |
Youngbae Han | KR | Gumi-Si | 2014-04-24 / 20140111741 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Seon-Ho Han | KR | Daejeon | 2014-02-13 / 20140044221 - DIGITAL RF RECEIVER | 15 |
Shi Han | CN | Beijing | 2012-11-01 / 20120278659 - Analyzing Program Execution | 15 |
Sang-Hyun Han | KR | Yongin-Si | 2014-01-30 / 20140027721 - CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 4 |
Gi Youl Han | KR | Chungcheongnam-Do | 2014-05-22 / 20140138549 - X-RAY DETECTING DEVICE | 3 |
Jinwoo Han | KR | Chungcheongnam-Do | 2013-11-14 / 20130299792 - Porous Glass Substrate For Displays And Method Of Manufacturing The Same | 1 |
Jin Woo Han | KR | Chungcheongnam-Do | 2014-02-20 / 20140048783 - SPUTTERING TARGET AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING BLACK MATRIX DEPOSITED THEREBY | 3 |
Songyi Han | KR | Chungcheongnam-Do | 2014-02-27 / 20140055439 - BACKLIGHT UNIT AND A DISPLAY APPARATUS HAVING THE SAME | 1 |
Chih-Kang Han | TW | Hsinchu City | 2014-03-13 / 20140070409 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR ASSEMBLY WITH LEAD-FREE SOLDER | 2 |
Donghai Han | CN | Beijing | 2016-03-31 / 20160094328 - METHODS AND SYSTEMS FOR CONTROLLER-BASED DATACENTER NETWORK SHARING | 5 |
Jin Han | KR | Goyang-Si | 2014-09-18 / 20140260429 - ANNEALING APPARATUS AND METHOD FOR FLOAT GLASS | 12 |
Feng Han | CN | Beijing | 2013-08-15 / 20130207897 - Terminal Device | 1 |
Feng Han | US | Wilmington | 2014-02-06 / 20140041077 - Genetic Loci Associated with Fusarium Solani Tolerance in Soybean | 1 |
Feng Han | US | Hockessin | 2016-02-04 / 20160032409 - GENETIC LOCI ASSOCIATED WITH FROGEYE LEAF SPOT RESISTANCE AND BROWN STEM ROT RESISTANCE AND METHODS OF USE | 4 |
Young-Ho Han | KR | Yongin-Si | 2014-05-01 / 20140123195 - CONTROL VIDEO CONTENT PLAY SPEED | 3 |
Jongyoon Han | US | Bedford | 2016-04-28 / 20160115045 - PURIFICATION OF ULTRA-HIGH SALINE AND CONTAMINATED WATER BY MULTI-STAGE ION CONCENTRATION POLARIZATION (ICP) DESALINATION | 20 |
Jinman Han | KR | Seongnam-Si | 2016-04-28 / 20160117110 - MEMORY SYSTEMS INCLUDING AN INPUT/OUTPUT BUFFER CIRCUIT | 30 |
Jie Han | CN | Wuxi | 2015-07-02 / 20150185177 - METHOD AND DEVICE FOR MEASURING CONCENTRATION OF SUBSTANCE IN FLUID | 2 |
Jae Cheon Han | KR | Seoul | 2014-01-09 / 20140009930 - LIGHT EMITTING APPARATUS | 2 |
Kai-Shu Han | TW | Baoshan Township | 2011-08-18 / 20110199125 - VOLTAGE COMPARATOR, LIQUID CRYSTAL DISPLAY DRIVER HAVING THE SAME AND TRANSITION ACCELERATION METHOD THEREOF | 1 |
Youngsun Han | KR | Suwon-Si | 2011-09-15 / 20110225421 - METHOD OF OBTAINING CONTENT FOR MOBILE TERMINAL, MOBILE TERMINAL USING THE METHOD, AND NEAR FIELD COMMUNICATION SYSTEM HAVING THE MOBILE TERMINAL | 3 |
Hong Gyu Han | KR | Suwon-Si | 2014-12-25 / 20140376880 - IMAGE DISPLAY SYSTEM AND DISPLAY METHOD THEREOF | 3 |
Donghoon Han | KR | Seoul | 2015-12-03 / 20150350643 - METHOD AND APPARATUS FOR GENERATING A REFERENCE FRAME AND METHOD AND APPARATUS FOR ENCODING/DECODING IMAGE USING THE SAME | 4 |
Jung-Su Han | KR | Yongin-Si | 2015-12-31 / 20150382351 - METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING DATA OVER CARRIER COMPONENT IN A MULTI-CARRIER MOBILE COMMUNICATION SYSTEM | 10 |
Kyunghee Han | KR | Gyeonggi-Do | 2014-05-08 / 20140127583 - LITHIUM SECONDARY BATTERY OF HIGH ENERGY WITH IMPROVED ENERGY PROPERTY | 6 |
Chang Joo Han | KR | Daejeon | 2014-05-08 / 20140127583 - LITHIUM SECONDARY BATTERY OF HIGH ENERGY WITH IMPROVED ENERGY PROPERTY | 7 |
Jang-Min Han | KR | Daejeon | 2011-08-18 / 20110200760 - METHOD FOR MANUFACTURING THE COLOR CONTROLLED SAPPIRE | 1 |
Mu Ri Han | US | Los Angeles | 2013-12-26 / 20130344564 - Vault Complexes for Facilitating Biomolecule Delivery | 2 |
Lifeng Han | CN | Shenzhen | 2016-02-18 / 20160050611 - Data transmission method and system | 16 |
Suk Gyu Han | KR | Daejeon-City | 2011-08-18 / 20110202163 - PLANT PROTECTION SYSTEM AND METHOD USING FIELD PROGRAMMABLE GATE ARRAY | 1 |
Sang Youn Han | KR | Seoul | 2015-08-27 / 20150243827 - DISPLAY SUBSTRATE, METHOD OF MANUFACTURING THE SAME AND TOUCH DISPLAY APPARATUS HAVING THE SAME | 29 |
Feng Han | US | Johnston | 2012-12-20 / 20120324598 - GENETIC LOCI ASSOCIATED WITH FUSARIUM SOLANI TOLERANCE IN SOYBEAN | 11 |
Young-Joo Han | KR | Daejeon | 2013-09-19 / 20130247223 - APPARATUS AND METHOD FOR ENSURING PRIVACY IN CONTENTS SHARING SYSTEM | 3 |
Moon Hee Han | KR | Daejeon | 2015-06-25 / 20150175415 - REGENERATION METHOD OF RAW MATERIALS FOR HYDROGEN SUPPLY SYSTEM OF FUEL CELL | 2 |
Cheol Kyu Han | KR | Incheon | 2014-03-27 / 20140084869 - METHOD AND APPARATUS FOR CHARGING AND DISCHARGING BATTERY | 2 |
Dae Sung Han | KR | Incheon | 2014-03-20 / 20140076275 - COMBUSTION CHAMBER OF DIRECT INJECTION DIESEL ENGINE FOR REDUCING THE NOx | 2 |
Jong Won Han | KR | Incheon | 2015-12-10 / 20150355573 - ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS, TONER CARTRIDGE FOR THE SAME, IMAGING CARTRIDGE FOR THE SAME, AND METHOD OF CONTROLLING TONER LEVEL IN DEVELOPING CHAMBER OF THE SAME | 3 |
Kyu-Hee Han | KR | Incheon | 2013-08-01 / 20130193552 - INTEGRATED CIRCUIT DEVICES WITH CRACK-RESISTANT FUSE STRUCTURES | 1 |
Ji Hoon Han | KR | Seoul | 2013-11-21 / 20130311366 - Medium Sensing Apparatus, Medium Handling Apparatus and Financial Device | 2 |
Jeong Han | KR | Seoul | 2011-08-25 / 20110203922 - THIN-FILM FORMING SPUTTERING SYSTEM | 1 |
Sang Kyoo Han | KR | Seoul | 2015-04-23 / 20150109832 - POWER SUPPLY DEVICE | 6 |
Kwang Su Han | KR | Gyeonggi-Do | 2011-08-25 / 20110204830 - VIBRATION MOTOR DRIVING APPARATUS USING A SERIAL INTERFACE | 1 |
Joungmin Han | KR | Gyeonggi-Do | 2011-08-25 / 20110206762 - ORAL PHARMACEUTICAL FORMULATION OF PELUBIPROFEN WITH IMPROVED DISSOLUTION RATE AND STABILITY | 1 |
Dong-Ok Han | KR | Suwon-Si | 2011-08-25 / 20110204888 - HALL INTEGRATED CIRCUIT WITH ADJUSTABLE HYSTERESIS | 1 |
Jonghyun Han | KR | Paju-Si | 2016-04-28 / 20160117031 - TOUCH PANEL AND TOUCH PANEL-INTEGRATED ORGANIC LIGHT EMITTING DISPLAY DEVICE | 5 |
Young-Ran Han | KR | Seoul | 2014-12-18 / 20140368751 - LIQUID CRYSTAL PANEL ASSEMBLY AND LIQUID CRYSTAL DISPLAY DEVICE INCLUDING THE SAME | 6 |
Min Joo Han | KR | Seoul | 2016-05-19 / 20160139467 - LIQUID CRYSTAL DISPLAY | 2 |
Hoon Hee Han | KR | Suwon | 2014-09-18 / 20140265687 - SPINDLE MOTOR | 3 |
Jeong Su Han | KR | Suwon | 2013-10-24 / 20130278921 - TURBIDITY SENSOR AND CONTROL METHOD THEREOF | 1 |
Jong Woo Han | KR | Suwon | 2015-09-03 / 20150249891 - MICROPHONE PACKAGE | 2 |
Joon Hyuk Han | KR | Suwon | 2013-06-27 / 20130162892 - COG PACKAGE AND CAMERA MODULE HAVING THE SAME | 1 |
Kyu Sun Han | KR | Suwon | 2013-04-18 / 20130096717 - ROBOT CLEANER AND METHOD FOR CONTROLLING THE SAME | 1 |
Moon Kyu Han | KR | Suwon | 2013-10-24 / 20130278105 - ROTOR ASSEMBLY | 1 |
Se Jun Han | KR | Suwon | 2015-11-26 / 20150340549 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS | 2 |
Won Chull Han | KR | Yongin-Si | 2015-07-09 / 20150194823 - BATTERY CHARGING DEVICE AND BATTERY CHARGING METHOD | 5 |
Sung Han | KR | Suwon | 2015-07-30 / 20150212538 - TOUCH PANEL AND TOUCH SENSING DEVICE | 7 |
Su Yeon Han | KR | Suwon | 2015-04-16 / 20150102758 - MOTOR DRIVE CONTROLLER, MOTOR DRIVE CONTROL METHOD AND MOTOR SYSTEM USING THE SAME | 2 |
Won Han | KR | Suwon | 2015-03-05 / 20150061467 - PIEZOELECTRIC PIECE FOR PIEZOELECTRIC VIBRATOR AND MANUFACTURING METHOD THEREOF | 2 |
Yong Gyu Han | KR | Yuseong-Gu | 2011-08-25 / 20110207909 - Catalytic System of Nitrate Anions for CO2/ Epoxide Copolymerization | 1 |
Wook Jin Han | KR | Daejeon | 2013-11-07 / 20130296629 - METHOD OF TREATING RADIOACTIVE METAL WASTE USING MELT DECONTAMINATION | 2 |
Xiaomei Han | CN | Guangzhou | 2011-08-25 / 20110208494 - METHOD AND SYSTEM FOR SIMULATING A HANDLE'S MOTION | 1 |
Leng Han | CN | Tianjin | 2011-08-25 / 20110208848 - NETWORK SYSTEM OF WEB SERVICES BASED ON SEMANTICS AND RELATIONSHIPS | 1 |
Seojin Han | KR | Seongnam-Si | 2011-11-17 / 20110282751 - METHOD AND SYSTEM FOR ADVERTISING USING MINIMUM INCREMENT BID | 5 |
Xiaochun Han | US | San Mateo | 2013-10-24 / 20130281433 - THERAPEUTIC COMPOUNDS | 1 |
Jianping Han | HK | Kowloon | 2014-04-17 / 20140107312 - Semi-Crystalline Shape Memory Polymer and Production Method Thereof | 1 |
Dong-Won Han | KR | Yongin-City | 2015-07-02 / 20150188084 - FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 26 |
Hee Sik Han | KR | Gyeonggi-Do | 2011-09-01 / 20110212361 - CELL PACKAGING MATERIAL AND METHOD FOR PRODUCING THE SAME | 1 |
Hee Kwan Han | KR | Gyeonggi-Do | 2011-09-01 / 20110210622 - HALF-AUTOMATIC SWITCH | 1 |
Shuang Han | CN | Beijing | 2011-09-01 / 20110211118 - DISPLAY DEVICE AND DISPLAY METHOD THEREOF | 1 |
Ji Hye Han | KR | Seoul | 2014-02-27 / 20140057458 - METHOD FOR FORMING SILICON OXIDE FILM OF SEMICONDUCTOR DEVICE | 1 |
Minsu Han | KR | Suwon-Si | 2016-03-03 / 20160062450 - DISPLAYING METHOD IN LOW POWER MODE AND ELECTRONIC DEVICE SUPPORTING THE SAME | 2 |
Zhaoyang Han | CH | Epalinges | 2015-02-26 / 20150055030 - TOUCH SURFACE FOR AN ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Liang Han | CN | Shanghai Xuhui District | 2013-05-02 / 20130109793 - PROCESS FOR PREPARING POLYISOCYANATES WHICH ARE FLOCCULATION-STABLE IN SOLVENTS FROM (CYCLO)ALIPHATIC DIISOCYANATES | 1 |
Ji Hye Han | KR | Incheon | 2011-09-01 / 20110212611 - METHODS OF FORMING DUAL GATE OF SEMICONDUCTOR DEVICE | 2 |
Jeong-Yoon Han | KR | Seoul | 2014-01-02 / 20140002785 - PHOTO-CURABLE COMPOSITION, OPTICAL ANISTROPIC FILM AND ITS PREPARATION METHOD | 1 |
Sangjin Han | KR | Gyeonggi-Do | 2013-07-11 / 20130179836 - SEARCHING METHOD FOR A PLURALITY OF ITEMS AND TERMINAL SUPPORTING THE SAME | 1 |
Byron B. Han | US | Cupertino | 2015-10-15 / 20150294382 - Biometric Image in Online Commerce | 17 |
Hui Han | US | Durham | 2014-01-02 / 20140002084 - MAGNETIC RESONANCE IMAGING SYSTEMS FOR PARALLEL TRANSMIT, RECEIVE AND SHIM AND METHODS OF USE THEREOF | 1 |
Zhaozhong Han | US | Franklin | 2014-12-18 / 20140369929 - LIGANDS TO RADIATION-INDUCED MOLECULES | 3 |
Sang-Seop Han | KR | Anyang-Si | 2011-09-01 / 20110213331 - APPARATUS FOR CONTROLLING DRUG INFUSION AND METHOD USING THE SAME | 1 |
Myoung Soo Han | KR | Cheongju-Si | 2013-03-07 / 20130060256 - FLAT-TYPE INTEGRAL PRELOADED INJECTOR WITH INTRAOCULAR LENS FIXING DEVICE | 4 |
Amy Qi Han | US | Hockessin | 2015-08-20 / 20150231114 - 3-CYCLOALKYLAMINOPYRROLIDINE DERIVATIVES AS MODULATORS OF CHEMOKINE RECEPTORS | 13 |
Kwang-Hun Han | KR | Incheon-Si | 2008-10-23 / 20080259899 - Apparatus and method for uplink scheduling considering characteristic of power amplifier in mobile communication terminal | 1 |
Kwang-Hun Han | KR | Icheon-Si | 2011-09-08 / 20110218013 - APPARATUS AND METHOD FOR POWER CONTROL OF MOBILE BASE STATION OF VARIABLE BACKBONE CAPACITY | 2 |
Seung Ho Han | KR | Suwon-Si | 2015-09-10 / 20150255204 - CHOKE COIL | 2 |
Chunjiang Han | CN | Beijing | 2011-09-08 / 20110214845 - METHOD FOR IMPROVING COOLING CAPACITY OF A POWER STATION DIRECT AIR-COOLING SYSTEM AND THE COOLING SYSTEM THEREOF | 1 |
Hye-Rim Han | KR | Seongnam-Si | 2012-10-18 / 20120262435 - LIQUID CRYSTAL DISPLAY | 4 |
Kang-Soo Han | KR | Yongin-Si | 2016-04-28 / 20160116799 - METHOD OF FABRICATING POLARIZING MEMBER, AND METHOD OF FABRICATING LIQUID CRYSTAL DISPLAY INCLUDING POLARIZING MEMBER | 1 |
Young-Hoon Han | KR | Seoul | 2016-04-28 / 20160116473 - METHOD OF DIAGNOSING LARYNGEAL CANCER OR DIAGNOSING PROGNOSIS IN RADIORESISTANCE OF LARYNGEAL CANCER | 1 |
Yingmei Han | CN | Tianjin | 2011-09-08 / 20110218161 - CYCLOASTRAGENOL MONOGLUCOSIDE, PREPARATION, PHARMACEUTICAL COMPOSITION AND APPLICATION THEREOF | 1 |
Jong Hyun Han | KR | Seoul | 2014-09-18 / 20140267387 - AREA SELECTION PROCESSING APPARATUS AND METHOD FOR MEDIA EDITING AND COMPUTER READABLE RECORDING MEDIUM | 2 |
Jong Hyun Han | KR | Geumcheon-Gu | 2013-03-07 / 20130060386 - AIR CONDITIONING SYSTEM AND CONTROLLING METHOD THEREOF | 2 |
Kyu-In Han | KR | Suwon-Si | 2011-09-08 / 20110219269 - COMPUTER SYSTEM AND CONTROL METHOD THEREOF | 1 |
Chang Kyu Han | KR | Seoul | 2015-06-25 / 20150177336 - GEAR DETECTION SWITCH | 4 |
Sang Kyoo Han | KR | Daejeon | 2014-07-03 / 20140184093 - SINGLE STAGE FORWARD-FLYBACK CONVERTER AND POWER SUPPLY APPARATUS FOR LIGHT EMITTING DIODE | 11 |
Syuangfeng Han | KR | Suwon-Si | 2011-09-15 / 20110223949 - APPARATUS AND METHOD FOR MITIGATING INTER-CELL INTERFERENCE IN MULTIPLE ANTENNA SYSTEM | 1 |
Hee Kyung Han | KR | Suwon-Si | 2011-09-15 / 20110220025 - METAL ORGANIC CHEMICAL VAPOR DEPOSITION APPARATUS HAVING SATELLITE N-TYPE AND P-TYPE DOPING CHAMBERS | 1 |
Sang Tae Han | KR | Seoul | 2011-09-15 / 20110220558 - UPSTREAM OZONE CONTACT TANK FOR REMOVING RESIDUAL OZONE | 1 |
Xiao Han | CN | Beijing | 2016-01-28 / 20160027048 - AUDIENCE RECOMMENDATION | 3 |
Xiaolin Han | CN | Chengdu | 2011-09-15 / 20110223771 - Wet metal-etching method and apparatus used for MEMS | 1 |
Xiaojiang Han | CN | Shenzhen City | 2012-04-26 / 20120100859 - Method and Device for Switching Between Base Stations | 2 |
Chang-Soo Han | KR | Daejeon-Si | 2011-10-20 / 20110253032 - APPARATUS FOR MANUFACTURING QUANTUM DOT WITH A PLURALITY OF HEATING ZONES AND METHOD FOR MANUFACTURING QUANTUM DOT | 2 |
Shu-Jen Han | US | Cortlandt Manor | 2016-04-07 / 20160099332 - PARTIAL SACRIFICIAL DUMMY GATE WITH CMOS DEVICE WITH HIGH-K METAL GATE | 85 |
Gaohuai Han | CN | Shenzhen | 2014-02-20 / 20140052948 - METHOD AND DEVICE FOR IMPLEMENTING MEMORY MIGRATION | 1 |
Jingwei Han | CN | Shenzhen | 2015-07-09 / 20150193280 - METHOD AND DEVICE FOR MONITORING API FUNCTION SCHEDULING IN MOBILE TERMINAL | 3 |
Peiwen Han | CN | Shenzhen | 2014-04-17 / 20140104912 - WATER COOLING SUB-HIGH FREQUENCY TRANSFORMER AND COOLING DEVICE THEREOF | 1 |
Xuehua Han | CN | Shenzhen | 2014-01-02 / 20140001863 - METHOD AND SYSTEM FOR SUPPLYING EMERGENCY POWER TO NUCLEAR POWER PLANT | 1 |
Yu Han | CN | Shenzhen | 2015-01-15 / 20150014133 - SWITCH ASSEMBLY | 18 |
Zhiqiang Han | CN | Shenzhen | 2016-02-11 / 20160044693 - Service Data Transmission Processing Method and Device and Service Data Transmission Method and Device | 11 |
Zixin Han | CN | Shenzhen | 2015-08-06 / 20150222690 - Method And Apparatus For Sharing Information | 2 |
Kai Han | CN | Beijing | 2014-01-16 / 20140015063 - Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device | 11 |
Jong H. Han | US | New Albany | 2011-09-22 / 20110229636 - APPARATUS AND METHOD FOR CONTROLLING THE DEPOSITION OF GRANULES ON AN ASPHALT-COATED SHEET | 1 |
Min Su Han | KR | Seoul | 2015-12-10 / 20150355154 - SENSOR SYSTEM FOR DETECTING ORGANOPHOSPHORUS RESIDUES BY INDUCING COAGULATION OF GOLD NANOPARTICLES | 3 |
Cheng-Hua Han | TW | Taichung City | 2011-09-22 / 20110230117 - Operation unit for toy parking tower | 1 |
Tae-Hee Han | KR | Seoul | 2013-03-14 / 20130066263 - MICROJET DRUG DELIVERY SYSTEM AND MICROJET INJECTOR | 2 |
Allen Ming-Kuang Han | US | Snoqualmie | 2012-11-15 / 20120290257 - USING SPATIAL INFORMATION WITH DEVICE INTERACTION | 2 |
Kuk-Hyun Han | KR | Gyeonggi-Do | 2015-09-10 / 20150256540 - METHOD FOR PROVIDING USER INTERFACE FOR EACH USER, METHOD FOR PERFORMING SERVICE, AND DEVICE APPLYING THE SAME | 6 |
David Han | CN | Shanghai | 2014-05-01 / 20140117463 - GATE STRUCTURE AND MANUFACTURING METHOD THEREOF | 1 |
Dengfeng Han | CN | Shanghai | 2013-01-03 / 20130001304 - PAYMENT SYSTEM AND METHOD OF IC CARD AND A MULTI-APPLICATION IC CARD AS WELL AS A PAYMENT TERMINAL | 1 |
Jihai Han | CN | Shanghai | 2014-10-23 / 20140315552 - METHOD AND DEVICE FOR REDUCING HANDOVER SIGNALING | 2 |
Lizhan Han | CN | Shanghai | 2013-02-14 / 20130037181 - INTEGRATED PROCESSING METHOD FOR SHEET STEEL HOT STAMPING AND HEAT TREATMENT | 1 |
Yi Han | CN | Shanghai | 2015-05-14 / 20150133577 - VINYL ACETATE-ETHYLENE COPOLYMER EMULSION AND PAPER COATING COMPOSITION BASED ON THE SAME | 2 |
Yunxiao Han | CN | Shanghai | 2013-09-05 / 20130229625 - DIGITAL SLIT-LAMP MICROSCOPE SYSTEM AND METHOD OF ELECTRONIC RECORD AND REMOTE DIAGNOSIS | 1 |
Jungmin Han | KR | Gyeonggi-Do | 2011-01-06 / 20110004131 - CERVICAL MASSAGING DEVICE | 1 |
Tae Hee Han | KR | Daejeon | 2013-02-14 / 20130040124 - METHOD FOR PREPARING TRANSPARENT ANTISTATIC FILMS USING GRAPHENE AND TRANSPARENT ANTISTATIC FILMS PREPARED BY THE SAME | 3 |
Sangshin Han | KR | Suwon-Si | 2011-09-29 / 20110233629 - Integrated Circuit Devices Having High Density Logic Circuits Therein Powered Using Multiple Supply Voltages | 1 |
Duyeon Han | KR | Yongin-Si | 2011-09-29 / 20110234479 - Backlight Unit and Display Apparatus Having the Same | 1 |
Ho-Seok Han | KR | Yongin-City | 2011-11-17 / 20110279418 - DISPLAY DEVICE | 3 |
Shi Zhe Han | CN | Shanghai | 2011-09-29 / 20110239049 - Computer Data Protection Lock | 1 |
Chongyang Han | CN | Shanghai | 2012-01-12 / 20120008609 - METHOD AND COMMUNICATION DEVICE FOR FEEDING BACK AND RECEIVING PRE-CODING CONTROL INDICATION INFORMATION | 2 |
Hee-Sun Han | US | Cambridge | 2013-07-18 / 20130184444 - COMPACT NANOPARTICLES FOR BIOLOGICAL APPLICATIONS | 2 |
Byungwook Han | KR | Pohang-Si | 2013-12-19 / 20130336425 - APPARATUS AND METHOD FOR PERFORMING PROPERRIZING FREQUENCY SHIFT(P-FRESH) VECTORIZING | 2 |
Du Hee Han | KR | Incheon | 2011-09-29 / 20110237483 - CLEANING AGENT FOR HIGH VISCOSITY METALWORKING FLUID | 1 |
Joo-Hee Han | KR | Gyeonggi-Do | 2015-09-03 / 20150249095 - NONVOLATILE MEMORY DEVICE AND METHOD OF FABRICATING THE SAME | 4 |
Fengqin Han | CN | Shanghai | 2015-12-03 / 20150346082 - APPARATUS AND METHOD FOR DETECTING MACROMOLECULES IN BIOLOGICAL FLUID | 14 |
Zheng Han | CA | Toronto | 2011-09-29 / 20110239113 - SYSTEMS AND METHODS FOR REDACTING SENSITIVE DATA ENTRIES | 1 |
Sang-Il Han | KR | Yongin-Si | 2016-04-28 / 20160118686 - ELECTROLYTE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 37 |
Seung Ju Han | KR | Seoul | 2016-05-19 / 20160139718 - APPARATUS AND METHOD FOR USER INPUT | 38 |
Jungjae Han | KR | Hwaseong-Si | 2014-02-13 / 20140041643 - COOLER SYSTEM FOR VEHICLE | 1 |
Na Ra Han | KR | Changwon | 2011-10-06 / 20110243775 - SCROLL COMPRESSOR | 1 |
Jin-Kyu Han | US | Allen | 2016-03-10 / 20160073383 - METHODS AND APPARATUS FOR DOWNLINK CONTROL CHANNELS TRANSMISSIONS IN WIRELESS COMMUNICATIONS SYSTEMS | 13 |
Lin Han | US | San Jose | 2016-04-21 / 20160112247 - MRSVP-TE BASED FAST REROUTE IN DETOUR (1:1) PROTECTION MODE | 18 |
Taeyoung Han | US | Bloomfield Hills | 2015-07-16 / 20150197136 - SYSTEMS FOR IMPROVING CLIMATE COMFORT FOR REAR VEHICLE PASSENGERS | 20 |
Woo-Seung Han | KR | Kyoungki-Do | 2011-10-06 / 20110242920 - VOLTAGE SENSING CIRCUIT CAPABLE OF CONTROLLING A PUMP VOLTAGE STABLY GENERATED IN A LOW VOLTAGE ENVIRONMENT | 3 |
Ky-Hyun Han | KR | Kyoungki-Do | 2008-10-02 / 20080242098 - Method for forming pattern in semiconductor device | 2 |
Hi-Hyun Han | KR | Kyoungki-Do | 2009-05-07 / 20090116322 - Semiconductor memory device having wafer burn-in test mode | 3 |
Yunhui Han | KR | Suwon-Si | 2014-01-16 / 20140015507 - APPARATUS AND METHOD FOR SUPPLYING POWER IN MOBILE TERMINAL | 1 |
Beom Su Han | KR | Yuseong-Gu | 2011-10-06 / 20110240565 - APPARATUS AND METHOD FOR TREATING SHIP BALLAST WATER USING ELECTRON BEAMS | 1 |
Sangmin Han | KR | Pusan | 2011-10-06 / 20110240933 - Process for producing a component layer for organic light emitting diodes | 1 |
Seung-Uk Han | KR | Suwon-Si | 2014-05-01 / 20140117460 - SEMICONDUCTOR DEVICE | 8 |
Yeonghun Han | KR | Hwaseong-Si | 2011-10-06 / 20110244666 - Methods Of Manufacturing Stair-Type Structures And Methods Of Manufacturing Nonvolatile Memory Devices Using The Same | 1 |
Kyu-Hee Han | KR | Hwaseong-Si | 2015-06-04 / 20150155233 - SEMICONDUCTOR DEVICES HAVING THROUGH-ELECTRODES AND METHODS FOR FABRICATING THE SAME | 12 |
Haek-Seung Han | KR | Hwaseong-Si | 2011-10-06 / 20110244374 - Methods of Correcting Optical Parameters in Photomasks | 1 |
Charles Han | US | New York | 2011-10-06 / 20110243438 - GENERATION OF MULTI-RESOLUTION IMAGE PYRAMIDS | 2 |
Taehee Han | US | Farmington Hills | 2016-04-14 / 20160104899 - NON-CARBON MIXED-METAL OXIDE ELECTROCATALYSTS | 18 |
Sang Wook Han | KR | Busan | 2014-09-25 / 20140284492 - PHOTON COUNTING DETECTOR TO GENERATE HIGH-RESOLUTION IMAGES AND HIGH-CONTRAST IMAGES, AND PHOTON COUNTING AND DETECTING METHOD USING THE SAME | 13 |
Hsi-Rong Han | TW | Taichung City | 2014-10-30 / 20140320550 - LIGHT-EMITTING COMPONENT DRIVING CIRCUIT AND RELATED PIXEL CIRCUIT AND APPLICATIONS USING THE SAME | 10 |
Jae Hyuck Han | KR | Seoul | 2012-07-05 / 20120168982 - METHOD FOR MANUFACTURING ETHYLENE VINYL ACETATE COPOLYMER SHEET FOR SOLAR CELL ENCAPSULANT | 1 |
Yun-Sang Han | KR | Seoul | 2011-10-06 / 20110243435 - APPARATUS AND METHOD FOR COLOR DISTORTION CORRECTION OF IMAGE BY ESTIMATE OF CORRECTION MATRIX | 1 |
Seung Heon Han | KR | Gyunggi-Do | 2014-03-27 / 20140083758 - MAGNETIC BOARD AND METHOD FOR MANUFACTURING THE SAME | 9 |
May H. Han | US | Menlo Park | 2011-10-13 / 20110250206 - MARKERS FOR DETERMINATION OF PATIENT RESPONSIVENESS | 2 |
Guanglin Han | CN | Beijing | 2016-04-21 / 20160112900 - DATA TRANSMISSION METHOD AND APPARATUS, BASE STATION, AND USER EQUIPMENT | 25 |
Hak-Seung Han | KR | Yongin-Si | 2011-10-06 / 20110244376 - PHOTOMASK USING SEPARATED EXPOSURE TECHNIQUE, METHOD OF FABRICATING PHOTOMASK, AND APPARATUS FOR FABRICATING PHOTOMASK BY USING THE METHOD | 1 |
Dae Keun Han | KR | Daejeon | 2015-05-21 / 20150137781 - LOW DROPOUT CIRCUIT CAPABLE OF CONTROLLED STARTUP AND METHOD OF CONTROLLING SAME | 2 |
Jie Han | US | Bloomington | 2015-09-03 / 20150246958 - BIOREVERSABLE PROMOIETIES FOR NITROGEN-CONTAINING AND HYDROXYL-CONTAINING DRUGS | 3 |
Younggyu Han | KR | Daejeon | 2011-10-06 / 20110245424 - Precise Control of Molecular Weight and Chain Shape Control in Carbon Dioxide/Epoxide Alternating Copolymerization and Preparation of Low Molecular Weight Poly(alkylene Carbonate) Thereby | 1 |
Sang Min Han | KR | Daejeon | 2012-06-14 / 20120149845 - POLYPROPYLENE RESIN COMPOSITIONS HAVING HIGH MELT TENSION AND METHOD FOR PREPARING THE SAME | 2 |
Yaojun Han | CN | Huzhou | 2013-10-17 / 20130269822 - ELECTRONIC DOBBY-AND-JACQUARD-LOOM WEAVING MACHINE AND WEAVING METHOD | 1 |
Kwan-Young Han | KR | Yongin-City | 2015-12-03 / 20150346882 - TOUCH PANELS AND DISPLAY DEVICES HAVING TOUCH PANELS | 29 |
Chaoqun Han | CN | Wuhan | 2014-03-20 / 20140080026 - ENERGY STORAGE AND SUPPLY SYSTEM AND DIRECT FUEL CELL BASED ON ORGANIC LIQUID HYDROGEN STORAGE MATERIALS | 1 |
Qiang Han | IE | Ashtown | 2012-07-05 / 20120174063 - LOGICAL ADDRESS BASED OBJECT ORIENTED PROGRAMMING | 2 |
Young Ran Han | KR | Suwon-Si | 2016-05-12 / 20160133041 - APPARATUS AND METHOD FOR PROCESSING THREE DIMENSIONAL IMAGE ON MULTI-LAYER DISPLAY | 25 |
Wan Soo Han | KR | Kyunggi | 2011-10-13 / 20110249438 - LIGHT SOURCE MODULE AND LIGHTING DEVICE INCLUDING THE SAME | 1 |
Seung-Hun Han | KR | Yongin-Si | 2015-08-13 / 20150228972 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR RECHARGEABLE LITHIUM BATTERY, MANUFACTURING METHOD OF SAME, AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 15 |
Dae Seob Han | KR | Seoul | 2015-10-08 / 20150287876 - LIGHT-EMITTING DEVICE | 9 |
Gyu Wan Han | KR | Gyeongbuk | 2013-09-12 / 20130234973 - TOUCH SCREEN PANEL AND IMAGE DISPLAY DEVICE INCLUDING SAME | 1 |
Sungman Han | KR | Paju-Si | 2013-02-21 / 20130043802 - Organic Light Emitting Diode Display Device | 1 |
Sang-Dug Han | KR | Yongin-Si | 2011-10-13 / 20110250279 - CONTROLLED-RELEASE COMPOSITION FOR PRODUCING SUSTAINED-RELEASE PREPARATION CONTAINING UDENAFIL | 1 |
Jae-Byeong Han | KR | Yongin-Si | 2011-10-13 / 20110248870 - KEYPAD ASSEMBLY | 1 |
Seung-Uk Han | KR | Suwon-Si | 2014-05-01 / 20140117460 - SEMICONDUCTOR DEVICE | 8 |
Yuxing Han | US | Los Angeles | 2015-02-12 / 20150043339 - TCP CONGESTION CONTROL FOR LARGE LATENCY NETWORKS | 3 |
Kyu Sung Han | KR | Suwon-Si | 2011-10-27 / 20110261727 - TRANSMITTER APPARATUS OF MOBILE DEVICE AND OPERATION METHOD THEREOF | 2 |
Hak Yong Han | KR | Busan | 2012-02-09 / 20120033885 - APPARATUS FOR IMPROVING SHARPNESS OF IMAGE | 2 |
Jeongmin Han | KR | Jinhae | 2011-10-13 / 20110250082 - HERMETIC COMPRESSOR | 1 |
Jongheum Han | KR | Suwon-Si | 2014-03-27 / 20140086446 - METHOD AND APPARATUS FOR IMAGE DATA PROCESSING, AND ELECTRONIC DEVICE INCLUDING THE APPARATUS | 2 |
Yonggyu Han | KR | Daejeon | 2014-12-25 / 20140378651 - Flame-Retarding Carbon Dioxide/Epoxide Copolymer and Method for Preparing the Same | 6 |
Kyu Sun Han | KR | Suwon-Si | 2016-01-28 / 20160022108 - ROBOT CLEANER AND METHOD FOR CONTROLLING THE SAME | 3 |
Changsoo Han | KR | Seoul | 2011-10-13 / 20110251533 - WEARABLE ROBOTIC SYSTEM FOR REHABILITATION TRAINING OF THE UPPER LIMBS | 1 |
Seok Man Han | KR | Seongnam-City | 2011-06-16 / 20110143016 - TEMPERATURE CONTROL METHOD FOR CHEMICAL VAPOR DEPOSITION APPARATUS | 1 |
Yen-Jo Han | KR | Seongnam-Si | 2016-02-11 / 20160042116 - APPARATUS AND METHOD FOR GENERATING TEST CASES FOR PROCESSOR VERIFICATION, AND VERIFICATION DEVICE | 5 |
Jin-Sub Han | KR | Cheongju-Si | 2011-09-22 / 20110227502 - CIRCUIT AND METHOD FOR GENERATING PWM SIGNAL FOR DC-DC CONVERTER USING DIMMING SIGNAL AND LED DRIVING CIRCUIT FOR BACKLIGHT HAVING THE SAME | 1 |
Jungsun Han | KR | Seoul | 2011-09-22 / 20110226071 - CARTRIDGE | 1 |
Kwan Young Han | KR | Seoul | 2011-10-20 / 20110254045 - LIGHT EMITTING DIODE PACKAGE AND LIGHT EMITTING DIODE SYSTEM HAVING AT LEAST TWO HEAT SINKS | 1 |
Junfeng Han | CN | Heilongjiang | 2011-10-20 / 20110253006 - SIDE WALL AND BOXCAR OF RAILWAY WAGON | 1 |
Jun Han | US | Ann Arbor | 2011-10-20 / 20110253099 - MULTI-PHASE ENGINE STOP POSITION CONTROL | 1 |
Sung Hwan Han | KR | Seoul | 2016-02-11 / 20160039991 - NOVEL ACRYLAMIDE-BASED MESOPOROUS POLYMER AND PREPARATION METHOD THEREOF | 4 |
Jae Jung Han | KR | Seoul | 2015-07-02 / 20150188092 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE | 9 |
Seung Hoon Han | KR | Suwon | 2011-11-17 / 20110280037 - Optical pointing module having lighting function and electronic apparatus | 2 |
Yingjie Han | CN | Shanghai | 2013-12-12 / 20130332178 - BUSINESS SCENARIO BASED SCOPING | 2 |
Baijin Han | US | Durham | 2011-10-20 / 20110256132 - MYOSTATIN BINDING PROTEINS | 1 |
Seok Kyun Han | KR | Daejeon | 2013-10-17 / 20130272341 - TEMPERATURE SENSOR AND TEMPERATURE MEASUREMENT METHOD THEREOF | 1 |
Seung-Man Han | KR | Suwon-Si | 2014-08-28 / 20140245332 - COOLING APPARATUS COMBINED WITH OPTICAL DISK DRIVE MODULE | 4 |
Keun-Hee Han | KR | Kyeongki-Do | 2009-04-30 / 20090108733 - Precursors of Organometallic Compounds for Electroluminescent Materials | 1 |
Keun-Hee Han | KR | Kyeonggi-Do | 2009-05-21 / 20090128010 - Green Electroluminescent Compounds and Organic Electroluminescent Device Using the Same | 1 |
Gyu Won Han | KR | Yeoju-Gun | 2014-05-01 / 20140120658 - METHOD OF FABRICATING ARRAY SUBSTRATE | 3 |
Tack-Don Han | KR | Seoul | 2014-06-19 / 20140168267 - AUGMENTED REALITY SYSTEM AND CONTROL METHOD THEREOF | 10 |
Nianhe Han | US | Thousand Oaks | 2012-01-12 / 20120010256 - INHIBITORS OF 11-BETA-HYDROXY STEROID DEHYDROGENASE TYPE 1 | 9 |
Dong Keun Han | KR | Seoul | 2013-10-24 / 20130280335 - BIOMEDICAL IMPLANTS COMPRISING SURFACE-MODIFIED CERAMIC PARTICLES AND BIODEGRADABLE STEREO COMPLEX POLYMERS, ITS USE FOR SUPPRESSING INFLAMMATION AND IMPROVEMENT OF MECHANICAL PROPERTY, AND PREPARATION METHOD THEREOF | 12 |
Seong Ok Han | KR | Daejeon | 2014-01-23 / 20140024522 - CATALYSTS HAVING METAL NANO-PARTICLE CATALYST SUPPORTED ON SURFACE-TREATED NATURAL CELLULOSE FIBERS AND PREPARATION METHOD THEREOF | 8 |
Joon Hee Han | KR | Namyangju-Si | 2013-07-04 / 20130171561 - ADDITIVE FOR RESIST AND RESIST COMPOSITION COMPRISING SAME | 3 |
Moon-Seok Han | KR | Suwon-Si | 2014-11-20 / 20140341190 - STATION DEVICE AND WIRELESS COMMUNICATION METHOD THEREOF AND MOBILE DEVICE AND WIRELESS COMMUNICATION METHOD THEREOF | 10 |
Hye-Rhee Han | KR | Suwon-Si | 2015-09-24 / 20150268505 - LIQUID CRYSTAL DISPLAY | 9 |
Jae Hyun Han | KR | Geumjeong-Gu | 2011-10-27 / 20110261270 - System and method for estimating position and direction | 1 |
Huy Dung Han | US | Cupertino | 2011-10-27 / 20110261867 - SYSTEMS AND METHODS FOR BLIND EQUALIZATION IN A DIGITAL RECEIVER | 1 |
Kyung-Hee Han | KR | Gyeonggi-Do | 2014-04-24 / 20140113198 - LITHIUM SECONDARY BATTERY OF HIGH POWER PROPERTY WITH IMPROVED HIGH POWER DENSITY | 4 |
Jung-Min Han | KR | Gyeonggi-Do | 2011-10-27 / 20110262812 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY, PREPARATION METHOD OF THE SAME, AND LITHIUM SECONDARY BATTERY CONTAINING THE SAME | 1 |
Sang Heon Han | KR | Suwon | 2014-05-15 / 20140131726 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Jung-Soo Han | KR | Seoul | 2015-10-01 / 20150273273 - PORTABLE REHABILITATION EXERCISE DEVICE FOR KNEE JOINT | 2 |
Sang-Uk Han | KR | Hwaseong-Si | 2016-04-21 / 20160111299 - Methods of Fabricating Tape Film Packages | 20 |
Jae Hyun Han | KR | Seongnam-Si | 2011-11-10 / 20110276413 - METHOD AND SYSTEM FOR AUCTIONS USING TIME DEPENDENT BIDS AND FIXED UNIT PRICE DEPENDENT ON CLICKS OR VIEWS, AND ADVERTISING AND CHARGING METHODS AND SYSTEMS | 3 |
Ji-Woong Han | KR | Seoul | 2013-07-04 / 20130172055 - TERMINAL DEVICE | 5 |
Jae Myung Han | KR | Gwangiu | 2011-06-09 / 20110132024 - Refrigerator having door opening apparatus | 1 |
Jae Myung Han | KR | Gwangsan-Gu | 2010-03-25 / 20100071404 - Refrigerator | 2 |
Byeongheui Han | KR | Cheongju-Si | 2010-05-06 / 20100110331 - OPTICAL FILM, BACKLIGHT UNIT, AND LIQUID CRYSTAL DISPLAY | 1 |
Chong Woo Han | KR | Cheongju-Si | 2013-05-23 / 20130129774 - NOVEL XYLOGONE GANODERMOPHTHORA STRAIN WITH ANTIFUNGAL ACTIVITY, AND COMPOSITION INCLUDING SAME FOR PREVENTING PLANT DISEASES | 1 |
Gi Chun Han | KR | Cheongju-Si | 2015-07-16 / 20150197830 - Method for Extracting Lithium from Solution Containing Lithium | 8 |
Hak-Rhim Han | KR | Cheongju-Si | 2014-11-27 / 20140349055 - ADHESIVE COMPOSITION, ADHESIVE SHEET, AND TOUCH PANEL | 6 |
Jung Youn Han | KR | Daegu | 2011-11-03 / 20110268813 - PREVENTING LIVER INJURY AND IMPROVING LIVER FUNCTION EFFECTS OF ENA-ACTIMINERAL RESOURCES | 1 |
Sung Won Han | KR | Seoul | 2016-03-17 / 20160078083 - IMAGE DISPLAY DEVICE, METHOD FOR DRIVING THE SAME, AND COMPUTER READABLE RECORDING MEDIUM | 4 |
Chang-Long Han | CN | Dongguang | 2011-11-03 / 20110269021 - LITHIUM ION BATTERY | 1 |
Wei Han | CN | Pudong | 2011-11-03 / 20110269863 - POLYETHER POLYOLS, PROCESS FOR PREPARING POLYETHER POLYOLS AND THEIR USE FOR PRODUCING POLYURETHANES | 1 |
Byung Wook Han | KR | Anyang-Si | 2011-11-03 / 20110270557 - MEASURING METHOD OF CRITICAL CURRENT DENSITY OF SUPERCONDUCTOR WIRES USING MEASUREMENT OF MAGNETIZATION LOSS | 1 |
Hai Tao Han | CN | Beijing | 2011-11-03 / 20110270598 - Integrated Circuit Design and Simulation | 1 |
Yu Jung Han | KR | Suwon-Si | 2011-11-03 / 20110271343 - APPARATUS, SYSTEM AND METHOD FOR DETECTING MALICIOUS CODE | 2 |
Seung-Yeon Han | KR | Yongin-Si | 2015-03-26 / 20150087148 - ETCHANT COMPOSITION AND METHODS OF FABRICATING METAL WIRING AND THIN FILM TRANSISTOR SUBSTRATE USING THE SAME | 3 |
Xu Han | US | Lincoln | 2013-08-01 / 20130198520 - UNATTENDED CODE UPDATE OF STORAGE FACILITY | 6 |
Seung-Yeon Han | KR | Hwaseong-Si | 2014-04-10 / 20140097006 - ETCHANT COMPOSITION, METAL WIRING, AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE | 1 |
Kwang Ok Han | KR | Seoul | 2014-06-26 / 20140175824 - GLOVE BOX FOR VEHICLE | 3 |
Mi Kyong Han | KR | Daejeon | 2015-05-14 / 20150134133 - APPARATUS, SERVER AND METHOD FOR MANAGING ENERGY USAGE AND ENERGY VOLUME PER ENERGY CONSUMER | 15 |
Jae-Uk Han | KR | Osan-Si | 2015-02-26 / 20150055284 - TABLET STAND APPARATUS | 10 |
Sang Min Han | KR | Suwon-Si | 2011-11-10 / 20110273342 - COMMUNICATION TERMINAL AND ANTENNA APPARATUS THEREOF | 2 |
Sang-Myeon Han | KR | Yongin-City | 2016-04-07 / 20160098952 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 28 |
Seung Hee Han | JP | Gyeonggi-Do | 2011-11-10 / 20110274047 - Method for Receiving a Signal at a User Equipment (UE) in a Wireless Communication System | 1 |
Jeongmin Han | KR | Changwon | 2012-01-26 / 20120020819 - BUSH BEARING FABRICATING METHOD THEREOF AND HERMETIC COMPRESSOR HAVING THE SAME | 4 |
Aidong Han | US | Los Angeles | 2015-06-04 / 20150150860 - Small Molecules Modulator of Epigenetic Regulation and Their Therapeutic Applications | 4 |
Li-Hsin Han | US | Sunnyvale | 2011-11-10 / 20110275947 - CARDIOVASCULAR POWER SOURCE FOR AUTOMATIC IMPLANTABLE CARDIOVERTER DEFIBRILLATORS | 1 |
Shoufa Han | US | San Bruno | 2011-11-10 / 20110275161 - METHOD OF DETECTING NERVE AGENTS USING NOVEL ASSAY AGENTS | 1 |
Changhyun Han | KR | Seoul | 2011-11-10 / 20110275391 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Chang Mok Han | KR | Cheonan | 2015-03-12 / 20150070223 - ANTENNA PATTERN FRAME, METHOD AND MOLD FOR MANUFACTURING THE SAME, AND ELECTRONIC DEVICE | 14 |
Chang Hok Han | KR | Cheonan | 2011-11-17 / 20110279002 - CASE OF ELECTRONIC DEVICE HAVING ANTENNA PATTERN EMBEDDED THEREIN, AND MOLD THEREFOR AND METHOD OF MANUFACTURING THEREOF | 1 |
Kyung-Jin Han | KR | Seoul | 2011-11-17 / 20110277320 - Method of manufacturing a PCB having an embedded bare chip | 1 |
Houxiao Han | CN | Beijing | 2015-07-30 / 20150215418 - System, Apparatus for Content Delivery for Internet Traffic and Methods Thereof | 6 |
Kyung-Yeon Han | KR | Seoul | 2015-03-05 / 20150064721 - COMPOSITION AND KIT FOR SEPARATING CANCER CELL, AND METHOD OF SEPARATING CANCER CELL BY USING THE COMPOSITION AND KIT | 14 |
Lei Han | US | Carmel | 2014-09-04 / 20140248667 - ENHANCING SPINOSYN PRODUCTION WITH OXYGEN BINDING PROTEINS | 4 |
Jing Han | CN | Beijing | 2016-05-12 / 20160133187 - PIXEL CIRCUIT AND DRIVING METHOD THEREOF, DISPLAY APPARATUS | 88 |
Suk Hee Han | KR | Seoul | 2015-11-19 / 20150333123 - FERROMAGNET-FREE SPIN TRANSISTOR AND METHOD FOR OPERATING THE SAME | 13 |
Seung-Woo Han | KR | Seoul | 2016-02-11 / 20160043573 - METHOD AND APPARATUS FOR CONTROLLING WIRELESS POWER TRANSMISSION | 11 |
Byung-Ki Han | KR | Seoul | 2015-06-25 / 20150180532 - ANALOG BASEBAND FILTER APPARATUS FOR MULTI-BAND AND MULTI-MODE WIRELESS TRANSCEIVER AND METHOD FOR CONTROLLING THE FILTER APPARATUS | 2 |
Eun-Hee Han | KR | Seoul | 2015-10-22 / 20150301374 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Chang-Wook Han | KR | Seoul | 2016-04-28 / 20160118611 - WHITE ORGANIC LIGHT EMITTING DEVICE | 20 |
Chonghun Han | KR | Seoul | 2010-11-04 / 20100280777 - Method for Measuring SOC of a Battery in a Battery Management System and the Apparatus Thereof | 1 |
Se Kyung Han | KR | Gwangmyeong-Si | 2010-11-04 / 20100277123 - Method for Balancing of High Voltage Battery Pack | 1 |
Gyoo-Wan Han | KR | Yongin-City | 2015-08-27 / 20150243934 - LASER BEAM IRRADIATION APPARATUS AND MANUFACTURING METHOD OF ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME | 16 |
Seong Yeon Han | KR | Gwangjoo | 2010-11-18 / 20100289051 - CHIP COATED LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF | 3 |
Seong Yeon Han | KR | Gwangju-Si | 2010-01-07 / 20100001306 - LIGHT EMITTING DIODE PACKAGE | 1 |
Young In Han | KR | Gyeonggi-Do | 2012-03-15 / 20120062745 - LANE DEPARTURE SENSING METHOD AND APPARATUS USING IMAGES THAT SURROUND A VEHICLE | 2 |
Jea-Hee Han | KR | Yongin-Si | 2016-01-28 / 20160027373 - DISPLAY APPARATUS, POWER CONTROL MODULE AND POWER CONTROLMETHOD THEREOF | 12 |
Man-Seok Han | KR | Yongin | 2011-11-24 / 20110287303 - Electrode assembly, rechargeable battery including the same, and method of manufacturing an electrode thereof | 1 |
Songyi Han | KR | Changwon-Si | 2013-05-30 / 20130134156 - MICROWAVE OVEN HAVING HOOD | 1 |
Jianrui Han | CN | Shenzhen | 2015-07-23 / 20150207753 - METHOD, APPARATUS, AND SYSTEM FOR ASSIGNING TRIBUTARY PORT NUMBER | 16 |
Won Seok Han | KR | Daejeon | 2016-02-04 / 20160035568 - METHOD OF MANUFACTURING TRANSITION METAL CHALCOGENIDE THIN FILM | 9 |
Se Kyung Han | KR | Gyeonggi-Do | 2011-12-01 / 20110293081 - METHOD FOR PROVIDING TELEPHONE SERVICE USING THE INTERNET | 1 |
Ho Han | KR | Gyeonggi-Do | 2011-12-01 / 20110290646 - WAFER DEFECT ANALYZING APPARATUS, ION ABSTRACTION APPARATUS FOR SAME, AND WAFER DEFECT ANALYZING METHOD USING SAME | 1 |
Hsiu-Ping Han | TW | Taoyuan | 2013-06-06 / 20130140194 - PROTECTIVE COVER UNFOLDING AND POSITIONING DEVICE | 2 |
Cheng-Nan Han | TW | Jiadong Township | 2011-12-01 / 20110291145 - OPTOELECTRONIC ELEMENT AND MANUFACTURING METHOD THEREOF | 1 |
Sehee Han | KR | Seoul | 2015-07-16 / 20150199975 - TANGIBLE MULTIMEDIA CONTENT PLAYBACK METHOD AND APPARATUS | 4 |
Tae Heon Han | KR | Jeju | 2015-06-25 / 20150176807 - LIGHT EMITTING DEVICE PACKAGE, LIGHT SOURCE MODULE, BACKLIGHT UNIT, DISPLAY APPARATUS, TELEVISION SET, AND ILLUMINATION APPARATUS | 2 |
Shin-Jeong Han | KR | Yongin-City | 2011-12-01 / 20110292312 - LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Soon-Seob Han | KR | Seoul | 2016-02-04 / 20160033772 - HEAD MOUNTED DISPLAY DEVICE FOR DISPLAYING IMAGE AND METHOD THEREOF | 10 |
Sang-Guk Han | KR | Hwaseong-Si | 2015-09-10 / 20150257255 - CIRCUIT BOARD HAVING BYPASS PAD | 3 |
Sang-Guk Han | KR | Hwasung-Si | 2012-11-22 / 20120292091 - CIRCUIT BOARD HAVING BYPASS PAD | 4 |
Yejun Han | US | Urbana | 2012-05-31 / 20120135474 - HEMICELLULOSE-DEGRADING ENZYMES | 2 |
Yenjo Han | KR | Seongnam-Si | 2011-12-01 / 20110296143 - PIPELINE PROCESSOR AND AN EQUAL MODEL CONSERVATION METHOD | 1 |
Changwook Han | KR | Seoul | 2015-02-05 / 20150034923 - WHITE ORGANIC LIGHT EMITTING DIODE DEVICE | 4 |
Jong Kook Han | KR | Seoul | 2014-08-21 / 20140236689 - METHOD AND SYSTEM FOR ADVERTISEMENT OF MAP USING VIRTUAL POI (POINT OF INTEREST) | 4 |
Tae-Kyu Han | KR | Inchon | 2011-12-08 / 20110298351 - ILLUMINATION APPARATUS | 1 |
Xiaoyong Han | CN | X'Ian | 2011-12-08 / 20110299202 - NMOS-Based Feedback Power-Clamp for On-Chip ESD Protection | 1 |
Byung Moon Han | KR | Seoul | 2013-11-28 / 20130314111 - APPARATUS FOR TESTING THYRISTOR VALVE | 1 |
Dong-Oh Han | KR | Anyang | 2012-03-22 / 20120070517 - PHARMACEUTICAL COMPOSITION CONTAINING HERBAL EXTRACT FOR PREVENTION OR TREATMENT OF NEPHRITIS | 1 |
Da-Woon Han | KR | Yongin-Si | 2015-08-13 / 20150229005 - ELECTROLYTE FOR LITHIUM BATTERY FOR SOLID STATE DRIVE BACKUP POWER AND LITHIUM BATTERY FOR SOLID STATE DRIVE BACKUP POWER INCLUDING THE SAME | 7 |
Jung-Eun Han | KR | Seoul | 2015-08-06 / 20150218005 - SILICON CARBIDE POWDER AND PREPARATION METHOD THEREFOR | 18 |
Hongyuan Han | US | Morris Plains | 2011-12-15 / 20110303104 - CHAFING DISH ASSEMBLIES | 1 |
Sung-Il Han | KR | Suwon-Si | 2014-06-26 / 20140174350 - VAPOR DEPOSITION APPARATUS | 2 |
Jae-Jong Han | KR | Seoul | 2016-03-24 / 20160086943 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 10 |
Jong-Gyu Han | KR | Daejeon | 2015-12-24 / 20150371141 - LEAF NODE RANKING METHOD IN DECISION TREES FOR SPATIAL PREDICTION AND ITS RECORDING MEDIUM | 3 |
Dongyoup Han | KR | Anyang-Si | 2011-12-15 / 20110304566 - TOUCH PANEL AND MOBILE TERMINAL INCLUDING THE SAME | 1 |
Wei-Lun Han | TW | Tu-Cheng | 2012-06-28 / 20120160745 - FEEDING DEVICE FOR INJECTION MOLDING DEVICE | 2 |
Seung-Hun Han | KR | Yongin-Si | 2015-08-13 / 20150228972 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR RECHARGEABLE LITHIUM BATTERY, MANUFACTURING METHOD OF SAME, AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 15 |
Sang-Ii Han | KR | Yongin-Si | 2013-03-07 / 20130059210 - ELECTROLYTE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 3 |
Min Han | CN | Tianjin | 2014-05-01 / 20140121242 - SUBSTITUTED CINNAMAMIDE DERIVATIVE, PREPARATION METHOD AND USE THEREOF | 1 |
Stuart Sung Han | CA | Surrey | 2014-05-01 / 20140119155 - HYDRODYNAMIC SUPER-CAVITATION APPARATUS | 1 |
Yang Han | US | Santa Clara | 2012-03-29 / 20120079340 - COMMUNICATIONS SYSTEM EMPLOYING LOCAL AND GLOBAL INTERLEAVING/DE-INTERLEAVING | 9 |
Junsoo Han | KR | Seoul | 2016-04-28 / 20160116208 - COOLING DEVICE AND METHOD FOR CONTROLLING COOLING DEVICE | 3 |
Wenyu Han | US | Princeton | 2011-12-22 / 20110309146 - Apparatus and method for enhancing card security | 1 |
Soo Bong Han | KR | Daejeon | 2014-05-01 / 20140121187 - 1,3-DI-OXO-INDENE DERIVATIVE, PHARMACEUTICALLY ACCEPTABLE SALT OR OPTICAL ISOMER THEREOF, PREPARATION METHOD THEREOF, AND PHARMACEUTICAL COMPOSITION CONTAINING SAME AS AN ANTIVIRAL, ACTIVE INGREDIENT | 2 |
Chang-Su Han | KR | Seoul | 2011-12-22 / 20110309958 - METHOD AND APPARATUS FOR ENCODING AND DECODING DATA | 1 |
Seok Min Han | KR | Bundang-Gu | 2011-12-22 / 20110311020 - Image diagnosis apparatus and method using X-ray | 1 |
Yeon Soo Han | KR | Chungbuk Cheongju | 2011-12-22 / 20110311844 - STORAGE BATTERY RECYCLING APPARATUS | 1 |
Zhihai Han | CN | Beijing | 2011-12-22 / 20110312091 - PLURIPOTENT STEM CELLS, METHOD FOR PREPARATION THEREOF AND USES THEREOF | 1 |
Jing Han | CN | Bejing | 2013-11-28 / 20130315214 - Timing Advance Without Random Access Channel Access | 2 |
Lixiong Han | CN | Chongqing | 2015-12-03 / 20150344352 - LOW DIELECTRIC CONSTANT GLASS FIBER | 2 |
Su-Hee Han | KR | Yongin-Si | 2014-03-20 / 20140079988 - ELECTROLYTE FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING THE SAME | 15 |
Chang Mok Han | KR | Cheonan | 2015-03-12 / 20150070223 - ANTENNA PATTERN FRAME, METHOD AND MOLD FOR MANUFACTURING THE SAME, AND ELECTRONIC DEVICE | 14 |
Seung Yeop Han | KR | Seoul | 2011-12-29 / 20110320427 - SYSTEM AND METHOD FOR COLLECTING DOCUMENT | 1 |
Suh Joon Han | US | Belle Mead | 2014-11-27 / 20140346414 - DIELECTRIC FLUID COMPOSITIONS FOR ENHANCED THERMAL MANAGEMENT | 11 |
Fang Han | CN | Inner Mongolia | 2011-12-29 / 20110315014 - METHOD FOR REMOVING SOx FROM GAS USING POLYETHYLENE GLYCOL | 1 |
Je-Woo Han | KR | Hwaseong-Si | 2016-03-31 / 20160093686 - SEMICONDUCTOR DEVICES INCLUDING A SUPPORT FOR AN ELECTRODE AND METHODS OF FORMING SEMICONDUCTOR DEVICES INCLUDING A SUPPORT FOR AN ELECTRODE | 9 |
Jee-Hoon Han | KR | Hwaseong-Si | 2016-02-25 / 20160056170 - METHOD OF FABRICATING FLASH MEMORY DEVICE | 5 |
Yingjun Han | CN | Shanghai | 2014-04-17 / 20140103904 - Apparatus and Method of Power Measurement for Pulsed Terahertz Quantum-Cascade Laser | 1 |
Wenji Han | CN | Urumqi | 2014-04-17 / 20140103875 - Power Generation and Charging Device for Continuous Running of Electric Automobile | 1 |
Jae Woong Han | KR | Seongnam-Si, Gyunggi-Do | 2014-04-17 / 20140103359 - SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Sang Heon Han | KR | Suwon-Si, Gyunggi-Do | 2014-04-17 / 20140103359 - SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Chang-Chih Han | TW | New Taipei City | 2011-12-29 / 20110317354 - EXTERNAL EXTENSION FOR HOLDING A PORTABLE COMPUTER AND COMPUTER SYSTEM THEREWITH | 1 |
Hyung-Seok Han | KR | Yongin-Si | 2012-03-29 / 20120075286 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF, SHUTTER GLASSES AND CONTROL METHOD THEREOF, AND DISPLAY SYSTEM | 2 |
Jun Hyun Han | KR | Gyeonggi-Do | 2011-12-29 / 20110318504 - METHOD FOR FABRICATING COMPOSITE MATERIAL COMPRISING NANO CARBON AND METAL OR CERAMIC | 1 |
David Han | US | San Francisco | 2013-07-04 / 20130173319 - SYSTEM AND METHODS FOR MAPPING PRICE AND LOCATION OF TICKETS IN AN EVENT VENUE | 2 |
Young-Woong Han | KR | Seoul | 2008-10-02 / 20080236866 - MULTIFUNCIONAL CONNECTION CORD FOR MULTIMEDIA DEVICE | 1 |
Jianping Han | HK | Hung Hom | 2012-01-05 / 20120000251 - ITEMS OF CLOTHING HAVING SHAPE MEMORY | 1 |
Chan-Min Han | KR | Hwaseong-Si | 2012-01-05 / 20120001347 - SEMICONDUCTOR PACKAGE HAVING A STACKED STRUCTURE | 1 |
Jae-Jin Han | KR | Gyeonggi-Do | 2014-04-03 / 20140093955 - METHOD FOR CULTURING MYCOPLASMA CONTAMINATION-FREE CELLS AND METHOD FOR REMOVING MYCOPLASMA CONTAMINATION OF CELLS | 1 |
Bi Han | CN | Shanghai | 2012-01-05 / 20120001671 - 5V TOLERANT CIRCUIT FOR CML TRANSCEIVER IN AC-COUPLE | 1 |
Xiaomei Han | CN | Guangdong | 2012-01-05 / 20120002044 - Method and System for Implementing a Three-Dimension Positioning | 1 |
Seung-Hun Han | KR | Asan-Si | 2014-04-03 / 20140091463 - SEMICONDUCTOR PACKAGE APPARATUS | 1 |
Sang-Sung Han | KR | Seongnam-Si | 2012-01-05 / 20120002619 - METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING HARQ BURST | 1 |
Feng Han | US | Trenton | 2012-01-05 / 20120002869 - System and method for detection of multi-view/multi-pose objects | 1 |
Seunghee Han | KR | Anyangshi | 2015-07-02 / 20150189677 - SEQUENCE GENERATION FOR CELL SPECIFIC REFERENCE SIGNAL (CRS) | 37 |
Huiling Han | US | San Diego | 2012-01-05 / 20120003256 - TUBERCULOSIS ANTIGENS, IMMUNOGENIC COMPOSITIONS, DIAGNOSTICS AND METHODS RELATED TO THE SAME | 1 |
Man Ho Han | KR | Gyeonggi | 2012-01-05 / 20120003589 - POLYMER FOR FORMING RESIST PROTECTION FILM, COMPOSITION FOR FORMING RESIST PROTECTION FILM, AND METHOD OF FORMING PATTERNS OF SEMICONDUCTOR DEVICES USING THE COMPOSITION | 1 |
Jung Chul Han | KR | Gyeonggi-Do | 2012-08-30 / 20120218818 - NONVOLATILE MEMORY DEVICE AND METHOD FOR OPERATING THE SAME | 9 |
Ji Eun Han | KR | Daejeon | 2014-03-27 / 20140088292 - Water-Soluble Polypeptides Comprised of Repeat Modules, Method for Preparing the Same and Method for a Target-Specific Polypeptide and Analysis of Biological Activity Thereof | 1 |
Kyul Han | KR | Yongin-City | 2015-11-05 / 20150318515 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 18 |
Kwan-Young Han | KR | Yongin-City | 2015-12-03 / 20150346882 - TOUCH PANELS AND DISPLAY DEVICES HAVING TOUCH PANELS | 29 |
Kyung Hee Han | KR | Yongin-Si, Gyeonggi-Do | 2016-02-04 / 20160030273 - MULTI-PURPOSE SOLAR POWER SAFE WALKER | 2 |
Tzung-Ting Han | TW | Yilan | 2012-05-17 / 20120119282 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF MANUFACTURING A SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 2 |
Seung Hee Han | KR | Ayang-Si | 2012-01-12 / 20120008577 - OPERATION OF TERMINAL FOR MULTI-ANTENNA TRANSMISSION | 1 |
Wen-Du Han | CN | Kunshan | 2012-01-12 / 20120008906 - OPTICAL-ELECTRICAL HYBRID TRANSMISSION CABLE | 3 |
Zhaohui Han | US | Charlotte | 2012-01-12 / 20120009409 - METHOD FOR APPLYING A LAYER OF MATERIAL TO THE SURFACE OF A NON-METALLIC SUBSTRATE | 2 |
Eun Su Han | KR | Daejeon | 2014-03-27 / 20140087377 - METHOD OF IDENTIFYING NUCLEIC ACID-CONTAINING OBJECT | 1 |
Seuag Hee Han | KR | Gyeonggi-Do | 2012-01-12 / 20120009963 - Effective Method for Transmitting Control Information During the Combination of Multiple Carriers for Wideband Support | 1 |
Moon Soo Han | KR | Gyeonggi-Do | 2012-01-12 / 20120011334 - SSD CONTROLLER, AND METHOD FOR OPERATING AN SSD CONTROLLER | 1 |
Hee Sik Han | KR | Siheung-Si | 2012-07-19 / 20120183706 - CELL PACKAGING MATERIAL AND METHOD FOR MANUFACTURING SAME | 1 |
Kyung-Hwan Han | US | Okemos | 2015-08-13 / 20150225737 - Drought-Tolerance in Plants | 5 |
Xin-Ying Han | TW | Hsinchu City | 2014-03-20 / 20140076724 - CELL MODULE, OZONE GENERATOR THEREOF AND METHODS FOR GENERATING OZONE USING THE SAME | 1 |
Soon Seok Han | KR | Gyeonggi-Do | 2012-01-19 / 20120012253 - PLASMA SHIELD FOR ELECTRODE | 1 |
Cheng Nan Han | TW | Hsinchu City | 2012-01-19 / 20120012867 - MULTI-DIMENSIONAL LIGHT-EMITTING DEVICE | 1 |
Jeong A. Han | KR | Ansan-Si | 2015-07-23 / 20150204510 - ASPHERICAL LED LENS AND LIGHT EMITTING DEVICE INCLUDING THE SAME | 3 |
Wooseok Han | US | San Ramon | 2015-11-05 / 20150315150 - KINASE INHIBITORS AND METHODS OF THEIR USE | 12 |
Jake J. Han | US | Coppell | 2014-10-09 / 20140302861 - COMBINED BASE TRANSCEIVER STATION AND BASE STATION CONTROLLER | 4 |
Ju Hyun Han | KR | Seoul | 2012-01-19 / 20120015694 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Qing Han | US | Cambridge | 2012-01-19 / 20120015824 - Method For Diagnosing Allergic Reactions | 1 |
Su Han | CN | Beijing | 2012-01-19 / 20120015887 - SYNTHETIC PEPTIDE AND USES THEREOF | 1 |
Ning Han | CN | Shaanxi | 2012-01-19 / 20120016639 - OPTIMIZATION DESIGN METHOD FOR THE CHASSIS STRUCTURE OF AN ELECTRONIC DEVICE BASED ON MECHANICAL, ELECTRICAL AND THERMAL THREE-FIELD COUPLING | 1 |
Kuk-Hyun Han | KR | Yuseong-Gu | 2012-05-31 / 20120137253 - PORTABLE DEVICE AND METHOD FOR PROVIDING USER INTERFACE MODE THEREOF | 4 |
Sang Bumm Han | KR | Incheon | 2014-03-13 / 20140074894 - FORMAT CONVERSION OF METADATA ASSOCIATED WITH DIGITAL CONTENT | 2 |
Seung Su Han | KR | Seoul | 2013-02-28 / 20130049669 - METHOD OF CONTROLLING POSITION OF SEAT USING SINGLE HALL SENSOR | 2 |
Zhong-Hai Han | KR | Seoul | 2012-01-26 / 20120023433 - METHOD AND APPARATUS FOR INPUTTING CHARACTER IN A PORTABLE TERMINAL | 3 |
Ming Han | SA | Dhahran | 2015-01-22 / 20150021490 - METHOD TO DETERMINE TRACE AMOUNTS OF CRUDE OIL BY SPECTROSCOPIC ABSORPTION | 3 |
Sangmin Han | KR | Ulsan | 2012-01-26 / 20120018687 - Process for the preparation of easily dispersible Violet Pigment | 1 |
Eric Han | US | Menlo Park | 2012-01-26 / 20120019244 - COMPOSITE SPIN LOCKING PULSE SEQUENCE AND METHOD OF USING THE SAME | 1 |
Gang Han | DE | Dusseldorf | 2014-01-02 / 20140003873 - END MILL FOR CUTTING OF HIGH-HARDNESS MATERIALS | 2 |
Beom Suck Han | KR | Gyeonggi-Do | 2015-10-29 / 20150311572 - APPARATUS FOR CONTROLLING TEMPERATURE OF BATTERY | 3 |
Soo Yong Han | KR | Daejeon | 2012-01-26 / 20120021288 - ELECTRODE-ACTIVE ANION-DEFICIENT LITHIUM TRANSITION-METAL PHOSPHATE, METHOD FOR PREPARING THE SAME, AND ELECTROCHEMICAL DEVICE USING THE SAME | 1 |
Shuang Feng Han | KR | Suwon-Si | 2012-08-09 / 20120202509 - METHOD AND APPARATUS FOR ALLOCATING RANGING CODES IN COMMUNICATION SYSTEM | 3 |
Chang-Suk Han | KR | Paju | 2010-04-08 / 20100084749 - Package and fabricating method thereof | 1 |
Chang-Suk Han | KR | Kyunggi-Do | 2012-02-02 / 20120028459 - MANUFACTURING PROCESS OF CIRCUIT SUBSTRATE | 1 |
Won-Gil Han | KR | Asan-Si | 2015-01-29 / 20150031149 - MULTI-CHIP PACKAGE AND METHOD OF MANUFACTURING THE SAME | 4 |
Jinyi Han | US | San Ramon | 2014-05-15 / 20140135207 - Hydroconversion Multi-Metallic Catalysts and Method for Making Thereof | 7 |
Sung-Kee Han | KR | Seoul | 2016-05-12 / 20160133632 - INTEGRATED CIRCUIT DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Bin Han | CN | Shenyang | 2012-02-02 / 20120024046 - MEASURING DEVICE FOR MEASURING CONSISTENCY OF CEMENT SLURRY FOR A CONSISTOMETER | 1 |
Yaochuan Han | CN | Shenzhen | 2014-11-06 / 20140329113 - Electric Vehicle Running Control System | 28 |
Chia-Jung Han | TW | Xinzhuang City | 2012-02-02 / 20120026076 - LIQUID CRYSTAL DISPLAY PANEL AND METHOD FOR REPAIRING SIGNAL LINE THEREOF | 1 |
Sang-Choll Han | KR | Yuseong-Gu | 2013-05-16 / 20130121016 - OPTICAL FILM HAVING IMPROVED OPTICAL PERFORMANCE, AND BACKLIGHT UNIT COMPRISING THE SAME | 5 |
Sung Woo Han | KR | Icheon-Si Gyeonggi-Do | 2015-04-23 / 20150109041 - METHOD FOR REDUCING OUTPUT DATA NOISE OF SEMICONDUCTOR APPARATUS AND SEMICONDUCTOR APPARATUS IMPLEMENTING THE SAME | 4 |
Kyung Sik Han | KR | Gyeonggi-Do | 2012-02-02 / 20120026999 - LOCAL AREA BROADCASTING SERVICE SYSTEM AND METHOD, AND WIRELESS TRANSMISSION DEVICE APPLIED THEREIN | 1 |
Jung Hee Han | KR | Gyeonggi-Do | 2012-02-02 / 20120026918 - METHOD AND SYSTEM OF MANAGING NEIGHBOR RELATION TABLE IN WIRELESS COMMUNICATION SYSTEM HAVING SELF-ORGANIZING NETWORK FUNCTION | 1 |
Xinwei Han | CN | Chengdu | 2012-09-20 / 20120236850 - METHOD AND DEVICE FOR BUFFERING CELL BY CROSSBAR SWITCHING MATRIX | 1 |
Simon Han | US | Los Angeles | 2014-10-09 / 20140304269 - AUTOMATIC MEDIA SHARING VIA SHUTTER CLICK | 4 |
Kyu-Hee Han | KR | Hwaseong-Si | 2015-06-04 / 20150155233 - SEMICONDUCTOR DEVICES HAVING THROUGH-ELECTRODES AND METHODS FOR FABRICATING THE SAME | 12 |
Tai-Yu Han | TW | Taipei | 2012-02-02 / 20120027503 - QUICK-RELEASE, LOOSE-LEAF MATERIALS CAPTURE MECHANISM AND ASSOCIATED METHODS | 1 |
Xiaodong Han | CN | Shanghai | 2016-05-19 / 20160135782 - FINGER JOINT ULTRASOUND IMAGING | 6 |
Keqing Han | CN | Shanghai | 2015-02-05 / 20150037509 - PROCESS OF MELT-SPINNING POLYACRYLONITRILE FIBER | 5 |
Sueng-Hoon Han | KR | Busan | 2012-02-02 / 20120028171 - ELECTRODE CATALYST AND METHOD OF PREPARING ELECTRODE CATALYST FOR FUEL CELL, AND MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL INCLUDING SAME | 1 |
Byeong Hoon Han | KR | Cheonan-Si | 2012-02-02 / 20120028963 - NOVEL HYDROXAMATE DERIVATIVE, A PRODUCTION METHOD FOR THE SAME, AND A PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Yanming Han | NL | Nijmegen | 2012-02-02 / 20120029077 - Animal Feed Additive and Animal Feed Comprising Alkyl Esters of Medium Chain Fatty Acids, and their Use in Animal Feed | 1 |
Dan Han | CN | Beijing | 2015-10-08 / 20150286559 - SOFTWARE DEVELOPMENT ASSISTANT METHOD AND SYSTEM | 3 |
Mingyong Han | SG | Singapore | 2012-11-15 / 20120288949 - DETECTION METHOD AND SENSOR BASED ON INTERPARTICLE DISTANCE | 10 |
Yung-Lung Han | TW | Hsinchu | 2016-04-14 / 20160101574 - RAPID PROTOTYPING APPARATUS WITH PAGE-WIDTH ARRAY PRINTING MODULE | 10 |
Moon-Suk Han | KR | Daejeon | 2012-02-09 / 20120032359 - POROUS CERAMIC STRUCTURE, AND DEHUMIDIFICATION/HUMIDIFICATION APPARATUS COMPRISING SAME | 1 |
Dong Han | SG | Singapore | 2012-02-09 / 20120032736 - MODULATOR, DEMODULATOR AND MODULATOR-DEMODULATOR | 1 |
Shengzhong Han | CN | Shenzhen | 2014-02-20 / 20140052813 - Method and system for identifying storage device | 1 |
Sang-Chul Han | KR | Yongin-Si | 2012-02-09 / 20120032936 - PLASMA DISPLAY AND DRIVING APPARATUS THEREOF | 1 |
Song Han | CN | Huainan | 2014-02-20 / 20140050651 - System and process for trapping sulfur dioxide and carbon dioxide by ammonia absorption at atmospheric pressure | 1 |
Byung Han | US | St. Louis | 2015-06-25 / 20150175589 - PHENOXAZINE DERIVATIVES AND METHODS OF USE THEREOF | 3 |
Ki-Hoon Han | KR | Ulsan | 2014-02-20 / 20140048493 - ELECTROLYSIS BALLAST WATER TREATMENT SYSTEM FOR PREVENTING EXPLODING OF SHIP AND A CONTROL METHOD USING THE SAME | 1 |
Andrew Han | US | San Francisco | 2015-10-15 / 20150295941 - Protecting Documents Using Policies and Encryption | 2 |
Jin Han | KR | Goyang-Si | 2014-09-18 / 20140260429 - ANNEALING APPARATUS AND METHOD FOR FLOAT GLASS | 12 |
Chong Hun Han | KR | Seoul | 2016-05-05 / 20160121261 - CARBON DIOXIDE SEPARATION DEVICE HAVING IMPROVED SENSIBLE HEAT RECOVERY EFFICIENCY USING PRESSURE REDUCTION AND PHASE SEPARATION | 2 |
Kwang Hoon Han | KR | Gyeonggi-Do | 2012-02-16 / 20120041584 - ENDPOINT DETECTION DEVICE FOR REALIZING REAL-TIME CONTROL OF PLASMA REACTOR, PLASMA REACTOR WITH ENDPOINT DETECTION DEVICE, AND ENDPOINT DETECTION METHOD | 1 |
Hoon Han | KR | Gyeonggi-Do | 2012-02-16 / 20120039856 - COMPOSITION FOR TREATING BALDNESS WITH STEM CELL DERIVED FROM UMBILICAL CORD BLOOD | 1 |
Dong Hee Han | KR | Gyeonggi-Do | 2012-02-16 / 20120037317 - PANEL ATTACHING DEVICE | 1 |
Chang-Hun Han | KR | Daejeon-Si | 2014-02-13 / 20140046016 - RESIN COMPOSITION FOR OPTICAL FILM AND OPTICAL FILM USING THE SAME | 1 |
Jae-Lyong Han | KR | Cheonan-Si | 2012-02-16 / 20120038561 - METHOD AND APPARATUS FOR DISPLAYING | 1 |
Nal Ae Han | KR | Busan | 2012-02-16 / 20120039116 - PHASE CHANGE MEMORY DEVICE COMPRISING BISMUTH-TELLURIUM NANOWIRES | 1 |
Lifeng Han | CN | Guangdong Province | 2012-02-16 / 20120039240 - METHOD, DEVICE AND SYSTEM FOR TRANSMITTING RELAY DATA | 1 |
Jianping Han | CN | Tianjin | 2012-02-16 / 20120041062 - COMPOUND OF SALVIANOLIC ACID L, PREPARATION METHOD AND USE THEREOF | 1 |
Hong-Qiang Han | CN | Kun Shan | 2012-02-16 / 20120040562 - ELECTRICAL CONNECTOR WITH IMPROVED HOUSING | 1 |
Zhuang Han | CN | Hong Kong | 2014-02-13 / 20140044810 - USE OF AMIDE COMPOUNDS FOR PREVENTING MARINE BIOFOULING | 1 |
Haibo Han | CN | Beijing | 2014-02-13 / 20140044729 - ANTIBODY AND ANTIGEN RECOGNIZING TUMOR-INITIATING CELLS AND USE THEREOF | 1 |
Bing Han | CN | Shenzhen | 2016-02-04 / 20160033805 - LCD PANEL AND LCD DEVICE | 13 |
Soo-Bin Han | KR | Daejeon-Si | 2013-01-03 / 20130003424 - MULTI-PHASE INTERLEAVED BIDIRECTIONAL DC-DC CONVERTER WITH HIGH VOLTAGE CONVERSION RATIO | 5 |
Seongseok Han | KR | Daejeon-Si | 2013-02-21 / 20130045670 - AIR CONDITIONER FOR VEHICLE | 5 |
Seongseck Han | KR | Daejeon-Si | 2009-08-06 / 20090197517 - ASSEMBLING STRUCTURE OF TEMPERATURE-ADJUSTING DOOR | 1 |
Kyeong-Eun Han | KR | Daejeon-Si | 2014-07-17 / 20140198635 - METHOD OF RECOVERING FAULT IN MULTILAYER NETWORK AND APPARATUS THEREOF | 5 |
Gyu Ik Han | KR | Daejeon-Si | 2012-02-16 / 20120036782 - Door Actuator for HVAC System of Vehicle | 1 |
Gyuik Han | KR | Daejeon-Si | 2009-02-05 / 20090031742 - Seat air conditioner for vehicle | 1 |
Seunghee Han | KR | Anyang-Si | 2016-05-12 / 20160135156 - METHOD AND DEVICE FOR INFORMATION TRANSMISSION IN WIRELESS COMMUNICATION SYSTEM | 138 |
Fang Han | CN | Wuhan City | 2014-02-13 / 20140041237 - New-Style Peeling Device | 1 |
Jung Wan Han | KR | Nowon-Gu | 2014-02-13 / 20140043786 - REFLECTIVE POLARIZER HAVING A DISPERSED POLYMER | 1 |
Sungwon Han | KR | Changwon City | 2012-02-23 / 20120042662 - INDOOR UNIT FOR AIR CONDITIONER AND CONTROL METHOD THEREOF | 1 |
Min Woo Han | KR | Gimpo | 2012-02-23 / 20120043144 - POWER TRANSMISSION DEVICE FOR ELECTRIC VEHICLE | 1 |
Bong-Gyoon Han | US | Castro Valley | 2012-02-23 / 20120043208 - APPARATUS AND METHODS FOR HIGH THROUGHPUT BIOMOLECULE SEPARATION AND ANALYSIS | 1 |
Seung Hun Han | KR | Gyunggi-Do | 2013-06-20 / 20130152683 - INERTIAL SENSOR | 4 |
Changho Han | US | Nashville | 2014-02-06 / 20140039182 - COMPOSITIONS AND PROCESSES OF PREPARING AND USING THE SAME | 1 |
Seunghee Han | KR | Gyeonggi-Do | 2014-12-25 / 20140376474 - METHOD FOR SETTING OPERATING CHANNEL IN WHITE SPACE BAND AND DEVICE THEREFOR | 8 |
Jae-Gu Han | KR | Yongin-City | 2014-02-06 / 20140037848 - REINFORCEMENT LIQUID JET DEVICE AND METHOD OF MANUFACTURING DISPLAY PANEL | 1 |
Yu Kyeong Han | KR | Busan | 2015-12-24 / 20150366776 - NOVEL COMPOUND HAVING SKIN-WHITENING, ANTI-OXIDIZING AND PPAR ACTIVITIES AND MEDICAL USE THEREOF | 3 |
Donghyuk Han | KR | Seoul | 2015-02-05 / 20150036570 - METHOD AND APPARATUS FOR TRANSMISSION SCHEDULING IN WIRELESS SENSOR NETWORK | 2 |
Lufeng Han | CN | Beijing | 2013-07-25 / 20130189993 - CELL RESELECTION METHOD AND USER EQUIPMENT | 2 |
Jeong-Nam Han | KR | Seoul | 2016-05-19 / 20160141417 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 25 |
Ji-Youn Han | KR | Suwon-Si | 2016-02-25 / 20160054864 - DISPLAY APPARATUS AND CONTROLLING METHOD THEREOF | 12 |
Seok-Min Han | KR | Seongnam-Si | 2015-05-21 / 20150139395 - X-RAY IMAGING APPARATUS AND METHOD OF CONTROLLING THE SAME | 26 |
Lawrence Kwang Han | SG | Singapore | 2015-09-03 / 20150248498 - Method of Delivering Customizable Personalized Digital Events from a Single Scannable Two-Dimensional Barcode by Executing Computer-Executable Instructions Stored On a Non-Transitory Computer-Readable Medium | 2 |
Sungwon Han | KR | Changwon-Si | 2012-03-08 / 20120055656 - TURBO FAN AND AIR CONDITIONER WITH TURBO FAN | 1 |
Won-Gil Han | KR | Suwon-Si | 2012-03-08 / 20120056178 - MULTI-CHIP PACKAGES | 1 |
Kyeong-A Han | KR | Suwon-Si | 2016-02-25 / 20160054864 - DISPLAY APPARATUS AND CONTROLLING METHOD THEREOF | 2 |
Dae Hee Han | KR | Yongin-Si | 2012-03-08 / 20120056604 - Power supply circuit | 1 |
Ping Han | CN | Shenzhen City | 2013-07-04 / 20130169862 - ELECTRONIC DEVICE WITH CAMERA SHELTER | 4 |
Chongyang Han | CN | Chengdu | 2012-12-06 / 20120307714 - Method and System for Data Transmission | 3 |
Bo Han | US | Summit | 2016-05-19 / 20160142971 - NON-CELLULAR LINK INTEGRATION WITH CELLULAR NETWORKS | 3 |
Sang-Wook Han | KR | Yangcheon-Gu | 2012-03-08 / 20120059625 - Depth sensing apparatus and method | 1 |
Hsien Sou Han | TW | Taoyuan Hsien | 2016-02-11 / 20160040943 - HEAT EXCHANGER | 2 |
Dong-Guk Han | KR | Incheon-City | 2010-03-18 / 20100067690 - SPA-RESISTANT LEFT-TO-RIGHT RECODING AND UNIFIED SCALAR MULTIPLICATION METHODS | 1 |
Bong Seok Han | KR | Cheongju-Si | 2012-01-19 / 20120013357 - Semiconductor Device | 2 |
Seok Bong Han | KR | Gyeongsangbook-Do | 2009-06-18 / 20090154076 - Image Display Apparatus | 1 |
Kunhee Han | US | Flower Mound | 2012-03-15 / 20120061836 - SPRAY PYROLYSIS OF Y-DOPED ZnO | 1 |
Ho Seok Han | KR | Cheonan-Si | 2016-03-03 / 20160063948 - DISPLAY DRIVER INTEGRATED CIRCUIT, DISPLAY MODULE AND DISPLAY SYSTEM INCLUDING THE SAME | 2 |
Jung-Su Han | KR | Gyeonggi-Do | 2012-03-15 / 20120063529 - INTER-CELL INTERFERENCE MITIGATION METHOD USING SPATIAL COVARIANCE MATRIX ESTIMATION METHOD FOR INTER-CELL INTERFERENCE MITIGATION OF MIMO ANTENNA OFDM SYSTEM | 1 |
Dong-Woon Han | KR | Gyeonggi-Do | 2012-03-15 / 20120064233 - Apparatus And Method For Manufacturing MMO Anode Using Continuous Coating And Heat Treatment Process | 1 |
Jong Hun Han | KR | Goyang-Si | 2012-03-15 / 20120064265 - Double Window/Door System for Blocking Infrared Light | 1 |
Jeffrey Han | CN | Shanghai | 2015-07-16 / 20150197678 - COMPOSITE PHASE CHANGE MATERIAL (PCM), METHOD FOR MANUFACTURING A COMPOSITE PCM, AND ARTICLE OF CLOTHING INCLUDING A COMPOSITE PCM | 3 |
Ying-Jie Han | CN | Shanghai City | 2012-03-15 / 20120064378 - SMART PROTECTION FOR A BATTERY PACK | 1 |
Shumao Han | CN | Shanghai | 2015-07-23 / 20150201604 - Volatile Material Dispensing System | 2 |
Sherwin Han | US | Portsmouth | 2016-03-03 / 20160063084 - Database without Structured Query Language | 7 |
Edward Han | US | Los Altos | 2012-10-18 / 20120265758 - SYSTEM AND METHOD FOR GATHERING, FILTERING, AND DISPLAYING CONTENT CAPTURED AT AN EVENT | 4 |
Chang-Kyun Han | KR | Seoul | 2015-07-30 / 20150210635 - NOVEL COMPOUND HAVING ABILITY TO INHIBIT 11B-HSD1 ENZYME OR PHARMACEUTICALLY ACCEPTABLE SALT THEREOF, METHOD FOR PRODUCING SAME, AND PHARMACEUTICAL COMPOSITION CONTAINING SAME AS ACTIVE INGREDIENT | 12 |
Dae Han | US | Trumbull | 2014-01-23 / 20140021335 - MICROPROCESSOR BASED MULTI-JUNCTION DETECTOR SYSTEM AND METHOD OF USE | 1 |
So-Young Han | KR | Daejeon | 2012-03-22 / 20120066963 - DEVICE FOR PREPARING BIO-OIL, SYSTEM FOR PREPARING BIO-OIL AND METHOD FOR PREPARING BIO-OIL USING THE SAME | 1 |
Fang Han | AU | South Australia | 2012-03-22 / 20120067828 - PHOTOCATALYST AND METHOD FOR PRODUCTION | 1 |
Daewoong Han | KR | Anyang-Si | 2014-12-11 / 20140365151 - SYSTEM AND METHOD FOR ESTIMATING OUTPUT CURRENT OF DC-DC CONVERTER | 2 |
Nam Fong Han | CA | Ottawa | 2012-03-22 / 20120070541 - SYRUP AND SUGAR PREPARED FROM MAPLE SAP OR MAPLE SYRUP AND PROCESS FOR PREPARATION THEREOF | 1 |
Gang Han | JP | Yasugi | 2013-10-03 / 20130255445 - DEVICE FOR PRODUCING TITANIUM METAL, AND METHOD FOR PRODUCING TITANIUM METAL | 3 |
Jeong-Min Han | KR | Gyeonggi-Do | 2012-03-22 / 20120070732 - NEGATIVE ACTIVE MATERIAL FOR SECONDARY BATTERY, AND ELECTRODE AND SECONDARY BATTERY INCLUDING THE SAME | 1 |
Li-Hsin Han | US | Palo Alto | 2014-06-12 / 20140161843 - Dynamic Macropore Formation Using Multiple Porogens | 2 |
Qiang Han | IE | Dublin | 2012-03-22 / 20120072435 - MULTIDIMENSIONAL TAGS | 1 |
Jun Hee Han | KR | Seoul | 2014-01-16 / 20140014512 - AMPEROMETRIC SENSORS AND DEVICES FOR MEASURING CONCENTRATION OF S-NITROSOTHIOLS BASED ON PHOTO-INDUCED DECOMPOSITION OF S-NITROSOTHIOLS | 1 |
Byung-Uk Han | KR | Yongin-City | 2015-12-10 / 20150357396 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING DISCONTINUOUS INSULATING LAYER | 24 |
Sangdon Han | US | San Diego | 2015-11-26 / 20150335618 - SUBSTITUTED 1,2,3,4-TETRAHYDROCYCLOPENTA[b]INDOL-3-YL)ACETIC ACID DERIVATIVES USEFUL IN THE TREATMENT OF AUTOIMMUNE AND INFLAMMATORY DISORDERS | 16 |
Ming Han | CA | Brampton | 2014-01-09 / 20140013223 - SYSTEM AND METHOD FOR CONTEXTUAL VISUALIZATION OF CONTENT | 1 |
Hak Son Han | KR | Seongnam-Si | 2015-06-25 / 20150176448 - MUFFLER FOR VEHICLE | 2 |
Zhengguang Han | CN | Shenzhen | 2012-03-29 / 20120073394 - DRIVE SYSTEM OF AN ELECTRIC VEHICLE | 1 |
Hsu Han | TW | Taipei City | 2016-04-28 / 20160118824 - REMOTELY MONITORABLE MULTI-PORT CHARGING DEVICE | 1 |
Youn-Moon Han | KR | Hwaseong | / - | 1 |
Jin Soo Han | KR | Suwon-Si | 2012-03-29 / 20120076076 - METHOD AND APPARATUS FOR CONNECTING TO NETWORK FROM MOBILE TERMINAL | 1 |
Jin-Ren Han | TW | Hsinchu City | / - | 1 |
Byung-Hee Han | KR | Gyeonggi-Do | 2014-04-24 / 20140110634 - NEGATIVE-ELECTRODE ACTIVE MATERIAL FOR RECHARGEABLE LITHIUM BATTERY | 2 |
Chan Chung Han | JP | Yokkaichi-City | 2012-03-29 / 20120077377 - WATERPROOF CONNECTOR AND METHOD OF PRODUCING RUBBER STOPPER | 1 |
Zhu Han | US | 2012-03-29 / 20120079576 - Authentication Method and Apparatus | 1 | |
Hq Han | US | Thousand Oaks | 2013-09-05 / 20130230515 - MYOSTATIN BINDING AGENTS | 9 |
Fei Han | CN | Suzhou | 2015-10-08 / 20150283246 - Amphiphilic Block Copolymer And Preparation Method Thereof And Micellar Drug-Loading System Formed By Same With Antitumor Drug | 2 |
Ii Han | KR | Suwon-Shi | 2009-01-08 / 20090010255 - METHOD OF TRANSMITTING/RECEIVING CONTROL MESSAGE IN A MOBILE COMMUNICATION SYSTEM PROVIDING MULTIMEDIA BROADCAST/MULTICAST SERVICE | 1 |
Jeong-Nam Han | US | 2012-04-12 / 20120085495 - ETCHING, CLEANING AND DRYING METHODS USING SUPERCRITICAL FLUID AND CHAMBER SYSTEMS USING THESE METHODS | 2 | |
Kyu Seok Han | KR | Seoul | 2013-01-31 / 20130026455 - HYBRID ORGANIC-INORGANIC THIN FILM AND PRODUCING METHOD OF THE SAME | 1 |
Byoung-Jin Han | KR | Suwon-Si | 2014-01-16 / 20140020067 - APPARATUS AND METHOD FOR CONTROLLING TRAFFIC BASED ON CAPTCHA | 3 |
Se-Hee Han | KR | Songpa-Gu | 2013-12-26 / 20130346553 - APPARATUS AND METHOD FOR PROVIDING UNIVERSAL PLUG AND PLAY SERVICE BASED ON WI-FI DIRECT CONNECTION IN PORTABLE TERMINAL | 1 |
Sol Han | KR | Yangpyeong-Gun | 2016-02-04 / 20160032185 - ETCHING COMPOSITION | 4 |
Young Kyu Han | US | Doraville | 2012-04-05 / 20120083194 - MECHANICAL DRAFT SYSTEMS | 1 |
Kyoungchan Han | KR | Hwaseong-Si | 2014-05-01 / 20140116385 - DEVICE AND METHOD FOR DETERMINING AND CONTROLLING COMBUSTION MISFIRE OF VEHICLE ENGINE | 6 |
Seo Jin Han | KR | Seongnam-Si | 2012-04-05 / 20120084140 - SYSTEM AND METHOD FOR ADJUSTING NUMBER OF ADVERTISEMENT INVENTORIES | 1 |
Eric Kai-Hau Han | US | Sunnyvale | 2012-06-21 / 20120154375 - Techniques For Enabling Remote Management Of Servers Configured With Graphics Processors | 2 |
Seung-Hoon Han | KR | Seoul | 2016-05-05 / 20160123721 - DEVICE AND METHOD FOR DETECTING POSITION OF OBJECT | 43 |
In Sik Han | KR | Daegu | 2014-12-11 / 20140360346 - BULLETPROOF FABRIC AND BODY ARMOR MANUFACTURED BY USING SAME | 17 |
Changlei Han | CN | Shenzhen | 2013-12-26 / 20130345095 - METHOD AND DEVICE FOR ASSEMBLING GENOME SEQUENCE | 1 |
Hsi-Rong Han | TW | Taichung County | 2012-04-12 / 20120086655 - TOUCH DISPLAY PANEL | 8 |
Jeong A. Han | KR | Gangwon-Do | 2010-06-17 / 20100152297 - COMPOSITION FOR REGULATING CELLULAR SENESCENCE COMPRISING N-[2-(CYCLOHEXY-LOXYL)-4-NITROPHENYL]-METHANESULFONAMIDE | 1 |
Jeong A. Han | KR | Chuncheon-Si | 2012-04-12 / 20120088839 - COMPOSITION FOR REGULATING CELLULAR SENESCENCE COMPRISING [N-2-(CYCLOHEXYLOXYL)-4-NITROPHENYL]-METHANESULFONAMIDE | 1 |
Tsung-Hsun Han | TW | Taipei City | 2012-04-12 / 20120086858 - DISPLAY AND MULTI-VIEW DISPLAYING SWITCH METHOD THEREOF | 2 |
Junsoo Han | KR | Cheonan-Si | 2012-04-12 / 20120087099 - Printed Circuit Board For Board-On-Chip Package, Board-On-Chip Package Including The Same, And Method Of Fabricating The Board-On-Chip Package | 1 |
Qing Han | CN | Shenzhen | 2012-04-12 / 20120087638 - PLAYING PROGRESS INDICATING METHOD FOR TIME-SHIFTED TELEVISION AND TELEVISION SET | 1 |
Lujia Han | CN | Shenzhen | 2012-04-12 / 20120087263 - Method And Apparatus For Frequency Offset Estimation And Correction In Orthogonal Frequency Division Multiplexing System | 1 |
Young-Hoon Han | KR | Suwon-Si | 2012-06-14 / 20120148320 - FUSING APPARATUS AND IMAGE FORMING APPARATUS HAVING THE SAME | 2 |
He-Tao Han | CN | Shenzhen City | 2013-03-21 / 20130068907 - FIXING APPARATUS FOR HARD DISK DRIVE | 2 |
Jung H. Han | US | Frisco | 2013-06-20 / 20130156893 - Processing of Whole or Portions of Genus Musa and Related Species | 3 |
Jia-Qing Han | CN | Shenzhen City | 2012-07-05 / 20120170776 - PORTABLE ELECTRONIC DEVICE HAVING UNIVERSAL EARPHONE JACK | 1 |
Yu Han | CN | Shenzhen City | 2014-03-27 / 20140083669 - HEAT SINK | 6 |
Rongbin Han | SG | Nonos | 2011-03-03 / 20110053783 - BIOACTIVE SURFACE FOR HEPATOCYTE-BASED APPLICATIONS | 1 |
Charles S. Han | US | San Francisco | 2013-12-19 / 20130339205 - Asset Valuation and Quantifying Personal Worth | 1 |
Jong-Hyun Han | KR | Paju-Si | 2016-02-04 / 20160034085 - LIQUID CRYSTAL DISPLAY DEVICE HAVING TOUCH AND THREE-DIMENSIONAL DISPLAY FUNCTIONS AND METHOD FOR MANUFACTURING THE SAME | 4 |
Yang Han | US | 2012-04-12 / 20120089883 - Systems and Methods for Error Correction Using Irregular Low Density Parity Check Codes | 1 | |
Bo Hoon Han | KR | Daegu | 2013-12-19 / 20130337993 - METHOD FOR PREPARING POLYCRYSTALLINE ALUMINUM OXYNITRIDE HAVING ENHANCED TRANSPARENCY | 1 |
Younggyun Han | KR | Jeonju-Si | 2012-04-19 / 20120090786 - MANUFACTURING DEVICE OF STEROSCOPIC IMAGE DISPLAY PANEL | 1 |
Sang Hyun Han | KR | Anyang-Si | 2015-03-26 / 20150084916 - CAPACITIVE SENSING CIRCUIT FOR MULTI-TOUCH PANEL, AND MULTI-TOUCH SENSING DEVICE HAVING SAME | 4 |
Jin Woo Han | KR | Bucheon-Si | 2015-09-17 / 20150263084 - SEMICONDUCTOR DEVICE WITH TRENCH TERMINATION STRUCTURE | 4 |
Nancy Han | CN | Shanghai | 2012-04-19 / 20120092604 - METHOD AND STRUCTURE FOR TOP METAL FORMATION OF LIQUID CRYSTAL ON SILICON DEVICES | 1 |
Hauk Han | KR | Hwaseong-Si | 2015-10-29 / 20150311298 - SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THE SAME | 10 |
Chang-Woo Han | KR | Seoul | 2016-05-12 / 20160133249 - SPEECH SIGNAL PROCESSING METHOD AND SPEECH SIGNAL PROCESSING APPARATUS | 2 |
Seung Il Han | KR | Sosa-Gu | 2015-09-24 / 20150265122 - ROBOT CLEANER | 5 |
Ji Han | CN | Shenzhen | 2013-12-12 / 20130330939 - LEAKAGE CURRENT DETECTION INTERRUPTER PLUG HAVING DETACHABLE CONNECT TERMINALS | 1 |
Sang Yup Han | KR | Daegu | 2013-12-12 / 20130329700 - MOBILE TERMINAL WITH A REDUCED HANDOFF DELAY TIME AND A WIRELESS NETWORK SYSTEM COMPRISING SAME | 1 |
Sang-Hyun Han | KR | Yongin-City | 2015-12-17 / 20150364705 - AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 71 |
Kyu-Seob Han | KR | Yongin-City | 2014-01-16 / 20140014918 - ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE APPARATUS, AND ORGANIC LIGHT EMITTING DISPLAY DEVICE MANUFACTURED USING THE METHOD | 4 |
Song-Yi Han | KR | Seoul | 2012-05-10 / 20120114210 - ENHANCING QUALITY OF ULTRASOUND IMAGE IN ULTRASOUND SYSTEM | 3 |
Sang-Ho Han | KR | Incheon-Si | 2012-11-29 / 20120302189 - AUTOMATICALLY CONTROLLABLE, FREQUENCY TUNABLE FILTER | 2 |
Mi-Kyung Han | KR | Gyeongsangbuk-Do | 2012-04-26 / 20120099013 - APPARATUS AND METHOD FOR CREATING DOT LED IMAGE IN PORTABLE TERMINAL | 1 |
Sang Woo Han | KR | Chungcheongnam-Do | 2016-04-28 / 20160114647 - LIQUID CRYSTAL DISPLAY CAP OF AIR CONDITIONING CONTROL PANEL FOR VEHICLE | 1 |
Hsieh-Cheng Han | TW | Taipei | 2015-12-24 / 20150372247 - FLUORINE-MODIFICATION PROCESS AND APPLICATIONS THEREOF | 2 |
Qifeng Han | KR | Seoul | 2013-12-12 / 20130327385 - SOLAR CELL HAVING A DOUBLE-SIDED STRUCTURE, AND METHOD FOR MANUFACTURING SAME | 1 |
Shufeng Han | US | Urbandale | 2015-03-19 / 20150077557 - VEHICLE AUTO-MOTION CONTROL SYSTEM | 2 |
Biao Han | CN | Guangdong | 2012-04-26 / 20120102012 - CROSS-REGION ACCESS METHOD FOR EMBEDDED FILE SYSTEM | 1 |
Sang-Choll Han | KR | Daejeon | 2014-10-23 / 20140313697 - MICRO LENS ARRAY SHEET AND BACKLIGHT UNIT COMPRISING THE SAME | 9 |
Myeong Woo Han | KR | Gyunggi-Do | 2014-06-19 / 20140168024 - DIELECTRIC WAVEGUIDE ANTENNA | 7 |
Kyu Bum Han | KR | Gyunggi-Do | 2013-02-28 / 20130051082 - SWITCHING POWER SUPPLY | 6 |
Chul Yeub Han | KR | Gyunggi-Do | 2011-09-01 / 20110211821 - CAMERA MODULE WITH ANTI-SHAKE DEVICE | 5 |
Shu-Jen Han | US | Wappingers Falls | 2013-09-05 / 20130230978 - SELF-ALIGNED CONTACTS | 15 |
Jongki Han | KR | Seoul | 2016-03-03 / 20160065972 - METHOD AND APPARATUS FOR GENERATING ENCODED MOTION INFORMATION /RECOVERING MOTION INFORMATION USING MOTION INFORMATION INTEGRATION, AND IMAGE ENCODING/DECODING METHOD AND APPARATUS USING SAME | 66 |
Ying Han | CN | Jinan City | 2012-06-07 / 20120137762 - FLOATING MECHANISM LOADING VEHICLE PROVIDED WITH DOUBLE SHAFTS AND EIGHT WHEELS FOR PAVEMENT ACCELERATED LOADING TEST | 2 |
Kwan-Young Han | KR | Suwon-Si | 2012-05-03 / 20120103520 - APPARATUS OF ETCHING GLASS SUBSTRATE | 1 |
Joon Soo Han | KR | Seoul | 2016-04-28 / 20160115036 - METHOD FOR PREPARING A HYDROSILANE USING HETERO ATOM CONTAINING ACTIVATED CARBON | 1 |
Kwan-Young Han | KR | Yongin-Si | 2015-12-31 / 20150378505 - TOUCH SCREEN PANEL | 4 |
Wenbin Han | CN | Shanghai | 2013-12-05 / 20130321139 - METHOD AND DEVICE FOR RECEIVING AND PROCESSING TIRE PRESSURE SIGNALS | 1 |
Myeong Woo Han | KR | Suwon | 2014-08-28 / 20140240049 - BIAS CIRCUIT AND AMPLIFIER CONTROLLING BIAS VOLTAGE | 7 |
Seung Heon Han | KR | Suwon | 2014-06-26 / 20140176828 - TOUCH PANEL | 7 |
Liang Han | CN | Beijing | 2014-11-20 / 20140340840 - ELECTRONIC APPARATUS AND DOCKING STATION | 4 |
Guanglin Han | CN | Beijing | 2016-04-21 / 20160112900 - DATA TRANSMISSION METHOD AND APPARATUS, BASE STATION, AND USER EQUIPMENT | 25 |
Bo Ram Han | KR | Gyeonggi-Do | 2012-05-03 / 20120108803 - SIRNA CONJUGATE AND PREPARATION METHOD THEREOF | 1 |
Chang Sun Han | KR | Incheon | 2012-05-03 / 20120109233 - PORTABLE COMBINED STIMULATION DEVICE FOR ALLEVIATING MENSTRUAL PAIN | 1 |
Peng Han | US | Lynnwood | 2012-05-03 / 20120109738 - TESTING ON-LINE ADVERTISING | 1 |
Zhen Xing Han | CN | Shanghai | 2012-05-03 / 20120110287 - SNAPSHOTS IN A HYBRID STORAGE DEVICE COMPRISING A MAGNETIC DISK AND A SOLID STATE DISK | 1 |
Fei Han | US | Clifton Park | 2014-12-04 / 20140352322 - ANNULAR STRIP MICRO-MIXERS FOR TURBOMACHINE COMBUSTOR | 11 |
Dong Ok Han | KR | Suwon | 2012-10-18 / 20120262163 - HALL SENSOR FOR CANCELING OFFSET | 12 |
Yang Soo Han | KR | Yongin-Si | 2012-05-10 / 20120112097 - METHOD AND APPARATUS FOR QUANTITATIVE ANALYSIS OF THE EXTENT OF MEMBRANE FOULING BY USING FLUORESCENT PROTEIN STRUCTURES | 1 |
Jung Seok Han | KR | Seoul | 2014-11-13 / 20140335642 - METHOD FOR MANUFACTURING ORGANIC SOLAR CELL | 2 |
Qingyou Han | US | West Lafayette | 2014-08-07 / 20140219861 - METHOD OF PRODUCING PARTICULATE-REINFORCED COMPOSITES AND COMPOSITES PRODUCED THEREBY | 2 |
Zenghu Han | US | College Park | 2012-05-10 / 20120112121 - EMULSIONS OF HEAT TRANSFER FLUIDS INCLUDING NANODROPLETS TO ENHANCE THERMAL CONDUCTIVITIES OF THE FLUIDS | 1 |
Kyung Eun Han | KR | Seoul | 2012-06-21 / 20120155884 - OPTICAL REPEATER SYSTEM | 3 |
Jung Pil Han | KR | Ulsan | 2015-07-09 / 20150192238 - VACUUM INSULATION PANEL WITH IMPROVED RUPTURING AND PREPARATION METHOD THEREOF | 9 |
Hyunjoo Han | US | Syracuse | 2012-05-10 / 20120114962 - SYSTEM AND METHOD FOR SYNTHESIZING CORE/ALLOY NANOSTRUCTURES | 1 |
Hao Han | CN | Kunshan | 2016-02-25 / 20160056596 - HIGH BANDWIDTH JACK WITH RJ45 BACKWARDS COMPATIBILITY HAVING AN IMPROVED STRUCTURE FOR REDUCING NOISE | 2 |
Eungnak Han | US | Hillsboro | 2014-09-18 / 20140272673 - BLOCK COPOLYMER-BASED MASK STRUCTURES FOR THE GROWTH OF NANOPATTERNED POLYMER BRUSHES | 5 |
Li Han | JP | Chiyoda-Ku | / - | 1 |
Chang Hyun Han | KR | Jeonmin-Dong Yuseong-Gu Daejeon | 2013-11-21 / 20130309334 - COMPOSITION FOR PREVENTING OR TREATING DEMENTIA CONTAINING PRUNUS MUME EXTRACT | 1 |
Jung-Soo Han | KR | Gil-Dong Gangdong-Gu Seoul | 2013-11-21 / 20130309334 - COMPOSITION FOR PREVENTING OR TREATING DEMENTIA CONTAINING PRUNUS MUME EXTRACT | 1 |
Nara Han | KR | Seoul | 2015-12-10 / 20150354568 - COMPRESSOR HAVING A LOWER FRAME AND A METHOD OF MANUFACTURING THE SAME | 4 |
Seung-Woo Han | KR | Daejeon-City | 2012-05-17 / 20120118506 - APPARATUS FOR MANUFACTURING A HIERARCHICAL STRUCTURE | 1 |
Yongkui Han | US | Westford | 2014-10-23 / 20140314081 - Automatic Assignment of Internet Protocol Addresses in a Ring Network | 2 |
Weilin Han | CN | Shanghai | 2012-05-17 / 20120118711 - UNDERCARRIAGE AND KEYLOCK ASSEMBLY FOR USE WITH A CIRCUIT BREAKER | 1 |
Su Dong Han | KR | Yongin | 2014-02-06 / 20140038072 - DEVICE AND METHOD FOR DETECTING COOLANT LEVEL IN THERMAL MANAGEMENT SYSTEM FOR FUEL CELL VEHICLE | 3 |
Zhisan Han | SG | Singapore | 2012-05-17 / 20120119860 - MAGNETIC BODY AND A PROCESS FOR THE MANUFACTURE THEREOF | 1 |
Min-Ho Han | KR | Daejeon | 2015-09-10 / 20150256555 - METHOD AND SYSTEM FOR NETWORK CONNECTION CHAIN TRACEBACK USING NETWORK FLOW DATA | 12 |
Zhihua Han | CN | Weihai | 2012-05-17 / 20120120433 - SCANNING-PRINTING INTEGRATED APPARATUS | 1 |
Kiwon Han | KR | Seoul | 2014-05-29 / 20140145810 - COIL FOR ENHANCING THE DEGREE OF FREEDOM OF A MAGNETIC FIELD | 5 |
Jong Hun Han | KR | Seoul | 2012-05-17 / 20120122444 - FEMTO BS FOR REDUCING INTER-CELL INTERFERENCE AND METHOD FOR TRANSMITTING SIGNAL USING THE SAME | 1 |
Jang Han | US | Emeryville | 2012-05-17 / 20120122962 - Modified Small Interfering RNA Molecules and Methods of Use | 1 |
Lei Han | CN | Jinan City | 2012-05-17 / 20120123116 - APPLICATION OF 2, 3 - DIHYDRO-3 - HYDROXYMETHYL -6 - AMINO - [1,4 ] - BENZOXAZINE IN PREPARATION OF DRUGS INDUCING EMBRYONIC STEM CELLS TO DIFFERENTIATE TO VASCULAR ENDOTHELIAL CELLS | 1 |
Dae Hee Han | KR | Seoul | 2012-05-17 / 20120123239 - Medical Image Processing System and Processing Method | 1 |
Seung Wan Han | KR | Daejeon | 2014-08-28 / 20140245448 - APPARATUS AND METHOD FOR ANALYZING PERMISSION OF APPLICATION FOR MOBILE DEVICES AND DETECTING RISK | 4 |
Moon Ki Han | KR | Cheongju-Si | 2008-10-30 / 20080268209 - Optical member for display apparatus and filter for display apparatus having the same | 1 |
Kyung Taeg Han | KR | Hwaseong-Si | 2012-05-24 / 20120126267 - LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF | 1 |
Jinho Han | KR | Daejeon | 2013-10-03 / 20130262909 - CLOCK RECOVERY, RECEIVER, AND COMMUNICATION SYSTEM FOR MULTIPLE CHANNELS | 2 |
Seung-Won Han | KR | Jeonju-Si | 2014-09-18 / 20140282264 - METHOD AND APPARATUS FOR DISPLAYING THUMBNAIL IMAGE | 2 |
Min Soo Han | KR | Suwon | 2012-05-24 / 20120127735 - RECESSED LIGHTING APPARATUS AND COVER THEREFOR | 1 |
Long Han | US | St. Charles | 2012-05-24 / 20120128313 - RADIATION CURABLE COATING FOR OPTICAL FIBER | 1 |
David Han | US | Pacifica | 2012-05-24 / 20120128728 - Compositions Comprising Amphotericin B | 1 |
Sang Mi Han | KR | Chungcheongnam-Do | 2012-05-24 / 20120128784 - COMPOSITION CONTAINING BEE VENOM AS AN ACTIVE INGREDIENT FOR PREVENTING AND TREATING ACNE | 1 |
Chung Sub Han | KR | Chungcheongnam-Do | 2012-05-24 / 20120128784 - COMPOSITION CONTAINING BEE VENOM AS AN ACTIVE INGREDIENT FOR PREVENTING AND TREATING ACNE | 1 |
Chien-Chung Han | TW | Hsinchu | 2014-05-01 / 20140121326 - METHOD FOR FORMING CONJUGATED HETEROAROMATIC HOMOPOLYMER AND COPOLYMER, AND PRODUCTS THEREOF | 2 |
Gunhee Han | KR | Gyeonggi-Do | 2014-10-02 / 20140292552 - TIME-TO-DIGITAL CONVERTER AND CONVERSION METHOD | 3 |
Se-Hee Han | KR | Soul | 2010-03-18 / 20100067872 - METHOD AND APPARATUS FOR PLAYING BACK SCENE USING UPnP | 1 |
Qiang Han | IE | Db Ashtown | 2013-04-18 / 20130097618 - ELECTONIC PROCESSING OF CONTEXT-AWARE BUSINESS EVENTS | 2 |
Jin Hee Han | KR | Daejeon | 2015-04-23 / 20150113272 - METHOD AND APPARATUS FOR AUTHENTICATING AND MANAGING APPLICATION USING TRUSTED PLATFORM MODULE | 17 |
Lei Han | CN | Shenzhen | 2014-12-18 / 20140368996 - PIVOT MECHANISM OF FOLDABLE ELECTRONIC DEVICE | 11 |
Yang Han | CN | Beijing | 2016-02-18 / 20160048206 - Display System and Diagnostic Method | 2 |
Dong Han | CN | Shenzhen City | 2012-05-31 / 20120133336 - RECHARGEABLE BATTERY CHECKER | 1 |
Sang-Ho Han | KR | Gwangyang-Si | 2013-11-07 / 20130295409 - Austenitic, Lightweight, High-Strength Steel Sheet Having High Yield Ratio and Ductility, and Method for Producing the Same | 1 |
Liang Han | US | Sunnyvale | 2016-04-07 / 20160097833 - Wireless Electronic Device With Calibrated Reflectometer | 15 |
Sang Kil Han | KR | Daejeon | 2012-05-31 / 20120135093 - SOAP COMPOSITION FOR TREATING ACNE CONTAINING ABSOLUTE GINSENG ESSENTIAL OIL | 1 |
Ji Seong Han | KR | Gyeonggi-Do | 2012-05-31 / 20120135314 - ELECTROLYTE ADDITIVE, ELECTROLYTE INCLUDING THE SAME AND LITHIUMSECONDARY BATTERY INCLUDING THE ELECTROLYTE. | 1 |
Young Han | US | Kathleen | 2014-07-24 / 20140206590 - Paint Stripping Compositions | 2 |
Haiyong Han | US | Phoenix | 2012-10-04 / 20120252753 - THERAPEUTIC TARGET FOR PANCREATIC CANCER CELLS | 2 |
Zhao Bing Han | CN | Beijing | 2013-08-29 / 20130227542 - VERSION CONFLICT CHECKING TO INSTALLABLE UNIT | 3 |
Peng Han | CN | Beijing | 2016-02-25 / 20160057098 - Reducing Broadcast Flooding in a Software Defined Network of a Cloud | 5 |
Myoung Hwan Han | KR | Seoul | 2012-06-07 / 20120139861 - MUSIC COMPOSITION METHOD AND SYSTEM FOR PORTABLE DEVICE HAVING TOUCHSCREEN | 7 |
Kum-Yon Han | KR | Suwon-Si | 2012-12-06 / 20120311561 - DISPLAY APPARATUS AND IMPLEMENTATION METHOD THEREOF | 9 |
Jung Jae Han | KR | Incheon-Si | 2012-06-07 / 20120137991 - ELECTRIC WATER PUMP CONTROL SYSTEM AND METHOD THEREOF | 1 |
Jin Woo Han | KR | Yongin | 2012-06-07 / 20120138215 - NANO GLASS POWDER FOR SINTERING ADDITIVE AND METHOD FOR FABRICATING THE SAME | 1 |
Sang Yoon Han | KR | Seoul | 2016-01-07 / 20160001688 - SEAT FOR VEHICLE | 2 |
Changseok Han | KR | Gyeonggi-Do | 2012-06-07 / 20120138891 - METHOD FOR REDUCTION OF EFFICIENCY DROOP USING AN (Al,In,Ga)N/Al(x)In(1-x)N SUPERLATTICE ELECTRON BLOCKING LAYER IN NITRIDE BASED LIGHT EMITTING DIODES | 1 |
Young Hun Han | KR | Seoul | 2015-05-28 / 20150144868 - LIGHT-EMITTING ELEMENT | 5 |
Yoon Kyoung Han | KR | Hwaseong-Si | 2012-06-07 / 20120139285 - ROOF APPARATUS FOR CONVERTIBLE CAR | 1 |
Kyoung-Tai Han | KR | Asan-Si | 2014-10-02 / 20140293187 - LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Mei Han | US | Palo Alto | 2014-10-09 / 20140301653 - SUMMARIZING A PHOTO ALBUM IN A SOCIAL NETWORK SYSTEM | 3 |
Seunghee Han | KR | Kyoungkido | 2014-04-03 / 20140092821 - DETERMINATION OF ENHANCED PHYSICAL DOWNLINK CONTROL CHANNEL CANDIDATES IN A WIRELESS COMMUNICATION NETWORK | 4 |
Wei Han | CN | Sai Kung | 2013-10-31 / 20130287723 - INORGANIC GEL FOR CONTROLLED RELEASING OF FRAGRANCE AND DISINFECTANT | 1 |
Seunghyun Han | KR | Seoul | 2015-07-09 / 20150193162 - MEMORY SYSTEM PERFORMING INCREMENTAL MERGE OPERATION AND DATA WRITE METHOD | 2 |
Dongwon Han | KR | Seoul | 2012-10-25 / 20120268762 - DOCUMENT WITH AN INTEGRATED DISPLAY AND METHOD OF MANUFACTURE THE SAME | 2 |
Dong Yoon Han | KR | Gyeongsangbuk-Do | 2013-10-31 / 20130285945 - TOUCH SENSING PANEL AND TOUCH SENSING DEVICE FOR DETECTING MULTI-TOUCH SIGNAL | 1 |
Jung-In Han | KR | Hwaseong-Si | 2013-03-07 / 20130058169 - NON-VOLATILE MEMORY SYSTEMS | 2 |
Dae Hoon Han | KR | Namyangju | 2012-06-14 / 20120146530 - LIGHT EMITTING DIODE DRIVER | 1 |
Hyeong Han | CA | Markham | 2012-06-14 / 20120146564 - LOW LOSS LOW NOISE MOTOR CONTROL SYSTEM | 1 |
Xiaodong Han | CN | Shenzhen | 2013-10-31 / 20130285673 - SYSTEM AND METHOD FOR LOW VOLTAGE DIFFERENTIAL SIGNALING TEST | 1 |
Caleb C. Han | US | Phoenix | 2014-08-07 / 20140220738 - LEAD FRAME ARRAY PACKAGE WITH FLIP CHIP DIE ATTACH | 2 |
Jae-Hee Han | KR | Daejeon-Si | 2012-06-14 / 20120147939 - SIGNAL DEMODULATION METHOD AND APPARATUS AND SIGNAL MODULATION METHOD AND APPARATUS IN RETURN LINK OF SATELLITE SYSTEM | 1 |
Jung Wook Han | KR | Uijeongbu-Si | 2013-10-31 / 20130284243 - EDUCATIONAL SOLAR CELL MODULE | 1 |
Jungho Han | KR | Jeju-Si | 2013-10-31 / 20130284105 - AUTOMATIC FEEDING SYSTEM FOR UNDERWATER FISH FARM | 1 |
Dong-Hee Han | KR | Yongin-Si | 2015-06-11 / 20150162604 - NEGATIVE ACTIVE MATERIAL, LITHIUM BATTERY INCLUDING THE MATERIAL, AND METHOD OF MANUFACTURING THE MATERIAL | 7 |
Jun Han | US | Hershey | 2012-06-14 / 20120149096 - PRRS VIRUSES, INFECTIOUS CLONES, MUTANTS THEREOF, AND METHOD OF USE | 1 |
Jung Su Han | KR | Yuseong-Gu | 2012-06-14 / 20120149859 - LATEX FOR DIP MOLDING, COMPOSITION FOR DIP MOLDING, PREPARATION METHOD OF DIP MOLDED PRODUCT, AND DIP MOLDED PRODUCT PREPARED THEREBY | 1 |
Choongyong Han | US | Houston | 2012-06-14 / 20120150506 - Constrained Pressure Residual Preconditioner For Efficient Solution Of The Adjoint Equation | 1 |
Dong-Soong Han | KR | Jeonju-Si | 2012-06-14 / 20120150834 - CREATION SUPPORTING SYSTEM USING METADATA BASED ON USER AND INFORMATION PROVIDING METHOD THEREOF | 1 |
Chang-Sun Han | KR | Daejeon | 2015-11-05 / 20150315321 - SUPERABSORBENT POLYMER | 17 |
Sang-Wook Han | US | Davis | 2012-06-14 / 20120151636 - Peptides for Stimulating Plant Disease Resistance | 1 |
Xiaobing Han | US | San Jose | 2013-10-24 / 20130283140 - SNAPSHOT GENERATION FOR SEARCH RESULTS PAGE PREVIEW | 4 |
Yang Su Han | KR | Kyungki-Do | 2008-11-13 / 20080279914 - Transdermal composition comprising piroxicam-inorganic material complex and patch system comprising the same | 1 |
Seung Chul Han | KR | Gwangju | 2012-06-21 / 20120151868 - 3-DIMENSIONAL LATTICE TRUSS STRUCTURE COMPOSED OF HELICAL WIRES AND METHOD FOR MANUFACTURING THE SAME | 1 |
Fu-Lai Han | TW | Tainan | 2013-10-24 / 20130280133 - DEVICE THAT GENERATES OXYGEN AND CONTROLS DELIVERY OF AIR FOR CAR | 1 |
Chang-Hee Han | KR | Pyungtaek-Si | 2012-09-06 / 20120222616 - SHOWER HEAD ASSEMBLY AND THIN FILM DEPOSITION APPARATUS COMPRISING SAME | 2 |
Ching-Chih Jason Han | US | Fremont | 2014-12-18 / 20140373105 - ENTERPRISE SECURITY MANAGEMENT SYSTEM USING HIERARCHICAL ORGANIZATION AND MULTIPLE OWNERSHIP STRUCTURE | 2 |
Sijin Han | US | Milpitas | 2014-09-18 / 20140261621 - WINDOW SOLAR HARVESTING MEANS | 13 |
A Reum Han | KR | Seoul | 2015-11-12 / 20150323717 - BRIGHTNESS ENHANCING FILM AND BACKLIGHT UNIT COMPRISING THE SAME | 3 |
A Reum Han | KR | Seongbuk-Gu | 2012-09-13 / 20120230899 - MIXED METAL OXIDE CATALYST FOR DECOMPOSITION OF NITROGEN OXIDES | 1 |
Seungdo Han | US | 2012-06-21 / 20120153749 - ELECTRIC MOTOR AND ELECTRIC VEHICLE HAVING THE SAME | 1 | |
Kwanghun Han | KR | Icheon-Si | 2009-07-30 / 20090191877 - METHOD AND DEVICE FOR ADAPTABLY CONTROLLING BANDWIDTH OF CHANNEL | 1 |
Chien-Yuan Han | TW | New Taipei City | 2014-06-12 / 20140160230 - Multi Channel and Wide-Angle Observation System | 2 |
Ki-Chul Han | KR | Daejeon | 2014-08-21 / 20140235172 - METHOD AND SYSTEM FOR COMMUNICATING BETWEEN DEVICES | 3 |
Wenxue Han | JP | Osaka | 2012-06-21 / 20120156331 - CHEESE-LIKE FOOD COMPOSITION AND A METHOD FOR PRODUCING THE SAME | 1 |
Su Jung Han | KR | Seongnam-Si | 2012-06-21 / 20120156788 - BIOLOGICAL MATERIAL TEST DEVICE AND METHOD OF CONTROLLING THE SAME | 1 |
Zhidong Han | US | Livermore | 2015-04-23 / 20150112651 - Bond Model For Representing Heterogeneous Material In Discrete Element Method | 3 |
Jinwook Han | KR | Seoul | 2013-10-17 / 20130274937 - COMPONENT FOR NETWORK SYSTEM AND METHOD FOR CONTROLLING SAME | 1 |
Wen-Chang Han | CN | Nanjing | 2012-06-21 / 20120159202 - POWER SUPPLY APPARATUS SUITABLE FOR COMPUTER | 1 |
Wade W. Han | US | Windermere | 2013-10-17 / 20130274598 - ILLUMINATION/VIBRATION DEVICE AND FACIAL SKIN MARKING SYSTEM FOR SINUS SURGICAL PROCEDURE | 1 |
Hak-Seung Han | KR | Hwaseong-Si | 2013-09-26 / 20130251238 - METHODS OF ALIGNING OBJECTS AND APPARATUSES FOR PERFORMING THE SAME | 3 |
Jeong In Han | KR | Seoul | 2014-03-06 / 20140065917 - METHOD OF PRODUCING POLYMER DISPERSED LIQUID CRYSTAL DEVICE USING COOLING PLATE | 4 |
Bingsong Han | US | Watertown | 2015-04-16 / 20150104384 - AMIDO-BENZYL SULFONE AND SULFOXIDE DERIVATIVES | 6 |
In Sun Han | KR | Seoul | 2013-11-07 / 20130295038 - Cross-Linked Copolymer Containing Phosphorylcholine Monomer and Cosmetic Composition Containing Same | 2 |
Dong-Ho Han | US | Beaverton | 2014-07-03 / 20140184028 - HYBRID RADIO FREQUENCY COMPONENT | 5 |
Jie Han | CN | Shanghai | 2015-11-05 / 20150319410 - BORESCOPE AND NAVIGATION METHOD THEREOF | 2 |
Jinsoo Han | KR | Daejeon | 2015-05-21 / 20150139664 - METHOD AND APPARATUS FOR TIME SYNCHRONIZATION BETWEEN NODES | 12 |
Seung Ho Han | KR | Yongin-Si | 2015-07-23 / 20150207436 - PLEZOELECTRIC GENERATOR FOR SUPPLYING POWER TO PORTABLE TERMINAL | 2 |
Sang Eon Han | US | Cambrigde | 2012-06-28 / 20120161600 - REPLICATION OF PATTERNED THIN-FILM STRUCTURES FOR USE IN PLASMONICS AND METAMATERIALS | 1 |
Kiwon Han | KR | Gyeonggi-Do | 2015-08-20 / 20150236539 - MOBILE TERMINAL | 3 |
Sang-Wook Han | KR | Busan-Si | 2012-06-28 / 20120161998 - RAMP SIGNAL GENERATOR, ANALOG TO DIGITAL CONVERTER, AND IMAGE SENSOR | 1 |
Seung Heon Han | KR | Gyunggi-Do | 2014-03-27 / 20140083758 - MAGNETIC BOARD AND METHOD FOR MANUFACTURING THE SAME | 9 |
Amy Han | US | Palo Alto | 2015-10-15 / 20150293617 - FULLY CLICKABLE TRACKPAD | 7 |
Sangkyoo Han | KR | Daejeon-Si | 2012-06-28 / 20120163037 - RESONANT CONVERTER | 1 |
Xu Han | US | Novi | 2016-04-28 / 20160115877 - M INDEX DETERMINATION SYSTEMS AND METHODS FOR WIEBE FUNCTIONS | 9 |
Kyung Sik Han | KR | Yongin-Si | 2012-07-05 / 20120170579 - MULTICAST SYSTEM AND MULTICAST METHOD USING SHORTENED ADDRESS AND APPARATUS APPLIED TO THE SAME | 3 |
Gwi Jung Han | KR | Ansan-Si | 2013-01-03 / 20130004623 - METHOD OF PRODUCING NOT-HARDENED WAXY RICE CAKE AND WAXY RICE CAKE PRODUCED BY USING THE SAME | 2 |
Sang Heon Han | KR | Yongin | 2012-06-28 / 20120164347 - SUSCEPTOR FOR CVD APPARATUS, CVD APPARATUS AND SUBSTRATE HEATING METHOD USING THE SAME | 1 |
Jung-Pil Han | KR | Nam-Gu Ulsan | 2012-06-28 / 20120164365 - VACUUM INSULATION PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Bob Han | US | San Francisco | 2015-02-12 / 20150045232 - INTEGRATED AND VERSATILE METHODS FOR SYSTEMS DIAGNOSIS OF DISEASES | 3 |
Eric Han | US | San Francisco | 2015-02-12 / 20150045232 - INTEGRATED AND VERSATILE METHODS FOR SYSTEMS DIAGNOSIS OF DISEASES | 3 |
Xiaoliang Han | US | San Francisco | 2016-04-21 / 20160108459 - AUTOMATED ISOLATION AND CHEMICAL REACTION(S) OF NUCLEIC ACIDS | 4 |
Joon Hee Han | KR | Chungcheongnam-Do | 2012-06-28 / 20120165499 - POLYMER, METHOD FOR PRODUCING THE SAME, AND RESIST COMPOSITION CONTAINING THE SAME | 1 |
Rui Bo Han | CN | Beijing | 2014-05-29 / 20140149621 - Switching a Locking Mode of an Object in a Multi-Thread Program | 4 |
Yun-Tack Han | KR | Anyang-Si | 2016-05-05 / 20160125840 - DISPLAY DEVICE | 6 |
In-Sub Han | KR | Chungcheongnam-Do | 2014-08-28 / 20140242327 - FIBER-REINFORCED CERAMIC COMPOSITE MATERIAL HONEYCOMB AND METHOD FOR PREPARING THE SAME | 6 |
Seung Han | KR | Uiwang-Si | 2015-01-22 / 20150021763 - EPOXY RESIN COMPOSITION AND SEMICONDUCTOR APPARATUS PREPARED USING THE SAME | 6 |
Ii-Young Han | KR | Uiwang-Si | 2009-12-31 / 20090321432 - Apparatus for Processing a Wafer | 1 |
Gyu-Seok Han | KR | Uiwang-Si | 2014-10-30 / 20140319421 - Photosensitive Resin Composition and Color Filter Using the Same | 21 |
Jin-Woo Han | US | San Jose | 2016-03-24 / 20160086954 - Memory Device Having Electrically Floating Body Transistor | 9 |
Seung Chul Han | KR | Seoul | 2012-07-05 / 20120174221 - Apparatus and method for blocking zombie behavior process | 1 |
Fu-Lai Han | TW | Tainan City | 2013-10-24 / 20130280139 - OXYGEN MANUFACTURING DEVICE FOR VEHICLES | 2 |
Hsi-Rong Han | TW | Taichung City | 2014-10-30 / 20140320550 - LIGHT-EMITTING COMPONENT DRIVING CIRCUIT AND RELATED PIXEL CIRCUIT AND APPLICATIONS USING THE SAME | 10 |
Jae-Woong Han | KR | Gyeongsangbuk-Do | 2012-07-05 / 20120169638 - DEVICE AND METHOD FOR TRANSMITTING DATA IN PORTABLE TERMINAL | 1 |
Jae Joon Han | KR | Yongin-Si | 2016-02-04 / 20160030851 - VIRTUAL WORLD PROCESSING DEVICE AND METHOD | 8 |
Yanling Han | CN | Chengdu | 2016-05-05 / 20160126690 - DUAL BEAMSPLITTING ELEMENT BASED EXCIMER LASER PULSE STRETCHING DEVICE | 2 |
Seok Min Han | KR | Yongin-Si | 2012-07-05 / 20120170826 - MULTI-ENERGY X-RAY SYSTEM, IMAGE PROCESSING APPARATUS FOR DISCRIMINATING MULTI-ENERGY X-RAY MATERIAL, AND IMAGE PROCESSING METHOD FOR MATERIAL DISCRIMINATION OF MULTI-ENERGY X-RAY SYSTEM | 1 |
Gil-Sang Han | KR | Anyang-Si | 2012-07-05 / 20120171112 - TITANIUM OXIDE NANO TUBE MATERIAL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Mingming Han | US | Nazareth | 2015-11-19 / 20150328328 - GLYCOCONJUGATION PROCESS | 4 |
Jungin Han | KR | Hwaseong-Si | 2012-07-05 / 20120173674 - Multimedia Contents Processing Method And System | 1 |
Heather Han | US | Brooklyn | 2013-10-03 / 20130261588 - FEMININE HYGIENE PRODUCT | 1 |
Shu-Jen Han | US | Cortlandt Manor | 2016-04-07 / 20160099332 - PARTIAL SACRIFICIAL DUMMY GATE WITH CMOS DEVICE WITH HIGH-K METAL GATE | 85 |
Jinsoo Han | KR | Daejeon | 2015-05-21 / 20150139664 - METHOD AND APPARATUS FOR TIME SYNCHRONIZATION BETWEEN NODES | 12 |
Tae-Hee Han | KR | Hwaseong-Si | 2013-10-03 / 20130261286 - PROCESS FOR PREPARING CASPOFUNGIN AND NOVEL INTERMEDIATES THEREOF | 1 |
Sang Kwon Han | KR | Seoul | 2013-10-03 / 20130260489 - UNIFORM COATING METHOD FOR LIGHT EMITTING DIODE | 1 |
Sang Wook Han | KR | Busan | 2014-09-25 / 20140284492 - PHOTON COUNTING DETECTOR TO GENERATE HIGH-RESOLUTION IMAGES AND HIGH-CONTRAST IMAGES, AND PHOTON COUNTING AND DETECTING METHOD USING THE SAME | 13 |
Sang-Kyoo Han | KR | Yuseong-Gu | 2012-07-12 / 20120176102 - POWER CONTROL METHOD AND APPARATUS FOR TRACKING MAXIMUM POWER POINT IN A PHOTOVOLTAIC SYSTEM | 1 |
Seung-Yeol Han | US | Corvallis | 2015-10-01 / 20150276989 - LOW TEMPERATURE-CURABLE ANTIREFLECTIVE COATINGS HAVING TUNABLE PROPERTIES INCLUDING OPTICAL, HYDROPHOBICITY AND ABRASION RESISTANCE | 2 |
Young-Gon Han | KR | Gimhae-Si Gyeongsangnam-Do | 2013-10-03 / 20130256468 - DEVICE FOR FIXING HYDRAULIC PIPE OF BOOM SWING TYPE EXCAVATOR | 1 |
Dong-Ii Han | KR | Uiwang-Si | 2012-07-12 / 20120177829 - COMPOSITION FOR FORMING SILICA BASED INSULATING LAYER, METHOD FOR MANUFACTURING COMPOSITION FOR FORMING SILICA BASED INSULATING LAYER, SILICA BASED INSULATING LAYER AND METHOD FOR MANUFACTURING SILICA BASED INSULATING LAYER | 1 |
Tae-Dong Han | KR | Yongin-Si | 2012-07-12 / 20120178765 - NOVEL GLUCOKINASE ACTIVATORS AND PROCESSES FOR THE PREPARATION THEREOF | 1 |
Jixiang Han | US | Maryland Heights | 2015-11-26 / 20150337336 - ENZYMES AND METHODS FOR STYRENE SYNTHESIS | 2 |
Tzyy-Jan Han | US | Pella | 2012-07-12 / 20120178967 - LEVULINIC ACID FROM FUNGAL BIOMASS | 1 |
Chun Han | US | Sunnyvale | 2012-07-12 / 20120179543 - Targeted advertisement | 1 |
Seung Mok Han | KR | Seoul | 2015-05-07 / 20150124879 - INTRA PREDICTION DEVICE FOR IMAGE | 4 |
Dong Cho Han | KR | Daejeon | 2016-04-07 / 20160095845 - COMPOSITION COMPRISING (S)-(-)-BENPROPERINE FOR PREVENTING OR TREATING CANCER | 12 |
Yang Kyoo Han | KR | Seoul | 2016-02-11 / 20160039991 - NOVEL ACRYLAMIDE-BASED MESOPOROUS POLYMER AND PREPARATION METHOD THEREOF | 13 |
David Han | US | Las Vegas | 2013-09-26 / 20130254099 - Online Method and System for an Invitation to bear witness for Union Separations | 1 |
Sangkwon Han | KR | Incheon | 2012-09-20 / 20120236278 - IMAGE PROCESSING-BASED LITHOGRAPHY SYSTEM AND METHOD OF COATING TARGET OBJECT | 2 |
Sung Min Han | KR | Uijeongbu-Si | 2013-09-26 / 20130253606 - PERIPHERAL NERVE INTERFACE SYSTEM AND METHOD FOR PROSTHETIC HAND CONTROL | 1 |
Weiguo Han | US | Albany | 2012-10-18 / 20120264619 - MICRORNA AFFINITY ASSAY AND USES THEREOF | 2 |
Xiaomei Han | CN | Hangzhou | 2014-01-09 / 20140012840 - GENERATING SEARCH RESULTS | 6 |
Man Ho Han | KR | Incheon-City | 2013-09-26 / 20130252170 - Polymer Compound, And Resist-Protecting Film Composition Including Same For A Liquid Immersion Exposure Process | 1 |
Won Seok Han | KR | Anseong-Si | 2016-05-05 / 20160122867 - DEPOSITION METHOD FOR TUNGSTEN-CONTAINING FILM USING TUNGSTEN COMPOUND, AND PRECURSOR COMPOSITION FOR DEPOSITING TUNGSTEN-CONTAINING FILM, COMPRISING TUNGSTEN COMPOUND | 4 |
Sang Hun Han | KR | Suwon-Si | 2015-07-30 / 20150214467 - VIBRATOR AND ELECTRONIC DEVICE INCLUDING THE SAME | 3 |
Jin-Seok Han | KR | Gyeonggi-Do | 2013-09-26 / 20130251001 - Interference Signal Avoiding Device of a Frequency Hopping Spread System and Method Thereof | 1 |
Dae Hoon Han | KR | Suwon | 2015-04-30 / 20150115840 - LIGHT EMITTING DIODE DRIVER | 5 |
Ji-Won Han | KR | Yongin-City | 2015-03-05 / 20150059969 - METHOD OF LAMINATING SUBSTRATES AND METHOD OF MANUFACTURING FLEXIBLE DISPLAY APPARATUS BY USING THE METHOD OF LAMINATING SUBSTRATES | 4 |
Sang-Hyuk Han | KR | Siheung-Si | 2012-07-26 / 20120187339 - OXYNITRIDE PHOSPHOR POWDER, NITRIDE PHOSPHOR POWDER, AND A PRODUCTION METHOD THEREFOR | 1 |
Woosung Han | US | Austin | 2015-10-22 / 20150303052 - PARALLEL MULTI WAFER AXIAL SPIN CLEAN PROCESSING USING SPIN CASSETTE INSIDE MOVABLE PROCESS CHAMBER | 2 |
Yongxin Han | CA | Montreal | 2015-02-26 / 20150057220 - FUSED AROMATIC PHOSPHONATE DERIVATIVES AS PRECURSORS TO PTP-1B INHIBITORS | 4 |
Lin Chun Han | US | Santa Clara | 2013-09-19 / 20130246644 - WIRELESS ENHANCED PROJECTOR | 1 |
Jonghyun Han | KR | Seoul | 2016-03-10 / 20160073227 - METHOD OF SETTING TIME ZONE BASED ON LOCATION INFORMATION AND ELECTRONIC DEVICE FOR SUPPORTING SAME | 2 |
Dong Han | US | San Diego | 2016-03-24 / 20160082014 - N-(HETERO)ARYL, 2-(HETERO)ARYL-SUBSTITUTED ACETAMIDES FOR USE AS WNT SIGNALING MODULATORS | 12 |
Jong Hye Han | KR | Changwon-Si | 2013-07-18 / 20130185079 - HOME APPLIANCE, HOME APPLIANCE SYSTEM, AND METHOD FOR OPERATING SAME | 9 |
Jianwei Han | CN | Shenzhen | 2013-09-19 / 20130244357 - RED FLUORESCENT MATERIALS AND PREPARATION METHODS THEREOF | 1 |
Xu Han | CN | Shenzhen | 2013-09-19 / 20130244885 - HIGH-THROUGHPUT SEQUENCING METHOD FOR METHYLATED DNA AND USE THEREOF | 1 |
Moon Gyu Han | KR | Yongin-Si | 2016-02-04 / 20160035793 - IMAGE SENSORS AND ELECTRONIC DEVICES INCLUDING THE SAME | 18 |
Jung Chul Han | KR | Icheon-Si | 2012-08-02 / 20120195117 - SEMICONDUCTOR SYSTEM AND DATA PROGRAMMING METHOD | 1 |
Jung Chul Han | KR | Ichon-Shi | 2012-08-02 / 20120198132 - NON-VOLATILE MEMORY SYSTEM AND APPARATUS, AND PROGRAM METHOD THEREOF | 1 |
Seunghee Han | KR | Anyangshi | 2015-07-02 / 20150189677 - SEQUENCE GENERATION FOR CELL SPECIFIC REFERENCE SIGNAL (CRS) | 37 |
Kyung-Hee Han | KR | Anyang-Si | 2012-08-02 / 20120196193 - COMPOSITE GRAPHITE PARTICLES AND LITHIUM SECONDARY BATTERY USING THE SAME | 1 |
Jung-Min Han | KR | Anyang-Si | 2012-08-02 / 20120196193 - COMPOSITE GRAPHITE PARTICLES AND LITHIUM SECONDARY BATTERY USING THE SAME | 1 |
Ling Han | CN | Shanghai | 2012-08-02 / 20120196523 - HIGH EFFICIENCY ENERGY RECOVERY CORE FOR VENTILATION | 1 |
Sang-Bae Han | KR | Cheongju | 2012-08-02 / 20120196831 - COMPOSITION FOR TREATING AND PREVENTING OBESITY INCLUDING HIGH WATER-SOLUBLE 2-HYDROXYPROPYL-betaCYCLODEXTRIN AS EFFECTIVE COMPONENT | 1 |
Jian Han | CN | Shenzhen City | 2012-08-02 / 20120198085 - System and Method for CSCF Entity Disaster Tolerance and Load Balancing | 1 |
Wei Han | CN | Shanghai | 2016-01-28 / 20160029393 - PACKET-SWITCHED NETWORK RETURN | 13 |
Sang Hoon Han | KR | Suwon-Si | 2013-09-26 / 20130251827 - COSMETIC COMPOSITION FOR SKIN MOISTURISATION COMPRISING PINE-RESIN EXTRACT, PINUS DENSIFLORA NEEDLE EXTRACT AND PINUS DENSIFLORA ROOT EXTRACT | 9 |
Sang-Kyun Han | US | Sunnyvale | 2012-08-09 / 20120201085 - LOW POWER MEMORY CONTROL CIRCUITS AND METHODS | 1 |
Seung Hee Han | KR | Anyang-Si Gyeonggi-Do | 2014-11-27 / 20140347972 - METHOD AND APPARATUS FOR TRANSMITTING/RECEIVING A REFERENCE SIGNAL IN A WIRELESS COMMUNICATION SYSTEM | 4 |
Jin Kyu Han | KR | Dongjak-Gu | 2012-12-20 / 20120320863 - WIRELESS COMMUNICATION SYSTEM AND METHOD FOR RETRANSMISSION PROCESS THEREOF | 6 |
Lifeng Han | CN | Tianjin | 2012-08-09 / 20120202755 - FOLIAMANGIFEROSIDES, PREPARATION METHOD AND USE THEREOF | 1 |
Jung Hee Han | KR | Daejeon | 2012-08-09 / 20120202998 - NOVEL METHOD FOR PREPARING ENTECAVIR AND INTERMEDIATE USED THEREIN | 1 |
Younho Han | KR | Asan-Si | 2012-06-07 / 20120139964 - DISPLAY PANEL MODULE AND MULTI-PANEL DISPLAY APPARATUS INCLUDING THE SAME | 1 |
Sang-Sun Han | KR | Asan-Si | 2012-08-16 / 20120206940 - BACKLIGHT ASSEMBLY | 1 |
Myung-Sup Han | KR | Asan-Si | 2011-02-24 / 20110042983 - NOZZLE FOR HOLDING A SUBSTRATE AND APPARATUS FOR TRANSFERRING A SUBSTRATE INCLUDING THE SAME | 1 |
Jeong-Geun Han | KR | Asan-Si | 2012-06-21 / 20120152455 - METHOD OF MANUFACTURING CONDUCTIVE PARTICLE, ANISOTROPIC CONDUCTIVE ADHESIVE HAVING THE SAME, AND METHOD OF MANUFACTURING DISPLAY APPARATUS USING THE SAME | 2 |
Hun Han | KR | Asan-Si | 2012-08-16 / 20120207561 - ROUTER APPARATUS | 1 |
Giju Han | KR | Asan-Si | 2011-10-27 / 20110260818 - SLIM TYPE HIGH VOLTAGE TRANSFORMER | 2 |
Fenglin Han | CN | Shanghai | 2012-08-16 / 20120205336 - CRANE JIB TRANSITION STRUCTURE | 1 |
Liang Han | US | Mountain View | 2013-09-12 / 20130234741 - Methods for Characterizing Tunable Radio-Frequency Elements | 1 |
Eric Han | US | Mountain View | 2012-08-16 / 20120206140 - System And Method For Generating A Magnetic Resonance Image Using Prospective Motion Correction And Parallel Imaging | 1 |
James T. Han | US | Rockville | 2013-09-12 / 20130232678 - DUAL FLUSH TOILET DEVICES | 1 |
Sang-Su Han | KR | Yongin-Si | 2013-05-02 / 20130106876 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 4 |
Hsi-Rong Han | TW | Wuruh Township | 2012-08-16 / 20120206434 - Shift Register | 1 |
Haksoo Han | KR | Seoul | 2016-04-14 / 20160102184 - MICROPOROUS POLYIMIDE SPONGE AND METHOD FOR PRODUCING THE SAME | 2 |
Taehee Han | US | Farmington Hills | 2016-04-14 / 20160104899 - NON-CARBON MIXED-METAL OXIDE ELECTROCATALYSTS | 18 |
Jung-Il Han | KR | Incheon | 2012-08-23 / 20120210554 - APPARATUS AND METHOD FOR PICKING UP AND MOUNTING BARE DIES | 1 |
Chunyuan Han | CN | Shenzhen | 2013-11-14 / 20130305047 - METHOD, AND DEVICE AND SYSTEM FOR UNLOCKING TERMINAL BY OPERATOR | 2 |
Jae Won Han | KR | Suji-Gu | 2013-09-05 / 20130229733 - Lightening Protection Apparatus Using TN-C Common Ground | 1 |
Licheng M. Han | US | Frisco | 2015-07-02 / 20150187488 - INTEGRATED CIRCUIT WITH MICRO INDUCTOR AND MICRO TRANSFORMER WITH MAGNETIC CORE | 2 |
George Han | US | Bronx | 2012-08-23 / 20120213697 - VERSATILE NANOPARTICULATE BIOMATERIAL FOR CONTROLLED DELIVERY AND/OR CONTAINMENT OF THERAPEUTIC AND DIAGNOSTIC MATERIAL | 1 |
Myangsik Han | KR | Suwon-Si | 2012-08-23 / 20120214316 - SEMICONDUCTOR DEVICES HAVING PLANARIZED INSULATION LAYERS AND METHODS OF FABRICATING THE SAME | 1 |
Yung Han | TW | Jhonghe City | 2012-08-23 / 20120214332 - Charging Structure of Cigarette Lighter Plug | 1 |
Jinkyu Han | KR | Seoul | 2014-10-09 / 20140301320 - HARQ METHOD AND APPARATUS FOR COMMUNICATION SYSTEM | 8 |
Haesook Han | US | Henderson | 2016-03-03 / 20160060401 - Fire Retardant Materials and Devices Including Same | 2 |
Song-Yi Han | KR | Hwaseong-Si | 2015-10-01 / 20150279960 - FIELD EFFECT TRANSISTOR AND METHOD OF FABRICATING THE SAME | 2 |
Seung Ho Han | KR | Suwon | 2015-03-05 / 20150062983 - CHOKE COIL AND POWER SUPPLY DEVICE INCLUDING THE SAME | 3 |
Mei-Ling Pauling Han | US | Rowland Heights | 2014-05-08 / 20140123418 - Brush Head | 2 |
Sijin Han | US | Milpitas | 2014-09-18 / 20140261621 - WINDOW SOLAR HARVESTING MEANS | 13 |
Guilu Han | CN | Shenzhen | 2012-09-06 / 20120224489 - System, Apparatus and Method for Making Statistics on Point Protocol Negotiation State in Wireless System | 1 |
Woojong Han | US | Phoenix | 2014-12-11 / 20140365796 - Power Management For A System On A Chip (SoC) | 16 |
Kun-Wook Han | KR | Seongnam-Si | 2014-09-25 / 20140287542 - IR SENSING TRANSISTOR AND MANUFACTURING METHOD OF DISPLAY DEVICE INCLUDING THE SAME | 16 |
Kyu Won Han | KR | Ansan-Si | 2015-01-15 / 20150014739 - LED MODULE, METHOD FOR MANUFACTURING THE SAME, AND LED CHANNEL LETTER INCLUDING THE SAME | 2 |
Dong Hee Han | KR | Cheongju-Si | 2012-09-13 / 20120228678 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Enlin Han | CN | Beijing | 2013-07-18 / 20130183525 - Methods of Preparing Polyimide Fibers with Kidney-Shaped Cross-Sections | 3 |
Yong Han | CN | Dongguan | 2012-09-13 / 20120228798 - Manufacturing Method For Rubber Band | 1 |
Xiaofeng Han | JP | Kanagawa | 2015-10-29 / 20150309996 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD | 14 |
Wenning W. Han | US | Houston | 2013-09-19 / 20130245343 - New Poly Alpha Olefin Compositions | 2 |
Min Hee Han | KR | Jeonbuk | 2012-09-13 / 20120231511 - PREPARATION METHOD FOR BIO-FUEL MATERIALS AND BIO-CHEMICALS | 1 |
Min Woo Han | KR | Hwaseong-Si | 2013-08-15 / 20130210294 - Underwater Robot Based on Flapping | 1 |
Xuemei Han | CN | Heilongjiang Province | 2012-09-13 / 20120232321 - METHOD FOR SYNTHESIS OF 1-DECENE OLIGOMER | 1 |
You-Keun Han | KR | Yongin-Si | 2014-08-07 / 20140219044 - MEMORY MODULE AND MEMORY SYSTEM COMPRISING SAME | 9 |
Kook Il Han | KR | Seoul | 2015-04-23 / 20150111127 - FUEL CELL WITH ENHANCED MASS TRANSFER CHARACTERISTICS | 14 |
Jin Young Han | KR | Seoul | 2013-08-15 / 20130209615 - SYSTEM FOR PROVIDING FOOD EXPIRATION DATE INFORMATION USING TTI AND METHOD FOR PROVIDING FOOD EXPIRATION DATE INFORMATION USING THE SAME | 1 |
Huiquan Han | US | Thousand Oaks | 2016-05-19 / 20160137718 - Stabilized Receptor Polypeptides and Uses Thereof | 15 |
Chuan Han | US | Blacksburg | 2013-08-15 / 20130208583 - Avoiding Broken Links in Smart Meter Networks for Loop-Free Routing of Packets | 1 |
Sang Sup Han | KR | Yuseong-Gu | 2014-05-29 / 20140148634 - METHOD AND APPARATUS FOR RECOVERING ETHYLENE FROM FLUIDIZED CATALYTIC CRACKING (FCC) OFF-GAS | 2 |
Chul Min Han | KR | Seoul | 2012-09-20 / 20120236248 - CAMERA MODULE | 1 |
Bei Han | CN | Beijing | 2013-08-15 / 20130210675 - COMPOSITIONS AND METHODS FOR CONTROLLED RELEASE OF BIOMOLECULES | 2 |
Hongbin Han | CN | Beijing | 2013-10-31 / 20130288995 - METHODS FOR ADMINISTRATION OF CITICOLINE IN STROKE TREATMENT | 3 |
Koun Han | US | Palo Alto | 2012-09-20 / 20120239467 - PLATFORM FOR DISTRIBUTING DEALS VIA A SOCIAL NETWORKING SYSTEM | 1 |
Changmin Han | KR | Cheongwon-Gun | 2013-10-03 / 20130260199 - DEVICE FOR FOLDING ELECTRODE ASSEMBLY | 2 |
Hye Young Han | KR | Seongdong-Gu | 2013-08-15 / 20130210811 - PICOLINAMIDE AND PYRIMIDINE-4-CARBOXAMIDE COMPOUNDS, PROCESS FOR PREPARING AND PHAMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Hye Young Han | KR | Seoul | 2008-08-28 / 20080207614 - QUINAZOLINE DERIVATIVES FOR THE TREATMENT AND PREVENTION OF DIABETES AND OBESITY | 1 |
Do Suck Han | KR | Seongnam | 2015-06-04 / 20150152308 - MICRO PARTICLE FOR THERMAL CONTROL MATERIAL AND DEVICE AND METHOD OF PRODUCING THE SAME USING ULTRASONIC HIGH-TEMPERATURE VIBRATION SCHEME | 27 |
Se Jong Han | KR | Gyeonggi-Do | 2016-03-24 / 20160083709 - COLD-ADAPTED PROTEASE DERIVED FROM PSEUDOALTEROMONAS ARCTICA PAMC 21717 AND USES THEREOF | 4 |
Chang Moon Han | KR | Seoul | 2014-07-24 / 20140204839 - DEVICE AND METHOD FOR CONCURRENT CALL AVOIDANCE | 6 |
Kyeong Soo Han | KR | Daejeon-Si | 2015-07-09 / 20150193822 - APPARATUS AND METHOD FOR INFERRING USER PROFILE | 3 |
Chao Han | CN | Beijing | 2014-08-28 / 20140242048 - Methods For Controlling Pests | 6 |
Dian Ping Han | CA | Mississauga | 2013-08-08 / 20130203346 - NEAR FIELD COMMUNICATION (NFC) ACCESSORY PROVIDING ENHANCED DATA TRANSFER FEATURES AND RELATED METHODS | 1 |
Yongjun Han | CN | Shanghai | 2013-08-15 / 20130210678 - MOESIN FRAGMENTS AND USES THEREOF | 2 |
Yung Lung Han | TW | Hsin-Chu | 2012-11-15 / 20120287655 - HEAT DISSIPATION DEVICE | 5 |
Kwanyoung Han | KR | Yongin-City | 2012-09-27 / 20120244367 - DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Hyun-Ju Han | KR | Seoul | 2012-09-27 / 20120244531 - METHOD FOR PROVIDING INFORMATION FOR DIAGNOSING CANCER USING QUANTITATIVE REAL-TIME PCR AND KIT FOR DIAGNOSING CANCER FOR THE SAME | 1 |
Jae-Jin Han | KR | Yongin-Si | 2013-02-28 / 20130053354 - BONE-TRANSPLANT OR BONE-FILLING COMPOSITION COMPRISING A DIHYDROXYBENZOIC ACID DERIVATIVE | 2 |
Eui-Hong Han | US | Hawthorn Woods | 2013-08-08 / 20130201190 - SYSTEMS AND METHODS FOR DISCLOSING TARGET ELEMENTS IN HIGH DEFINITION IMAGES | 1 |
Eric K. Han | US | Redmond | 2012-09-27 / 20120246270 - SELECTIVELY ENABLED QUALITY OF SERVICE POLICY | 1 |
Hyun Kyu Han | KR | Seoul | 2012-09-27 / 20120246594 - METHOD AND APPARATUS OF MANAGING ITEMS ON CLIPBOARD OF PORTABLE TERMINAL | 1 |
Ki Wook Han | KR | Seoul | 2015-12-17 / 20150359515 - ULTRASOUND DIAGNOSIS APPARATUS HAVING PLURALITY OF DISPLAY UNITS | 4 |
Kyu-Ii Han | KR | Gumi-Si | 2012-10-04 / 20120248418 - ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 1 |
Dehua Han | US | Freemont | 2014-01-23 / 20140022673 - WRITE HEAD WITH MODIFIED SIDE SHIELDS | 2 |
Shu-Jen Han | US | 2012-10-04 / 20120248502 - III-V FIELD EFFECT TRANSISTOR (FET) AND III-V SEMICONDUCTOR ON INSULATOR (IIIVOI) FET, INTEGRATED CIRCUIT (IC) CHIP AND METHOD OF MANUFACTURE | 2 | |
Yun Hee Han | US | 2012-10-04 / 20120254930 - METHOD AND VIDEO DEVICE FOR ACCESSING INFORMATION | 1 | |
Joo Hee Han | KR | Daejeon | 2013-08-15 / 20130207294 - Conductive Paint Composition and Method for Manufacturing Conductive Film Using the Same | 9 |
May Han | US | Brookline | 2015-10-08 / 20150285817 - Method for treating and identifying lung cancer patients likely to benefit from EGFR inhibitor and a monoclonal antibody HGF inhibitor combination therapy | 12 |
Jingyan Han | CN | Beijing | 2012-10-04 / 20120251634 - TRADITIONAL CHINESE DRUG COMPRISING DANSHEN EXTRACTS AND SANQI EXTRACTS AND USE THEREOF | 1 |
Won-Suk Han | KR | Gyeonggi-Do | 2012-10-04 / 20120251736 - CONDUCTIVE INK COMPOSITION, METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR MANUFACTURING CONDUCTIVE THIN LAYER USING THE SAME | 1 |
Wei-Qiang Han | US | East Setauket | 2012-10-04 / 20120251887 - Carbon-Coated Magneli-Phase TinO2n-1 Nanomaterials and a Method of Synthesis Thereof | 1 |
Wei Han | HK | New Territories | 2012-10-04 / 20120251903 - SELF-HUMIDIFYING MEMBRANE AND SELF-HUMIDIFYING FUEL CELL | 1 |
Zhixiu Han | US | Acton | 2015-05-07 / 20150127118 - PROSTHETIC, ORTHOTIC OR EXOSKELETON DEVICE | 16 |
Licheng Marshal Han | US | Frisco | 2013-08-01 / 20130193569 - Integrated Circuit Die And Method Of Fabricating | 1 |
Jae Myung Han | US | 2012-10-11 / 20120255322 - REFRIGERATOR WITH AUXILIARY BASKET | 1 | |
Zhenyu Han | CN | Sichuan | 2013-08-01 / 20130193223 - STEEL RAIL FOR HIGH SPEED AND QUASI-HIGH SPEED RAILWAYS AND METHOD OF MANUFACTURING THE SAME | 1 |
Binbing Han | US | Pensacola | 2016-02-25 / 20160053064 - ROBUST POLYMERIC MEMBRANE | 6 |
Xu Han | CN | Pudong | 2012-10-11 / 20120255615 - Electron Deficient Molecules and their use in Organic Electronic Applications | 1 |
Jae Woo Han | KR | Bucheon-Si | 2012-10-11 / 20120256827 - INPUT DEVICE AND ELECTRONIC DEVICE INCLUDING THE SAME | 1 |
Yanchun Han | CN | Jiangsu | 2012-10-18 / 20120263609 - COMPRESSOR INCLUDING MOTOR COOLING | 1 |
Jung Im Han | KR | Yongin-Si | 2015-06-04 / 20150151984 - SEPARATION MEMBRANE, METHOD OF MANUFACTURING THE SAME, AND WATER TREATMENT DEVICE INCLUDING THE SEPARATION MEMBRANE | 16 |
Kai Han | CN | Beijing | 2014-01-16 / 20140015063 - Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device | 11 |
In-Sub Han | KR | Daejeon | 2015-01-22 / 20150024299 - UNIT CELL FOR SOLID-OXIDE FUEL CELL AND SOLID-OXIDE FUEL CELL USING SAME | 8 |
In-Sub Han | KR | Geumsan-Gun | 2016-02-11 / 20160043410 - TUBE-TYPE SOLID-OXIDE SECONDARY BATTERY | 5 |
Kyusang Han | US | Port Washington | 2014-04-03 / 20140090657 - ARTIFICIAL NAIL OR TIP ARRANGEMENT AND METHOD OF MAKING SAME | 5 |
Sang-Do Han | KR | Daejeon | 2012-10-18 / 20120260984 - High Efficiency Solar Cell Using Phosphors | 1 |
Jung-Eun Han | KR | Suwon-Si | 2012-10-18 / 20120261542 - STAND DEVICE FOR MOBILE TERMINAL | 1 |
Yung Han | TW | Taipei County | 2013-08-01 / 20130194805 - LED LIGHTING STRUCTURE | 8 |
Man-Heung Han | KR | Hwaseong-Si | 2015-10-29 / 20150311768 - RESOLVER OF ELECTRIC DRIVING MOTOR FOR VEHICLE | 2 |
Jae-Hee Han | KR | Seongnam | 2012-10-18 / 20120262027 - NANOSCALE THERMOELECTRIC WAVE GENERATORS | 1 |
Guanglin Han | CN | Shenzhen | 2015-06-04 / 20150156790 - METHOD, APPARATUS, AND SYSTEM FOR RESOURCE SCHEDULING | 10 |
Kyoung-Sik Han | NZ | Palmerston North | 2012-10-18 / 20120263826 - ENCAPSULATION SYSTEM FOR PROTECTION OF PROBIOTICS DURING PROCESSING | 1 |
Song L Han | KR | Busan | 2013-07-25 / 20130189755 - APPARATUS FOR SEPARATING FINE PARTICLES USING MAGNETOPHORESIS, AND METHOD FOR SEPARATING FINE PARTICLES USING SAME | 1 |
Ki Ho Han | KR | Gimhae-Si | 2013-07-25 / 20130189755 - APPARATUS FOR SEPARATING FINE PARTICLES USING MAGNETOPHORESIS, AND METHOD FOR SEPARATING FINE PARTICLES USING SAME | 1 |
Biao Han | CN | Beijing | 2015-02-05 / 20150039287 - TRANSLATING TEXTUAL INFORMATION OF AN APPLICATION | 3 |
Jungsoo Han | KR | Seoul | 2011-10-13 / 20110251533 - WEARABLE ROBOTIC SYSTEM FOR REHABILITATION TRAINING OF THE UPPER LIMBS | 1 |
Ga Young Han | KR | Suwon-Si Gyeonggi-Do | 2013-07-25 / 20130188120 - COMPOUND HAVING PHOTOSENSITIVITY, POLYMER FORMED BY POLYMERIZING THE COMPOUND, AND PRODUCTION METHOD THEREOF | 1 |
Dong Wan Han | KR | Uijeongbu-Si Gyeonggi-Do | 2013-07-25 / 20130188120 - COMPOUND HAVING PHOTOSENSITIVITY, POLYMER FORMED BY POLYMERIZING THE COMPOUND, AND PRODUCTION METHOD THEREOF | 1 |
Guo Jun Han | CN | Shanghai | 2012-10-25 / 20120269328 - FOLDABLE PRESSING DEVICE AND X-RAY MACHINE | 1 |
Wang Han | CN | Beijing | 2016-01-28 / 20160024228 - Milling Process | 5 |
Dongmei Han | US | Bowling Green | 2012-10-25 / 20120270297 - CULTURING AND GENETIC MANIPULATIONS OF THERMOTOGA SPP. | 1 |
Hongna Han | US | Irvine | 2012-11-01 / 20120277233 - Pyridyl-Triazine Inhibitors of Hedgehog Signaling | 2 |
Kwen-Woo Han | KR | Uiwang-Si | 2014-10-23 / 20140315367 - RINSE LIQUID FOR INSULATING FILM AND METHOD OF RINSING INSULATING FILM | 3 |
Youngae Han | US | San Jose | 2012-10-25 / 20120271602 - Methods for Integrated Circuit Analysis | 1 |
Dae Suk Han | KR | Hanam-Si | 2010-12-16 / 20100314468 - Water-saving shower head with extension utilizing air-pressure | 1 |
Jin-Seok Han | KR | Gunpo-Si | 2015-07-30 / 20150215092 - Data Signal Transmitting Method and Data Signal Receiving Method in Wireless Communication System | 2 |
Eric K. Han | US | Sunnyvale | 2013-07-18 / 20130181999 - PARA-VIRTUALIZED DOMAIN, HULL, AND GEOMETRY SHADERS | 1 |
Seung Chul Han | KR | Gyeonggi-Do | 2015-09-10 / 20150255361 - SEMICONDUCTOR DEVICE WITH THIN REDISTRIBUTION LAYERS | 4 |
Jinman Han | KR | Seoul | 2012-11-01 / 20120275234 - NONVOLATILE MEMORY DEVICES, MEMORY SYSTEMS AND COMPUTING SYSTEMS | 1 |
Chan-Hee Han | KR | Pohang-Si | 2016-05-12 / 20160133365 - Grain-Oriented Electric Steel Sheet Having Superior Magnetic Property | 2 |
Kyu-Seok Han | KR | Pohang-Si | 2016-05-12 / 20160133365 - Grain-Oriented Electric Steel Sheet Having Superior Magnetic Property | 2 |
Jeong-Hyun Han | KR | Hwaseong-Si | 2012-11-01 / 20120276903 - METHOD AND APPARATUS FOR ALLOCATING FEMTO CELL INFORMATION FOR HANDOVER IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Seunguk Han | KR | Gyeonggi-Do | 2012-12-20 / 20120320655 - SEMICONDUCTOR DEVICES | 1 |
Pat A. Han | FR | Bois Guilaume | 2012-11-01 / 20120277327 - PROCESS AND APPARATUS FOR REFORMING HYDROCARBONS | 1 |
Zheng Han | CN | Beijing | 2016-03-03 / 20160059103 - Recommending Sports Instructional Content Based On Motion Sensor Data | 5 |
Jong Hwa Han | KR | Seongnam-Si | 2012-11-01 / 20120278237 - Method, System and Mobile Device for Distributing Gift Certificate | 1 |
Song-Yi Han | KR | Gangwon-Do | 2013-07-11 / 20130178740 - METHOD AND APPARATUS FOR PROVIDING ULTRASOUND IMAGE | 1 |
Lu Han | US | Ardmore | 2012-11-01 / 20120278944 - ALTERED SENESCENCE FOR IMPROVED FORAGE QUALITY | 1 |
Shu-Jen Han | US | Cortlandt | 2015-10-29 / 20150311179 - TRANSISTOR FORMATION USING COLD WELDING | 4 |
Jinsuk Han | KR | Seoul | 2014-11-06 / 20140327978 - VOICE COIL MOTOR | 6 |
Jungyoup Han | KR | Seoul | 2013-03-07 / 20130056040 - DISH WASHER | 2 |
Jae Young Han | KR | Incheon | 2013-07-11 / 20130174475 - METHOD FOR PRODUCING RENEWABLE FUEL USING SUPERCRITICAL FLUID | 1 |
Jingbo Han | CN | Beijing | 2012-11-08 / 20120280164 - DISC VALVE WITH DIVERSION HOLE | 1 |
Chang Mok Han | KR | Suwon | 2014-07-03 / 20140184151 - COIL FOR CORDLESS CHARGING AND CORDLESS CHARGING APPARATUS USING THE SAME | 5 |
Sang-Moo Han | KR | Pohang-Shi | 2012-11-08 / 20120282394 - Composite Ceramic Material and Method for Manufacturing the Same | 1 |
Yang Han | CN | Shanghai | 2013-07-04 / 20130173994 - Variable Barrel Shifter | 1 |
Yang Han | CA | Toronto | 2013-07-04 / 20130173368 - SYSTEM AND METHODS FOR POPULARITY AND INFLUENCE INDICATORS AND COMMERCIAL INCENTIVES BASED ON OBJECT-RELATED SOCIAL NETWORK REFERRALS | 1 |
Dae Seok Han | KR | Seongnam-Si | 2013-07-04 / 20130171278 - COMPOSITION FOR PROMOTING MEMORY AND LEARNING ABILITY | 1 |
Dae Young Han | KR | Asan-Si | 2012-11-15 / 20120285622 - PLASMA DEVICE | 1 |
Shu-Jen Han | US | Cortland Manor | 2015-11-12 / 20150325672 - GRAPHENE DEVICES WITH LOCAL DUAL GATES | 5 |
Byung-Uk Han | KR | Cheonan-Si | 2014-10-16 / 20140307407 - DISPLAY APPARATUS | 2 |
Chunlian Han | US | Greenville | 2013-07-04 / 20130170998 - IMPELLER TUBE ASSEMBLY | 1 |
Ju-Hee Han | KR | Seoul | 2014-12-11 / 20140362012 - INPUT DEVICE HAVING MULTI-LEVEL DEVICE AND USER DEVICE INCLUDING THE SAME | 2 |
Myeong Woo Han | KR | Hwaseong | 2013-11-07 / 20130292809 - SEMICONDUCTOR PACKAGE | 10 |
Jong-Woo Han | KR | Seoul | 2013-07-04 / 20130169427 - TIRE PRESSURE MONITORING SYSTEM | 8 |
Jingyan Han | CN | Tianjin | 2012-11-22 / 20120295858 - USE OF DANSHENSU, NOTOGINSENOSIDE R1 OR THEIR COMBINATION IN PREPARATION OF MEDICAMENTS FOR PREVENTING AND TREATING DISEASES CAUSED BY MICROCIRCULATION DISORDER | 1 |
Chan Kyu Han | KR | Yongin-Si | 2013-07-04 / 20130171276 - Composition Comprising Cudrania Tricuspidata and Coix Lachryma-Jobi for Suppressing Obesity or Lowering Blood Sugar, and Use Thereof | 1 |
Feng Han | CN | Pudong Jinqiao Shanghai | 2013-06-27 / 20130165101 - METHOD FOR FEATURE ACTIVATION OF MACHINE TYPE COMMUNICATION AND MTC DEVICE THEREOF | 1 |
Dong Ii Han | KR | Seoul | 2013-06-27 / 20130163869 - APPARATUS AND METHOD FOR EXTRACTING EDGE IN IMAGE | 1 |
Eun Hee Han | KR | Chungcheongnam-Do | 2012-11-22 / 20120296059 - COPOLYMER FOR ORGANIC ANTIREFLECTIVE FILM, MONOMER, AND COMPOSITION COMPRISING THE COPOLYMER | 1 |
Robin Han | US | Lincoln | 2015-11-19 / 20150331687 - REDUCING STORAGE FACILITY CODE LOAD SUSPEND RATE BY REDUNDANCY CHECK | 6 |
Kyu-Jeong Han | KR | Gyeonggi-Do | 2015-03-26 / 20150087326 - CALL ADMISSION CONTROL | 3 |
Jian Han | US | Huntsville | 2016-02-04 / 20160034637 - METHOD FOR EVALUATING AN IMMUNOREPERTOIRE | 17 |
Peng Han | CN | Shanghai | 2016-02-04 / 20160036396 - Power Amplifier, and Method of the Same | 4 |
Young-Hong Han | KR | Cheonan-Si | 2013-06-27 / 20130161891 - DIE ATTACH APPARATUS | 1 |
Tae-Ho Han | KR | Daejeon | 2013-06-27 / 20130160636 - APPARATUS FOR OPENING AND CLOSING BREECH BLOCK AND CANNON HAVING THE SAME | 1 |
Tae-Hee Han | KR | Incheon | 2014-08-28 / 20140239287 - SIMPLIFIED ORGANIC EMITTING DIODE AND METHOD FOR PREPARING THE SAME | 2 |
Young Ju Han | KR | Seoul | 2016-02-18 / 20160049546 - LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS | 7 |
Ingyu Han | KR | Kyunggi-Do | 2011-10-27 / 20110260266 - SEMICONDUCTOR PACKAGE STRUCTURE AND PACKAGE PROCESS | 1 |
Jae Heung Han | KR | Seoul | 2015-10-15 / 20150293561 - TOUCH WINDOW | 6 |
Zhihua Han | CN | Shandong | 2012-11-29 / 20120300258 - PRINTING CONTROL METHOD, PRINTER AND PRINTING SYSTEM | 1 |
Yang Han | US | Sunnyvale | 2016-03-31 / 20160091951 - Systems and Methods for Power Reduced Data Decoder Scheduling | 49 |
Hsiao-Yu Han | TW | Taipei City | 2012-11-29 / 20120300943 - AUDIO SIGNAL PROCESSING APPARATUS AND AUDIO SIGNAL PROCESSING METHOD | 1 |
Seungil Han | US | Mystic | 2015-10-15 / 20150291554 - Bruton's Tyrosine Kinase Inhibitors | 5 |
Qiang Han | US | Princeton Junction | 2012-11-29 / 20120302562 - 4H-THIENO[3,2-C]CHROMENE-BASED INHIBITORS OF NOTUM PECTINACETYLESTERASE AND METHODS OF THEIR USE | 1 |
Jung Mi Han | KR | Seoul | 2012-11-29 / 20120302567 - BICYCLIC HETEROARYL DERIVATIVES HAVING INHIBITORY ACTIVITY FOR PROTEIN KINASE | 1 |
Dong Han | CN | Beijing | 2014-01-02 / 20140004196 - POLYAMIDE-AMINE DENDRIMER OR DERIVATIVE THEREOF-MATH1 GENE NANO PARTICLE AND USE THEREOF IN TREATMENT OF HEARING LOSS | 2 |
Peng Han | US | Issaquah | 2014-04-10 / 20140100968 - DETERMINING BIDDER-AWARE VALUES FOR CONFIGURABLE AUCTION PARAMETERS | 3 |
Seung Han | KR | Uiwang-Si, Gyeonggi-Do | 2013-06-20 / 20130158165 - EPOXY RESIN COMPOSITION FOR ENCAPSULATING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE ENCAPSULATED WITH THE SAME | 1 |
Jin Han | KR | Busan | 2013-08-08 / 20130203095 - METHOD OF SCREENING PLACENTAL PROTEINS RESPONSIBLE FOR PATHOPHYSIOLOGY OF PREECLAMPSIA, AND MARKER FOR EARLY DIAGNOSIS AND PREDICTION OF PREECLAMPSIA | 9 |
Kyujin Han | KR | Yongin-Si | 2012-12-06 / 20120306095 - SEMICONDUCTOR PACKAGE AND FABRICATION METHOD OF THE SAME | 1 |
Jung-Dae Han | KR | Gyeonggi-Do | 2013-06-20 / 20130157441 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
Sang-Beom Han | KR | Incheon | 2014-10-16 / 20140308603 - TITANIUM SUBOXIDE SUPPORTS FOR CATALYST ELECTRODE OF FUEL CELL AND LOW TEMPERATURE SYNTHESIS OF TITANIUM SUBOXIDE | 3 |
Hyun Han | KR | Seoul | 2013-06-20 / 20130157085 - BATTERY PACK OF NOVEL STRUCTURE | 1 |
Kun-Woo Han | KR | Pohang | 2012-12-06 / 20120305381 - METHOD FOR INCREASING AMOUNT OF COKE OVEN GAS BY USING CARBON DIOXIDE | 1 |
Baojun Han | CN | Xi'An City | 2012-08-02 / 20120197400 - ARTIFICIAL CERVICAL VERTEBRAE COMPOSITE JOINT | 1 |
Kyu Won Han | KR | Seoul | 2014-10-16 / 20140306805 - TAG APPARATUS FOR HIGH-RATE DATA TRANSMISSION AND COMMUNICATION METHOD THEREOF | 2 |
Jin Man Han | KR | Seoul | 2013-06-20 / 20130154790 - CHIP RESISTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Changho Han | KR | Daegu | 2013-06-27 / 20130162463 - SPACE PERCEPTION DEVICE | 3 |
Steve Han | US | Huntington Beach | 2016-04-07 / 20160095741 - BRUXISM GUARD WITH MANDIBLE COMPENSATION MECHANISM | 12 |
Jintong Han | CN | Beijing | 2012-12-06 / 20120307344 - ELECTRONIC PAPER DISPLAY DEVICE AND DISPLAYING METHOD | 1 |
Sung-Wook Han | US | Sunnyvale | 2016-03-24 / 20160088523 - PER USER UPLINK MEDIUM ACCESS CONTROL ON A WI-FI COMMUNICATION NETWORK | 11 |
Joon-Hee Han | KR | Pohang-Si | 2014-10-16 / 20140307075 - IMAGING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Hui Han | SG | Singapore | 2012-12-06 / 20120308821 - Buoyant Multifunctional Composite Material For Effective Removal Of Organic Compounds In Water And Wastewater | 1 |
Youshin Han | KR | Chungcheongnam-Do | 2012-12-06 / 20120309178 - METHOD OF MANUFACTURING FREE-STANDING SUBSTRATE | 1 |
Seung Heon Han | KR | Seoul | 2014-09-18 / 20140261680 - SOLAR CELL AND METHOD OF FABRICATING THE SAME | 9 |
Zhenfu Han | US | St. Louis | 2015-07-16 / 20150197538 - COMPOUNDS AND METHODS FOR TREATING BACTERIAL INFECTIONS | 2 |
Xuemei Han | CN | Daqing | 2012-12-06 / 20120310025 - Catalyst for Synthesizing 1-Hexene from Ethylene Trimerization and Application Thereof | 1 |
Min Ho Han | KR | Seoul | 2016-03-10 / 20160071169 - ADVERTISEMENT PLATFORM APPARATUS | 3 |
Myung Kwan Han | KR | Jeonju-Si | 2013-06-13 / 20130150555 - COMPOSITION CONTAINING INDUCER OF SIRT1 EXPRESSION FOR PREVENTING OR TREATING SEPSIS OR SEPTIC SHOCK | 1 |
Ilyoung Han | KR | Uiwang-Si | 2016-04-28 / 20160118362 - BONDING APPARATUS AND SUBSTRATE MANUFACTURING EQUIPMENT INCLUDING THE SAME | 3 |
Dae Suk Han | KR | Cheongju-Si | 2013-06-13 / 20130149527 - COATING LAYER FOR CUTTING TOOLS | 1 |
Chang-Su Han | KR | Suwon-Si | 2016-02-04 / 20160035069 - METHOD AND APPARATUS FOR CORRECTING IMAGE | 3 |
Byung-Hoon Han | KR | Cheonan-Si | 2012-12-13 / 20120315345 - FUNCTIONAL FOOD AND PHARMACEUTICAL COMPOSITIONS FOR ANTI-OBESITY COMPRISING CAPSANTHIN AND FATTY-ACYL ESTER OF CAPSANTHIN HAVING ANTI-ADIPOGENIC ACTIVITY | 1 |
Zhaohui Han | US | Oviedo | 2012-12-13 / 20120315435 - INSULATION MATERIALS HAVING APERTURES FORMED THEREIN | 1 |
Jeonghyun Han | KR | Hwaseong-Si | 2012-12-13 / 20120315911 - COMMUNICATION SYSTEM, FEMTO CELL THEREOF, AND CLUSTERING AND HANDOVER METHOD OF THE SAME | 1 |
Sang Kyoung Han | KR | Gunpo-Si | 2013-06-13 / 20130147128 - FRAME SEALING UNIT FOR VEHICLE | 1 |
Alice Han | US | San Mateo | 2012-12-13 / 20120316955 - System and Method for Mobile Application Search | 1 |
Seung Kook Han | KR | Suwon-Si | 2015-03-12 / 20150068204 - ENGINE SYSTEM | 10 |
Byeong Kook Han | KR | Suwon | 2013-06-13 / 20130147466 - APPARATUS AND METHOD FOR CONTROLLING ACTUATOR THAT CONTROLS OPENING AND CLOSING OF INTAKE VALVE | 2 |
Sung-Moon Han | KR | Seoul | 2010-09-30 / 20100246861 - SMALL-SIZED SOUND RECEIVER FOR PRODUCING BODY-SENSING VIBRATION | 1 |
Dong Ho Han | KR | Seoul | 2013-06-13 / 20130145751 - ENERGY RECLAIMING SYSTEM FOR ELECTRIC FORKLIFT TRUCK | 1 |
Kiyoung Han | KR | Gyeonggi-Do | 2013-06-13 / 20130150056 - MOBILE COMMUNICATION SYSTEM AND BASE STATION IDENTIFIER MANAGEMENT METHOD THEREOF | 1 |
Byung-Uk Han | KR | Asan-Si | 2012-12-20 / 20120319123 - Display Device and Method of Manufacturing the Same | 1 |
Doo-Won Han | KR | Seoul | 2013-11-14 / 20130299410 - SPIRAL WOUND TYPE FILTER CARTRIDGE | 4 |
Sheng Han | CN | Hangzhou City | 2013-06-06 / 20130145407 - Method of outputting video content from a computing device to a playback device and related media sharing system | 1 |
Jung-Eun Han | KR | Gyeonggi-Do | 2016-04-21 / 20160112798 - MOBILE APPARATUS AND CONTROL METHOD THEREOF | 5 |
Shih-Jui Han | TW | Taichung City | 2014-11-06 / 20140330314 - MINIMALLY INVASIVE SPINAL STABILIZATION SYSTEM | 5 |
Ying Han | CN | Guangzhou | 2015-10-29 / 20150307300 - PAPER MONEY TEMPORARY STORAGE DEVICE | 4 |
Jae Min Han | KR | Ansan | 2014-02-13 / 20140041974 - BRAKE DISK | 3 |
Seung Ju Han | KR | Yongin-Si | 2016-02-04 / 20160030851 - VIRTUAL WORLD PROCESSING DEVICE AND METHOD | 7 |
Chang Han | US | Pleasanton | 2014-11-13 / 20140332820 - Flip Light Emitting Diode Chip and Method of Fabricating the Same | 8 |
Tzu-Fei Han | TW | Taichung City | 2012-12-27 / 20120326791 - Voltage Controlling Circuit | 1 |
Lin Han | US | San Jose | 2016-04-21 / 20160112247 - MRSVP-TE BASED FAST REROUTE IN DETOUR (1:1) PROTECTION MODE | 18 |
Jungsoo Han | KR | Yongin-Si | 2012-12-27 / 20120327794 - METHOD AND APPARATUS FOR CONFIGURING TRANSMIT POWER OF RELAY NODE | 1 |
Seong-Wook Han | KR | Seoul | 2014-10-23 / 20140314317 - METHOD AND APPARATUS FOR CONVERTING GRAY LEVEL OF COLOR IMAGE | 4 |
Chang-Hun Han | KR | Daejeon | 2016-04-28 / 20160115309 - (METH)ACRYLATE-BASED RESIN COMPOSITION HAVING EXCELLENT IMPACT RESISTANCE AND TRANSPARENCY | 21 |
Mingguang Han | CN | Beijing | 2012-12-27 / 20120328736 - Enzymatic Pretreatment For Making Dried Fruits | 1 |
Rong Xun Han | KR | Yuseong-Gu | 2012-12-27 / 20120329055 - USE OF ALPHA1G SUBUNIT OF T-TYPE CALCIUM CHANNEL AS DIAGNOSTIC MARKER FOR PREGNANCY IN CATTLE | 1 |
Myung-Soo Han | KR | Gwangju | 2013-05-30 / 20130137995 - PULSE DIAGNOSIS DEVICE USING OPTICAL SENSOR | 1 |
Jung-In Han | KR | Seoul | 2010-08-12 / 20100202077 - FLAT PANEL DISPLAY DEVICE AND METHOD FOR PRODUCING THE SAME | 1 |
Yu Han | CN | Beijing | 2016-03-10 / 20160073134 - SIZE BASED TRANSFORM UNIT CONTEXT DERIVATION | 33 |
Seunghee Han | KR | Seoul | 2014-01-30 / 20140029533 - UPLINK CONTROL INFORMATION TRANSMISSION WITH LARGE NUMBER OF BITS | 3 |
Chan-Kyu Han | KR | Seoul | 2015-07-02 / 20150186651 - SYSTEM AND METHOD FOR CHANGING SECURE BOOT AND ELECTRONIC DEVICE PROVIDED WITH THE SYSTEM | 3 |
Myeong Woo Han | KR | Hwaseong | 2013-11-07 / 20130292809 - SEMICONDUCTOR PACKAGE | 10 |
Kwang Ok Han | KR | Hwaseong | 2012-05-17 / 20120122000 - HEATING CONTROL METHOD FOR FUEL CELL VEHICLE | 2 |
Joon Hyuk Han | KR | Hwaseong | 2012-07-19 / 20120181646 - CAMERA MODULE AND METHOD OF MANUFACTURING THE SAME | 4 |
Gyoon-Hee Han | KR | Hwaseong | 2012-08-02 / 20120196831 - COMPOSITION FOR TREATING AND PREVENTING OBESITY INCLUDING HIGH WATER-SOLUBLE 2-HYDROXYPROPYL-betaCYCLODEXTRIN AS EFFECTIVE COMPONENT | 1 |
Jung-Su Han | KR | Yongin-Si | 2015-12-31 / 20150382351 - METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING DATA OVER CARRIER COMPONENT IN A MULTI-CARRIER MOBILE COMMUNICATION SYSTEM | 10 |
Sung Hui Han | KR | Yongin-Si | 2012-06-07 / 20120143463 - STARTABILITY IMPROVING METHOD FOR GDI ENGINE USING ELECTRIC CVVT CONTROL | 1 |
Seonghoon Han | KR | Yongin-Si | 2012-08-09 / 20120202104 - SEPARATOR FOR LITHIUM SECONDARY BATTERY AND LITHIUM SECONDARY BATTERY INCLUDING THE SAME | 2 |
Sangkyoo Han | KR | Yongin-Si | 2012-01-19 / 20120013192 - ENERGY STORAGE SYSTEM | 3 |
Moon-Hong Han | KR | Yongin-Si | 2013-09-05 / 20130230745 - CURRENT INTERRUPTING DEVICE AND SECONDARY BATTERY USING THE SAME | 3 |
Mahn-Jin Han | KR | Yongin-Si | 2013-09-05 / 20130229410 - RENDERING APPARATUS AND METHOD | 2 |
Kyoung Rok Han | KR | Yongin-Si | 2012-05-24 / 20120126304 - FLOATING GATE TYPE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Jung-Yeop Han | KR | Yongin-Si | 2013-01-17 / 20130017428 - RACK HOUSING ASSEMBLY AND ENERGY STORAGE APPARATUS HAVING THE SAMEAANM Han; Jung-YeopAACI Yongin-siAACO KRAAGP Han; Jung-Yeop Yongin-si KRAANM Jang; Seung-SooAACI Yongin-siAACO KRAAGP Jang; Seung-Soo Yongin-si KR | 6 |
Jungyeop Han | KR | Yongin-Si | 2012-11-29 / 20120301747 - BATTERY PACK | 1 |
Da-Un Han | KR | Yongin-Si | 2013-10-03 / 20130260252 - COMPOSITE ELECTRODE ACTIVE MATERIAL, ELECTRODE AND LITHIUM BATTERY CONTAINING THE COMPOSITE ELECTRODE ACTIVE MATERIAL, AND METHOD OF PREPARING THE COMPOSITE ELECTRODE ACTIVE MATERIAL | 3 |
Yong Gu Han | KR | Daejeon | 2013-01-10 / 20130008040 - APPARATUS FOR MEASURING DIMENSIONS OF SPACER GRID FOR NUCLEAR FUEL ASSEMBLIES | 1 |
Tack Don Han | US | 2013-05-30 / 20130136354 - Mixed Code, and Method and Apparatus for Generating the Same | 1 | |
Liang Han | US | Pleasanton | 2015-08-27 / 20150242628 - System and Method for Detection of Malicious Hypertext Transfer Protocol Chains | 4 |
Yao Han | US | Lexington | 2013-05-30 / 20130135695 - LED Illumination System for a Scanner Including a UV Light Emitting Device | 1 |
Byung Gil Han | KR | Daegu-Si | 2015-08-20 / 20150235105 - APPARATUS AND METHOD FOR RAPIDLY DETECTING OBJECT OF INTEREST | 2 |
Yoo Dae Han | KR | Ansan-Si | 2013-05-30 / 20130134386 - LIGHT EMITTING DIODE HAVING STRAIN-ENHANCED WELL LAYER | 1 |
Yuqi Han | CN | Shenzhen | 2014-04-17 / 20140104912 - WATER COOLING SUB-HIGH FREQUENCY TRANSFORMER AND COOLING DEVICE THEREOF | 2 |
Jeong-Yun Han | KR | Goyang-Si | 2013-01-10 / 20130009919 - DISPLAY PANEL | 1 |
In-Ae Han | KR | Yongin-City | 2013-05-30 / 20130133573 - Mask for Deposition and Manufacturing Method of the Same | 1 |
Gyu-Seok Han | KR | Uiwang-Si | 2014-10-30 / 20140319421 - Photosensitive Resin Composition and Color Filter Using the Same | 21 |
Lifeng Han | CN | Shenzhen City | 2015-03-19 / 20150078360 - Network Access Method And Apparatus | 3 |
Sung-Soo Han | KR | Hwaseong-Si | 2015-11-26 / 20150336816 - DRAW SOLUTES AND FORWARD OSMOSIS WATER TREATMENT APPARATUSES, AND METHODS USING THE SAME, AND METHODS OF PRODUCING DRAW SOLUTES | 22 |
Jung Han | US | Woodbridge | 2016-01-28 / 20160027636 - LARGE-AREA, LATERALLY-GROWN EPITAXIAL SEMICONDUCTOR LAYERS | 4 |
Rongcheng Han | CN | Beijing | 2013-01-10 / 20130011864 - PHOTOLUMINESCENT NANOPARTICLE, PREPARATION, AND APPLICATION THEREOF | 1 |
Sang Yun Han | KR | Kyunggi-Do | 2008-08-21 / 20080196341 - Modular Column System Using Internally Confined Hollow Column Unit and Method of Constructing the Same | 1 |
Sang Yun Han | KR | Seoul | 2011-05-05 / 20110101217 - Mass Spectrometric Method for Matrix-Free Laser Desorption/Ionization of Self-Assembled Monolayers | 1 |
Sang Yun Han | KR | Gyeongsangnam-Do | 2009-04-30 / 20090112035 - SOLID ACID CATALYST FOR PRODUCING LIGHT OLEFINS AND PROCESS USING THE SAME | 1 |
Jae Hong Han | KR | Suwon-Si | 2013-05-23 / 20130130332 - NOVEL METHOD FOR PREPARING PTEROCARPAN | 1 |
Jongyoon Han | US | Cambridge | 2015-08-27 / 20150238963 - Micro-Fluidic Device And Uses Thereof | 3 |
Nara Han | KR | Changwon | 2011-12-29 / 20110318211 - SCROLL COMPRESSOR | 1 |
Nara Han | KR | Changwon-Si | 2013-01-24 / 20130022486 - SCROLL COMPRESSOR | 1 |
Ho-Suk Han | KR | Osan-Si | 2011-06-30 / 20110157432 - IMAGE PROCESSING APPARATUS AND METHOD FOR REMOVING LENS DISTORTION AND CHROMATIC ABERRATION, AND COMPUTER READABLE MEDIUM STORING COMPUTER PROGRAM TO EXECUTE THE IMAGE PROCESSING METHOD | 2 |
Jongki Han | KR | Seoul | 2016-03-03 / 20160065972 - METHOD AND APPARATUS FOR GENERATING ENCODED MOTION INFORMATION /RECOVERING MOTION INFORMATION USING MOTION INFORMATION INTEGRATION, AND IMAGE ENCODING/DECODING METHOD AND APPARATUS USING SAME | 66 |
Sang Chul Han | KR | Seongnam-Si | 2013-01-24 / 20130024917 - MEMO SYNCHRONIZATION SYSTEM, MOBILE SYSTEM, AND METHOD FOR SYNCHRONIZING MEMO DATA | 1 |
Chi-Hwan Han | KR | Seongnam-Si | 2013-01-24 / 20130020523 - Nanoparticle Having Imidazolium Salt Chemically Bound Thereto, Method of Preparing the Same, and Nanogel Electrolyte for Dye-Sensitive Solar Cell Comprising the Same | 1 |
Kyung Su Han | KR | Hwaseong | 2014-03-13 / 20140074354 - OVER-CURRENT DAMAGE PREVENTION METHOD AND APPARATUS FOR SUBSIDIARY INVERTER OF ELECTRIC BUS | 2 |
Jae Ho Han | KR | Hwaseong | 2013-01-24 / 20130020599 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Mi Sook Han | KR | Seoul | 2013-01-24 / 20130020948 - AMBIENT LIGHTING CONTROL METHOD AND AMBIENT LIGHTING CONTROL SYSTEM | 1 |
John K. Han | US | Parkton | 2013-05-23 / 20130125486 - ENERGY EFFICIENT ACCESS FLOOR PANELS AND SYSTEMS | 1 |
Seog Joon Han | US | Simi Valley | 2014-12-04 / 20140356358 - VARIANT FC-POLYPEPTIDES WITH ENHANCED BINDING TO THE NEONATAL FC RECEPTOR | 2 |
Sang-Myeon Han | KR | Yongin-City | 2016-04-07 / 20160098952 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 28 |
Jing Feng Han | CN | Shanghai | 2013-01-24 / 20130023766 - METHOD AND X-RAY DEVICE FOR TEMPORAL UP-TO-DATE REPRESENTATION OF A MOVING SECTION OF A BODY, COMPUTER PROGRAM PRODUCT AND DATA CARRIER | 1 |
Seungho Han | KR | Seoul | 2013-01-24 / 20130024189 - MOBILE TERMINAL AND DISPLAY METHOD THEREOF | 1 |
Sang Eon Han | US | Cambridge | 2015-06-25 / 20150179938 - REPLICATION OF PATTERNED THIN-FILM STRUCTURES FOR USE IN PLASMONICS AND METAMATERIALS | 2 |
Yong Duk Han | KR | Suwon-Si | 2013-01-17 / 20130015062 - ELECTRODE FOR MEASURING GLYCOPROTEIN AND PREPARATION METHOD THEREOFAANM Ku; Yun HeeAACI SeoulAACO KRAAGP Ku; Yun Hee Seoul KRAANM Yang; Yong JuAACI SeoulAACO KRAAGP Yang; Yong Ju Seoul KRAANM Park; Yoo MinAACI Suwon-siAACO KRAAGP Park; Yoo Min Suwon-si KRAANM Song; Seung YeonAACI Suwon-siAACO KRAAGP Song; Seung Yeon Suwon-si KRAANM Han; Yong DukAACI Suwon-siAACO KRAAGP Han; Yong Duk Suwon-si KRAANM Kim; Moo SubAACI SeoulAACO KRAAGP Kim; Moo Sub Seoul KRAANM Yoon; Hyun ChulAACI Suwon-siAACO KRAAGP Yoon; Hyun Chul Suwon-si KR | 1 |
Dong-Ku Han | KR | Suwon-Si | 2013-01-17 / 20130019032 - APPARATUS AND METHOD FOR GENERATING INTERRUPT SIGNAL THAT SUPPORTS MULTI-PROCESSORAANM HAN; Dong-KuAACI Suwon-siAACO KRAAGP HAN; Dong-Ku Suwon-si KRAANM LEE; Kang-MinAACI Suwon-siAACO KRAAGP LEE; Kang-Min Suwon-si KRAANM LEE; Kyung-HaAACI Yongin-siAACO KRAAGP LEE; Kyung-Ha Yongin-si KR | 1 |
Andrew Hana | GB | Gifford Bristol | 2015-10-01 / 20150278017 - MEMORY MODULE HAVING ERROR CORRECTION LOGIC | 1 |
Andrew Hana | GB | Bristol | 2016-03-17 / 20160077979 - NON-VOLATILE MEMORY TO STORE RESETTABLE DATA | 11 |
Kazutaka Hana | JP | Osaka | 2009-02-26 / 20090050252 - METHOD OF MANUFACTURING RUN-FLAT TIRE | 2 |
Ales Hana | CZ | Koprivnice | 2013-08-22 / 20130213621 - PLATE TYPE HEAT EXCHANGER | 1 |
Hidetoshi Hana | JP | Osaka | 2012-02-23 / 20120046138 - Power Train for Work Vehicle | 1 |
Morten Hana | NO | Oslo | 2011-02-10 / 20110031124 - Electrostatic Coalescer | 1 |
Morten Hana | NO | Lysaker | 2011-06-16 / 20110139625 - Multiphase Fluid Separator | 1 |
Karel Hana | CZ | Svetice - Ricany U Prahy | 2010-07-29 / 20100191137 - DEVICE FOR DETECTING DIAPHRAGM MOVEMENTS | 1 |
Kazutaka Hana | JP | Osaka-Shi | 2014-08-28 / 20140238562 - PNEUMATIC TIRE | 2 |
Hidetoshi Hana | JP | Sakai-Shi | 2014-05-29 / 20140148984 - Hybrid Work Vehicle | 1 |
Andrew Hana | GB | Bristol | 2016-03-17 / 20160077979 - NON-VOLATILE MEMORY TO STORE RESETTABLE DATA | 11 |
Srinivas S. Hanabe | US | Los Altos Hills | 2016-02-11 / 20160041888 - LINK STATE RELAY FOR PHYSICAL LAYER EMULATION | 2 |
Mitsuhiro Hanabe | JP | Kanagawa | 2015-04-23 / 20150108622 - INTERCONNECT BOARD AND SEMICONDUCTOR DEVICE | 2 |
Murali Hanabe | US | Plano | 2014-11-06 / 20140329392 - COATINGS FOR RELATIVELY MOVABLE SURFACES | 2 |
Takashi Hanabe | JP | Tokyo | 2015-09-24 / 20150265918 - GAME PROGRAM AND INFORMATION PROCESSING DEVICE | 1 |
Murali Hanabe | US | Allen | 2016-03-03 / 20160060108 - COATINGS FOR RELATIVELY MOVABLE SURFACES | 1 |
Kazuhito Hanabusa | JP | Shizuoka | 2010-09-16 / 20100233474 - FLAME-RETARDANT RESIN COMPOSITION FORMING LASER-TRANSMITTABLE MEMBER | 2 |
Shinichi Hanabusa | JP | Tokyo | 2014-09-04 / 20140249369 - IMAGING APPARATUS AND RIGID ENDOSCOPE | 2 |
Kenji Hanabusa | JP | Ueda-Shi | 2014-11-27 / 20140350128 - BASIC AMINO ACID DERIVATIVE | 2 |
Hiroshi Hanabusa | JP | Wako-Shi | 2014-03-20 / 20140076280 - COMBUSTION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE AND COMBUSTION METHOD FOR HOMOGENEOUS LEAN AIR/FUEL MIXTURE | 2 |
Tadashi Hanabusa | JP | Yokohama-Shi | 2015-01-22 / 20150022958 - PART ENGAGING STRUCTURE AND APPARATUS HAVING THE SAME | 6 |
David Kazumi Hanabusa | US | Palo Alto | 2009-11-26 / 20090290854 - Method of Asynchronous Image and Audio Recording | 1 |
Hiroshi Hanabusa | JP | Wako-Shi, Saitama | 2016-04-28 / 20160115880 - COMBUSTION CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Tadashi Hanabusa | JP | Kawasaki-Shi | 2012-10-18 / 20120262746 - SHEET FEEDING APPARATUS, AND IMAGE READING AND FORMING APPARATUS | 2 |
Yasuhiro Hanabusa | JP | Gotemba-Shi | 2011-12-29 / 20110314926 - BIAXIAL TENSILE TESTING MACHINE | 1 |
Kazuya Hanabusa | JP | Kumagaya-Shi | 2013-08-29 / 20130222536 - 3D GLASSES, 3D IMAGE DISPLAY APPARATUS AND A CONTROL METHOD THEREOF | 1 |
Nobuyuki Hanabusa | JP | Hyogo | 2009-12-03 / 20090297285 - DEEP HOLE DRILLING MACHINE | 1 |
Kakeru Hanabusa | JP | Settsu-Shi | 2013-07-25 / 20130188293 - FILM FOR USE IN FILM CAPACITORS, AND FILM CAPACITORS | 2 |
Akira Hanabusa | JP | Kanagawa | 2012-11-22 / 20120293594 - IMAGE RECORDING APPARATUS | 1 |
Toshio Hanabusa | JP | Kawasaki-Shi | 2014-01-02 / 20140002683 - IMAGE PICKUP APPARATUS, IMAGE PICKUP SYSTEM, IMAGE PICKUP METHOD AND COMPUTER READABLE NON-TRANSITORY RECORDING MEDIUM | 6 |
Tetsuji Hanada | JP | Anpachi-Gun | 2014-01-02 / 20140001680 - METHOD FOR STRETCHING FILM | 1 |
Yuuichi Hanada | JP | Saitama-Ken | 2013-01-03 / 20130005235 - AIR CONDITIONING SYSTEM AND AIR CONDITIONING CONTROL METHOD FOR SERVER ROOM | 1 |
Yasushi Hanada | JP | Chiba | 2013-04-25 / 20130101467 - INSTALLATION APPARATUS AND STERILIZING APPARATUS AND METHOD | 4 |
Muneharu Hanada | JP | Hyogo | 2009-04-30 / 20090110925 - Adhesive Composition | 1 |
Saori Hanada | JP | Fukuoka | 2009-06-11 / 20090145831 - Pore Diffusion Type Flat Membrane Separating Apparatus, Flat Membrane Concentrating Apparatus, Regenerated Cellulose Porous Membrane for Pore Diffusion, and Method of Non-Destructive Inspection of Flat Membrane | 1 |
Naoya Hanada | JP | Aichi-Ken | 2014-12-25 / 20140374232 - TOUCH SWITCH DEVICE WITH ILLUMINATOR | 1 |
Takako Hanada | JP | Ibaraki | 2009-06-25 / 20090160124 - SHEET STACKING/ALIGNING APPARATUS, SHEET HANDLING APPARATUS, AND IMAGE FORMING APPARATUS | 2 |
Toshihiro Hanada | JP | Miyazaki | 2011-08-18 / 20110199855 - SPIRAL TYPE FLUID MIXER AND APPARATUS USING SPIRAL TYPE FLUID MIXER | 3 |
Kazuhiro Hanada | JP | Yokkaichi Mie | 2015-12-03 / 20150348743 - SAMPLE HOLDER | 1 |
Yuuya Hanada | JP | Osaka-Shi, Osaka | 2016-05-19 / 20160138462 - ENGINE | 1 |
Kouichi Hanada | JP | Ukyo-Ku | 2009-07-30 / 20090189693 - OPERATIONAL AMPLIFIER | 1 |
Shinichi Hanada | JP | Tokyo | 2016-03-31 / 20160094369 - Unidirectional Relay Device | 1 |
Eiichi Hanada | JP | Hiroshima | 2015-04-30 / 20150114748 - WORK MACHINE | 1 |
Yoshihiro Hanada | JP | Yokohama | 2012-05-03 / 20120105033 - LIGHT AMOUNT DETECTING APPARATUS, AND LIGHT AMOUNT INFORMATION PROCESSING APPARATUS | 1 |
Yoko Hanada | JP | Wakayama-Shi | 2014-07-03 / 20140186764 - METHOD FOR PRODUCING LIQUID DEVELOPER | 1 |
Akifumi Hanada | JP | Fukuoka | 2009-08-20 / 20090209133 - AC Adaptor and Method for Fabricating the same | 1 |
Tomoyuki Hanada | JP | Yamato-Shi, Kanagawa | 2016-05-19 / 20160141735 - ASSEMBLED BATTERY | 1 |
Mitsuru Hanada | JP | Kawasaki-Shi | 2014-07-31 / 20140210421 - DEVICE CONTROL APPARATUS AND DEVICE CONTROL METHOD | 1 |
Tsuyoshi Hanada | JP | Chofu-Shi | 2009-08-27 / 20090211505 - THREAD CUTTING DEVICE OF SEWING MACHINE | 1 |
Tadayuki Hanada | JP | Aichi | 2009-10-01 / 20090246018 - BEARING SUPPORT STRUCTURE AND GAS TURBINE | 1 |
Takaisa Hanada | JP | Ibaraki | 2009-11-05 / 20090275751 - 1,2-DIHYDROPYRIDINE COMPOUNDS, MANUFACTURING METHOD THEREOF AND USE THEREOF | 1 |
Tadayuki Hanada | JP | Tokyo | 2014-01-23 / 20140020392 - GAS TURBINE | 1 |
Yoshiyuki Hanada | JP | Yamanashi-Ken | 2009-11-12 / 20090277586 - Gas Introducing Apparatus, Manufacturing Method for the Gas Introducing Apparatus and Processing Apparatus | 1 |
Hikaru Hanada | JP | Tokyo | 2016-02-25 / 20160054415 - MAGNETIC RESONANCE IMAGING APPARATUS AND MAGNETIC RESONANCE IMAGING METHOD | 4 |
Takahiko Hanada | JP | Hiki-Gun | 2012-04-26 / 20120100390 - Weldment and method of manufacturing the same | 1 |
Minoru Hanada | JP | Hiratsuka-Shi | 2009-12-10 / 20090304607 - Antibacterial Flavor and Fragance Composition and Halitosis-Inhibition Flavor and Fragrance Composition and Oral Care Composition Containing the Same | 1 |
Keijirou Hanada | JP | Toyokawa-City | 2013-05-02 / 20130106590 - VEHICLE PRESENCE NOTIFICATION APPARATUS | 1 |
Yoshiyuki Hanada | JP | Yamanashi | 2011-11-03 / 20110265725 - FILM DEPOSITION DEVICE AND SUBSTRATE PROCESSING DEVICE | 3 |
Keisuke Hanada | JP | Osaka | 2015-08-06 / 20150218114 - AMINE SALT AND CRYSTALS THEREOF | 2 |
Yuuichi Hanada | JP | Minamisaitama-Gun | 2015-07-30 / 20150216086 - Server Room Managing Air Conditioning System | 7 |
Kenji Hanada | JP | Tokyo | 2008-10-09 / 20080248611 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Michihiro Hanada | JP | Moriya-Shi | 2010-01-14 / 20100006160 - PRESSURE REDUCING APPARATUS | 1 |
Tsuyoshi Hanada | JP | Tokyo | 2009-03-19 / 20090071385 - THREAD CUTTING DEVICE OF SEWING MACHINE | 2 |
Kazutoshi Hanada | JP | Tokyo | 2013-08-01 / 20130196445 - METHOD AND DEVICE FOR ANALYZING SULFUR IN METAL SAMPLE | 1 |
Atsushi Hanada | JP | Kanagawa | 2010-02-18 / 20100043101 - Method for controlling root parasitic plants | 1 |
Kazuki Hanada | JP | Saitama | 2015-06-11 / 20150158103 - SURFACE MOUNTING METHOD UTILIZING ACTIVE RESIN COMPOSITION | 3 |
Shotaro Hanada | JP | Tokyo | 2009-08-06 / 20090197040 - METHOD OF BORING GLASS SUBSTRATE AND GLASS SUBSTRATE FOR PLASMA DISPLAY MANUFACTURED BY THE METHOD | 1 |
Akio Hanada | JP | Tokyo | 2010-03-04 / 20100055501 - TUNNELING MAGNETIC SENSING ELEMENT | 2 |
Kazumi Hanada | JP | Tokyo | 2012-06-28 / 20120166361 - CHARGE MANAGING SYSTEM, IMAGE FORMING APPARATUS, CHARGE MANAGING SERVER, AND IMAGE FORMING METHOD | 2 |
Kyouji Hanada | JP | Tokyo | 2009-09-24 / 20090236171 - INTAKE AIR SOUND GENERATION DEVICE | 1 |
Shuichi Hanada | JP | Hiroshima-Shi | 2010-06-24 / 20100156051 - DUST SEAL STRUCTURE OF INTERNAL MIXER | 1 |
Masaki Hanada | JP | Osaka | 2010-09-30 / 20100245633 - SOLID-STATE IMAGE ELEMENT AND SOLID-STATE IMAGE DEVICE | 1 |
Nobuhiro Hanada | JP | Tokyo | 2010-02-25 / 20100048493 - METHOD OF ADMINISTERING ORAL FLORA-IMPROVING AGENT, ANTIBACTERIAL AGENT AND GROWTH PROMOTER | 2 |
Yoshinori Hanada | JP | Tokyo | 2011-03-17 / 20110062264 - Powder and granular material crushing and sizing apparatus | 1 |
Kazuya Hanada | JP | Tokyo | 2010-11-25 / 20100294616 - Work Apparatus With Safety Equipment | 1 |
Akito Hanada | JP | Kitakyushu-Shi | 2010-11-04 / 20100278371 - ELECTROACOUSTIC TRANSDUCER | 1 |
Takumi Hanada | JP | Yokohama-Shi | 2012-03-29 / 20120076947 - RESIN LAYER FORMATION METHOD, RESIN LAYER FORMATION DEVICE, DISK AND DISK MANUFACTURING METHOD | 2 |
Kotaro Hanada | JP | Tsukuba-Shi | 2015-10-22 / 20150297370 - THIN, NARROW TUBE AND DRAWING APPARATUS AND DRAWING METHOD FOR MANUFACTURING THE SAME | 1 |
Ryoji Hanada | JP | Kanagawa | 2009-01-01 / 20090000371 - TIRE CHARACTERISTIC JUDGING METHOD AND TIRE CHARACTERISTIC JUDGING DEVICE | 1 |
Yasushi Hanada | JP | Tomisato-Shi | 2009-02-26 / 20090053101 - Sterilization Method and Plasma Sterilization Apparatus | 1 |
Mitsuru Hanada | JP | Kawasaki | 2010-12-30 / 20100328348 - MOBILE TERMINAL APPARATUS | 1 |
Fusanobu Hanada | JP | Gifu | 2015-02-19 / 20150047596 - VALVE SEAT | 1 |
Hidehiro Hanada | JP | Ichihara | 2011-02-10 / 20110032451 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Yukinori Hanada | JP | Nirasaki City | 2013-10-24 / 20130280915 - PLASMA PROCESSING METHOD | 1 |
Takuya Hanada | JP | Chiyoda-Ku | 2015-03-12 / 20150068650 - PRODUCTION METHOD AND PRODUCTION FACILITY OF METAL PIPE | 1 |
Toshio Hanada | JP | Kyoto-Shi | 2015-10-08 / 20150287665 - POWER MODULE SEMICONDUCTOR DEVICE AND INVERTER EQUIPMENT, AND FABRICATION METHOD OF THE POWER MODULE SEMICONDUCTOR DEVICE, AND METALLIC MOLD | 2 |
Kazuyuki Hanada | JP | Tokyo | 2015-11-05 / 20150318064 - METHOD FOR REMOVING RADIOACTIVE CESIUM, HYDROPHILIC RESIN COMPOSITION FOR REMOVAL OF RADIOACTIVE CESIUM, METHOD FOR REMOVING RADIOACTIVE IODINE AND RADIOACTIVE CESIUM, AND HYDROPHILIC RESIN COMPOSITION FOR REMOVAL OF RADIOACTIVE IODINE AND RADIOACTIVE CESIUM | 12 |
Kazuo Hanada | JP | Kyoto | 2015-11-19 / 20150330875 - EXHAUST GAS SAMPLING MECHANISM AND EXHAUST GAS ANALYSIS APPARATUS | 5 |
Kazuyuki Hanada | JP | Tokyo | 2015-11-05 / 20150318064 - METHOD FOR REMOVING RADIOACTIVE CESIUM, HYDROPHILIC RESIN COMPOSITION FOR REMOVAL OF RADIOACTIVE CESIUM, METHOD FOR REMOVING RADIOACTIVE IODINE AND RADIOACTIVE CESIUM, AND HYDROPHILIC RESIN COMPOSITION FOR REMOVAL OF RADIOACTIVE IODINE AND RADIOACTIVE CESIUM | 12 |
Akio Hanada | JP | Niigata-Ken | 2011-06-02 / 20110129690 - TUNNELING MAGNETORESISTIVE ELEMENT INCLUDING MULTILAYER FREE MAGNETIC LAYER HAVING INSERTED NONMAGNETIC METAL SUBLAYER | 2 |
Takeshi Hanada | JP | Gunma | 2011-07-07 / 20110165195 - COMPOSITION FOR MUCOSAL ADMINISTRATION CONTAINING AGENT FOR ENHANCING MUCOSAL ABSORPTION OF PEPTIDE DRUG, AND ADMINISTRATION METHOD THEREOF | 1 |
Masaki Hanada | JP | Shiga | 2015-11-19 / 20150329028 - IN-VEHICLE HEATING DEVICE | 2 |
Shinichiro Hanada | JP | Itabashi-Ku | 2008-11-20 / 20080283432 - HOUSING CONTAINER FOR FIXTURE | 2 |
Noriaki Hanada | JP | Kurate-Gun | 2011-06-09 / 20110135122 - Microphone | 2 |
Takuya Hanada | JP | Tokyo | 2015-03-26 / 20150082851 - PRECURSOR DETECTION METHOD AND PRECURSOR DETECTION DEVICE OF STICK-SLIP PHENOMENON, AND METHOD FOR COLD-DRAWING PIPE OR TUBE USING PRECURSOR DETECTION METHOD | 3 |
Kousuke Hanada | JP | Yokohama-Shi | 2015-01-29 / 20150033408 - POLYPEPTIDE INVOLVED IN MORPHOGENESIS AND/OR ENVIRONMENTAL STRESS RESISTANCE OF PLANT | 1 |
Naoto Hanada | JP | Osaka | 2016-01-28 / 20160028678 - ELECTRONIC MAIL SENDING DEVICE | 1 |
Yuuichi Hanada | JP | Tokyo | 2013-01-24 / 20130024172 - ANOMALY DETECTING APPARATUS | 1 |
Yoshinobu Hanada | JP | Tokyo | 2012-02-23 / 20120047213 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD OF INFORMATION PROCESSING APPARATUS, AND STORAGE MEDIUM | 1 |
Toshiya Hanada | JP | Fukuoka | 2013-07-04 / 20130170707 - METHOD OF DETECTING SPACE DEBRIS | 2 |
Yoshihiro Hanada | JP | Kanagawa | 2013-11-21 / 20130307887 - IMAGE DISPLAY APPARATUS | 3 |
Kousuke Hanada | JP | Okazaki-Shi | 2012-11-15 / 20120286612 - ELECTRIC MOTOR WITH PERMANENT MAGNETS IN STATOR THEREOF | 1 |
Hitoshi Hanada | JP | Aichi | 2009-01-01 / 20090000994 - Sieve, Sifter, and Sieve Breakage Detector | 1 |
Seigo Hanada | JP | Kanagawa | 2009-01-08 / 20090010116 - Information recording apparatus, information processing method, and computer program | 1 |
Yasuyuki Hanada | JP | Fukuoka | 2015-08-27 / 20150238069 - ENDOSCOPE AND MANUFACTURING METHOD OF ENDOSCOPE | 3 |
Yoshikazu Hanada | JP | Haibara-Gun | 2009-03-05 / 20090057983 - SHEET STACKING APPARATUS AND SHEET STACKING METHOD | 1 |
Toshiro Hanada | JP | Hyogo | 2009-03-12 / 20090068750 - Method of Determining Iron Concentration | 1 |
Tatsuya Hanada | JP | Isesaki-Shi | 2014-03-06 / 20140063369 - TOUCH DISPLAY | 1 |
Hiroyuki Hanada | JP | Tokyo | 2014-12-11 / 20140360244 - BENDING PLIERS | 2 |
Tsuneo Hanada | JP | Tochigi | 2009-03-19 / 20090074990 - Method for manufacturing optically anisotropic material | 1 |
Yukako Hanada | JP | Takatsuki-Shi | 2013-11-21 / 20130310540 - EXTRACT OF AQUATIC ANIMAL CARTILAGE | 1 |
Tomoki Hanada | JP | Tokyo | 2015-08-06 / 20150221400 - SHAFT SEALING STRUCTURE AND REACTOR COOLANT PUMP | 1 |
Atsushi Hanada | JP | Nishitokyo-Shi | 2009-04-16 / 20090095182 - CLEANING UNIT AND PRINTER | 2 |
Kohei Hanada | JP | Wako-Shi | 2014-03-06 / 20140060485 - INTAKE CONTROL SYSTEM FOR INTERNAL COMBUSTION ENGINE | 1 |
Shunichi Hanada | JP | Mishima-Shi | 2013-07-25 / 20130186074 - INTERNAL COMBUSTION ENGINE | 4 |
Naoya Hanada | JP | Toyota-Shi | 2013-04-11 / 20130088175 - TOUCH SWITCH AND VEHICLE INTERIOR LIGHTING DEVICE HAVING THE TOUCH SWITCH | 1 |
Yuichi Hanada | JP | Kawasaki | 2012-06-07 / 20120140319 - PROJECTOR SYSTEM AND DEVICE, RECORDING MEDIUM STORING POSITION DETECTION PROGRAM, AND IMAGE PROVIDING METHOD | 2 |
Michihiro Hanada | JP | Tsukubamirai-Shi | 2012-12-27 / 20120325339 - VALVE STRUCTURE FOR FLUID PRESSURE DEVICE | 1 |
Toshihiro Hanada | JP | Nobeoka-Shi | 2012-12-06 / 20120307589 - FLUID MIXER AND APPARATUS USING FLUID MIXER | 4 |
Masataka Hanada | JP | Tochigi | 2016-03-17 / 20160074330 - SOLID PHARMACEUTICAL COMPOSITION | 2 |
Ryoji Hanada | JP | Hiratsuka-Shi | 2011-03-03 / 20110048607 - PNEUMATIC TIRE | 2 |
Masato Hanada | JP | Minato-Ku | 2012-07-12 / 20120175083 - HEAT TRANSFER DEVICE | 1 |
Ryoji Hanada | JP | Hiratsuka | 2011-03-10 / 20110056284 - METHOD FOR MEASURING DEFORMATION OF TIRE TREAD | 2 |
Takeshi Hanada | JP | Saitama | 2011-03-31 / 20110077195 - LIQUID PREPARATION OF PHYSIOLOGICALLY ACTIVE PEPTIDE | 1 |
Katsuhiko Hanada | JP | Kirishima-Shi, | 2012-11-08 / 20120280057 - MULTI-LAYER PIEZOELECTRIC ELEMENT, AND INJECTION DEVICE AND FUEL INJECTION SYSTEM USING THE SAME | 1 |
Yoichi Hanada | JP | Chiba | 2012-11-08 / 20120282243 - SOY SAUCE HAVING HYPOTENSIVE EFFECTS AND METHOD FOR PRODUCING THE SAME | 5 |
Mitsuharu Hanada | JP | Ibaraki-Shi | 2011-05-05 / 20110104160 - MEDICAMENT FOR TREATING CANCER | 1 |
Kenichi Hanada | US | Bethesda | 2013-08-01 / 20130195819 - T CELL RECEPTORS AND RELATED MATERIALS AND METHODS OF USE | 3 |
Takako Hanada | JP | Yokohama-Shi | 2010-03-18 / 20100066013 - SHEET STACKING APPARATUS, SHEET PROCESSING APPARATUS AND IMAGE FORMING APPARATUS | 5 |
Satoshi Hanada | JP | Tokushima | 2010-03-18 / 20100065980 - METHOD FOR PRODUCING A THERMOPLASTIC RESIN MOLDED ARTICLE | 1 |
Keigo Hanada | JP | Osaka | 2012-01-26 / 20120021040 - THERAPEUTIC AGENT FOR SPINAL CORD INJURIES | 4 |
Hiroyoshi Hanada | JP | Yokohama-Shi | 2010-04-29 / 20100101619 - THERMOELECTRIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Satoshi Hanada | JP | Tokushima-Shi Tokushima | 2010-05-06 / 20100109190 - PROCESS FOR PRODUCING THERMOPLASTIC RESIN MOLDING | 1 |
Makoto Hanada | US | Torrance | 2009-07-02 / 20090169335 - INTERNAL THREAD HOLE CLOSING PLUG | 1 |
Takahisa Hanada | JP | Ibaraki | 2010-07-15 / 20100179193 - AMPA Receptor Antagonists and Zonisamide for Neuropathic Pain | 3 |
Takahisa Hanada | JP | Tsukuba-Shi | 2014-12-18 / 20140371319 - NOVEL INDANESULFAMlDE DERIVATIVES | 3 |
Takahiko Hanada | JP | Hitachi | 2011-08-11 / 20110192632 - INSULATED WIRE | 2 |
Shigehisa Hanada | JP | Shiga | 2010-09-30 / 20100250154 - MEMBRANOUS FILTRATION PREDICTION METHOD, PREDICTION APPARATUS, AND MEMBRANOUS FILTRATION PREDICTION PROGRAM | 1 |
Katsuhiro Hanada | JP | Fukuoka | 2011-12-08 / 20110296747 - NOVEL METHOD OF PRODUCING BUTANOL | 1 |
Yukinori Hanada | JP | Nirasaki | 2010-09-30 / 20100248489 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Yoshitsugu Hanada | JP | Hiroshima-Shi | 2014-03-13 / 20140070982 - OBSTACLE DETECTION DEVICE FOR VEHICLE | 1 |
Takahisa Hanada | JP | Tsukuba-Shi, Ibaraki | 2010-11-25 / 20100297181 - AMPA Receptor Antagonists for Epilepsy, Mental Disorders or Deficits in Sensory Organ | 1 |
Yuuichi Hanada | JP | Saitama | 2013-11-14 / 20130299157 - AIR-CONDITIONING SYSTEM AND AIR-CONDITIONING METHOD FOR SERVER ROOM MANAGEMENT | 2 |
Tomoki Hanada | JP | Osaka | 2015-04-23 / 20150109308 - Photoelectric Sensor | 3 |
Kazuo Hanada | JP | Kyoto-Shi | 2013-07-11 / 20130174641 - EXHAUST GAS ANALYSIS SYSTEM AND EXHAUST GAS ANALYSIS PROGRAM | 1 |
Takashi Hanada | JP | Osaka | 2015-10-01 / 20150275817 - ENGINE | 1 |
Hideto Hanada | JP | Okazaki-Shi | 2015-10-22 / 20150303798 - BOOST CONVERTER CONTROL APPARATUS | 2 |
Hideto Hanada | JP | Toyota-Shi | 2014-05-22 / 20140139156 - MOTOR DRIVE APPARATUS AND VEHICLE INCLUDING THE SAME, AND METHOD FOR CONTROLLING MOTOR DRIVE APPARATUS | 18 |
Jeffrey K. Hanada | US | Seattle | 2010-03-04 / 20100058243 - METHODS AND SYSTEMS FOR DEPLOYING A SINGLE CONTINUOUS IMPROVEMENT APPROACH ACROSS AN ENTERPRISE | 2 |
Nobuko Hanada | JP | Ibaraki | 2013-01-24 / 20130022887 - Method for Generating Hydrogen, Method for Utilizing Hydrogen and Electric Generating System | 1 |
Shunichi Hanada | JP | Shizuoka-Ken | 2012-03-29 / 20120073274 - INTERNAL COMBUSTION ENGINE | 1 |
Toshio Hanada | JP | Kyoto | 2015-12-17 / 20150364393 - POWER MODULE SEMICONDUCTOR DEVICE | 3 |
Takumi Hanada | JP | Kanagawa | 2008-08-28 / 20080206570 - Resin Layer Formation Method, Resin Layer Formation Device, and Disk Manufacturing Method | 1 |
Siori Hanada | JP | Sodegaura-Shi, Chiba | 2015-12-31 / 20150376306 - SOLID POLYALUMINOXANE COMPOSITION, OLEFIN POLYMERIZATION CATALYST, OLEFIN POLYMER PRODUCTION METHOD AND SOLID POLYALUMINOXANE COMPOSITION PRODUCTION METHOD | 1 |
Nobuhiro Hanada | JP | Kanagawa | 2013-05-16 / 20130121931 - METHOD FOR CONTROLLING CONTINUOUSNESS OF DEODORANT EFFECT AFTER CONSUMPTION OF CHEWING GUM COMPOSITION AND A CHEWING GUM COMPOSITION | 1 |
Kenji Hanada | JP | Kodaira | 2011-07-28 / 20110183474 - ELECTRONIC DEVICE AND MANUFACTURING METHOD OF THE SAME | 2 |
Yukako Hanada | JP | Osaka | 2015-05-28 / 20150147407 - COMPOSITION FOR PREVENTING OR TREATING OSTEOARTHRITIS | 1 |
Satoshi Hanada | JP | Tokyo | 2013-09-19 / 20130245848 - DEVICE FOR MONITORING OPERATION OF NUCLEAR PLANT | 3 |
Naoki Hanada | JP | Shizuoka | 2012-10-18 / 20120264310 - METHOD FOR FORMING NI FILM | 2 |
Kaname Hanada | JP | Nagaokakyo-Shi | 2015-07-30 / 20150212208 - OPTICAL SENSOR | 2 |
Takehiko Hanada | JP | Chiyoda-Ku | 2015-09-10 / 20150256584 - SYNCHRONOUS TRANSMISSION SERVER | 1 |
Tomohiro Hanada | JP | Tokyo | 2016-01-07 / 20160004513 - DESIGN ASSISTING SYSTEM, DESIGN ASSISTING METHOD, AND STORAGE MEDIUM STORING PROGRAM THEREFOR | 1 |
Shinichi Hanada | JP | Toyota-Shi | 2012-08-09 / 20120200097 - MOLD STRUCTURE AND BUMPER | 1 |
Takehiko Hanada | JP | Tokyo | 2011-10-13 / 20110252449 - PROGRAM CONTENT VIEW SYSTEM AND CONTENT RECEPTION RECORD REPRODUCTION DEVICE | 16 |
Masaki Hanada | JP | Aichi | 2015-10-22 / 20150298591 - SEAT HEATER AND SEAT COVER WITH SAME | 2 |
Koji Hanada | JP | Shiga | 2015-11-26 / 20150336293 - FILM MANUFACTURING METHOD, FILM MANUFACTURING DEVICE, AND JIG | 1 |
Takeshi Hanada | JP | Hyogo | 2012-05-24 / 20120129762 - MOTILIN-LIKE PEPTIDE COMPOUND HAVING TRANSMUCOSAL ABSORBABILITY IMPARTED THERETO | 1 |
Nobuhiro Hanada | JP | Yokohama-Shi | 2014-06-26 / 20140178313 - PROPHYLACTIC OR THERAPEUTIC AGENT FOR ORAL DISEASES | 1 |
Masato Hanada | JP | Tokyo | 2014-08-28 / 20140239912 - ELECTRICITY STORAGE DEVICE CONTROL SYSTEM | 1 |
Toru Hanada | JP | Tokyo | 2009-03-26 / 20090083535 - INFORMATION PROCESSING APPARATUS | 1 |
Toru Hanada | JP | Ome-Shi | 2012-11-29 / 20120303943 - INFORMATION PROCESSING APPARATUS AND AUTHENTICATION CONTROL METHOD | 7 |
Toru Hanada | JP | Oume-Shi | 2012-04-05 / 20120084800 - INFORMATION PROCESSING APPARATUS AND POWER CONTROL METHOD | 3 |
Takashi Hanada | JP | Hyogo | 2014-08-07 / 20140221925 - PHARMACEUTICAL INJECTION DEVICE | 1 |
Naoki Hanada | JP | Kariya-Shi | 2013-02-21 / 20130042712 - GEAR MECHANISM | 1 |
Kathleen Hanafan | US | Sugar Land | 2012-07-26 / 20120188846 - METHOD AND APPARATUS FOR PACKAGING SURFACE ACOUSTIC WAVE TRANSPONDER FOR DOWN-HOLE TOOLS | 4 |
Bassel Hanafi | EG | Cairo | 2011-12-08 / 20110298547 - Method, system and apparatus for accurate and stable LC-based reference oscillators | 1 |
Hussein J. Hanafi | US | Basking Ridge | 2008-12-25 / 20080315917 - Programmable computing array | 1 |
Bassel Hanafi | US | La Jolla | 2013-11-28 / 20130314168 - TEMPERATURE-STABLE LC OSCILLATORS AND METHODS OF OSCILLATION AT TEMPERATURE NULL PHASE | 1 |
Waleed Hanafi | SG | Singapor | 2011-04-14 / 20110087537 - REFUND SYSTEM AND METHOD | 1 |
Abdelmalek Hanafi | DE | Muenchen | 2015-10-08 / 20150285457 - Lighting Device for a Motor Vehicle | 5 |
Bassel Hanafi | US | San Diego | 2016-05-19 / 20160142085 - SAWLESS ARCHITECTURE FOR RECEIVERS | 2 |
Waleed Hanafi | SG | Singapore | 2015-02-12 / 20150046330 - TRANSACTION PROCESSING SYSTEM AND METHOD | 1 |
Bassel Hanafi | EG | Nasr City | 2010-02-25 / 20100045394 - Method, System and Apparatus for Accurate and Stable LC-Based Reference Oscillators | 1 |
Hussein I. Hanafi | US | Basking Ridge | 2014-09-04 / 20140247638 - DISTRIBUTED SEMICONDUCTOR DEVICE METHODS, APPARATUS, AND SYSTEMS | 22 |
Hamed Hanafialamdari | CA | Halifax | 2015-04-30 / 20150119743 - Piezoelectric Beam Bending Actuated Device for Measuring Respiratory System Impedance | 1 |
Koichiro Hanafusa | JP | Kanagawa-Ken | 2014-08-07 / 20140219706 - RECORDING MEDIUM INSERTION AND EJECTION DEVICE | 3 |
Masayoshi Hanafusa | JP | Chiyoda-Ku | 2012-04-26 / 20120101246 - Epoxy Resin, Method for Producing Same and Epoxy Resin Composition Thereof | 1 |
Masayoshi Hanafusa | JP | Sodegaura-Shi | 2010-07-01 / 20100168368 - Epoxy Resin, Method for Producing Same and Epoxy Resin Composition Thereof | 1 |
Tatsuya Hanafusa | JP | Gotemba-Shi | 2016-02-25 / 20160052678 - LINER-PROVIDED CAP AND CAP-PROVIDED THREADED CONTAINER | 2 |
Tadayoshi Hanafusa | JP | Yokohama | 2016-05-12 / 20160131108 - AIRFLOW GENERATION DEVICE AND WIND POWER GENERATION SYSTEM | 1 |
Tatsuya Hanafusa | JP | Gotenba-Shi | 2012-10-25 / 20120269602 - BOTTLE CAN MEMBER, BOTTLE, AND THREAD FORMING DEVICE | 5 |
Sumio Hanafusa | JP | Katano-Shi | 2011-01-06 / 20110001667 - Antenna Control Device, Reception Device, And Antenna Control Method | 1 |
Masahiro Hanafusa | JP | Osaka | 2015-09-17 / 20150260283 - GEARSHIFT MECHANISM AND WORKING VEHICLE | 3 |
Akihiro Hanafusa | JP | Toyohashi-Shi | 2016-02-25 / 20160054489 - OPTICAL FILM, OPTICAL FILM MANUFACTURING METHOD AND SURFACE LIGHT-EMITTING BODY | 1 |
Takashi Hanafusa | JP | Kobe-Shi | 2009-07-02 / 20090169640 - COMPOSITONS FOR NASAL ADMINISTRATION OF PHARMACEUTICALS | 1 |
Hiroshi Hanafusa | JP | Osaka | 2016-04-14 / 20160105044 - POWER-STORAGE-SYSTEM CONTROL METHOD AND POWER-STORAGE-SYSTEM CONTROL APPARATUS | 5 |
Yasno Hanafusa | JP | Saitama | 2011-09-29 / 20110233207 - FUEL TANK FOR VEHICLE | 1 |
Jitsumi Hanafusa | JP | Wako-Shi | 2011-10-06 / 20110239608 - WALK-BEHIND WORK MACHINE | 1 |
Sumio Hanafusa | JP | Osaka | 2015-04-30 / 20150115730 - WIRELESS POWER TRANSMISSION APPARATUS AND WIRELESS POWER TRANSMISSION SYSTEM | 1 |
Souichi Hanafusa | JP | Kashiwazaki-Shi | 2014-09-18 / 20140272550 - BATTERY | 2 |
Masahiro Hanafusa | JP | Kyoto | 2011-01-13 / 20110005605 - LIQUID DELIVERY CONTROL METHOD AND LIQUID DELIVERY CONTROL SYSTEM | 1 |
Yuichiro Hanafusa | JP | Kanagawa-Ken | 2013-11-14 / 20130304967 - INFORMATION MEMORY SYSTEM IN WHICH DATA RECEIVED SERIALLY IS DIVIDED INTO PIECES OF DATA AND MEMORY ABNORMALITY PROCESSING METHOD FOR AN INFORMATION MEMORY SYSTEM | 1 |
Yasuo Hanafusa | JP | Tochigi-Ken | 2015-04-02 / 20150090514 - POWER UNIT SUPPORT STRUCTURE FOR OFF-ROAD VEHICLES | 2 |
Soichi Hanafusa | JP | Nagano-Ken | 2011-03-03 / 20110052977 - BATTERY | 1 |
Hiroshi Hanafusa | JP | Maniwa-Shi | 2012-08-09 / 20120203259 - LANCET PUNCTURE DEVICE | 1 |
Kei Hanafusa | JP | Osaka-Shi | 2015-06-11 / 20150162518 - SOURCE MATERIAL SOLUTION FOR FORMING OXIDE SUPERCONDUCTOR | 3 |
Norihito Hanafusa | JP | Zushi-Shi | 2016-02-11 / 20160041303 - OBSERVATION DEVICE AND OBSERVATION MANAGEMENT SYSTEM | 2 |
Soichi Hanafusa | JP | Saku-Shi | 2011-03-03 / 20110052970 - BATTERY | 1 |
Tatsuya Hanafusa | JP | Oyama-Shi | 2016-02-11 / 20160040916 - CONDENSER | 6 |
Mikio Hanafusa | JP | Hitachi-Shi | 2012-07-26 / 20120189811 - COPPER ELECTROLYTIC SOLUTION AND TWO-LAYER FLEXIBLE SUBSTRATE OBTAINED USING THE SAME | 2 |
Nobuhiro Hanafusa | JP | Kyoto | 2011-02-17 / 20110036862 - DISPENSING DEVICE | 20 |
Yukitoshi Hanafusa | JP | Yokohama | 2013-06-27 / 20130163311 - SEMICONDUCTOR STORAGE DEVICE | 1 |
Tatsuya Hanafusa | JP | Sunto-Gun | 2013-03-28 / 20130074719 - METHOD AND APPARATUS FOR PRODUCING SLEEVE PRINTING PLATE | 1 |
Takashi Hanafusa | JP | Hyogo | 2013-10-31 / 20130287852 - COMPOSITIONS FOR NASAL ADMINISTRATION OF PHARMACEUTICALS | 1 |
Seiji Hanafusa | JP | Saitama | 2012-11-08 / 20120280475 - SADDLE-TYPE VEHICLE | 6 |
Mikio Hanafusa | JP | Ibaraki | 2011-12-22 / 20110311834 - TWO-LAYER FLEXIBLE SUBSTRATE, AND COPPER ELECTROLYTIC SOLUTION FOR PRODUCING SAME | 5 |
Yukitoshi Hanafusa | JP | Kawasaki | 2010-12-30 / 20100329068 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Yasuo Hanafusa | JP | Yatomi-Shi | 2012-09-20 / 20120235336 - JIG USED FOR MANUFACTURING COMPOSITE MATERIAL STRUCTURE | 2 |
Nobuhiro Hanafusa | JP | Nakagyo-Ku | 2014-03-20 / 20140079603 - DISPENSING DEVICE | 2 |
Ryo Hanafusa | JP | Tokyo | 2015-05-07 / 20150127413 - JOB EXECUTION SYSTEM, JOB EXECUTION PROGRAM, AND JOB EXECUTION METHOD | 1 |
Hirofumi Hanafusa | JP | Wako-Shi | 2011-03-31 / 20110073080 - FUEL HEATING DEVICE | 1 |
Souichi Hanafusa | JP | Tokyo | 2011-07-14 / 20110171510 - NON-AQUEOUS ELECTROLYTE BATTERY | 1 |
Lawrence M. Hanafy | US | New Orleans | 2015-01-22 / 20150023580 - Microcalcification Detection and Classification in Radiographic Images | 2 |
Ayman S. Hanafy | EG | Giza | 2016-03-24 / 20160086488 - PREDICTION OF FREE PARKING SPACES IN A PARKING AREA | 3 |
Taiki Hanagami | JP | Matsumoto-Shi | 2016-04-28 / 20160114592 - FLOW CHANNEL STRUCTURE AND LIQUID EJECTING APPARATUS | 8 |
Taiki Hanagami | JP | Matsumoto | 2013-06-27 / 20130162708 - LIQUID EJECTING APPARATUS AND CONTROL METHOD OF LIQUID EJECTING HEAD | 2 |
Taiki Hanagami | JP | Matsumoto-Shi | 2016-04-28 / 20160114592 - FLOW CHANNEL STRUCTURE AND LIQUID EJECTING APPARATUS | 8 |
Mary Ann Hanagan | US | Newark | 2015-10-15 / 20150291578 - FUNGICIDAL AZOCYCLIC AMIDES | 11 |
Mary Ann Hanagan | US | Newark | 2015-10-15 / 20150291578 - FUNGICIDAL AZOCYCLIC AMIDES | 11 |
Michael Joseph Hanagan | US | Chester | 2011-03-10 / 20110059735 - METHOD AND APPARATUS FOR DERIVING PATHLOSS ESTIMATION VALUES | 1 |
Thomas A. Hanagan | US | Novato | 2014-11-27 / 20140351253 - DYNAMIC RESPONSE ENTRY | 1 |
Michael J. Hanagan | US | Rutland | 2014-07-03 / 20140186779 - IGNITION SYSTEM HAVING CONTROL CIRCUIT WITH LEARNING CAPABILITIES AND DEVICES AND METHODS RELATED THERETO | 2 |
Ted Hanagan | US | Libertyville | 2016-03-24 / 20160082184 - Devices And Methods For Delivering A Beneficial Agent To A User | 1 |
Yoshihiro Hanagata | JP | Minamikoma-Gun | 2013-01-10 / 20130010338 - IMAGE READING APPARATUS | 2 |
Takayoshi Hanagata | US | Rockville | 2009-03-05 / 20090061489 - MICROFLUIDIC DEVICES WITH INTEGRATED RESISTIVE HEATER ELECTRODES INCLUDING SYSTEMS AND METHODS FOR CONTROLLING AND MEASURING THE TEMPERATURES OF SUCH HEATER ELECTRODES | 1 |
Osamu Hanagata | JP | Tokyo | 2008-10-16 / 20080253663 - Content management apparatus, image display apparatus, image pickup apparatus, processing method and program for causing computer to execute processing method | 1 |
Takayoshi Hanagata | US | Newport News | 2016-02-25 / 20160051985 - SYSTEM AND METHOD FOR SERIAL PROCESSING OF MULTIPLE NUCLEIC ACID ASSAYS | 1 |
Jun Hanagata | JP | Meguro | 2015-10-01 / 20150281343 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, AND PROCESSING METHOD | 1 |
Sathya Hanagud | US | Atlanta | 2013-08-08 / 20130204592 - Structural Health Monitoring Systems And Methods | 1 |
Kyle A. Hanah | US | Mount Prospect | 2015-12-24 / 20150368372 - Cellulosic Arabinoxylan fiber (CAF) And Methods Of Preparing | 3 |
Bruce A. Hanahan | US | Marlborough | 2009-07-09 / 20090174256 - POWER DISTRIBUTION SYSTEM | 2 |
Nobuaki Hanai | JP | Kyoto | 2016-02-25 / 20160051938 - FACILITATED CO2 TRANSPORT MEMBRANE, METHOD FOR PRODUCING SAME, RESIN COMPOSITION FOR USE IN METHOD FOR PRODUCING SAME, CO2 SEPARATION MODULE AND METHOD AND APPARATUS FOR SEPARATING CO2 | 5 |
Nobuyuki Hanai | JP | Kawagoe-Shi | 2013-05-09 / 20130112707 - AEROSOL CONTAINER FOR DISPENSING PLURAL KINDS OF LIQUIDS | 1 |
Hiroshi Hanai | JP | Anjo-Shi | 2015-06-04 / 20150153416 - CHARGE CONTROL DEVICE | 3 |
Shuichi Hanai | JP | Nagoya-Shi | 2014-07-10 / 20140190149 - AIR-FUEL RATIO CONTROL APPARATUS | 1 |
Ramin Hanai | US | Nashville | 2014-12-11 / 20140361889 - Child Occupancy Monitoring System for a Vehicle Seat | 1 |
Jun-Ichi Hanai | US | Boston | 2012-07-05 / 20120171286 - METHODS AND COMPOSITIONS FOR THE TREATMENT AND DIAGNOSIS OF STATIN-INDUCED MYOPATHY | 1 |
Nobuyuki Hanai | JP | Chiyoda-Ku | 2012-07-05 / 20120168463 - AEROSOL DEVICE FOR ALLOCATION OF PLURALITY OF FLUIDS | 2 |
Takashi Hanai | JP | Yokohama | 2013-01-03 / 20130002292 - RECONFIGURABLE INTEGRATED CIRCUIT DEVICE | 3 |
Kazuma Hanai | JP | Mie | 2015-10-15 / 20150295240 - ELECTRODE FOR LITHIUM SECONDARY BATTERY AND LITHIUM SECONDARY BATTERY | 1 |
Hiroomi Hanai | JP | Ibaraki-Shi | 2015-10-15 / 20150291859 - PRESSURE-SENSITIVE ADHESIVE TAPE FOR ELECTROCHEMICAL DEVICE | 3 |
Shinichi Hanai | JP | Kawasaki | 2011-09-01 / 20110211580 - COMMUNICATION APPARATUS, COMMUNICATION APPARATUS CONTROLLING METHOD, AND NETWORK SYSTEM | 1 |
Shuji Hanai | JP | Shizuoka | 2014-01-30 / 20140026754 - FOAM REMOVING DEVICE AND FOAM REMOVING METHOD | 3 |
Tomohiro Hanai | JP | Tokyo | 2013-12-26 / 20130346417 - STREAM DATA ANOMALY DETECTION METHOD AND DEVICE | 1 |
Yuya Hanai | JP | Tokyo | 2015-10-01 / 20150279105 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND PROGRAM | 5 |
Daisuke Hanai | JP | Tochigi | 2013-09-26 / 20130247958 - SOLAR CELL MODULE, AND METHOD OF MANUFACTURING SOLAR CELL MODULE | 1 |
Nariyoshi Hanai | JP | Miyazaki | 2009-04-02 / 20090084671 - SPUTTERING APPARATUS | 1 |
Junichi Hanai | JP | Kanagawa-Ken | 2009-04-02 / 20090085342 - FUEL TANK | 1 |
Nobuo Hanai | JP | Tokyo | 2015-04-23 / 20150112046 - ANTIBODY COMPOSITION-PRODUCING CELL | 8 |
Ryo Hanai | JP | Shizuoka | 2010-09-02 / 20100222592 - Pyridone Derivative and Herbicide | 4 |
Ryo Hanai | JP | Tokyo | 2010-08-19 / 20100210467 - AGENT FOR IMPROVING SUGARCANE BRIX AND METHOD OF PROMOTING SUGARCANE RIPENING USING THE SAME | 4 |
Toru Hanai | JP | Aichi | 2014-01-16 / 20140015174 - CAST-STEEL POURING APPARATUS | 1 |
Kazuma Hanai | JP | Tsu-Shi | 2015-09-24 / 20150270554 - METHOD OF PRODUCING ELECTRODE MATERIAL FOR LITHIUM-ION SECONDARY BATTERY AND LITHIUM-ION BATTERY USING SUCH ELECTRODE MATERIAL | 1 |
Norihito Hanai | JP | Toyota-City | 2011-10-20 / 20110257872 - KNOCK DETERMINING DEVICE | 1 |
Daisuke Hanai | JP | Odawara-Shi | 2015-07-23 / 20150203448 - ARYLOXYUREA COMPOUND AND PEST CONTROL AGENT | 4 |
Ryo Hanai | JP | Taitoh-Ku | 2012-03-08 / 20120058896 - HERBICIDAL COMPOSITION | 1 |
Hiroomi Hanai | JP | Osaka | 2013-06-20 / 20130157086 - PRESSURE-SENSITIVE ADHESIVE TAPE FOR BATTERY, BATTERY USING THE PRESSURE-SENSITIVE ADHESIVE TAPE AND PROCESS FOR MANUFACTURING A BATTERY | 7 |
Khoi Hanai | JP | Tokyo | 2011-07-07 / 20110166822 - REED TESTING DEVICE FOR SINGLE-REED INSTRUMENT | 1 |
Kazumichi Hanai | JP | Aichi-Ken | 2013-07-25 / 20130187487 - BRUSHLESS MOTOR | 1 |
Masaaki Hanai | JP | Tokyo | 2013-11-28 / 20130314641 - IMAGE DISPLAY DEVICE | 3 |
Hiromasa Hanai | JP | Toyokawa-Shi | 2014-02-13 / 20140043159 - SECURITY SYSTEM, PROGRAM PRODUCT THEREFOR, AND SURVEILLANCE METHOD | 1 |
Toshihiko Hanai | JP | Kanagawa | 2011-07-07 / 20110165299 - WATER VAPOR PLASMA GENERATEING APPARATUS, STERILIZATION AND DISINFECTION METHOD, AND METHOD FOR ANTIOXIDATIVE TREATMENT USING WATER VAPOR PLASMA | 1 |
Shouichirou Hanai | JP | Anjo-Shi | 2014-03-06 / 20140062685 - PEDESTRIAN NOTIFICATION APPARATUS | 1 |
Eiji Hanai | JP | Wako-Shi | 2014-01-02 / 20140005903 - CONTROL UNIT FOR SYNCHRONOUS ENGAGING DEVICE | 1 |
Masahiro Hanai | JP | Kanagawa | 2008-08-21 / 20080197977 - STATIONARY INDUCTION APPARATUS AND MONITORING DEVICE THEREOF | 1 |
Mitsuko Hanai | JP | Nagoya-Shi | 2014-03-27 / 20140086659 - Configuration for an Image Forming Apparatus Having an Upright Recording Medium Storage Unit | 3 |
Toshihiro Hanai | JP | Kiyose-Shi | 2008-11-27 / 20080289552 - Sewing machine and computer readable medium | 1 |
Taizo Hanai | JP | Higashi-Ku | 2009-04-30 / 20090111154 - BUTANOL PRODUCTION BY RECOMBINANT MICROORGANISMS | 1 |
Tetsuya Hanai | JP | Shizuoka | 2009-04-30 / 20090108557 - MOTORCYCLE | 1 |
Tetsuya Hanai | JP | Iwata-Shi | 2009-04-30 / 20090107751 - MOTORCYCLE | 1 |
Shouichirou Hanai | JP | Anjo-City | 2014-10-23 / 20140317729 - DATA COMMUNICATION AUTHENTICATION SYSTEM FOR VEHICLE GATEWAY APPARATUS FOR VEHICLE DATA COMMUNICATION SYSTEM FOR VEHICLE AND DATA COMMUNICATION APPARATUS FOR VEHICLE | 9 |
Satoshi Hanai | JP | Nagano-Ken | 2009-06-18 / 20090155141 - Fuel Modification Apparatus | 1 |
Takako Hanai | JP | Tachikawa-Shi | 2014-06-19 / 20140168416 - VIRTUAL IMAGE GENERATING APPARATUS, VIRTUAL IMAGE GENERATING METHOD, AND RECORDING MEDIUM STORING VIRTUAL IMAGE GENERATING PROGRAM | 2 |
Norihito Hanai | JP | Toyota-Shi | 2009-09-03 / 20090217908 - IGNITION TIMING CONTROLLING APPARATUS AND IGNITION TIMING CONTROLLING METHOD FOR INTERNAL COMBUSTION ENGINE | 2 |
Toshinori Hanai | JP | Saitama | 2009-09-17 / 20090233501 - EXHAUST SYSTEM FOR SMALL WATERCRAFT | 2 |
Takamasa Hanai | JP | Anjo-Shi | 2014-01-23 / 20140020922 - DUST COLLECTING DEVICE AND IMPACT TOOL | 7 |
Nobuyuki Hanai | JP | Tokyo | 2014-07-17 / 20140197200 - REMAINDER REDUCING MEMBER | 1 |
Takashi Hanai | JP | Nagoya-Shi | 2012-12-06 / 20120306309 - STATOR OF ROTATING ELECTRICAL MACHINE AND ROTATING ELECTRICAL MACHINE | 1 |
Takashi Hanai | JP | Mie | 2009-10-22 / 20090261667 - ROTOR FOR ELECTRIC ROTATING MACHINE AND ROTATING MACHINE | 1 |
Shuichi Hanai | JP | Nissin-Shi | 2009-10-29 / 20090266315 - COOLING STRUCTURE OF INTERNAL COMBUSTION ENGINE | 1 |
Takashi Hanai | JP | Kawasaki | 2009-12-24 / 20090319762 - DYNAMIC RECONFIGURABLE CIRCUIT AND DATA TRANSMISSION CONTROL METHOD | 3 |
Daisuke Hanai | JP | Aizuwakamatsu-Shi | 2013-12-12 / 20130331569 - CROSS-LINKED CYCLIC AMINE COMPOUNDS AND AGENTS FOR PEST CONTROL | 6 |
Tomoyuki Hanai | JP | Kanagawa | 2012-10-11 / 20120260276 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 9 |
Tomohiro Hanai | JP | Yokohama | 2013-08-08 / 20130204931 - METHOD AND DEVICE FOR GUARANTEEING PERFORMANCE IN STREAM DATA PROCESSING | 5 |
Takashi Hanai | JP | Toyokawa-Shi | 2010-02-11 / 20100032124 - Sand-introducing device using air, and method and apparatus for producing mold | 1 |
Akihiro Hanai | JP | Toukai-Shi | 2010-02-25 / 20100049424 - FUEL INJECTION CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Shouichirou Hanai | JP | Anjo-City | 2014-10-23 / 20140317729 - DATA COMMUNICATION AUTHENTICATION SYSTEM FOR VEHICLE GATEWAY APPARATUS FOR VEHICLE DATA COMMUNICATION SYSTEM FOR VEHICLE AND DATA COMMUNICATION APPARATUS FOR VEHICLE | 9 |
Junya Hanai | JP | Wakayama | 2010-06-17 / 20100152090 - LIQUID DETERGENT COMPOSITION | 2 |
Nobuyuki Hanai | JP | Saitama | 2010-07-08 / 20100174247 - EYE DROPS CONTAINER | 1 |
Mikio Hanai | JP | Miyoshi-Shi | 2010-08-12 / 20100199810 - FASTENING TOOL | 1 |
Tadashi Hanai | JP | Yao-Shi | 2010-08-19 / 20100206141 - TORQUE WRENCH | 1 |
Shuichi Hanai | JP | Toyota-Shi | 2010-09-30 / 20100242868 - PARTITION MEMBER FOR COOLING PASSAGE OF INTERNAL COMBUSTION ENGINE, COOLING STRUCTURE OF INTERNAL COMBUSTION ENGINE, AND METHOD FOR FORMING THE COOLING STRUCTURE | 3 |
Taizo Hanai | JP | Fukuoka | 2010-09-02 / 20100221800 - MICROORGANISM ENGINEERED TO PRODUCE ISOPROPANOL | 1 |
Masahiro Hanai | JP | Kanagawa-Ken | 2011-01-06 / 20110000772 - POWER SWITCHGEAR | 1 |
Kazumichi Hanai | JP | Nagoya-Shi | 2011-05-19 / 20110116955 - FUEL PUMP | 5 |
Tomohiro Hanai | JP | Tachikawa | 2011-03-10 / 20110060890 - STREAM DATA GENERATING METHOD, STREAM DATA GENERATING DEVICE AND A RECORDING MEDIUM STORING STREAM DATA GENERATING PROGRAM | 4 |
Shuji Hanai | JP | Numazu-Shi | 2011-07-28 / 20110179998 - APPARATUS AND METHOD OF CURTAIN COATING | 6 |
Takashi Hanai | JP | Aichi | 2009-10-22 / 20090261679 - ROTATING ELECTRICAL MACHINE | 1 |
Saki Hanai | JP | Tokyo | 2011-04-21 / 20110091050 - SOUND PROCESSING APPARATUS, SOUND PROCESSING METHOD, AND SOUND PROCESSING PROGRAM | 1 |
Norihito Hanai | JP | Aichi-Ken | 2011-06-23 / 20110146384 - KNOCK DETERMINATION DEVICE AND KNOCK DETERMINATION METHOD FOR INTERNAL COMBUSTION ENGINE | 6 |
Youichi Hanai | JP | Aichi | 2010-08-26 / 20100212587 - STAIN PREVENTING COVER FOR COATING MACHINE | 1 |
Masahiro Hanai | JP | Fujisawa-Shi | 2010-12-30 / 20100326959 - GAS INSULATED SWITCHGEAR AND METHOD FOR DETECTING ARC DAMAGE IN A GAS INSULATED SWITCHGEAR PART | 2 |
Youichi Hanai | JP | Obu-Shi | 2012-02-09 / 20120031329 - ELECTROSTATIC COATING APPARATUS | 1 |
Nobuaki Hanai | JP | Kyoto-Shi | 2014-12-25 / 20140377156 - Selectively CO2-Permeable Membrane, Method for Separating CO2 from Mixed Gas, and Membrane Separation Equipment | 2 |
Nobuo Hanai | JP | Chiyoda-Ku | 2011-03-10 / 20110059115 - ANTIBODY COMPOSITION EXHIBITING CELLULAR CYTOTOXICTY DUE TO GLYCOSYLATION | 3 |
Nobuo Hanai | JP | Machida-Shi | 2014-08-21 / 20140234300 - METHOD OF MODULATING THE ACTIVITY OF FUNCTIONAL IMMUNE MOLECULES | 5 |
Nobuo Hanai | JP | Tokyo | 2015-04-23 / 20150112046 - ANTIBODY COMPOSITION-PRODUCING CELL | 8 |
Takashi Hanai | JP | Nagoa-Shi | 2013-05-23 / 20130127290 - STATOR OF ROTATING ELECTRICAL MACHINE AND ROTATING ELECTRICAL MACHINE | 1 |
Takahiro Hanai | JP | Osaka-Shi | 2015-04-23 / 20150108364 - CHARGED PARTICLE EMISSION DEVICE AND AIR-BLOWING DEVICE | 2 |
Nobuyuki Hanai | JP | Shinagawa-Ku | 2013-10-17 / 20130270294 - Aerosol Container For Dispensing Plural Kinds Of Liquids | 2 |
Tomoyuki Hanai | JP | Kanagawa | 2012-10-11 / 20120260276 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 9 |
Nobuhiro Hanai | JP | Ogaki-Shi | 2012-08-30 / 20120217607 - WIRING BOARD WITH BUILT-IN IMAGING DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Junichi Hanai | US | Boston | 2010-12-09 / 20100310574 - METHODS AND COMPOSITIONS FOR THE TREATMENT AND DIAGNOSIS OF STATIN-INDUCED MYOPATHY | 2 |
Takahiro Hanai | JP | Osaka | 2012-04-05 / 20120081831 - AIR BLOWING DEVICE AND ION GENERATING APPARATUS | 2 |
Toshihide Hanajima | JP | Aichi | 2009-12-03 / 20090295975 - Display apparatus | 1 |
Tatsuro Hanajiri | JP | Tokyo | 2010-09-30 / 20100243426 - METHOD FOR DECOMPOSING CARBON-CONTAINING COMPOUND, METHOD FOR PRODUCING CARBON NANO/MICROSTRUCTURE, AND METHOD FOR PRODUCING CARBON THIN FILM | 1 |
Anthony Stephen Hanak | US | Mount Holly | 2015-09-24 / 20150267651 - EGR Power Module and Method of Use Thereof | 1 |
Josef Hanak | CZ | Nemotice | 2015-01-15 / 20150013189 - SHOE WITH INSTEP ELASTIC INSERTION AND INSOLE WITH DEPRESSIONS | 2 |
Francis Chad Hanak | US | League City | 2015-11-19 / 20150331138 - Real-Time, Limited Orientation Sensor Auto-Calibration | 5 |
Daniel J. Hanak | US | Milwaukee | 2014-04-17 / 20140103479 - Dispensing Systems with Improved Sensing Capabilities | 1 |
Robert Hanak | US | Melb. Bch. | 2016-01-07 / 20160001854 - Hanak Watercraft | 1 |
Julian Hanak | GB | Manchester | 2012-03-22 / 20120071425 - USES OF MANNOSE-6-PHOSPHATE | 1 |
Stephen Mark Hanak | US | Brownsville | 2010-10-28 / 20100272561 - Boltless Multi-part Diaphragm for Use with a Centrifugal Compressor | 1 |
Satoshi Hanaka | JP | Kyoto-Shi | 2016-02-11 / 20160041005 - Magnetic Position Sensor and Position Detecting Method | 3 |
Scott Hanaka | US | Wellsville | 2014-09-11 / 20140254962 - REPLACEABLE AXIAL JOURNAL FOR AUXILIARY BEARINGS | 1 |
Satoshi Hanaka | JP | Kyoto | 2015-12-03 / 20150345992 - DISPLACEMENT SENSOR AND DISPLACEMENT DETECTION METHOD | 1 |
Scott M. Hanaka | US | Wellsville | 2014-06-19 / 20140169711 - SELF-LUBRICATING SNUBBER BEARING | 2 |
Mitsunori Hanaka | JP | Kumagaya-Shi | 2009-03-05 / 20090061653 - Connector unit and connector thereof | 1 |
Kazuyoshi Hanakawa | JP | Tsuchiura-Shi | 2011-03-17 / 20110061755 - HYDRAULIC CIRCUIT SYSTEM FOR HYDRAULIC EXCAVATOR | 1 |
Kazushi Hanakawa | JP | Tokyo | 2014-12-25 / 20140374624 - SENSITIVITY CORRECTION METHOD FOR DOSE MONITORING DEVICE AND PARTICLE BEAM THERAPY SYSTEM | 5 |
Kazushi Hanakawa | JP | Chiyoda-Ku | 2015-01-29 / 20150031934 - GANTRY-TYPE PARTICLE BEAM IRRADIATION SYSTEM AND PARTICLE BEAM THERAPY SYSTEM COMPRISING SAME | 5 |
Takashi Hanakawa | JP | Tokyo | 2015-11-05 / 20150313551 - TENDENCY DISCRIMINATION DEVICE, TASK EXECUTION ASSISTING DEVICE, TENDENCY DISCRIMINATION COMPUTER PROGRAM, AND TASK EXECUTION ASSISTING COMPUTER PROGRAM | 1 |
Masayuki Hanakawa | JP | Kanagawa | 2011-10-13 / 20110250637 - METHOD FOR PRODUCING SUGAR LIQUID | 1 |
Youichi Hanakawa | JP | Osaka | 2010-10-21 / 20100263216 - Scissors | 2 |
Hidenari Hanakawa | JP | Wako-Shi | 2013-08-29 / 20130221708 - VEHICLE FRONT BODY STRUCTURE | 1 |
Masayuki Hanakawa | JP | Otsu | 2013-10-10 / 20130266991 - METHOD FOR PRODUCING CONCENTRATED AQUEOUS SUGAR SOLUTION | 1 |
Masayuki Hanakawa | JP | Otsu-Shi | 2014-10-16 / 20140308712 - METHOD FOR PRODUCING CONCENTRATED AQUEOUS SUGAR SOLUTION | 4 |
Masayuki Hanakawa | JP | Shiga | 2013-10-17 / 20130273608 - METHOD OF PRODUCING COMPOUND ORIGINATING FROM POLYSACCHARIDE-BASED BIO-MASS | 4 |
Koji Hanaki | JP | Shizuoka | 2015-07-16 / 20150200492 - ELECTRIC CONNECTOR | 1 |
Yasunari Hanaki | JP | Kanagawa | 2011-09-15 / 20110219748 - PARTICULATE MATTER PURIFYING MATERIAL, FILTER CATALYST FOR PURIFYING PARTICULATE MATTER USING PARTICULATE MATTER PURIFYING MATERIAL, AND METHOD OF REGENERATING FILTER CATALYST FOR PURIFYING PARTICULATE MATTER | 4 |
Yasunari Hanaki | JP | Kanagawa-Ken | 2012-05-17 / 20120122673 - OXIDATION CATALYST AND METHOD FOR PRODUCING THE OXIDATION CATALYST | 3 |
Ikkou Hanaki | JP | Osaka | 2013-09-05 / 20130230683 - WHEEL PROTECTIVE FILM | 10 |
Katsuhiro Hanaki | JP | Satsumasendai-Shi | 2012-10-18 / 20120263944 - ROTATION TOOL | 1 |
Tetsuya Hanaki | JP | Kakogawa-Shi | 2012-11-08 / 20120280759 - OSCILLATOR | 2 |
Ikkou Hanaki | JP | Ibaraki-Shi | 2015-04-02 / 20150093542 - CARRIER FILM FOR TRANSPARENT CONDUCTIVE FILMS, AND LAMINATE | 9 |
Akihito Hanaki | JP | Tokyo | 2016-02-18 / 20160050682 - RADIO BASE STATION AND MOBILE STATION | 10 |
Katsuhiro Hanaki | JP | Anjo-Shi | 2014-05-08 / 20140127527 - HARD ALLOY AND CUTTING TOOL | 1 |
Naoki Hanaki | JP | Yamato-Shi | 2014-03-13 / 20140070549 - DOOR LATCH SYSTEM FOR VEHICLE | 1 |
Naoki Hanaki | JP | Yokohama | 2013-10-03 / 20130259565 - LOCK DEVICE | 1 |
Hiroshi Hanaki | JP | Tokyo | 2015-12-31 / 20150380695 - POLYURETHANE ADHESIVE FOR BATTERY PACKAGING MATERIAL, BATTERY PACKAGING MATERIAL, BATTERY CONTAINER, AND BATTERY | 1 |
Takayuki Hanaki | JP | Tokyo | 2011-08-25 / 20110206876 - VACUUM THERMAL INSULATING MATERIAL AND METHOD OF MANUFACTURING THE SAME, AND THERMAL INSULATING BOX HAVING THE VACUUM THERMAL INSULATING MATERIAL | 1 |
Naoki Hanaki | JP | Kanagawa | 2016-04-21 / 20160107547 - SEAT LOCK DEVICE | 1 |
Naofumi Hanaki | JP | Kanagawa | 2015-05-21 / 20150143460 - IC CHIP, INFORMATION PROCESSING APPARATUS, SYSTEM, METHOD, AND PROGRAM | 8 |
Hirokazu Hanaki | JP | Kanagawa | 2012-03-15 / 20120066480 - Processor | 2 |
Yasuhito Hanaki | JP | Toyota-Shi | 2010-10-28 / 20100269748 - INDICATOR FOR PRESSURE CONTAINER | 2 |
Yoshihiko Hanaki | JP | Saitama | 2010-09-30 / 20100243389 - DISK BRAKE FOR VEHICLE | 1 |
Kazuhiro Hanaki | JP | Tahara-Shi | 2014-11-13 / 20140331836 - ROTARY CUTTING APPARATUS AND ROTARY CUTTING METHOD | 2 |
Akihito Hanaki | JP | Yokohama-Shi | 2012-11-15 / 20120289238 - RADIO NETWORK CONTROLLER AND WIRELESS COMMUNICATION METHOD | 27 |
Ikko Hanaki | JP | Ibaraki-Shi | 2010-06-17 / 20100151237 - PAINT FILM-PROTECTING SHEET AND METHOD OF MANUFACTURE | 2 |
Yasuhito Hanaki | JP | Aichi | 2011-06-30 / 20110154878 - Press Molding Device and Press Molding Method | 1 |
Keigo Hanaki | JP | Ibaraki | 2010-02-25 / 20100047883 - PROCESS FOR PRODUCING USEFUL SUBSTANCE USING IMMOBILIZED ENZYME | 2 |
Yasushi Hanaki | JP | Aichi-Gun | 2012-10-04 / 20120253615 - VEHICLE CONTROL APPARATUS, VEHICLE CONTROL METHOD, COMPUTER PROGRAM FOR IMPLEMENTING SAME METHOD, AND DATA STORAGE MEDIUM STORING SAME COMPUTER PROGRAM | 2 |
Akihito Hanaki | JP | Kanagawa | 2012-03-15 / 20120064938 - TRANSMISSION POWER CONTROL APPARATUS AND TRANSMISSION POWER CONTROL METHOD | 15 |
Naoki Hanaki | JP | Yamanashi-Ken | 2009-07-09 / 20090173011 - POWER UNIT FOR POWER SLIDE APPARATUS | 1 |
Hideaki Hanaki | JP | Kanagawa | 2009-05-21 / 20090130717 - Novel K04-0144 Substance and a Process for Production Thereof | 1 |
Hidenobu Hanaki | JP | Aichi | 2015-01-15 / 20150015198 - WIRELESS CHARGING DEVICE AND METHOD FOR CONTROLLING WIRELESS CHARGING | 2 |
Naoyuki Hanaki | JP | Takatsuki-Shi | 2013-12-19 / 20130338790 - NON-WOVEN FABRIC CONTAINING BONE PROSTHETIC MATERIAL | 1 |
Naofumi Hanaki | JP | Kanagawa | 2015-05-21 / 20150143460 - IC CHIP, INFORMATION PROCESSING APPARATUS, SYSTEM, METHOD, AND PROGRAM | 8 |
Keigo Hanaki | JP | Kamisu-Shi | 2015-08-13 / 20150225440 - MANUFACTURING METHOD FOR POLYPHENOL COMPOSITION | 2 |
Akihito Hanaki | JP | Tokyo | 2016-02-18 / 20160050682 - RADIO BASE STATION AND MOBILE STATION | 10 |
Akihito Hanaki | JP | Kanagawa | 2012-03-15 / 20120064938 - TRANSMISSION POWER CONTROL APPARATUS AND TRANSMISSION POWER CONTROL METHOD | 15 |
Naoyuki Hanaki | JP | Ashigarakami-Gun | 2015-02-19 / 20150051396 - SUBSTITUTED PYRAZINO[2,3-D]ISOOXAZOLES AS INTERMEDIATES FOR THE SYNTHESIS OF SUBSTITUTED PYRAZINECARBOXAMIDES | 5 |
Ikkou Hanaki | JP | Osaka | 2013-09-05 / 20130230683 - WHEEL PROTECTIVE FILM | 10 |
Naoyuki Hanaki | JP | Minami-Ashigara-Shi | 2010-01-07 / 20100004439 - Heterocyclic Compound | 3 |
Naoyuki Hanaki | JP | Kanagawa | 2011-01-27 / 20110018946 - AZO COMPOUNDS, AZO PIGMENTS, AND DISPERSION, COLORING COMPOSITION AND INK FOR INKJET RECORDING CONTAINING THE AZO COMPOUNDS OR AZO PIGMENTS, INK TANK FOR INKJET RECORDING, INKJET RECORDING METHOD, AND RECORDED PRODUCTS | 6 |
Yasushi Hanaki | JP | Toyota-Shi | 2013-05-23 / 20130125638 - STROKE SENSOR ABNORMALITY DETERMINING APPARATUS | 1 |
Naoki Hanaki | JP | Yokohama-Shi | 2015-01-29 / 20150028618 - SEAT LOCK APPARATUS | 1 |
Yukihiro Hanaki | JP | Nagoya-Shi | 2014-10-09 / 20140304253 - SCREEN-DATA EDITING DEVICE FOR PROGRAMMABLE DISPLAY DEVICE | 1 |
Yasunari Hanaki | JP | Yokohama | 2014-08-07 / 20140220294 - CATALYST SUPPORTING BODY AND METHOD OF MANUFACTURING THE SAME | 1 |
Naoyuki Hanaki | JP | Hiroshima | 2009-08-20 / 20090208586 - porous bioabsorbable material and method of producing the same | 1 |
Ikkou Hanaki | JP | Ibraki-Shi | 2012-06-14 / 20120145305 - CARRIER TAPE FOR FOAM MATERIAL | 1 |
Ikkou Hanaki | JP | Ibaraki-Shi | 2015-04-02 / 20150093542 - CARRIER FILM FOR TRANSPARENT CONDUCTIVE FILMS, AND LAMINATE | 9 |
Yasunari Hanaki | JP | Yokohama-Shi | 2015-08-06 / 20150217275 - EXHAUST GAS PURIFICATION CATALYST, EXHAUST GAS PURIFICATION MONOLITH CATALYST, AND METHOD FOR PRODUCING EXHAUST GAS PURIFICATION CATALYST | 6 |
Toshihiko Hanamachi | JP | Isehara-City | 2013-05-30 / 20130134148 - SUBSTRATE SUPPORT DEVICE | 1 |
Toshihiko Hanamachi | JP | Kanagawa | 2015-12-31 / 20150376783 - SUBSTRATE SUPPORT DEVICE | 7 |
Chiaki Hanamaki | JP | Kanagawa | 2011-05-05 / 20110100748 - MULTILAYER SOUND ABSORBING SHEET | 1 |
Itoko Hanami | JP | Tokyo | 2009-12-03 / 20090295832 - DISPLAY PROCESSING DEVICE, DISPLAY PROCESSING METHOD, DISPLAY PROCESSING PROGRAM, AND MOBILE TERMINAL DEVICE | 1 |
Hideki Hanami | JP | Hitachi | 2008-10-02 / 20080243283 - Process Control Simulator and Process Control Simulating Method | 1 |
Keiichi Hanami | JP | Kunitachi-Shi | 2013-11-28 / 20130312889 - PNEUMATIC TIRE | 1 |
Hideki Hanami | JP | Tokyo | 2015-08-13 / 20150228365 - INSTRUMENTATION EQUIPMENT FOR NUCLEAR POWER PLANT | 5 |
Takayuki Hanami | JP | Saitama-Shi | 2009-08-06 / 20090196989 - SHARP BLADE AND ITS MANUFACTURING METHOD | 1 |
Takeshi Hanami | JP | Yokohama-Shi | 2015-09-10 / 20150252070 - COMPOUND, NUCLEIC ACID, LABELING SUBSTANCE, AND DETECTION METHOD | 2 |
Takayoshi Hanami | JP | Chiba-Shi | 2011-11-03 / 20110267568 - Liquid crystal display device | 1 |
Hiroaki Hanamitsu | JP | Kawasaki | 2008-10-02 / 20080244499 - APPARATUS AND DESIGN METHOD FOR CIRCUIT OF SEMICONDUCTOR DEVICE ETC | 1 |
Satoshi Hanamitsu | JP | Kawasaki-Shi | 2012-11-01 / 20120274659 - LIQUID CRYSTAL DISPLAY APPARATUS, METHOD FOR CONTROLLING THE SAME, AND IMAGE DISPLAY SYSTEM | 7 |
Saloshi Hanamitsu | JP | Hiratsuka-Shi | 2013-06-27 / 20130162686 - IMAGE BROWSING APPARATUS, CONTROL METHOD OF THE IMAGE BROWSING APPARATUS AND PROGRAM | 1 |
Toshiya Hanamori | JP | Shimizu | 2015-04-30 / 20150120765 - MEDIUM, METHOD, AND APPARATUS | 1 |
Toshiya Hanamori | JP | Shizuoka | 2015-02-05 / 20150040144 - PROGRAM, INFORMATION PROCESSING APPARATUS, AND EVENT PROCESSING METHOD | 1 |
Toshiya Hanamori | JP | Kawasaki | 2010-09-30 / 20100251258 - RECORDING MEDIUM HAVING LOAD BALANCING PROGRAM RECORDED THEREON, LOAD BALANCING APPARATUS AND METHOD THEREOF | 2 |
Tetsuya Hanamoto | JP | Osaka-Shi | 2015-03-19 / 20150076447 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 5 |
Hidetoshi Hanamoto | JP | Mishima-Shi | 2015-10-15 / 20150293488 - IMAGE FORMING APPARATUS AND DETECTION APPARATUS | 10 |
Katsuhiko Hanamoto | JP | Osaka-Shi | 2013-06-13 / 20130147107 - SHEET FEEDER FOR FEEDING SHEET AND IMAGE PROCESSING APPARATUS WITH SHEET FEEDER | 2 |
Yoshihiro Hanamoto | JP | Tsukuba-Shi | 2015-04-02 / 20150091968 - PRINTING SYSTEM | 2 |
Miyuki Hanamoto | JP | Shiga | 2011-02-24 / 20110045753 - POLISHING PAD | 1 |
Takashi Hanamoto | JP | Kawasaki-Shi | 2012-09-06 / 20120224785 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 5 |
Takashi Hanamoto | JP | Kanagawa | 2011-08-11 / 20110192047 - FREEZE-DRYING APPARATUS AND FREEZE-DRYING METHOD | 2 |
Hidetoshi Hanamoto | JP | Suntou-Gun | 2010-12-16 / 20100316399 - IMAGE FORMING APPARATUS, AND UNIT REMOVABLY INSTALLED IN AN IMAGE FORMING APPARATUS | 3 |
Tetsuya Hanamoto | JP | Okayama-Ken | 2010-08-26 / 20100212496 - ADSORBENT, PROCESS FOR PRODUCING THE SAME, CANISTER AND METHOD FOR USING THE SAME | 3 |
Tetsuya Hanamoto | JP | Osaka | 2014-07-03 / 20140184056 - PHOSPHOR PARTICLE GROUP AND LIGHT EMITTING APPARATUS USING THE SAME | 2 |
Takashi Hanamoto | JP | Chigasaki-Shi | 2013-09-19 / 20130239430 - VACUUM FREEZE-DRYING APPARATUS AND FROZEN PARTICLE MANUFACTURING METHOD | 2 |
Nobuyuki Hanamoto | JP | Yokohama-Shi | 2012-11-08 / 20120281025 - LIQUID CRYSTAL DISPLAY APPARATUS AND METHOD FOR CONTROLLING THE SAME | 3 |
Katsuhiko Hanamoto | JP | Osaka | 2013-12-19 / 20130334761 - AUTOMATIC DOCUMENT CONVEYING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 5 |
Kazuhisa Hanamoto | JP | Kawasaki | 2014-07-03 / 20140188951 - RECORDING MEDIUM, INFORMATION MANAGEMENT METHOD, AND INFORMATION MANAGEMENT DEVICE | 5 |
Tadayuki Hanamoto | JP | Hiratsuka-Shi | 2009-07-09 / 20090173272 - Apparatus for pulling single crystal by CZ method | 1 |
Miyuki Hanamoto | JP | Kyoto | 2009-02-12 / 20090042480 - POLISHING PAD AND POLISHING APPARATUS | 1 |
Yoshihiro Hanamoto | JP | Ibaraki-Ken | 2013-05-16 / 20130125106 - CONTROL PROGRAM UPDATING DEVICE AND STORAGE MEDIUM FOR STORING A CONTROL-PROGRAM UPDATING PROGRAM | 1 |
Takahiro Hanamoto | JP | Hyogo | 2016-05-12 / 20160131059 - EXHAUST GAS PURIFICATION CONTROL DEVICE FOR CONSTRUCTION MACHINE | 1 |
Tadayuki Hanamoto | JP | Kanagawa | 2008-12-18 / 20080311021 - Apparatus for pulling single crystal by CZ method | 2 |
Takashi Hanamoto | JP | Yokohama-Shi | 2013-02-14 / 20130038619 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Shinichi Hanamoto | JP | Tokyo | 2008-09-25 / 20080233833 - Transformable Toy and Leg Structure for Toys | 1 |
Hidetoshi Hanamoto | JP | Mishima-Shi | 2015-10-15 / 20150293488 - IMAGE FORMING APPARATUS AND DETECTION APPARATUS | 10 |
Tetsuya Hanamoto | JP | Bizen-Shi | 2015-09-24 / 20150266751 - WATER PROCESSING FILTER AND MANUFACTURING METHOD THEREFOR | 2 |
Yuki Hanamura | JP | Shiojiri-Shi | 2015-11-12 / 20150327346 - ORGANIC EL DEVICE HAVING A CONVEX PORTION, METHOD OF MANUFACTURING ORGANIC EL DEVICE HAVING A CONVEX PORTION, AND ELECTRONIC APPARATUS HAVING AN ORGANIC EL DEVICE HAVING A CONVEX PORTION | 9 |
Osamu Hanamura | JP | Shiojiri | 2015-03-05 / 20150062664 - SCANNER | 1 |
Toshiaki Hanamura | JP | Tokyo | 2010-03-04 / 20100053450 - VIDEO DISPLAY APPARATUS AND VIDEO DISPLAY METHOD | 1 |
Osamu Hanamura | JP | Shiojir-Shi | 2010-03-04 / 20100050527 - DECISION OF OPENING AND CLOSING OF OPENING SECTION OF APPARATUS | 1 |
Naoki Hanamura | JP | Iwata-Shi | 2010-04-22 / 20100097461 - COMPONENT-RECOGNIZING APPARATUS, SURFACE-MOUNTING APPARATUS, AND COMPONENT-INSPECTING APPARATUS | 1 |
Masato Hanamura | JP | Suwa-Shi | 2014-03-13 / 20140072592 - METHOD OF PREPARING ANTIGEN FOR ACQUIRING ANTI-HYDROPHOBIC PEPTIDE ANTIBODY | 2 |
Kenji Hanamura | JP | Kobe-Shi | 2015-10-01 / 20150276592 - URINE SPECIMEN ANALYZING METHOD, URINE ANALYZER AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 1 |
Lynn Hanamura | US | Folsom | 2015-01-15 / 20150013174 - Chic Stick | 1 |
Yoshikazu Hanamura | JP | Kounosu-Shi | 2013-03-14 / 20130063025 - DISCHARGE TUBE | 1 |
Yuki Hanamura | JP | Shiojiri | 2014-06-05 / 20140153098 - OPTICAL FILTER AND OPTICAL MODULE HAVING OPTICAL FILTER | 2 |
Nobuaki Hanamura | JP | Ueda | 2010-05-13 / 20100118341 - PRINTER TERMINAL AND POSTING SERVER | 1 |
Hiroyuki Hanamura | JP | Shizuoka-Ken | 2012-05-10 / 20120116650 - VEHICLE CONTROL APPARTUS | 2 |
Yoshihumi Hanamura | JP | Yokohama-Shi | 2015-03-26 / 20150083095 - INTERNAL COMBUSTION ENGINE AND CONTROL METHOD THEREFOR | 1 |
Hiroyuki Hanamura | JP | Toyota-Shi | 2012-10-11 / 20120259524 - VEHICLE CONTROL APPARATUS | 1 |
Masaaki Hanamura | JP | Tokyo | 2014-08-21 / 20140234777 - PHOTOSENSITIVE COMPOSITION, CURED FILM AND PRODUCTION PROCESS THEREOF, AND ELECTRONIC PART | 4 |
Masato Hanamura | JP | Shiojiri | 2016-05-19 / 20160138098 - CONTAINER ACCOMMODATION BODY | 11 |
Yukihiko Hanamura | JP | Isumi-Shi | 2015-10-22 / 20150299137 - METHOD AND APPARATUS FOR PRODUCING HALOHYDANTOIN COMPOUND, RECYCLING SYSTEM, AND HALOHYDANTOIN COMPOUND | 3 |
Yuki Hanamura | JP | Shiojiri-Shi | 2015-11-12 / 20150327346 - ORGANIC EL DEVICE HAVING A CONVEX PORTION, METHOD OF MANUFACTURING ORGANIC EL DEVICE HAVING A CONVEX PORTION, AND ELECTRONIC APPARATUS HAVING AN ORGANIC EL DEVICE HAVING A CONVEX PORTION | 9 |
Masato Hanamura | JP | Shiojiri | 2016-05-19 / 20160138098 - CONTAINER ACCOMMODATION BODY | 11 |
Kenichirou Hanamura | JP | Tochigi | 2009-01-01 / 20090000804 - Transmission Cable | 1 |
Satoshi Hanamura | JP | Saitama-Ken | 2011-01-06 / 20110003834 - PRODUCTION METHOD AND PRODUCTION APPARATUS FOR A HIGH THEOBROMINE-CONTAINING COMPOSITION | 1 |
Kenji Hanamura | JP | Osaka | 2015-08-06 / 20150222262 - DRIVE DEVICE | 3 |
Yukihiko Hanamura | JP | Isumi-Shi, Chiba | 2015-12-03 / 20150344438 - METHOD FOR PRODUCING HALOHYDANTOIN COMPOUND AND HALOHYDANTOIN COMPOUND | 1 |
Naoki Hanamura | JP | Shizuoka | 2015-07-30 / 20150215503 - COMPONENT-RECOGNIZING APPARATUS, SURFACE-MOUNTING APPARATUS, AND COMPONENT-INSPECTING APPARATUS | 5 |
Katsunori Hanamura | JP | Tokyo | 2014-09-04 / 20140245724 - EXHAUST PURIFICATION CATALYST, EXHAUST EMISSIN CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE, AND EXHAUST GAS PURIFICATION FILTER | 2 |
Hiroyuki Hanamura | JP | Gotenba-Shi | 2012-08-30 / 20120221228 - VEHICLE CONTROL SYSTEM | 5 |
Masaaki Hanamura | JP | Chuo-Ku | 2008-09-04 / 20080213692 - RADIATION SENSITIVE COMPOSITION, MICROLENS, PROCESS FOR FORMING THE MICROLENS AND USE OF THE MICROLENS | 1 |
Naoki Hanamura | JP | Shizuoka-Ken | 2014-06-26 / 20140175910 - MULTI-SHAFT LINEAR MOTOR AND COMPONENT TRANSFER APPARATUS | 3 |
Yoshikazu Hanamura | JP | Shiojiri-Shi | 2013-04-04 / 20130082269 - ELECTRO-OPTICAL APPARATUS AND ELECTRONIC APPARATUS | 1 |
Kenichiro Hanamura | JP | Tochigi | 2008-09-25 / 20080230254 - TRANSMISSION CABLE | 1 |
Takayuki Hanamura | JP | Chiba | 2009-01-01 / 20090004311 - SKIN-WHITENING AGENT CONTAINING POLYPHENOL COMPOUND | 2 |
Takashi Hanamura | JP | Hadano | 2016-03-31 / 20160093068 - IMAGE MEASUREMENT APPARATUS AND GUIDANCE DISPLAY METHOD OF IMAGE MEASUREMENT APPARATUS | 1 |
Masaaki Hanamura | JP | Nagano | 2009-04-30 / 20090107659 - Steam Heat Exchanger | 1 |
Yukihiko Hanamura | JP | Chiba | 2011-06-16 / 20110144350 - 1,3-Diiodohydantoin compound and production method thereof | 2 |
Hideki Hanamura | JP | Nagano | 2009-11-12 / 20090279238 - KEYBOARD CONNECTION CONFIGURATION AND ELECTRONIC DEVICE | 2 |
Osamu Hanamura | JP | Shiojiri-Shi | 2010-03-04 / 20100053675 - OPEN/CLOSE JUDGMENT OF OPENING OF DEVICE | 2 |
Jay Clarke Hanan | US | Sand Springs | 2013-06-06 / 20130140264 - PLASTIC CONTAINER HAVING SIDEWALL RIBS WITH VARYING DEPTH | 4 |
Emily Hanan | US | Redwood City | 2016-03-03 / 20160060262 - Substituted 6,6-Fused Nitrogenous Heterocyclic Compounds and Uses Thereof | 9 |
Christopher C. Hanan | US | Chicago | 2010-05-20 / 20100125521 - Biller focused business to business electronic invoice presentment and accounts receivables reconciliation system | 2 |
Deny Hanan | IL | Kfar Harif | 2013-11-07 / 20130295697 - Tj TEMPERATURE CALIBRATION, MEASUREMENT AND CONTROL OF SEMICONDUCTOR DEVICES | 1 |
Manan Hanan | NO | Sandnes | 2010-07-29 / 20100191059 - Device for a Rectoscope | 1 |
Thomas Hanan | US | Mission Viejo | 2011-11-17 / 20110283084 - DATA STORAGE DEVICES HAVING IP CAPABLE PARTITIONS | 2 |
Jay Clarke Hanan | US | Ontario | 2016-05-19 / 20160137331 - CARBONATED SOFT DRINK FINISH MODIFICATION | 5 |
Ethan Hanan | US | Teaneck | 2015-11-12 / 20150322685 - POOL CLEANING VEHICLE WITH MECHANISM FOR SKEWING AN AXLE | 2 |
Thomas Dixon Hanan | US | Mission Viejo | 2008-10-30 / 20080264610 - PROTECTION APPARATUS AND METHODS | 1 |
Jay Clarke Hanan | US | Glendora | 2014-08-28 / 20140238951 - PLASTIC CONTAINER | 4 |
Arie Hanan | IL | Lapid | 2009-12-03 / 20090294474 - Internal toothpaste tube dispensing device | 1 |
Emily Hanan | US | Redwood City | 2016-03-03 / 20160060262 - Substituted 6,6-Fused Nitrogenous Heterocyclic Compounds and Uses Thereof | 9 |
Jay Hanan | US | Sand Springs | 2010-01-21 / 20100011864 - CONTINUOUS AUTONOMOUS TESTER | 1 |
Wendy Joy Hanan | ZA | Glenhazel | 2009-03-26 / 20090083079 - System and method of processing a health insurance claim | 1 |
David Lee Hanan | US | Virginia Beach | 2008-10-30 / 20080264610 - PROTECTION APPARATUS AND METHODS | 1 |
Patrick Hanan | CA | Winnipeg | 2010-01-21 / 20100017819 - Providing Targeted Multimedia Content | 1 |
Jay Clarke Hanan | US | Edmond | 2015-11-26 / 20150338193 - INDIVIDUAL PROTECTIVE BLANKET FOR EMERGENCIES | 2 |
Katsuyasu Hananaka | JP | Hiroshima | 2009-12-31 / 20090324405 - METHOD FOR FORMING CORROSION-RESISTANT PLATING LAYER AND ROTARY MACHINE | 1 |
Katsuyasu Hananaka | JP | Hiroshima-Shi | 2009-05-14 / 20090123737 - Solid Particle Erosion Resistant Surface Treated Coat and Rotating Machine Applied Therewith | 1 |
Arik Hananel | IL | Tel Aviv-Yafo | 2013-05-16 / 20130119984 - TECHNIQUES FOR CORRECTING MEASUREMENT ARTIFACTS IN MAGNETIC RESONANCE THERMOMETRY | 2 |
Nitai Hanani | IL | Haifa | 2014-10-30 / 20140324142 - ACUTE MYOCARDIAL INFARCTION TREATMENT BY ELECTRICAL STIMULATION OF THE THORACIC AORTA | 10 |
Yair Hanani | IL | Tel Aviv | 2014-07-31 / 20140212021 - SYSTEM, A METHOD AND A COMPUTER PROGRAM PRODUCT FOR PATCH-BASED DEFECT DETECTION | 1 |
Uri Hanania | IL | Carmiel | 2016-03-17 / 20160075754 - CHIMERIC POLYPEPTIDES, POLYNUCLEOTIDES ENCODING SAME, CELLS EXPRESSING SAME AND METHODS OF PRODUCING SAME | 3 |
Elie G. Hanania | US | San Diego | 2011-08-18 / 20110201075 - OPTOINJECTION METHODS | 3 |
Taleen G. Hanania | US | Valhalla | 2016-03-24 / 20160083399 - MULTICYCLIC COMPOUNDS AND METHODS OF USE THEREOF | 4 |
Hiroyuki Hanano | JP | Osaka | 2010-01-28 / 20100023608 - DEVICE SETTING ALTERATION SYSTEM, NETWORK DEVICE, AND STORAGE MEDIUM | 1 |
Tokushi Hanano | JP | Osaka | 2010-05-13 / 20100120841 - BENZIMIDAZOLE COMPOUND AND PHARMACEUTICAL USE THEREOF | 1 |
Hideki Hanano | JP | Yokohama-Shi | 2013-03-21 / 20130074103 - INFORMATION PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 5 |
Teppei Hanano | JP | Hyogo | 2012-08-23 / 20120210905 - SEAL TAPE FOR GAS GENERATOR | 5 |
Kazunari Hanano | JP | Shibuya-Ku | 2009-12-17 / 20090310211 - LIGHT SOURCE OPTICAL SYSTEM | 1 |
Ikuko Hanano | JP | Kobe-Shi | 2012-08-30 / 20120220612 - PHARMACEUTICAL COMPRISING PPAR AGONIST | 1 |
Kazunari Hanano | JP | Tokyo | 2015-04-09 / 20150098242 - LIGHT SOURCE APPARATUS | 3 |
Susumu Hanano | JP | Osaka | 2014-07-31 / 20140212193 - IMAGE FORMING APPARATUS | 6 |
Hiroya Hanano | JP | Sakai-Shi | 2013-12-12 / 20130330158 - WORKING MACHINE | 1 |
Susumu Hanano | JP | Osaka-Shi | 2009-12-24 / 20090317026 - BEARING STRUCTURE, TONER STORAGE DEVICE AND IMAGE FORMING APPARATUS PROVIDED WITH THE BEARING STRUCTURE | 2 |
Teppei Hanano | JP | Tatsuno-Shi | 2014-08-21 / 20140230685 - GAS GENERATOR | 2 |
Shingo Hanano | JP | Toyota-Shi | 2013-04-25 / 20130097962 - MOULDING FOR VEHICLE AND METHOD FOR ATTACHING MOULDING END CAP | 1 |
Masayuki Hanano | JP | Chiyoda-Ku, Tokyo | 2016-04-21 / 20160107286 - CMP POLISHING SOLUTION AND POLISHING METHOD USING SAME | 2 |
Masayuki Hanano | JP | Hitachi-Shi | 2012-12-13 / 20120315763 - POLISHING LIQUID FOR CMP AND POLISHING METHOD USING THE SAME | 2 |
Takuya Hanano | JP | Shizuoka | 2013-09-19 / 20130239716 - TRANSMISSION AND VEHICLE PROVIDED THEREWITH | 1 |
Masayuki Hanano | JP | Osaka | 2010-04-15 / 20100092121 - Retainer for rolling bearing and rolling bearing | 1 |
Takuya Hanano | JP | Iwata-Shi | 2016-05-19 / 20160137260 - POWER UNIT AND STRADDLE-TYPE VEHICLE | 2 |
Ikuko Hanano | JP | Hyogo | 2014-11-20 / 20140343109 - PHARMACEUTICAL CONTAINING PPAR-delta AGONIST | 4 |
Takehito Hananouchi | JP | Hyogo | 2016-03-17 / 20160074052 - SHOULDER BASE PLATE COVERAGE AND STABILITY | 2 |
Takehito Hananouchi | JP | Amagasaki | 2014-05-22 / 20140142578 - SURGICAL INSTRUMENT FOR THE POSITIONING OF AN ALIGNMENT ELEMENT | 1 |
Ryuji Hanao | JP | Osaka-Shi | 2016-01-07 / 20160006973 - FLAT-SCREEN DISPLAY DEVICE | 2 |
Hiroyuki Hanao | JP | Shikokuchuo-Shi | 2009-01-01 / 20090004435 - Absorber and Absorbent Article | 2 |
Masahito Hanao | JP | Ibaraki | 2010-02-11 / 20100032129 - METHOD OF CONTINUOUS CASTING OF SMALL CROSS SECTION BILLET | 1 |
Masahito Hanao | JP | Kashima-Shi | 2012-10-11 / 20120255700 - CONTINUOUS CASTING MOLD AND CONTINUOUS CASTING METHOD OF ROUD BILLET | 4 |
Shingo Hanaoka | JP | Saitama | 2009-07-09 / 20090176205 - Mutant proteins in the dna-binding domain of a telomeric protein, trf2, and telomeric dna mutants, and use of the complex structure of the trf2 dna-binding domain and telomeric dna | 1 |
Kazutaka Hanaoka | JP | Tenri-Shi | 2011-09-22 / 20110228209 - Liquid crystal display device and method of manufacturing the same | 1 |
Hidetoshi Hanaoka | JP | Miyagi | 2015-04-30 / 20150114930 - PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS | 1 |
Hidetoshi Hanaoka | JP | Nirasaki-Shi | 2014-05-08 / 20140124139 - PLASMA PROCESSING APPARATUS AND METHOD | 5 |
Fuminori Hanaoka | JP | Suwa | 2013-07-25 / 20130188227 - DATA TRANSMISSION DEVICE, DATA TRANSFER SERVER, AND DATA TRANSMISSION METHOD | 1 |
Kazutaka Hanaoka | JP | Kawsaki | 2014-10-23 / 20140313449 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Terunao Hanaoka | JP | Fujimi-Machi | 2013-10-03 / 20130255387 - VIBRATION DEVICE AND METHOD FOR MANUFACTURING VIBRATION DEVICE | 1 |
Yukihiro Hanaoka | JP | Nagano-Ken | 2014-01-30 / 20140030001 - Paper Cutting Device and a Printer with a Paper Cutting Device | 3 |
Jennie Hanaoka | US | Chino Hills | 2014-03-13 / 20140068895 - DECORATIVE SELF-LOCKING "C" CLIP FOR GARMENT STRAPS | 1 |
Atsushi Hanaoka | JP | Hagagun | 2014-05-01 / 20140117576 - INJECTION MOLDING METHOD AND APPARATUS THEREFOR | 1 |
Nobutaka Hanaoka | US | Pinebrook | 2010-08-19 / 20100208287 - METHOD AND APPARATUS FOR PRINTING A LOCKED PRINT JOB | 1 |
Nobutaka Hanaoka | US | West New York | 2010-12-02 / 20100302575 - Method and apparatus for distributing a locked print job | 1 |
Osamu Hanaoka | JP | Minamitsuru-Gun | 2014-04-03 / 20140094970 - TRANSLATION/ROTATION ERROR COMPENSATION AMOUNT CREATING DEVICE | 8 |
Saori Hanaoka | JP | Minato-Ku | 2014-08-21 / 20140235329 - GAME SERVER, CONTROL METHOD THEREFOR, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Osamu Hanaoka | JP | Minamitsuru-Gu | 2011-11-10 / 20110276173 - NUMERICAL CONTROLLER FOR MULTI-AXIS MACHINE TOOL | 1 |
Saori Hanaoka | JP | Tokyo | 2014-08-21 / 20140235356 - GAME SYSTEM, GAME SERVER THEREFOR, CONTROL METHOD FOR GAME SERVER, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Hidenori Hanaoka | JP | Osaka | 2012-07-05 / 20120172552 - TRANSITION METAL ION COMPLEX, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING POLYMER | 8 |
Hidenori Hanaoka | JP | Suita-Shi | 2012-12-27 / 20120326095 - POLYMER COMPOUND, METHOD FOR PRODUCING SAME, AND LIGHT-EMITTING ELEMENT USING THE POLYMER COMPOUND | 9 |
Masashi Hanaoka | JP | Nagano | 2010-02-11 / 20100035524 - METHOD OF PRODUCING OPTICAL ELEMENT, AND OPTICAL ELEMENT | 1 |
Mitsuhiro Hanaoka | JP | Nagano | 2013-04-18 / 20130092022 - CYLINDER APPARATUS | 4 |
Hiroki Hanaoka | JP | Nagano | 2014-11-27 / 20140347679 - GAP MEASUREMENT DEVICE | 3 |
Toshihiro Hanaoka | JP | Nagano | 2009-12-31 / 20090322468 - Chip Resistor and Manufacturing Method Thereof | 1 |
Kazuya Hanaoka | JP | Fujisawa | 2016-03-31 / 20160093642 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 37 |
Yukihiro Hanaoka | JP | Nagano | 2010-08-12 / 20100201763 - Ink Tank and Ink Jet Printer | 3 |
Kokichi Hanaoka | JP | Nagano | 2015-08-06 / 20150218670 - EXTRACTION SOLVENT FOR EXTRACTING METALLIC ELEMENTS, METHOD FOR PRODUCING SAME, AND METHOD FOR RECOVERING METALLIC ELEMENTS | 7 |
Kazuya Hanaoka | JP | Atsugi | 2014-07-24 / 20140203978 - SEMICONDUCTOR DEVICE | 4 |
Kazuya Hanaoka | JP | Chigasaki | 2013-01-10 / 20130009247 - Method for Manufacturing Semiconductor Device | 2 |
Kazuya Hanaoka | JP | Kanagawa | 2010-03-04 / 20100055894 - Method for Manufacturing Semiconductor Device | 1 |
Kazuya Hanaoka | JP | Atsugi, Kanagawa | 2008-10-16 / 20080252531 - SEMICONDUCTOR DEVICE | 1 |
Katsushi Hanaoka | JP | Kanagawa | 2011-12-22 / 20110311232 - Optical receiver and optical transmission system | 1 |
Fuminori Hanaoka | JP | Azumino | 2014-07-24 / 20140204415 - PRINTING SYSTEM, USER TERMINAL, PRINTER, USER TERMINAL CONTROL PROGRAM, AND PRINTING METHOD | 1 |
Christopher Hanaoka | US | Bellevue | 2014-07-10 / 20140195366 - INCREMENTAL VALUATION BASED NETWORK CAPACITY ALLOCATION | 1 |
Shinji Hanaoka | JP | Yokohama-Shi | 2015-08-13 / 20150229806 - IMAGE PROCESSING APPARATUS, IMAGE READING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Miyuki Hanaoka | JP | Tokyo | 2016-01-07 / 20160004749 - SEARCH SYSTEM AND SEARCH METHOD | 3 |
Hidenori Hanaoka | JP | Suita-Shi | 2012-12-27 / 20120326095 - POLYMER COMPOUND, METHOD FOR PRODUCING SAME, AND LIGHT-EMITTING ELEMENT USING THE POLYMER COMPOUND | 9 |
Yasuharu Hanaoka | JP | Osaka-Shi | 2014-08-07 / 20140217805 - DRILL BIT EXCHANGE DEVICE FOR SHIELD TUNNELING MACHINE | 2 |
Yasunaka Hanaoka | JP | Kariya-Shi | 2012-01-19 / 20120014825 - ROOTS TYPE FLUID MACHINE | 1 |
Kenjiro Hanaoka | JP | Tokyo | 2016-04-14 / 20160102336 - FLUORESCENT PROBE FOR DETECTING ACTIVITY OF CALPAIN | 9 |
Kazunari Hanaoka | JP | Hirakata-Shi | 2012-02-09 / 20120030961 - Drying Apparatus under Reduced Pressure for Plastic Molding Material | 1 |
Kiyotaka Hanaoka | JP | Kakegawa-Shi | 2015-05-14 / 20150129723 - WIRE HOLDER | 3 |
Daisuke Hanaoka | JP | Wako | 2016-02-11 / 20160043427 - ELECTRICAL COMPONENT CONNECTION MECHANISM OF FUEL CELL STACK AND FUEL CELL STACK | 1 |
Minoru Hanaoka | JP | Ibaraki-Shi | 2014-06-05 / 20140154450 - ADHESIVE TAPE OR SHEET | 1 |
Toshiyuki Hanaoka | JP | Tokyo | 2015-03-26 / 20150088985 - CLIENT-SERVER INPUT METHOD EDITOR ARCHITECTURE | 2 |
Tohru Hanaoka | JP | Osaka | 2013-09-26 / 20130250187 - DISPLAY DEVICE AND TELEVISION RECEIVER | 2 |
Kazutaka Hanaoka | JP | Kawasaki | 2013-06-27 / 20130162921 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 23 |
Kiyotaka Hanaoka | JP | Makinohara-Shi | 2013-08-22 / 20130217262 - TERMINAL BLOCK STRUCTURE | 1 |
Yasuhiko Hanaoka | JP | Inagi | 2013-03-28 / 20130080831 - STORAGE APPARATUS, SYSTEM, AND CONTROL METHOD | 1 |
Minoru Hanaoka | JP | Osaka | 2016-04-21 / 20160107425 - PRESSURE-SENSITIVE ADHESIVE FILM FOR LASER BEAM CUTTING APPLICATIONS | 2 |
Shinji Hanaoka | JP | Kanagawa | 2015-09-24 / 20150271365 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 9 |
Katsunari Hanaoka | JP | Kanagawa | 2014-08-07 / 20140219683 - SURFACE-EMITTING LASER DEVICE, SURFACE-EMITTING LASER ARRAY, OPTICAL SCANNER, IMAGE FORMING APPARATUS, AND METHOD FOR MANUFACTURING SURFACE-EMITTING LASER DEVICE | 4 |
Kenjiro Hanaoka | JP | Tokyo | 2016-04-14 / 20160102336 - FLUORESCENT PROBE FOR DETECTING ACTIVITY OF CALPAIN | 9 |
Hiroki Hanaoka | JP | Nagano-Ken | 2008-10-09 / 20080245172 - Method for lubricating wave reduction gear, and rotating table device | 1 |
Toshiyuki Hanaoka | JP | Hiratsuka-Shi | 2008-10-30 / 20080268286 - Two package type clear coating composition and method for making multilayer coating film | 1 |
Terunao Hanaoka | JP | Suwa | 2014-04-17 / 20140103467 - SENSOR DEVICE, MOTION SENSOR, AND ELECTRONIC DEVICE | 3 |
Hiromichi Hanaoka | JP | Shizuoka-Ken | 2008-11-20 / 20080284557 - FUSE | 1 |
Koumei Hanaoka | JP | Kanagawa | 2008-12-04 / 20080297942 - Drive unit | 1 |
Hidetaka Hanaoka | JP | Hara-Mura | 2008-12-25 / 20080317941 - METHOD FOR EJECTING LIQUID MATERIAL, METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENCE DEVICE, AND METHOD FOR MANUFACTURING COLOR FILTER | 1 |
Kazunori Hanaoka | JP | Kanagawa | 2011-06-23 / 20110151518 - METHOD FOR MODIFYING CHROMOSOMES | 2 |
Satoshi Hanaoka | JP | Osaka | 2013-12-26 / 20130345160 - GLYCYRRHIZIN HIGH-CONCENTRATION PREPARATION | 2 |
Kazutaka Hanaoka | JP | Tenri | 2009-01-15 / 20090015772 - Liquid crystal display device | 1 |
Yuko Hanaoka | JP | Kodaira | 2009-03-12 / 20090064785 - Integrated micro electro-mechanical system and manufacturing method thereof | 4 |
Osamu Hanaoka | JP | Minamitsuru-Gun | 2014-04-03 / 20140094970 - TRANSLATION/ROTATION ERROR COMPENSATION AMOUNT CREATING DEVICE | 8 |
Toshiharu Hanaoka | JP | Chiba | 2009-09-17 / 20090231314 - IMAGE DISPLAYING APPARATUS AND METHOD, AND IMAGE PROCESSING APPARATUS AND METHOD | 3 |
Akihiro Hanaoka | JP | Chiba-Shi | 2009-10-01 / 20090246325 - INSTANT NODDLES AND METHOD FOR PRODUCING INSTANT NODDLES | 1 |
Kazunori Hanaoka | JP | Sagamihara-Shi | 2009-10-08 / 20090253902 - Isolation of a rearranged human immunoglobulin gene from a chimeric mouse and recombinant production of the encoded immunoglobulin | 1 |
Kazunari Hanaoka | JP | Osaka | 2016-04-07 / 20160096693 - MATERIAL CONVEYANCE DEVICE AND MATERIAL CONVEYANCE METHOD | 3 |
Toshiyuki Hanaoka | JP | Kanagawa | 2011-12-01 / 20110293844 - PAINT COMPOSITION AND COATING FILM FORMATION METHOD | 2 |
Shigeru Hanaoka | JP | Osaka | 2010-03-18 / 20100068624 - NICKEL HYDROXIDE, METHOD FOR PRODUCING POSITIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Osamu Hanaoka | JP | Shinjuku-Ku | 2010-04-01 / 20100078433 - CONTAINER FOR HOUSING A MASK BLANK, METHOD OF HOUSING A MASK BLANK, AND A MASK BLANK PACKAGE | 1 |
Satoru Hanaoka | JP | Kyoto | 2010-05-13 / 20100119385 - SERIAL AXIAL FAN | 3 |
Kazutaka Hanaoka | JP | Kanagawa | 2013-09-12 / 20130235293 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Daisuke Hanaoka | JP | Souraku-Gun | 2010-06-17 / 20100148120 - LIGHT-EMITTING APPARATUS, PHOSPHORESCENT PORTION, AND METHOD OF PRODUCING THE SAME | 3 |
Masayuki Hanaoka | JP | Saitama | 2010-07-29 / 20100187603 - SEMICONDUCTOR DEVICE | 1 |
Daisuke Hanaoka | JP | Soraku-Gun | 2012-08-30 / 20120220122 - NITRIDE SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Miyuki Hanaoka | JP | Fuchu | 2013-09-12 / 20130238619 - DATA PROCESSING SYSTEM, AND DATA PROCESSING DEVICE | 1 |
Yasuhiko Hanaoka | JP | Kawasaki | 2010-08-26 / 20100218032 - REDUNDANT SYSTEM, CONTROL APPARATUS, AND CONTROL METHOD | 2 |
Yumiko Hanaoka | JP | Yokosuka-Shi | 2010-09-23 / 20100241860 - KEY-UPDATING METHOD, ENCRYPTION PROCESSING METHOD, KEY-INSULATED CRYPTOSYSTEM AND TERMINAL DEVICE | 1 |
Kazutaka Hanaoka | JP | Osaka | 2015-12-03 / 20150346564 - LIQUID CRYSTAL DISPLAY | 6 |
Shigeru Hanaoka | JP | Wakayama | 2010-12-09 / 20100310938 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Yukihiro Hanaoka | JP | Shiojiri-Shi | 2014-09-25 / 20140285572 - RECORDING APPARATUS | 6 |
Kazutaka Hanaoka | JP | Kawasaki-Shi | 2011-07-14 / 20110170027 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Kazutaka Hanaoka | JP | Nara | / - | 1 |
Hidetoshi Hanaoka | JP | Nirasaki | 2011-03-03 / 20110048453 - CHAMBER CLEANING METHOD | 1 |
Masayuki Hanaoka | JP | Niiza-Shi | 2011-05-12 / 20110110068 - COMPOSITE SEMICONDUCTOR DEVICE | 1 |
Hidenori Hanaoka | JP | Osaka-Shi | 2014-01-16 / 20140018564 - METHOD FOR PRODUCING THE TRANSITION METAL COMPLEX, CATALYST FOR TRIMERIZATION, METHOD FOR PRODUCING 1-HEXENE, METHOD FOR PRODUCING THE SUBSTITUTED CYCLOPENTADIENE COMPOUND (1) | 1 |
Kazunari Hanaoka | JP | Hirakata-Shi, Osaka | 2015-12-24 / 20150370262 - Liquid Supply Apparatus | 1 |
Yuji Hanaoka | JP | Kawasaki | 2012-10-04 / 20120254636 - CONTROL APPARATUS AND CONTROL METHOD | 13 |
Kazuya Hanaoka | JP | Fujisawa | 2016-03-31 / 20160093642 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 37 |
Katsunari Hanaoka | JP | Miyagi | 2013-09-26 / 20130251408 - SURFACE EMITTING LASER ARRAY ELEMENT, OPTICAL SCANNING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Jun Hanaoka | JP | Nomi-Shi | 2013-09-26 / 20130251992 - ULTRAFINE POLYAMIDE FIBER, AND MELT-SPINNING METHOD AND DEVICE THEREFOR | 1 |
Terunao Hanaoka | JP | Suwa-Shi | 2014-10-02 / 20140295610 - SPECTROSCOPIC SENSOR AND METHOD FOR MANUFACTURING SAME | 2 |
Kunitoshi Hanaoka | JP | Nagaokakyo-Shi | 2012-04-05 / 20120081192 - BRANCHING DEVICE | 1 |
Tohru Hanaoka | JP | Osaka-Shi | 2015-12-17 / 20150362921 - SURROUNDING ENVIRONMENT RECOGNITION DEVICE, AUTONOMOUS MOBILE SYSTEM USING SAME, AND SURROUNDING ENVIRONMENT RECOGNITION METHOD | 1 |
Seishi Hanaoka | JP | Tokyo | 2016-05-12 / 20160134747 - QUALITY DEGRADATION ANALYSIS METHOD, QUALITY DEGRADATION ANALYSIS DEVICE, AND NETWORK SYSTEM | 15 |
Hideo Hanaoka | JP | Tokyo | 2010-04-01 / 20100077776 - AIR-CONDITIONING METHOD AND AIR-CONDITIONING SYSTEM | 1 |
Seishi Hanaoka | JP | Tokyo | 2016-05-12 / 20160134747 - QUALITY DEGRADATION ANALYSIS METHOD, QUALITY DEGRADATION ANALYSIS DEVICE, AND NETWORK SYSTEM | 15 |
Yasuharu Hanaoka | JP | Osaka | 2014-03-27 / 20140084668 - EXCAVATION MACHINE | 2 |
Shinji Hanaoka | JP | Kanagawa | 2015-09-24 / 20150271365 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 9 |
Osamu Hanaoka | JP | Chikuma-Shi | 2015-10-15 / 20150293181 - SECONDARY BATTERY TESTER | 1 |
Kensuke Hanaoka | US | 2015-10-15 / 20150293576 - POWER CONSUMPTION SUPPRESSING DEVICE FOR ELECTRONIC KEY TERMINAL AND POWER CONSUMPTION SUPPRESSING METHOD FOR ELECTRONIC KEY TERMINAL | 1 | |
Goichiro Hanaoka | JP | Ibaraki | 2014-12-04 / 20140355756 - SEARCH SYSTEM, SEARCH METHOD, AND PROGRAM | 1 |
Kensuke Hanaoka | JP | Tokyo | 2015-10-15 / 20150293576 - POWER CONSUMPTION SUPPRESSING DEVICE FOR ELECTRONIC KEY TERMINAL AND POWER CONSUMPTION SUPPRESSING METHOD FOR ELECTRONIC KEY TERMINAL | 1 |
Hidenori Hanaoka | JP | Osaka | 2012-07-05 / 20120172552 - TRANSITION METAL ION COMPLEX, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING POLYMER | 8 |
Hidetoshi Hanaoka | JP | Kurokawa-Gun | 2015-01-29 / 20150027635 - PLASMA PROCESSING APPARATUS | 2 |
Koji Hanaoka | JP | Utsunomiya-Shi | 2013-10-24 / 20130280406 - METHOD FOR SUPPRESSING BITTERNESS | 1 |
Hidetoshi Hanaoka | JP | Yamanashi | 2011-05-19 / 20110114113 - SUBSTRATE PROCESSING APPARATUS, CLEANING METHOD THEREOF AND STORAGE MEDIUM STORING PROGRAM | 1 |
Yasunari Hanaoka | JP | Ube-Shi | 2013-07-04 / 20130172520 - POLYOXAMIDE RESIN HAVING EXCELLENT IMPACT RESISTANCE AND IMPACT-RESISTANT PART | 1 |
Shingo Hanaoka | JP | Chiba | 2012-06-14 / 20120151611 - METHOD OF PRODUCING AN ANTIBODY USING A CANCER CELL | 1 |
Seishi Hanaoka | JP | Kodaira | 2014-04-17 / 20140105323 - DATA TRANSMISSION METHOD FOR A MOBILE COMMUNICATION SYSTEM | 2 |
Kensuke Hanaoka | JP | Setagaya-Ku | 2013-11-14 / 20130304382 - NAVIGATION DEVICE, NAVIGATION METHOD, AND PROGRAM | 1 |
Kazutaka Hanaoka | JP | Osaka-Shi | 2016-03-24 / 20160085096 - OPTICAL APPARATUS AND DISPLAY APPARATUS PROVIDED WITH SAME | 3 |
Jun Hanari | JP | Kanazawa-Shi | 2011-11-10 / 20110273397 - ORGANIC EL DISPLAY DEVICE AND METHOD FOR DETECTING TOUCH | 4 |
Jun Hanari | JP | Saitama-Ken | 2013-06-27 / 20130162590 - DISPLAY DEVICE WITH TOUCH PANEL FUNCTION | 1 |
Jun Hanari | JP | Fukaya-Shi | 2009-01-15 / 20090015148 - DISPLAY DEVICE | 1 |
Jun Hanari | JP | Tokyo | 2016-02-25 / 20160056222 - ORGANIC EL DISPLAY DEVICE | 1 |
Akira Hanari | JP | Fujisawa-Shi | 2013-04-18 / 20130096805 - COMBUSTION DIAGNOSIS DEVICE FOR INTERNAL COMBUSTION ENGINE | 3 |
Jun Hanari | JP | Kanagawa-Ken | 2012-03-08 / 20120057088 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF DISPLAYING THE SAME | 1 |
Jay S. Hanas | US | Edmond | 2009-06-25 / 20090159793 - IDENTIFICATION OF BIOMARKERS IN BIOLOGICAL SAMPLES AND METHODS OF USING SAME | 1 |
Fumihiro Hanasaka | JP | Kyoto | 2012-10-18 / 20120263538 - SOIL REMEDIATION SYSTEMS AND METHODS | 1 |
Kohji Hanasaki | JP | Osaka-Shi | 2010-04-01 / 20100081686 - Pyridone derivatives having a binding activity to the cannabinoid type 2 recepter | 2 |
Kohji Hanasaki | JP | Toyonaka-Shi | 2008-12-18 / 20080312292 - Antipruritics | 1 |
Masanori Hanasaki | JP | Kyoto | 2009-03-12 / 20090068302 - Resin Sealing and Molding Apparatus for Electronic Component | 1 |
Mitsuru Hanasaki | JP | Tatsuno | 2013-05-16 / 20130119318 - BINDER FOR LITHIUM ION SECONDARY BATTERY ELECTRODE, SLURRY OBTAINED USING THE BINDER FOR ELECTRODE, ELECTRODE OBTAINED USING THE SLURRY, AND LITHIUM ION SECONDARY BATTERY USING THE ELECTRODE | 1 |
Koichi Hanasaki | JP | Kanagawa | 2012-01-26 / 20120020074 - SURFACE LIGHT SOURCE DEVICE HAVING SEPARATED COLOR MIXING MEMBER AND EFFECTIVE MEMBER | 1 |
Koichi Hanasaki | JP | Kanagawaken | 2012-06-28 / 20120162281 - LIGHT GUIDE PLATE, BACKLIGHT UNIT AND DISPLAY APPARATUS INCLUDING THE SAME AND MANUFACTURING METHOD THEREOF | 1 |
Kohji Hanasaki | JP | Osaka | 2011-02-17 / 20110039802 - NPY Y5 ANTAGONIST | 2 |
Mitsuru Hanasaki | JP | Himeji-Shi | 2015-12-10 / 20150357647 - BINDER FOR LITHIUM ION SECONDARY BATTERY ELECTRODES, SLURRY, ELECTRODE, AND LITHIUM ION SECONDARY BATTERY | 1 |
Mitsuru Hanasaki | JP | Tatsuno-Shi | 2014-02-27 / 20140054496 - SLURRY OBTAINED USING BINDER FOR BATTERY ELECTRODES, ELECTRODE OBTAINED USING THE SLURRY, AND LITHIUM ION SECONDARY BATTERY OBTAINED USING THE ELECTRODE | 1 |
Samir M. Hanash | US | Ann Arbor | 2013-07-11 / 20130177927 - Protein markers for esophageal cancer | 2 |
Samir M. Hanash | US | Mercer Island | 2013-05-09 / 20130115232 - Methods for detecting graft-versus-host disease | 3 |
Alan Hanash | US | New York | 2016-05-19 / 20160136244 - METHODS OF USE FOR IL-22 PROMOTING REJUVENATION OF THYMIC AND BONE MARROW FUNCTION | 2 |
Samir Hanash | US | Mercer Island | 2011-08-18 / 20110201517 - AUTOANTIGEN BIOMARKERS FOR EARLY DIAGNOSIS OF LUNG ADENOCARCINOMA | 3 |
Samir Hanash | US | Houston | 2016-03-03 / 20160060329 - COMPOSITIONS AND METHODS FOR THE DIAGNOSIS AND PROGNOSIS OF LUNG CANCER | 1 |
Takuya Hanashi | JP | Hachioji-Shi | 2014-06-12 / 20140162378 - METHOD FOR DETECTING TARGET PARTICLE | 3 |
Ken Hanashi | JP | Obu-Shi | 2014-10-30 / 20140318490 - SPARK PLUG FOR INTERNAL COMBUSTION ENGINES AND MOUNTING STRUCTURE FOR THE SPARK PLUG | 3 |
Ken Hanashi | JP | Oobu-Shi | 2010-08-26 / 20100213812 - SPARK PLUG FOR INTERNAL COMBUSTION ENGINES AND METHOD FOR MANUFACTURING THE SPARK PLUG | 1 |
Ryo Hanashi | JP | Moriya-Shi | 2011-05-05 / 20110103815 - IMAGE FORMING APPARATUS | 9 |
Ken Hanashi | JP | Handa-Shi | 2014-09-18 / 20140265816 - SPARK PLUG FOR INTERNAL COMBUSTION ENGINES AND MOUNTING STRUCTURE FOR THE SPARK PLUG | 5 |
Takuya Hanashi | JP | Hachioji-Shi, Tokyo | 2013-05-16 / 20130122488 - METHOD OF DETECTING SPARSE PARTICLES IN A SOLUTION USING A LIGHT-EMITTING PROBE | 1 |
Takuya Hanashi | JP | Tokyo | 2015-08-06 / 20150218628 - TARGET PARTICLE DETERMINING METHOD | 5 |
Daisuke Hanashima | JP | Tokyo | 2015-08-13 / 20150227855 - AUTHENTICATION PROCESSING SYSTEM | 1 |
Yumi Hanashima | JP | Funabashi | 2014-12-18 / 20140368154 - POWER MANAGEMENT APPARATUS, POWER MANAGEMENT METHOD AND POWER MANAGEMENT PROGRAM | 1 |
Naoki Hanashima | JP | Miyagi | 2013-05-23 / 20130128358 - POLARIZING PLATE AND METHOD FOR PRODUCING POLARIZING PLATE | 2 |
Naoki Hanashima | JP | Tokyo | 2015-11-19 / 20150331166 - INORGANIC POLARIZING PLATE AND PRODUCTION METHOD THEREOF | 2 |
Takeo Hanashima | JP | Toyama | 2014-09-25 / 20140287595 - Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus, Substrate Processing System and Non-Transitory Computer-Readable Recording Medium | 1 |
Toru Hanashima | JP | Ibaraki | 2010-08-05 / 20100192710 - Sheet conveying device and image forming apparatus | 3 |
Takeo Hanashima | JP | Toyama-Shi | 2015-10-22 / 20150303054 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM | 8 |
Toru Hanashima | JP | Hyogo | 2012-03-15 / 20120063829 - Sheet supplying device and image forming apparatus incorporating same | 3 |
Takeo Hanashima | JP | Toyama-Shi | 2015-10-22 / 20150303054 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM | 8 |
Shun Hanashima | JP | Wako-Shi | 2014-07-24 / 20140202785 - EXHAUST SYSTEM MOUNTING STRUCTURE FOR VEHICLE | 1 |
Shungo Hanashiro | JP | Kanagawa | 2015-04-30 / 20150116850 - LENS AND OPTICAL PICKUP DEVICE | 5 |
Kaoru Hanashiro | JP | Okinawa | 2010-03-04 / 20100055219 - ANTIINFLAMMATORY AGENT | 1 |
Yoshikazu Hanatani | JP | Kawasaki-Shi | 2014-12-11 / 20140365026 - SIGNATURE GENERATING APPARATUS, SIGNATURE GENERATING METHOD, COMPUTER PROGRAM PRODUCT, AND ELECTRICAL POWER CONSUMPTION CALCULATION SYSTEM | 4 |
Akinori Hanatani | JP | Ibaraki-Shi | 2010-03-11 / 20100062045 - METHOD FOR SUPPRESSING COLORING OF ADHESIVE PREPARTION CONTAINING DONEPEZIL AND METHOD FOR REDUCING AMOUNTS OF DONEPEZIL-RELATED SUBSTANCES FORMED | 2 |
Akiteru Hanatani | JP | Tokyo | 2016-02-25 / 20160055157 - DIGITAL INFORMATION ANALYSIS SYSTEM, DIGITAL INFORMATION ANALYSIS METHOD, AND DIGITAL INFORMATION ANALYSIS PROGRAM | 2 |
Yoshikazu Hanatani | JP | Tokyo | 2016-03-17 / 20160080340 - COMMUNICATION CONTROL DEVICE | 11 |
Akinori Hanatani | JP | Osaka | 2014-09-11 / 20140255466 - COMPOSITION FOR ENHANCING TRANSDERMAL ABSORPTION OF DRUG AND PATCH PREPARATION | 16 |
Naoto Hanatani | JP | Osaka | 2011-10-13 / 20110252062 - ELECTRONIC DEVICE FOR SEARCHING FOR ENTRY WORD IN DICTIONARY DATA, CONTROL METHOD THEREOF AND PROGRAM PRODUCT | 2 |
Minoru Hanatani | JP | Aichi-Ken | 2011-03-24 / 20110068009 - METHOD FOR FORMING COATING FILM | 1 |
Yoshikazu Hanatani | JP | Kanagawa | 2015-07-30 / 20150215119 - INFORMATION PROCESSING APPARATUS AND PROGRAM PRODUCT | 8 |
Yoshikazu Hanatani | JP | Kanagawa-Ken | 2014-04-03 / 20140095877 - TRANSMITTING APPARATUS, COMMUNICATING SYSTEM | 1 |
Saki Hanatani | JP | Fujioka-Shi | 2015-10-01 / 20150275000 - INK COMPOSITION FOR AQUEOUS BALLPOINT PEN | 2 |
Masayuki Hanatani | JP | Aichi | 2014-01-16 / 20140015496 - CHARGING DEVICE | 3 |
Masahiro Hanatani | JP | Osaka | 2012-08-16 / 20120205965 - BICYCLE RIM | 1 |
Junji Hanatani | JP | Kanagawa | 2013-08-29 / 20130223902 - IMAGE FORMING APPARATUS | 3 |
Yoshikazu Hanatani | JP | Kanagawa | 2015-07-30 / 20150215119 - INFORMATION PROCESSING APPARATUS AND PROGRAM PRODUCT | 8 |
Yoshikazu Hanatani | JP | Komae | 2016-03-03 / 20160066354 - COMMUNICATION SYSTEM | 1 |
Seiji Hanatani | JP | Chiba-Shi | 2013-04-18 / 20130095277 - FIBER BASE MATERIAL AND INTERIOR MATERIAL USING THE SAME | 1 |
Kazuhiro Hanatani | JP | Minamikawachi-Gun | 2016-05-12 / 20160131196 - TELESCOPIC SHAFT | 1 |
Akinori Hanatani | JP | Osaka | 2014-09-11 / 20140255466 - COMPOSITION FOR ENHANCING TRANSDERMAL ABSORPTION OF DRUG AND PATCH PREPARATION | 16 |
Shinya Hanatani | JP | Kanagawa | 2014-11-27 / 20140348550 - TONER HOUSING CONTAINER AND IMAGE FORMING APPARATUS | 5 |
Seiji Hanatani | JP | Chiba | 2013-11-07 / 20130295370 - WEB ON STAMPABLE SHEET AND METHOD OF MAKING | 2 |
Yoshikazu Hanatani | JP | Tokyo | 2016-03-17 / 20160080340 - COMMUNICATION CONTROL DEVICE | 11 |
Shinya Hanatani | JP | Shizuoka | 2013-08-15 / 20130209931 - TONER AND METHOD FOR PRODUCING THE SAME | 5 |
Yoshikazu Hanatani | JP | Kawasaki | 2015-07-23 / 20150208210 - COMMUNICATION CONTROL DEVICE, COMMUNICATION DEVICE, AND COMPUTER PROGRAM PRODUCT | 3 |
Masayuki Hanatani | JP | Kasugai-Shi | 2015-12-31 / 20150375626 - POWER CONVERSION APPARATUS AND POWER CONTROL METHOD | 2 |
Hiroyuki Hanato | JP | Nara | 2009-01-01 / 20090005557 - Electron-Conjugated Organic Silane Compound and Production Method Thereof | 4 |
Hiroyuki Hanato | JP | Osaka-Shi | 2015-10-15 / 20150292867 - APPARATUS FOR DETECTING POSITION OF IMAGE PICKUP ELEMENT | 20 |
Hiroyuki Hanato | JP | Osaka | 2011-12-15 / 20110304764 - LENS ELEMENT, IMAGING LENS, AND IMAGING MODULE | 1 |
Hiroyuki Hanato | JP | Osaka-Shi | 2015-10-15 / 20150292867 - APPARATUS FOR DETECTING POSITION OF IMAGE PICKUP ELEMENT | 20 |
Akira Hanatsuka | JP | Tokyo | 2012-06-28 / 20120162879 - APPARATUS OPENING AND CLOSING MECHANISM, AND INFORMATION APPARATUS | 3 |
Yasushi Hanatsuka | JP | Nishitokyo-Shi, Tokyo | 2016-04-21 / 20160109331 - TIRE CONTACT STATE ESTIMATION METHOD | 1 |
Akira Hanatsuka | JP | Nagano | 2014-12-04 / 20140355197 - ELECTRONIC DEVICE | 3 |
Takako Hanatsuka | JP | Shioya-Gun | 2014-03-13 / 20140074316 - ELECTRONIC CONTROL UNIT OF VEHICLE | 1 |
Yasushi Hanatsuka | JP | Tokyo | 2015-07-30 / 20150210286 - METHOD AND APPARATUS FOR DETERMINING ROAD SURFACE CONDITION | 2 |
Yasushi Hanatsuka | JP | Kodaira-Shi | 2013-05-09 / 20130116972 - METHOD FOR ESTIMATING ROAD SURFACE CONDITION | 4 |
Hiroyuki Hanatsuka | JP | Fukushima | 2010-03-25 / 20100074954 - ADSORBENT FOR ORAL ADMINISTRATION | 1 |
Andreas Hanau | DE | Berlin | 2016-02-18 / 20160045897 - HOUSEHOLD APPLIANCE HAVING A CATALYTICALLY EFFECTIVE SURFACE AND METHOD FOR THE OPERATION THEREOF | 11 |
Andreas Hanau | DE | Berlin | 2016-02-18 / 20160045897 - HOUSEHOLD APPLIANCE HAVING A CATALYTICALLY EFFECTIVE SURFACE AND METHOD FOR THE OPERATION THEREOF | 11 |
Ryan Hanau | US | New York | 2010-09-30 / 20100251300 - Interactive Display Highlight Systems, Methods, and Computer Program Products | 2 |
Cathleen E. Hanau | US | Chesterfield | 2011-01-13 / 20110009435 - HETERO BICYCLIC CARBOXAMIDE DERIVATIVES AND THEIR PHARMACEUTICAL USE AND COMPOSITIONS | 1 |
Paul Hanau | US | Portland | 2013-03-14 / 20130063437 - Refining Quilts of Patches Representing Three Dimensional Structures | 1 |
Yusaku Hanaue | JP | Okazaki | / - | 1 |
Guido Hanauer | DE | Konstanz | 2011-11-03 / 20110269750 - ROFLUMILAST FOR THE TREATMENT OF DIABETES MELLITUS | 2 |
David Hanauer | DE | Munchen | 2012-11-15 / 20120285060 - PICTURE FRAMES | 1 |
Tobias Hanauer | DE | Weiherhammer | 2014-04-17 / 20140102321 - WEB INSERTION DEVICE FOR A FLAT-BED DIE-CUTTING MACHINE, MANUFACTURING SYSTEM FOR PACKAGES AND METHOD FOR FEEDING A WEB OF PRINTING MATERIAL | 1 |
Dieter Hanauer | DE | Buedingen | 2012-05-03 / 20120109435 - CONTROL UNIT, IN PARTICULAR FOR A HYBRID VEHICLE, HAVING AN ELECTRIC DRIVE AND AN INTERNAL COMBUSTION ENGINE, AND A METHOD FOR OPERATING A HYBRID VEHICLE | 2 |
Jerry Hanauer | US | Germantown | 2015-10-22 / 20150301675 - Apparatus for Improving Signal-to-Noise Performance of Projected Capacitance Touch Screens and Panels | 8 |
Jerry Hanauer | US | Germantown | 2015-10-22 / 20150301675 - Apparatus for Improving Signal-to-Noise Performance of Projected Capacitance Touch Screens and Panels | 8 |
Thomas Hanauer | DE | Nabburg | 2012-06-28 / 20120162652 - Optical sensor, in particular for installation in a household washing machine or dishwasher | 1 |
Emil Hanauer | DE | Abtswind | 2013-05-09 / 20130111747 - Soldering Method for Producing an Electrically Conductive Connection | 2 |
Stephen B. Hanauer | US | Chicago | 2009-12-24 / 20090317399 - Uses and compositions for treatment of CROHN'S disease | 1 |
Andreas Hanauer | DE | Theilheim | 2015-11-05 / 20150314481 - Clocked Regulation of the Amount of Plaster Paste | 1 |
Pawel Hanausek | PL | Lodz | 2012-12-20 / 20120317982 - VAPOUR POWER PLANT WITH HERMETIC TURBOGENERATOR | 1 |
Gregory P. Hanauska | US | Tucson | 2015-07-02 / 20150184835 - ADAPTIVE MULTI-WAVELENGTH LASER ILLUMINATOR | 5 |
Hartmut Martin Hanauske-Abel | US | Englewood Cliffs | 2011-02-17 / 20110039911 - METHOD OF INHIBITING NONSENSE-MEDIATED mRNA DECAY | 1 |
Hartmut M. Hanauske-Abel | US | Edgewater | 2014-07-24 / 20140206637 - Inhibition of Nonsense Mediated mRNA Decay by Drugs that Prevent Hypusination of Eukaryotic Initiation Factor 5A | 3 |
Hartmut M. Hanauske-Abel | US | Englewood Cliffs | 2012-09-06 / 20120225093 - Abrogating HIV-1 Infection via Drug-Induced Reactivation of Apoptosis | 1 |
H., M. Hanauske-Abel | US | Englewood Cliffs | 2012-04-19 / 20120095058 - Method of preventing survival of retrovirally cells and of inhibiting formation of infectious retroviruses | 1 |
Kaoru Hanawa | JP | Wako-Shi | 2009-07-02 / 20090165741 - VEHICLE INCLUDING A VARIABLE INTAKE PIPE LENGTH DEVICE | 1 |
Hiroyuki Hanawa | JP | Ibaraki | 2014-10-02 / 20140294483 - ELECTRIC TOOL AND BATTERY PACK FOR THE ELECTRIC TOOL | 11 |
Masaaki Hanawa | JP | Hitachinaka | 2014-06-19 / 20140170022 - SAMPLE DISPENSING APPARATUS AND AUTOMATIC ANALYZER INCLUDING THE SAME | 8 |
Atsushi Hanawa | JP | Toyota-Shi | / - | 1 |
Hiroji Hanawa | US | 2009-05-14 / 20090120367 - Plasma immersion ion implantation reactor with extended cathode process ring | 1 | |
Riichirou Hanawa | JP | Utsunomiya-Shi | 2009-08-20 / 20090208884 - EXPOSURE APPARATUS | 1 |
Takayuki Hanawa | JP | Tokyo | 2014-07-31 / 20140211049 - IMAGE PICKUP APPARATUS | 1 |
Kazuhiko Hanawa | JP | Hitachinaka | / - | 1 |
Hideo Hanawa | JP | Kanagawa | 2009-10-01 / 20090247742 - METHOD OF PRODUCING A METAL PHTHALOCYANINE COMPOUND, AND METHOD OF PRODUCING A PHTHALOCYANINE COMPOUND AND AN ANALOGUE THEREOF | 2 |
Hajime Hanawa | JP | Tokyo | 2015-12-24 / 20150370911 - INFORMATION PROVIDING METHOD, APPARATUS AND PROGRAM | 1 |
Akinori Hanawa | JP | Shimotsuma | 2009-10-29 / 20090266591 - Prepreg and printed wiring board using thin quartz glass cloth | 3 |
Hiroji Hanawa | US | Sunnyvale | 2016-02-18 / 20160049323 - METHOD AND APPARATUS OF PROCESSING WAFERS WITH COMPRESSIVE OR TENSILE STRESS AT ELEVATED TEMPERATURES IN A PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION SYSTEM | 32 |
Nobumichi Hanawa | JP | Tokyo | 2008-09-18 / 20080223674 - Damping force generating mechanism | 1 |
Tatsuya Hanawa | JP | Otsu-Shi | 2015-03-19 / 20150075703 - METHOD OF PRODUCING TUBULAR BODY | 4 |
Hideo Hanawa | JP | Minami-Ashigara-Shi | 2013-01-10 / 20130012697 - METHOD OF PRODUCING A METAL PHTHALOCYANINE COMPOUND, AND METHOD OF PRODUCING A PHTHALOCYANINE COMPOUND AND AN ANALOGUE THEREOF | 1 |
Yosuke Hanawa | JP | Kyoto | 2016-03-17 / 20160074913 - SUBSTRATE CLEANING METHOD AND SUBSTRATE CLEANING APPARATUS | 1 |
Masatoshi Hanawa | JP | Otawara-Shi | 2011-12-08 / 20110298459 - MAGNETIC RESONANCE IMAGING APPARATUS AND MAGNETIC RESONANCE IMAGING METHOD | 2 |
Takayuki Hanawa | JP | Omuta-Shi, Fukuoka | 2016-02-18 / 20160046827 - FILM COMPRISING COPOLYMER OR COMPOSITION | 1 |
Koji Hanawa | JP | Kanagawa | 2015-05-21 / 20150137104 - ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hideo Hanawa | JP | Ashigarakami-Gun | 2009-04-02 / 20090088491 - PHOTO-CURABLE COMPOSITION INCLUDING POLYMERIZABLE COMPOUND, POLYMERIZATION INITIATOR, AND DYE | 1 |
Norio Hanawa | JP | Minato-Ku | 2012-11-22 / 20120295705 - GAME DEVICE, GAME CONTROL METHOD, AND NON-TRANSITORY INFORMATION RECORDING MEDIUM THAT RECORDS A PROGRAM | 1 |
Hiroyuki Hanawa | JP | Hitachinaka | 2015-07-30 / 20150214520 - ELECTRIC DEVICE, BATTERY PACK, AND ELECTRIC APPARATUS | 2 |
Kenichi Hanawa | JP | Yamanashi | 2013-05-16 / 20130119863 - SUBSTRATE PROCESSING APPARATUS | 1 |
Hiroyuki Hanawa | JP | Hitachinaka-Shi | 2015-03-19 / 20150075831 - BATTERY PACK AND POWER TOOL PROVIDED WITH THE SAME | 3 |
Takao Hanawa | JP | Ibaraki | 2011-09-15 / 20110223390 - POLYMER BRUSH COMPOSITE AND METHOD FOR PRODUCING SAME | 1 |
Nobumichi Hanawa | JP | Kani-Shi, Gifu | 2014-05-08 / 20140124311 - DAMPER WITH A VEHICLE HEIGHT ADJUSTING FUNCTION | 1 |
Takeshi Hanawa | JP | Shizuoka-Shi | 2014-04-10 / 20140100389 - METHOD OF PRODUCING PHTHALOYL DICHLORIDE COMPOUND, CATALYST FOR USE IN THE METHOD, AND METHOD OF FORMING THE CATALYST | 1 |
Takayuki Hanawa | JP | Hachioji-Shi | 2009-03-26 / 20090082624 - ENDOSCOPE, ENDOSCOPE SYSTEM, AND SWITCHING CIRCUIT MEMBER FOR ENDOSCOPE | 1 |
Takao Hanawa | JP | Nakano-Ku | 2009-05-14 / 20090124984 - Medical Appliance and Process for Producing the Appliance | 1 |
Masanori Hanawa | JP | Kofu-Shi | 2011-04-28 / 20110097079 - OPTICAL COMMUNICATION SYSTEM, OPTICAL TRANSMITTER, OPTICAL RECEIVER AND METHODS, AND CORRELATORS USED THEREFOR | 1 |
Masanori Hanawa | JP | Yamanashi | 2009-05-21 / 20090129789 - Method and Apparatus for Extracting Clock Signal From Optical Signal | 1 |
Kenzo Hanawa | JP | Chiba | 2011-06-23 / 20110147763 - GROUP III NITRIDE SEMICONDUCTOR MULTILAYER STRUCTURE AND PRODUCTION METHOD THEREOF | 3 |
Takao Hanawa | JP | Bunkyo-Ku | 2014-09-18 / 20140271317 - BIOCOMPATIBLE Co-Cr-Mo ALLOY | 1 |
Kenzo Hanawa | JP | Ichihara-Shi | 2015-07-16 / 20150199988 - LUBRICANT FOR MAGNETIC RECORDING MEDIUM, MAGNETIC RECORDING MEDIUM, MANUFACTURING METHOD OF MAGNETIC RECORDING MEDIUM, AND MAGNETIC RECORDING AND REPRODUCING DEVICE | 17 |
Teiko Hanawa | JP | Shiojiri | 2014-05-08 / 20140126005 - METHOD FOR CONTROLLING IMAGE PROCESSING DEVICE | 1 |
Akifumi Hanawa | JP | Ibaraki | 2010-05-13 / 20100117340 - AIRBAG DEVICE AND METHOD OF MANUFACTURING SAME | 2 |
Kenichi Hanawa | JP | Nirasaki City | 2012-12-13 / 20120312473 - HIGH FREQUENCY POWER DISTRIBUTION DEVICE AND SUBSTRATE PROCESSING APPARATUS USING SAME | 1 |
Fumiaki Hanawa | JP | Yokohama-Shi | 2012-08-30 / 20120219253 - OPTICAL CONNECTOR PLUG | 1 |
Daiki Hanawa | JP | Kawasaki | 2015-10-01 / 20150278693 - PREDICTION PROGRAM, PREDICTION APPARATUS, AND PREDICTION METHOD | 3 |
Norio Hanawa | JP | Yokohama-Shi | 2014-10-16 / 20140306886 - IMAGE PROCESSING DEVICE, METHOD FOR CONTROLLING IMAGE PROCESSING DEVICE, PROGRAM, AND INFORMATION RECORDING MEDIUM | 1 |
Hirofumi Hanawa | JP | Tokyo | 2010-04-29 / 20100107077 - DISPLAY OF WORK CONTENT | 1 |
Takeshi Hanawa | JP | Shizuoka | 2011-07-21 / 20110178336 - METHOD OF PRODUCING PHTHALOYL DICHLORIDE COMPOUND, CATALYST FOR USE IN THE METHOD, AND METHOD OF FORMING THE CATALYST | 1 |
Akifumi Hanawa | JP | Yokohama | 2013-12-26 / 20130341894 - CURTAIN AIRBAG | 3 |
Akiyoshi Hanawa | JP | Tokyo | 2014-09-04 / 20140248611 - NUCLEIC ACID PROBE FOR ASSAYING NUCLEIC ACIDS | 1 |
Katsushi Hanawa | JP | Tokyo | 2011-03-03 / 20110049372 - PARTICLE BEAM IRRADIATION APPARATUS AND PARTICLE BEAM IRRADIATION METHOD | 2 |
Kazuko Hanawa | JP | Tokyo | 2012-11-08 / 20120280379 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 2 |
Takao Hanawa | JP | Tokyo | 2014-05-29 / 20140147813 - DENTAL PROSTHESIS COMPONENT AND METHOD FOR PRODUCING DENTAL PROSTHESIS COMPONENT | 3 |
Hidehito Hanawa | JP | Hitachi | 2016-02-04 / 20160036283 - COIL FOR LOW-VOLTAGE INVERTER DRIVE MOTOR | 6 |
Daisuke Hanawa | JP | Tokyo | 2010-09-09 / 20100225169 - REVERSE CURRENT PREVENTING CIRCUIT AND POWER SOURCE SWITCHING APPARATUS | 1 |
Kazuki Hanawa | JP | Nirasaki-Shi | 2010-11-11 / 20100283493 - CHARGE ELIMINATING APPARATUS AND METHOD, AND PROGRAM STORAGE MEDIUM | 1 |
Tetsuro Hanawa | JP | Tokyo | 2016-03-03 / 20160064403 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 2 |
Yu Hanawa | JP | Tokyo | 2014-07-31 / 20140209169 - GLASS SUBSTRATE FOR CdTe SOLAR CELL, AND SOLAR CELL | 3 |
Kaoru Hanawa | JP | Saitama | 2010-09-30 / 20100242903 - INLET PASSAGE STRUCTURE OF V-TYPE INTERNAL COMBUSTION ENGINE | 1 |
Kaoru Hanawa | JP | Wako | 2011-03-31 / 20110073401 - HYBRID MOTORCYCLE | 2 |
Shinichirou Hanawa | JP | Yokohama | 2012-09-20 / 20120239179 - WORK SUPPORT SYSTEM, WORK SUPPORT METHOD, AND STORAGE MEDIUM | 3 |
Katsushi Hanawa | JP | Kita-Ku | 2012-12-06 / 20120305796 - PARTICLE BEAM IRRADIATION APPARATUS AND CONTROL METHOD OF THE PARTICLE BEAM IRRADIATION APPARATUS | 2 |
Kohji Hanawa | JP | Kanagawa | 2015-10-15 / 20150295017 - DISPLAY UNIT | 14 |
Kohji Hanawa | JP | Kanagawa | 2015-10-15 / 20150295017 - DISPLAY UNIT | 14 |
Masaaki Hanawa | JP | Hitachinaka | 2014-06-19 / 20140170022 - SAMPLE DISPENSING APPARATUS AND AUTOMATIC ANALYZER INCLUDING THE SAME | 8 |
Keiko Hanawa | JP | Kawasaki-Shi | 2012-12-27 / 20120327437 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF | 4 |
Richard William Hanawalt | US | Venture | 2015-07-30 / 20150208638 - Pest Post Rat Repellent System | 1 |
Steve W. Hanawalt | US | Austin | 2009-10-01 / 20090247101 - Auto-detection of broadcast channel spacing | 2 |
Steve Hanawalt | US | Austin | 2016-04-07 / 20160099697 - Radio Receiver Having Enhanced Automatic Gain Control Circuitry | 2 |
Kirk Hanawalt | US | Albany | 2015-07-09 / 20150194653 - METHOD OF MAKING A RUBBER-CONTAINING POLYOLEFIN SEPARATOR | 1 |
Nicholas Roth Hanawalt | US | Troy | 2009-02-19 / 20090048714 - CONTROL SYSTEM AND METHOD FOR CONTROLLING AN AIR HANDLING FAN FOR A VENT HOOD | 1 |
Ryan Hanawalt | US | Cary | 2009-11-19 / 20090285966 - Modular Flavor Dispenser for Use with Food or Beverage Machines | 1 |
Patrick Hanaway | US | Weaverville | 2015-06-11 / 20150160210 - COMPOSITIONS AND METHODS FOR ASSESSING GASTROINTESTINAL HEALTH | 2 |
Peter Hanaway | US | Portland | 2015-10-22 / 20150301082 - RESILIENT ELECTRICAL INTERPOSERS, SYSTEMS THAT INCLUDE THE INTERPOSERS, AND METHODS FOR USING AND FORMING THE SAME | 4 |
John P Hanaway | US | Milford | 2013-10-24 / 20130277325 - KNUCKLE PIN FOR RAILWAY CAR COUPLER | 1 |
John Hanaway | US | Milford | 2009-12-17 / 20090308830 - KNUCKLE PIN FOR RAILWAY VEHICLE COUPLER | 1 |
Robert Hanaway | IE | Bryanstown | 2015-12-24 / 20150373114 - STORAGE ABSTRACTION LAYER AND A SYSTEM AND A METHOD THEREOF | 1 |
Lendal Hanaway | US | Hebron | 2009-05-28 / 20090133269 - Chain saw guide bar attachment used to simplify the cutting of whippy branches and the like | 1 |
Hiroyuki Hanaya | JP | Kanagawa | 2016-05-19 / 20160140768 - INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 47 |
Toshiharu Hanaya | JP | Okayama | 2014-05-29 / 20140148409 - FLUID FOR PERITONEAL DIALYSIS | 2 |
Tatsuro Hanaya | JP | Atsugi-Shi | 2012-11-29 / 20120300876 - QUADRATURE MODULATOR, SIGNAL GENERATING DEVICE, AND QUADRATURE MODULATION METHOD | 2 |
Yukiyoshi Hanayama | JP | Inazawa-Shi | 2016-02-04 / 20160034026 - READING APPARATUS HAVING INTERFACE AND INTERFACE CONTROL DEVICE | 6 |
Fumihiko Hanayama | JP | Aki | 2009-10-08 / 20090249691 - Apparatus and Method for Gasifying Solid Fuel | 1 |
Ryohei Hanayama | JP | Hamamatsu-Shi | 2015-10-15 / 20150294744 - DEVICE FOR QUANTUM BEAM GENERATION, METHOD FOR QUANTUM BEAM GENERATION, AND DEVICE FOR LASER FUSION | 2 |
Tatsuya Hanayama | JP | Yokohama-Shi | 2011-08-04 / 20110188845 - IMAGE PICKUP APPARATUS, FLASH APPARATUS, AND CAMERA SYSTEM | 1 |
Shinichi Hanayama | JP | Tokyo | 2014-05-08 / 20140129392 - GIFT SYSTEM | 1 |
Yukichi Hanayama | JP | Hachioji-Shi | 2009-08-06 / 20090193898 - ULTRASONIC WAVE VIBRATING APPARATUS | 1 |
Yukichi Hanayama | JP | Tokyo | 2010-08-26 / 20100214676 - OPTICAL ELEMENT FIXING COMPONENT AND IMAGING UNIT | 1 |
Yasushi Hanayama | JP | Osaka | 2010-05-27 / 20100128832 - DOPPLER REACTIVITY COEFFICIENT MEASURING METHOD | 1 |
Kenichi Hanazaki | JP | Susono | 2010-03-11 / 20100059254 - Conductor module and electromagnetic welding method | 2 |
Kenichi Hanazaki | JP | Susono-Shi | 2015-01-15 / 20150017058 - METAL WIRE AND ELECTRIC WIRE | 1 |
Hisashi Hanazaki | JP | Shizuoka | 2013-09-26 / 20130252459 - CONNECTION STRUCTURE OF ELECTRIC WIRE AND TERMINAL, AND MANUFACTURING METHOD THEREOF | 1 |
Ryo Hanazaki | JP | Toyota-Shi | 2015-10-22 / 20150303519 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND PRODUCTION METHOD THEREOF | 2 |
Mitsuo Hanazaki | JP | Kyoto-Shi | 2013-09-26 / 20130254719 - DEVICE, METHOD, AND PROGRAM | 1 |
Tetsuya Hanazaki | JP | Himeji-Shi | 2011-05-26 / 20110121089 - Guard Rail Apparatus for Guiding Derailed Wheels | 2 |
Hisashi Hanazaki | JP | Makinohara-Shi | 2016-01-28 / 20160028167 - Structure and Method for Connecting Terminal | 4 |
Hisashi Hanazaki | JP | Susono-Shi | 2015-08-27 / 20150245469 - FLEXIBLE FLAT CIRCUIT | 2 |
Tetsuya Hanazaki | JP | Hyogo | 2011-03-03 / 20110049254 - Wheel Guard Apparatus | 2 |
Mitsuo Hanazaki | JP | Kanagawa | 2012-03-29 / 20120075180 - MOBILE ELECTRONIC APPARATUS AND CONTROL METHOD OF MOBILE ELECTRONIC APPARATUS | 1 |
Kenichi Hanazaki | JP | Shizuoka | 2010-06-10 / 20100140325 - ULTRASONIC JOINING METHOD AND APPARATUS | 1 |
Minoru Hanazaki | JP | Tokyo | 2009-02-05 / 20090035945 - MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Noritsugu Hanazaki | JP | Funabashi-Shi | 2010-12-23 / 20100323302 - PROTECTIVE APPARATUS, MASK, MASK FABRICATING METHOD AND CONVEYING APPARATUS, AND EXPOSURE APPARATUS | 2 |
Toshiyuki Hanazawa | JP | Chiyoda-Ku | 2016-01-07 / 20160005400 - SPEECH-RECOGNITION DEVICE AND SPEECH-RECOGNITION METHOD | 1 |
Makoto Hanazawa | JP | Osaka | 2012-04-26 / 20120100369 - TRANSFER SHEET AND PROCESS FOR PRODUCING SAME | 1 |
Fumio Hanazawa | JP | Kawasaki | 2009-08-27 / 20090217086 - Disk array apparatus, disk array control method and disk array controller | 1 |
Kazuhiro Hanazawa | JP | Tokyo | 2015-09-10 / 20150253242 - STEEL SHEET INSPECTION DEVICE, STEEL SHEET INSPECTION METHOD, AND STEEL SHEET MANUFACTURING METHOD | 5 |
Ken Hanazawa | JP | Minato-Ku | 2013-10-24 / 20130282374 - SPEECH RECOGNITION DEVICE, SPEECH RECOGNITION METHOD, AND SPEECH RECOGNITION PROGRAM | 2 |
Kazuhiro Hanazawa | JP | Chiyoda-Ku, Tokyo | 2015-10-29 / 20150310973 - GRAIN-ORIENTED ELECTRICAL STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME (AS AMENDED) | 1 |
Atsufumi Hanazawa | JP | Tokyo | 2015-09-17 / 20150261139 - INTERMEDIATE TRANSFERER AND IMAGE FORMING APPARATUS | 2 |
Shigemasa Hanazawa | JP | Kamakura | 2013-10-31 / 20130288948 - ESTABLISHMENT OF MOTIF COMPRISING ACIDIC AMINO ACID, CAPABLE OF STABILIZING PROTEIN IN CELLS, AND APPLICABLE TO PROTEIN THERAPY, CONTROL OF DIFFERENTIATION/UNDIFFERENTIATION OF CELL AND ANTIBODY THERAPY | 1 |
Takeshi Hanazawa | JP | Tokyo | 2015-08-20 / 20150232464 - IMIDAZOPYRIDINE COMPOUNDS | 3 |
Satoshi Hanazawa | JP | Tokyo | 2016-02-04 / 20160036418 - TRANSMISSION DRIVE CIRCUIT AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Kazuhiro Hanazawa | JP | Kurashiki | 2016-02-04 / 20160033416 - METHOD OF CHECKING FORSTERITE, APPARATUS THAT EVALUATES FORSTERITE, AND PRODUCTION LINE THAT MANUFACTURES STEEL SHEET | 1 |
Ken Hanazawa | JP | Tokyo | 2016-04-21 / 20160111109 - SPEECH PROCESSING SYSTEM, SPEECH PROCESSING METHOD, SPEECH PROCESSING PROGRAM, VEHICLE INCLUDING SPEECH PROCESSING SYSTEM ON BOARD, AND MICROPHONE PLACING METHOD | 19 |
Takeshi Hanazawa | JP | Aichi-Ken | 2011-06-23 / 20110152326 - SUBSTITUTED ARYLOXOETHYL CYCLOPROPANECARBOXAMIDE COMPOUNDS AS VR1 RECEPTOR ANTAGONISTS | 2 |
Makoto Hanazawa | JP | Settsu-Shi | 2010-05-13 / 20100119957 - VOLUME HOLOGRAPHIC DATA RECORDING MEDIA | 2 |
Satoshi Hanazawa | JP | Hamura | 2012-10-04 / 20120249210 - SWITCH CIRCUIT AND SEMICONDUCTOR CIRCUIT | 4 |
Kazuhiro Hanazawa | JP | Chiyoda-Ku | 2015-09-24 / 20150267273 - METHOD OF MANUFACTURING GRAIN-ORIENTED ELECTRICAL STEEL SHEET EXHIBITING LOW IRON LOSS | 1 |
Masahiro Hanazawa | JP | Aichi-Gun | 2012-02-16 / 20120038538 - ANTENNA DEVICE | 3 |
Toshiyuki Hanazawa | JP | Tokyo | 2012-12-13 / 20120317098 - SEARCH DEVICE AND SEARCH PROGRAM | 5 |
Ken Hanazawa | JP | Tokyo | 2016-04-21 / 20160111109 - SPEECH PROCESSING SYSTEM, SPEECH PROCESSING METHOD, SPEECH PROCESSING PROGRAM, VEHICLE INCLUDING SPEECH PROCESSING SYSTEM ON BOARD, AND MICROPHONE PLACING METHOD | 19 |
Kazuhiro Hanazawa | JP | Chiba-Shi | 2015-12-10 / 20150357101 - METHOD FOR PRODUCING SEMI-PROCESSED NON-ORIENTED ELECTRICAL STEEL SHEET HAVING EXCELLENT MAGNETIC PROPERTIES | 2 |
Tsutomu Hanazawa | JP | Kanagawa | 2015-05-21 / 20150139753 - CONNECTING BODY FOR NAILS | 1 |
Hiroyuki Hanazawa | JP | Kawasaki | 2009-12-03 / 20090297149 - Optical add/drop multiplexer | 1 |
Kazuhiro Hanazawa | JP | Okayama | 2011-12-29 / 20110318217 - COLD-ROLLED STEEL SHEET WITH EXCELLENT BENDING WORKABILITY, METHOD FOR MANUFACTURING THE SAME, AND MEMBER USING THE SAME | 1 |
Misao Hanazono | JP | Yokohama-Shi, Kanagawa | 2016-03-03 / 20160060483 - IMIDE GROUP-CONTAINING COMPOUND SOLUTION AND METHOD FOR PRODUCING POLYIMIDE FILM DERIVED FROM IMIDE GROUP-CONTAINING COMPOUND SOLUTION | 1 |
Yutaka Hanazono | JP | Tochigi | 2011-10-27 / 20110262959 - METHOD FOR EVALUATION OF DIFFERENTIATION ABILITY OF STEM CELL | 1 |
Hiroyuki Hanazono | JP | Osaka | 2015-12-31 / 20150380277 - UNDERFILL SHEET, UNDERFILL SHEET INTEGRATED WITH TAPE FOR GRINDING REAR SURFACE, UNDERFILL SHEET INTEGRATED WITH DICING TAPE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 19 |
Misao Hanazono | JP | Yokohama-Shi | 2013-12-12 / 20130327253 - Compound containing imido group, solution of compound containing imido group and method for producing of compound containing imido group | 1 |
Yuko Hanazono | JP | Hyogo | 2011-09-08 / 20110214277 - PRESS-THROUGH PACKAGE AND METHOD OF REMOVING MEDICATION FROM SAME | 1 |
Hiroyuki Hanazono | JP | Ibaraki-Shi | 2016-03-17 / 20160075871 - THERMOSETTING RESIN COMPOSITION AND METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE | 6 |
Yuko Hanazono | JP | Amagasaki-Shi | 2013-08-08 / 20130199962 - Press-Through Package And Method Of Removing Medication From Same | 1 |
John Hanback | US | Flint Hill | 2015-06-25 / 20150176333 - ADVANCED DRILLING SYSTEMS AND METHODS | 1 |
John W. Hanback | US | Flint Hill | 2012-01-26 / 20120017522 - ADVANCED CONSTRUCTION USING PRECISION ADJUSTMENT, JOINING AND STRENGTHENING TECHNIQUES | 1 |
John Hanback | US | Flint Hills | 2012-11-01 / 20120274068 - ATMOSPHERIC ENERGY EXTRACTION DEVICES AND METHODS | 4 |
Mazen Hanbali | FR | Strasbourg | 2009-02-05 / 20090036542 - Hydroquinone Long-Chain Derivative and/or Phenoxy Long-Chain Derivative and Pharmaceutical Comprising Same | 1 |
Said Hanbaly | US | Annandale | 2014-11-27 / 20140349609 - NETWORK DEVICE ACCESS ID ASSIGNMENT AND MANAGEMENT | 4 |
Sun Hanbao | CN | Qinhuangdao | 2015-09-17 / 20150260623 - APPARATUS FOR DETECTING SPRING STIFFNESS | 1 |
Martin Hanbauer | AT | Linz | 2014-03-20 / 20140081020 - METHODS OF PRODUCING MOLINDONE AND ITS SALTS | 1 |
Martin Helmut Friedrich Hanbauer | DE | Regensburg | 2015-07-16 / 20150198367 - PROCESS FOR DRYING POLYMERIC MATERIALS | 1 |
Martin Hanbauer | DE | Regensburg | 2015-05-21 / 20150141645 - METHODS OF PRODUCING MOLINDONE AND ITS SALTS | 1 |
Peter Jasper Hanberg | DK | Soborg | 2015-11-19 / 20150333225 - NANOSTRUCTURED LED ARRAY WITH COLLIMATING REFLECTORS | 1 |
Anders Hanberg | SE | Vasteras | 2014-03-20 / 20140078162 - Using OPC UA To Automatically Generate Process Graphics | 2 |
Peter Hanberg | DK | Soborg | 2014-08-28 / 20140239327 - NANOSTRUCTURED LED | 2 |
Peter Jesper Hanberg | DK | Soborg | 2014-09-04 / 20140246650 - NANOSTRUCTURED DEVICE | 3 |
John David Hanby | US | Katy | 2013-07-18 / 20130183767 - Diffusion/Chemical Reaction/Spectrometric Device for the Analysis of Petroleum Hydrocarbons in Environmental and Geological Formation Samples | 1 |
Jeffrey D. Hanby | US | Cass City | 2015-05-21 / 20150136472 - WIRE SEAL ASSEMBLY | 3 |
Nigel David Hanby | IE | Dublin 6w | 2011-10-13 / 20110252297 - PERSONALISING CONTENT PROVIDED TO A USER | 1 |
Robert David Hancasky | US | Royal Oak | 2015-10-29 / 20150307079 - ELECTRIFIED VEHICLE NEUTRAL ENGINE START | 3 |
Kenneth Robert Hance | US | Tucson | 2016-05-19 / 20160138067 - RAPID CELL PURIFICATION SYSTEMS | 3 |
Jeremy Eric Hance | US | Edmonds | 2012-05-03 / 20120110499 - VIRTUALIZED LAYOUTS | 1 |
Robert L. Hance | US | Austin | 2010-05-13 / 20100121128 - Method and apparatus for thermochemical conversion of materials | 2 |
Kivilcim Onal Hance | US | Greer | 2011-04-07 / 20110081480 - METHOD OF DEPOSITION OF METALLIC COATINGS USING ATOMIZED SPRAY | 2 |
Bryon K. Hance | US | Austin | 2012-08-30 / 20120218700 - ELECTRONIC DEVICES WITH ULTRAVIOLET BLOCKING LAYERS AND PROCESSES OF FORMING THE SAME | 2 |
Kenneth W. Hance | US | Groton | 2015-07-16 / 20150198601 - METHODS OF DIAGNOSING AND PROGNOSING COLONIC POLYPS | 2 |
Mehmet Hancer | US | Wilmington | 2010-12-16 / 20100314724 - Selective UV-Ozone Dry Etching of Anti-Stiction Coatings for MEMS Device Fabrication | 1 |
Mehmet Hancer | US | Eagan | 2010-07-15 / 20100177446 - MICROACTUATOR WITH SELF-ASSEMBLED MONOLAYER ENCAPSULANT | 1 |
Ayse Hancer-Ademuwagun | US | Ypsilanti | 2012-10-11 / 20120256333 - PROCESS FOR MANUFACTURING A STAND-ALONE MULTILAYER THIN FILM | 1 |
Michelle Hancey | US | Murray | 2011-10-20 / 20110257662 - System, Method and Apparatus for Hair Removal | 1 |
Nicholas S. Hancey | US | Centerville | 2014-08-28 / 20140239656 - HOLDER FOR A BEVERAGE CONTAINER | 1 |
Michael S. Hanchak | US | Dayton | 2011-12-29 / 20110316933 - PRINTHEAD INCLUDING ALIGNMENT ASSEMBLY | 9 |
Dale William Hanchar | CA | Victoria | / - | 1 |
Dale William Hanchar | CA | Calgary | 2011-05-19 / 20110114002 - SAILING CRAFT COMPRISING A TILTING RIGID SAIL SYSTEM | 1 |
James Edward Hancharick | US | Earleville | 2013-05-02 / 20130104442 - COMPACT T-HANDLE BULLET STARTER FOR MUZZLELOADERS | 1 |
Narender Hanchate | US | Sunnyvale | 2010-01-07 / 20100005429 - INTEGRATED SINGLE SPICE DECK SENSITIZATION FOR GATE LEVEL TOOLS | 1 |
Narender Hanchate | US | San Jose | 2012-09-20 / 20120239371 - Consistent Hierarchical Timing Model with Crosstalk Consideration | 1 |
Naveen Kumar Hanchate | US | San Diego | 2013-06-13 / 20130150096 - METHOD AND APPARATUS FOR OPTIMIZING CBS SERVICE IN A MULTIPLE SIM ENVIRONMENT | 1 |
Naveen Kumar Hanchate | IN | Hyderabad | 2016-01-28 / 20160029378 - APPARATUS AND METHOD FOR SCHEDULING CELL BROADCAST MESSAGES | 2 |
Blake Hancher | US | Columbus | 2015-05-28 / 20150149092 - WEARABLE INTERFACE FOR DRILLING INFORMATION SYSTEM | 1 |
Lauren M. Hancher | US | Pittsburgh | 2011-08-25 / 20110207119 - METHODS FOR PREDICTING A CANCER PATIENT'S RESPONSE TO SUNITINIB | 3 |
Mark A. Hanchett | US | Phoenix | 2014-10-02 / 20140293499 - Electrodes For Electronic Weaponry And Methods Of Manufacture | 8 |
Mike Hanchett | US | Edmonds | 2012-04-26 / 20120099117 - Single laser beam measurment system | 1 |
Michael J. Hanchett | US | Lapeer | 2015-07-09 / 20150194856 - SYSTEMS AND METHODS FOR COOLING A DRIVE END BEARING | 2 |
Hayley Hanchett | US | Flushing | 2014-08-28 / 20140239965 - BATTERY CELL SCANNING SYSTEMS AND METHODS | 1 |
Byron L. Hanchett | US | Rancho Santa Fe | 2014-09-18 / 20140279692 - OPTIMIZING RETURN ON INVESTMENT IN REAL PROPERTY | 1 |
Mark A. Hanchett | US | Mesa | 2016-04-21 / 20160110208 - SYSTEMS AND METHODS FOR DISTRIBUTED CONTROL | 2 |
Mark A. Hanchett | US | Phoenix | 2014-10-02 / 20140293499 - Electrodes For Electronic Weaponry And Methods Of Manufacture | 8 |
Keith Hanchett | US | Emmaus | 2013-05-23 / 20130129601 - LIME CAUSTICIZATION PRODUCT BRIGHTNESS IMPROVEMENT VIA PRE-SLAKING | 1 |
Mark A. Hanchett | US | Tempe | 2014-06-05 / 20140153153 - Systems And Methods For Electrodes And Coupling Structures For Electronic Weaponry | 1 |
Leland J. Hanchett, Jr. | US | Falmouth | 2016-02-18 / 20160049231 - SPRINGLESS ELECTROMAGNET ACTUATOR HAVING A MODE SELECTABLE MAGNETIC ARMATURE | 2 |
Leland J. Hanchett, Jr. | US | Cave Creek | 2013-09-19 / 20130241680 - SPRINGLESS ELECTROMAGNET ACTUATOR HAVING A MODE SELECTABLE MAGNETIC ARMATURE | 6 |
Lee J. Hanchett, Jr. | US | Cave Creek | 2010-05-06 / 20100109349 - ROTATING LATCH FOR LATCHING AND UNLATCHING A DOOR | 1 |
Jorge Vicente Hanchi | US | St. Louis Park | 2013-03-14 / 20130063834 - Head Assembly with Head-Media Spacing Control | 2 |
Shiva Hanchinhal | US | Maharashtra | 2015-03-19 / 20150076846 - SYSTEM, METHOD, AND APPARATUS FOR AUTOMOTIVE UNDER-RUN PROTECTIVE DEVICE | 1 |
Cristian Hancila | CA | Scarborough | 2012-04-05 / 20120084791 - Cross-Environment Communication Framework | 2 |
Erhan Hancioglu | US | San Diego | 2011-09-29 / 20110234264 - Load Driver | 5 |
Erhan Hancioglu | US | Bothell | 2016-05-19 / 20160140376 - Capacitive Fingerprint Sensor with Quadrature Demodulator and Multiphase Scanning | 6 |
Harutyun Hanciogullari | DE | Limburgerhof | 2011-08-04 / 20110189487 - ASSOCIATIVE THICKENER COMPRISING ACID MONOMER, ASSOCIATIVE MONOMER AND NONIONIC MONOMER | 1 |
Juan Luis Hancke Orozco | CL | Santiago | 2014-05-08 / 20140128333 - Compounds, Compositions, and Methods for Decreasing Intestinal Glucose Uptake and Inducing Incretin Release | 1 |
William Ray Hancock | US | Phoenix | 2016-04-21 / 20160110919 - LOW LATENCY AUGMENTED REALITY DISPLAY | 5 |
Graham Hancock | CA | Hamilton | 2014-08-28 / 20140241375 - INDUSTRIAL AUTOMATION CONTROL COMMUNICATION METHOD AND APPARATUS | 1 |
Mark Hancock | GB | Shirley | 2010-01-07 / 20100003141 - Strengthening Structure For A Wind Turbine Blade, A Wind Turbine Blade, A Method For Assembling A Wind Turbine Blade And Use Hereof | 1 |
Mark Hancock | GB | Southhampton Hampshire | 2011-03-24 / 20110067795 - Assembly Tool and a Method of Manufacturing a Blade | 1 |
Michael P. Hancock | US | Centennial | 2010-02-18 / 20100038101 - FIRE EXTINGUISHMENT SYSTEMS AND NOZZLES | 1 |
Mark Hancock | GB | Southampton Hampshire | 2014-07-10 / 20140193255 - Apparatus for Manipulating a Wind Turbine Blade and Method of Blade Handling | 3 |
Robert Hancock | GB | Southampton | 2013-03-14 / 20130064222 - NETWORK SELECTION | 3 |
Bruce R. Hancock | US | Altadena | 2014-01-30 / 20140027610 - PRECISION CHARGE INJECTOR | 4 |
Mary Gael Hancock | US | Las Vegas | 2015-08-13 / 20150228155 - DELAYED WAGER INCREASE IN VIDEO GAMING | 1 |
Wayne Hancock | US | Philadelphia | 2010-03-11 / 20100061984 - COMPOSITIONS AND METHODS FOR MODULATION OF SUPPRESSOR T CELL ACTIVATION | 1 |
Christopher Paul Hancock | GB | Bristol | 2016-04-21 / 20160106502 - SURGICAL ANTENNA STRUCTURE | 10 |
Daniel A. Hancock | US | Media | 2010-03-25 / 20100072409 - RECLOSABLE VACUUM-TIGHT PRESSURE-RELIEF DEVICE HAVING A DEFORMABLE SEAL MEMBER | 1 |
Gary Hancock | US | Centereach | 2010-05-27 / 20100128472 - LED LIGHTING SYSTEM | 2 |
Andrew H. Hancock | US | Fremont | 2012-06-28 / 20120165844 - SINGLE FOLD DEVICE FOR TISSUE FIXATION | 4 |
Floyd Hancock | US | Stuttgart | 2015-11-26 / 20150334955 - SOYBEAN VARIETY 01046909 | 22 |
Carolie Hancock | US | Harpswell | 2009-02-26 / 20090053371 - Package for storing, shipping, preparing and dispensing a meal | 1 |
Frederick Earnest Hancock | GB | Melbourn | 2013-03-21 / 20130072722 - SUPPORTED METAL CATALYSTS | 1 |
Bruno C. Hancock | US | North Stonington | 2008-12-25 / 20080317851 - IMMEDIATE RELEASE DOSAGE FORMS CONTAINING SOLID DRUG DISBURSIONS | 1 |
Lloyd Harmon Hancock | US | Walnut Cove | 2009-03-12 / 20090065012 - Materials and Methods for Manufacturing Cigarettes | 1 |
Marvin Eugene Hancock | US | Everett | 2015-10-01 / 20150275640 - Method For Using Electrocoagulation In Hydraulic Fracturing | 3 |
Jon W. Hancock | US | Dublin | 2009-04-16 / 20090100349 - TERMINAL CLIENT COLLABORATION AND RELAY SYSTEMS AND METHODS | 1 |
Bruno Caspar Hancock | US | North Stonington | 2009-05-07 / 20090118328 - Pharmaceutical Compositions Of Cholesteryl Ester Transfer Protein Inhibitors And Hmg-Coa Reductase | 1 |
Steve Hancock | GB | London | 2008-09-25 / 20080233164 - Polymer for Use in Conduits, Medical Devices and Biomedical Surface Modification | 1 |
Scott Robert Hancock | US | Webster | 2011-06-23 / 20110150323 - CATEGORIZATION QUALITY THROUGH THE COMBINATION OF MULTIPLE CATEGORIZERS | 1 |
James F. Hancock | US | East Lansing | 2015-05-07 / 20150128313 - Blueberry plant denominated 'Osorno' | 11 |
Robert Hancock | GB | Southampton Hampshire | 2008-10-23 / 20080259881 - Method of Testing the Availability of a Connection to a Home Authentication Server Prior to Associating With a Wlan Access Point | 1 |
David Hancock | US | Morris | 2014-11-20 / 20140338509 - Maintenance and Safety System for a Food Article Slicing Machine | 3 |
Dennis H. Hancock | US | Morgan | 2009-08-06 / 20090193947 - Multipurpose cutting tool | 1 |
Christopher Paul Hancock | GB | Bath & North East Somerset | 2009-12-31 / 20090322349 - APPARATUS AND METHOD FOR DETECTING A DISCONTINUITY WITHIN A NON-BIOLOGICAL ELEMENT LOCATED WITHIN A BIOLOGICAL STRUCTURE | 2 |
Kathy Hancock | US | Atlanta | 2013-04-04 / 20130084560 - ANALYSIS OF A MICRONEUTRALIZATION ASSAY USING CURVE-FITTING CONSTRAINTS | 2 |
Suzanne Hancock | GB | Cardiff | 2010-02-04 / 20100028899 - CELL CYCLE PHASE MARKERS | 1 |
Christopher Paul Hancock | GB | Avon | 2015-04-23 / 20150112190 - NEEDLE STRUCTURE AND METHOD OF PERFORMING NEEDLE BIOPSIES | 10 |
Steven M. Hancock | US | Delray Beach | 2011-12-22 / 20110313652 - MANAGING ELECTRICAL POWER UTILIZATION IN AN ELECTRIC VEHICLE | 3 |
Mark Hancock | GB | Southampton | 2014-10-09 / 20140301859 - WIND TURBINE BLADES | 14 |
Ashley Paul Hancock | GB | Stevenage | 2010-03-25 / 20100075953 - SUBSTITUTED PIPERAZINES, (1,4) DIAZEPINES, AND 2,5-DIAZABICYCLO (2.2.1) HEPTANES AS HISTAMINE H1 AND/OR H3 ANTAGONISTS OR HISTAMINE H3 REVERSE ANTAGONISTS | 2 |
Gregory C. Hancock | US | Sausalito | 2012-09-27 / 20120243576 - METHODS AND APPARATUSES FOR RECEPTION OF FREQUENCY-HOPPING SPREAD SPECTRUM RADIO TRANSMISSIONS | 1 |
Mark Hancock | GB | Hampshire | 2014-01-09 / 20140010661 - WIND TURBINE BLADE BEARING REMOVAL APPARATUS AND METHOD | 2 |
Arthur A. Hancock | US | Libertyville | / - | 1 |
Reed Alan Hancock | US | Tucson | 2014-03-06 / 20140063642 - TAPE MEDIA LIFE | 28 |
Robert L. Hancock | US | Ann Arbor | 2009-11-05 / 20090273211 - Vehicle seating system | 1 |
Matthew Hancock | GB | Derbyshire | 2010-06-17 / 20100150730 - COMPONENT HAVING AN ABRASIVE LAYER AND A METHOD OF APPLYING AN ABRASIVE LAYER ON A COMPONENT | 1 |
Jesse Hancock | US | Cedar Park | 2015-05-07 / 20150126898 - Fully Self-Contained Unit Dose Devices for Allergy Skin Testing | 1 |
Gavin Hancock | GB | Durham | 2010-08-19 / 20100208474 - LOW PROFILE LED LIGHTING | 2 |
David Barton Hancock | US | Austin | 2016-02-11 / 20160039496 - COMPONENTS, SYSTEMS AND METHODS OF BICYCLE-BASED NETWORK CONNECTIVITY AND METHODS FOR CONTROLLING A BICYCLE HAVING NETWORK CONNECTIVITY | 1 |
Mark S. Hancock | US | Gaylordsville | 2013-05-09 / 20130113153 - SYSTEM AND METHOD FOR PREPARING COLLATIONS | 3 |
Ashley Paul Hancock | GB | Hertfordshire | 2011-11-17 / 20110281909 - SUBSTITUTED QUINOLINE DERIVATIVES AS H1 RECEPTOR ANTAGONISTS | 4 |
Stephen H. Hancock | US | Wake Forest | 2015-05-07 / 20150121690 - Tools for Seating Connectors on Substrates | 10 |
John Hancock | US | Fishers | 2009-12-31 / 20090326413 - Single-Handed Biopsy System | 1 |
Christopher P. Hancock | GB | Bristol | 2012-02-09 / 20120035688 - APPARATUS FOR LOCALISED INVASIVE SKIN TREATMENT USING ELECTROMAGNETIC RADIATION | 2 |
Randolph B. Hancock | US | St. Peters | 2013-01-24 / 20130019445 - INCREASED PROCESS DAMPING VIA MASS REDUCTION FOR HIGH PERFORMANCE MILLING | 3 |
Eric A. Hancock | US | Bellingham | 2011-07-07 / 20110163497 - Three Dimensional Random Number Generator | 1 |
Ian D. Hancock | GB | Warwick | 2014-02-13 / 20140047365 - ASSOCIATING ANCILLARY INFORMATION WITH AN APPLICATION USER INTERFACE | 1 |
Kenneth S. Hancock | US | Merrimack | 2015-06-25 / 20150181310 - SYSTEM AND METHOD FOR PRESENTING ADVERTISEMENT PLAYOUT SUMMARIES IN AN INTERACTIVE DISPLAY | 1 |
Jeffery D. Hancock | US | Uintah | 2010-01-21 / 20100014284 - Multipurpose Flashlight | 1 |
Randolph B. Hancock | US | Wildwood | 2010-02-04 / 20100025907 - Fixture and Method for Mounting Angled Machine Head on a Machine Tool | 1 |
Stuart Hancock | GB | Swansea | 2013-03-21 / 20130070955 - LOUDSPEAKER WITH INTERLOCKING MAGNET STRUCTURE | 1 |
Matthew Hancock | GB | Derby | 2016-03-10 / 20160069195 - ROTARY BLADE TIP | 2 |
Ashley Brian Hancock | US | Atlanta | 2014-09-18 / 20140278510 - SYSTEMS, METHODS, AND APPARATUSES FOR SECURELY DISPENSING ONE OR MORE PRESCRIBED SUBSTANCES TO A SECURELY IDENTIFIED INTENDED USER | 1 |
Nathan Hancock | US | Cambridge | 2014-09-18 / 20140263025 - MEMBRANE MODULES | 1 |
Gary Hancock | US | Phoenix | 2010-02-04 / 20100024331 - DISTRIBUTION ANGLE PLATE FOR A CONCRETE FLOOR SYSTEM AND METHOD THEREFOR | 1 |
Mark David Hancock | GB | Derby | 2014-03-06 / 20140064974 - FIXTURE AND METHOD FOR SECURING AN AEROFOIL | 2 |
Frederick Emest Hancock | GB | Hertfordshire | 2012-01-05 / 20120004411 - CHIRAL PHOSPHORUS COMPOUND | 2 |
John Hancock | GB | Hertfordshire | 2012-03-29 / 20120073072 - ORAL CARE IMPLEMENT | 2 |
Frederick Ernest Hancock | GB | Melbourn | 2013-06-13 / 20130150583 - CHIRAL PHOSPHORUS COMPOUND | 1 |
Mark D. Hancock | GB | Derby | 2011-10-20 / 20110253680 - METHOD OF MANUFACTURING A COMPONENT | 2 |
Jesse Ryan Hancock | US | Cedar Park | 2015-04-16 / 20150101595 - Controllable Rate Turbulating Nozzle | 1 |
William Hancock | US | Huntingon Woods | 2010-12-16 / 20100313487 - Applique with integrated end cap and window molding | 1 |
David A. Hancock | US | Seattle | 2013-10-17 / 20130269590 - PNEUMATIC SIGNALING DEVICE FOR SCUBA DIVERS | 1 |
James F. Hancock | US | East Lansing | 2015-05-07 / 20150128313 - Blueberry plant denominated 'Osorno' | 11 |
Ashley Hancock | US | Atlanta | 2013-03-14 / 20130066343 - DEVICE AND METHOD FOR DELIVERING GRAFTS | 2 |
Michael J. Hancock | US | Springfield | 2012-12-06 / 20120310862 - OPERATION AND MANAGEMENT OF PROFESSIONAL SPORTS TEAM | 1 |
Robert E.w. Hancock | CA | Vancouver | 2015-11-05 / 20150315240 - SMALL CATIONIC ANTIMICROBIAL PEPTIDES | 3 |
Mark Hancock | DE | Southampton | 2008-08-28 / 20080206059 - Method Of Manufacturing A Wind Turbine Blade Shell Member With A Fastening Member And A Wind Turbine Blade With A Fastening Member | 1 |
Robin Hancock | US | Elkhorn | 2015-07-23 / 20150201630 - DEBONING MACHINE | 3 |
Matthew Hancock | GB | Coventry | 2016-05-05 / 20160121877 - Stall-Start Method and Apparatus for a Parallel Hybrid Vehicle | 2 |
Martin A. Hancock | CA | Victoria | 2015-02-19 / 20150051749 - CO-LOCATION ELECTRICAL ARCHITECTURE | 7 |
Nancy Hancock | AU | Highett | 2013-05-23 / 20130131103 - Methods and Compositions For Improved Nerve Conduction Velocity | 1 |
Robert E. W. Hancock | CA | Vancouver | 2011-09-29 / 20110236429 - Small Cationic Antimicrobial Peptides | 2 |
Robert Edward Hancock | GB | Salisbury | 2012-08-30 / 20120218999 - Method and Apparatus for Identifier Correlation | 1 |
John P. Hancock | US | Fishers | 2012-03-08 / 20120059276 - Biopsy Apparatus | 1 |
Reed A. Hancock | US | Tucson | 2015-08-13 / 20150229244 - DYNAMIC RECONFIGURATION-SWITCHING OF WINDINGS IN AN ELECTRIC MOTOR USED AS A GENERATOR IN AN ELECTRIC VEHICLE | 35 |
Kenneth Hancock | US | Nashua | 2011-02-10 / 20110035771 - SYSTEM AND METHOD FOR MODIFYING ADVERTISEMENT RESPONSIVE TO EPG INFORMATION | 2 |
Reed A. Hancock | US | Tucson | 2015-08-13 / 20150229244 - DYNAMIC RECONFIGURATION-SWITCHING OF WINDINGS IN AN ELECTRIC MOTOR USED AS A GENERATOR IN AN ELECTRIC VEHICLE | 35 |
Christopher Paul Hancock | GB | Chepstow, Monmouthshire | 2016-05-05 / 20160120588 - ELECTROSURGICAL APPARATUS HAVING RF PULSE PROFILE CONTROLLER | 2 |
William S. Hancock | US | Brookline | 2011-04-28 / 20110097757 - Biomarkers for Diabetes, Obesity, and/or Hypertension | 6 |
Christopher Paul Hancock | GB | Bath, Bath And North East Somerset | 2016-04-28 / 20160113700 - DUAL-FUNCTION PLASMA AND NON-IONISING MICROWAVE COAGULATING ELECTROSURGICAL INSTRUMENT AND ELECTROSURGICAL APPARATUS INCORPORATING THE SAME | 1 |
James Robert Hancock | AU | Wahroonga | 2010-03-04 / 20100057578 - PROCESS OF AND APPARATUS FOR NOTIFICATION OF FINANCIAL DOCUMENTS AND THE LIKE | 1 |
Errol Hancock | CA | Devon | 2010-04-22 / 20100095725 - BRIDGE TURRET TRANSFER ASSEMBLY | 1 |
Andrew Hancock | US | Sacramento | 2016-04-14 / 20160104267 - Clutter Suppression for Synthetic Aperture Ultrasound | 10 |
David Hancock | US | Chippewa Falls | 2009-04-23 / 20090102335 - WORKSTATION | 1 |
Robert Hancock | CA | Vancouver | 2014-09-04 / 20140248313 - COMBINATION ADJUVANT FORMULATION | 2 |
Jeffrey D. Hancock | US | Uintah | 2014-06-05 / 20140153123 - Pipe mountable mirror with bracket | 5 |
Roger Hancock | US | Boise | 2012-08-16 / 20120209780 - RECALLED PRODUCT INVENTORY NOTIFICATION, REMOVAL, AND VERIFICATION SYSTEM | 1 |
Phil Hancock | AU | Greenhill | 2011-01-06 / 20110001450 - MOTOR START CIRCUIT FOR AN INDUCTION MOTOR | 1 |
Perry K. Hancock | US | Murfreesboro | 2015-09-24 / 20150267016 - SEAM TAPE AND METHODS OF MANUFACTURE AND USE THEREOF | 1 |
Mark Hancock | GB | Newport | 2011-05-26 / 20110123346 - WIND TURBINE BLADE | 1 |
Michael John Hancock | NZ | Tauranga | 2008-08-28 / 20080202033 - Fenestration Assembly | 1 |
Andrea P. Hancock | US | Duluth | 2012-10-11 / 20120255120 - ADAPTABLE ENCASEMENTS | 1 |
David Hancock | US | Broomfield | 2015-11-05 / 20150319195 - OBFUSCATION OF LAWFULLY AUTHORIZED ELECTONRIC SURVEILLANCE | 4 |
Mark Stephen Hancock | CA | Calgary | 2011-03-24 / 20110069019 - METHOD FOR MANIPULATING A GRAPHIC WIDGET IN A THREE-DIMENSIONAL ENVIRONMENT DISPLAYED ON A TOUCH PANEL OF AN INTERACTIVE INPUT SYSTEM | 1 |
Kathryn J. Hancock | US | Libertyville | / - | 1 |
Nigel Harold Hancock | AU | Queensland | 2008-09-04 / 20080211518 - Method and Apparatus for Measuring Electrical Conductivity | 1 |
Dennis Hancock | US | Morgan | 2010-01-21 / 20100014284 - Multipurpose Flashlight | 1 |
Robert L. Hancock | US | Lafayette | 2015-08-13 / 20150225962 - INTERLOCKING PANEL SYSTEM | 3 |
Kenneth S. Hancock | US | Nashua | 2015-07-02 / 20150189392 - PREMIUM CHANNEL PROMOTION SYSTEM AND METHOD | 5 |
Jeffrey Hancock | US | Ithaca | 2014-10-09 / 20140304814 - SYSTEM AND METHODS FOR AUTOMATICALLY DETECTING DECEPTIVE CONTENT | 1 |
David C. Hancock | US | Broomfield | 2011-01-06 / 20110002229 - DYNAMIC MANAGEMENT OF END-TO-END NETWORK LOSS DURING A PHONE CALL | 1 |
Christopher Paul Hancock | GB | Bristol | 2016-04-21 / 20160106502 - SURGICAL ANTENNA STRUCTURE | 10 |
Guy Hancock | US | Ammon | 2014-10-23 / 20140311620 - METHOD FOR VENDING WATER | 1 |
Daniel J. Hancock | US | St. Louis | 2011-01-13 / 20110005044 - INSULATED CLAMP | 1 |
Michael L. Hancock | US | Memphis | 2014-05-15 / 20140134274 - SELECTIVE ANDROGEN RECEPTOR MODULATOR AND CHEMOTHERAPEUTIC AGENT FOR TREATING MUSCLE WASTING IN CANCER PATIENTS | 1 |
Chad D. Hancock | US | Hillsboro | 2014-04-17 / 20140108480 - APPARATUS AND METHOD FOR VECTOR COMPUTE AND ACCUMULATE | 3 |
Eric Anthony Hancock | GB | Yorkshire | 2012-10-11 / 20120255802 - Transport Cradle for a Skid-Supported Helicopter | 1 |
Jackie Joe Hancock | IE | Cork | 2015-07-30 / 20150209555 - COATINGS FOR MEDICAL DEVICES | 1 |
Matthew Hancock | US | Cambridge | 2011-12-08 / 20110300570 - METHOD AND SYSTEM FOR GENERATING SPATIALLY AND TEMPORALLY CONTROLLABLE CONCENTRATION GRADIENTS | 1 |
Matthew Hancock | GB | Whitley | 2014-04-24 / 20140114518 - HYBRID ELECTRIC VEHICLE CONTROLLER AND METHOD OF CONTROLLING A HYBRID ELECTRIC VEHICLE | 3 |
Christopher Paul Hancock | GB | Bath | 2014-10-30 / 20140319382 - GAS PLASMA DISINFECTION AND STERILISATION APPARATUS | 4 |
Stephen L. Hancock | US | Newport Beach | 2014-08-07 / 20140223283 - Systems and methods for registering, administering, and using non-locational identifiers as locational addresses through location name and identifier registries | 1 |
Joseph Hancock | US | Penfield | 2013-11-07 / 20130293606 - System and Method for Printing with Ink Limiting | 1 |
Drew Stephen Hancock | US | Sugar Hill | 2013-06-06 / 20130141040 - CURRENT MONITORING AND LIMITING APPARATUS, SYSTEM AND METHOD FOR ELECTRIC VEHICLE SUPPLY EQUIPMENT | 1 |
Peter Hancock | GB | Temperley | 2014-06-12 / 20140157871 - SYSTEM AND METHOD FOR RAPID ANALYSIS OF POLYMER ADDITIVES | 1 |
Philip L. Hancock | US | Wichita | 2015-11-05 / 20150313248 - SYSTEM FOR SMOKING FOOD | 1 |
Viktoria Hancock | SE | Eslaãv | 2015-11-05 / 20150313858 - DIALYSIS COMPOSITION | 1 |
Kenneth E. Hancock | US | Milton | 2014-09-11 / 20140257131 - AUDITORY STIMULUS FOR AUDITORY REHABILITATION | 1 |
Kenneth Hancock | US | Vestavia Hills | 2014-07-17 / 20140200903 - Systems and Methods for Providing Emergency Alerts on a Geospatial Display | 1 |
Bryant L. Hancock | US | Poughkeepsie | 2013-12-12 / 20130327412 - PIPE MONITORING SYSTEM AND METHOD | 1 |
Matt Hancock | GB | Coventry, Warwickshire | 2015-11-05 / 20150314771 - Vehicle and Method of Control Thereof | 1 |
James Walter Hancock | US | West Columbia | 2013-07-04 / 20130167416 - STRETCHABLE WRIST BANDS INCLUDING MEDICAL INFORMATION | 1 |
Mark Hancock | GB | Southampton | 2014-10-09 / 20140301859 - WIND TURBINE BLADES | 14 |
Frederick Ernest Hancock | GB | Hertfordshire | 2012-10-18 / 20120264940 - CHIRAL PHOSPHORUS COMPOUND | 1 |
Stephen S. Hancock | US | Flint | 2016-02-18 / 20160047582 - Isentropic Expansion Device | 12 |
Ammon Hancock | US | Laveen | 2013-01-24 / 20130019606 - GAS TURBINE ENGINE SPEED CONTROL SYSTEM AND METHOD DURING MAXIMUM FUEL FLOW | 1 |
Matt Hancock | GB | Coventry | 2015-11-05 / 20150314775 - HYBRID ELECTRIC VEHICLE CONTROL SYSTEM AND METHOD | 1 |
Stephen H. Hancock | US | Wake Forest | 2015-05-07 / 20150121690 - Tools for Seating Connectors on Substrates | 10 |
William H. Hancock | US | Columbus | 2016-01-07 / 20160002950 - FENCE WITH ELONGATED TUBULAR MEMBERS AND METHOD OF MANUFACTURING | 1 |
Roeland Hancock | US | Tucson | 2013-02-21 / 20130047078 - SYSTEM, PLUG-IN, AND METHOD FOR IMPROVING TEXT COMPOSITION BY MODIFYING CHARACTER PROMINENCE ACCORDING TO ASSIGNED CHARACTER INFORMATION MEASURES | 1 |
Stephen Hoyt Hancock | US | Wake Forest | 2015-05-21 / 20150135966 - APPARATUSES, SYSTEMS, AND METHODS FOR BREWING A BEVERAGE | 1 |
Mark Hancock | GB | Southhampton | 2011-10-27 / 20110262283 - METHOD OF MANUFACTURING A SPAR FOR A WIND TURBINE FROM ELEMENTS HAVING END PORTIONS EXTENDING TRANSVERSELY TO AN INTERMEDIATE PORTION | 4 |
Floyd G. Hancock | US | St. Lous | 2015-05-21 / 20150143577 - Haplotypes Associated with Improved Stacked Trait Performance in Transgenic Plants | 1 |
Laura Hancock | GB | Berkshire | 2011-07-28 / 20110184152 - Biological Products | 1 |
Nathan T. Hancock | US | Boston | 2015-10-01 / 20150273396 - DRAW SOLUTIONS AND DRAW SOLUTE RECOVERY FOR OSMOTICALLY DRIVEN MEMBRANE PROCESSES | 2 |
Susan M. Hancock | GB | Cambridge | 2013-07-18 / 20130183761 - Methods for Incorporating Unnatural Amino Acids in Eukaryotic Cells | 1 |
John Hancock | US | Bellevue | 2013-03-14 / 20130063495 - THUMBNAIL ZOOM | 1 |
Tony Hancock | US | Eatonton | 2015-12-24 / 20150368019 - Carton with dispensing features | 1 |
Glenn Alan Hancock | US | St. Louis | 2016-03-10 / 20160070958 - OBTAINING METRICS FOR A POSITION USING FRAMES CLASSIFIED BY AN ASSOCIATIVE MEMORY | 1 |
Bryce Hancock | US | West Sacramento | 2010-12-02 / 20100299886 - Zipper with removable slider | 2 |
Dale Hancock | US | San Diego | 2016-03-10 / 20160072534 - Signal Distribution System Cascadable AGC Device and Method | 4 |
David Hancock | US | San Francisco | 2014-07-03 / 20140188208 - SELF-EXPANDING PSEUDO-BRAIDED INTRAVASCULAR DEVICE | 4 |
Andrew Hancock | US | Sacramento | 2016-04-14 / 20160104267 - Clutter Suppression for Synthetic Aperture Ultrasound | 10 |
David E. Hancock | US | San Francisco | 2010-11-04 / 20100280324 - Organ Manipulator Having Suction Member Supported with Freedom to Move Relative to Its Support | 1 |
Edward M. Hancock | US | Penfield | 2013-03-28 / 20130080200 - ANALYZING AND PRESENTING SUPPLY, FABRICATION, AND LOGISTICS DATA | 1 |
John Hancock | US | Santa Barbara | 2014-11-06 / 20140330300 - LOW VOLUME INTRAGASTRIC DEVICE | 4 |
Bruce R. Hancock | US | Pasadena | 2015-10-22 / 20150304653 - MAPPING ELECTRICAL CROSSTALK IN PIXELATED SENSOR ARRAYS | 2 |
Bruce Hancock | US | Melbourne | 2012-11-15 / 20120290185 - SCHEDULING SYSTEM AND METHOD FOR A TRANSPORTATION NETWORK | 2 |
Ray Hancock | US | Glendale | 2010-01-21 / 20100011997 - DOCKABLE COMPUTER STATION | 1 |
Phillip Hancock | GB | Lymington, Hants | 2015-12-10 / 20150353174 - FLOATING DOCK | 1 |
Etienne Hancock | US | Morrisville | 2012-03-29 / 20120074709 - Method and Apparatus for Rotor Cooling in an Electromechanical Machine | 2 |
Charles Hancock | US | San Marcos | 2015-05-21 / 20150139977 - XYLANASES, NUCLEIC ACIDS ENCODING THEM AND METHODS FOR MAKING AND USING THEM | 2 |
Tim Hancock | US | Malinta | 2013-07-11 / 20130176811 - DOUGH PREPARING MACHINE WITH DOUGH DIVIDING IN BOWL | 3 |
Bryan W. Hancock | US | Los Altos | 2009-04-30 / 20090113272 - ERROR CORRECTION CODING IN FLASH MEMORY DEVICES | 1 |
S. Lee Hancock | US | Newport Beach | 2015-11-19 / 20150331956 - Systems and Methods for Creating and Using Imbedded Shortcodes and Shortened Physical and Internet Addresses | 8 |
Miriam E.c. Hancock | US | Oakland | 2008-10-09 / 20080248507 - C-erbB-2 external domain: GP75 | 1 |
S. Lee Hancock | US | Newport Beach | 2015-11-19 / 20150331956 - Systems and Methods for Creating and Using Imbedded Shortcodes and Shortened Physical and Internet Addresses | 8 |
Stephen S. Hancock | US | Flint | 2016-02-18 / 20160047582 - Isentropic Expansion Device | 12 |
Donald R. Hancock | US | Frisco | 2009-10-08 / 20090254359 - SYNCHRONIZED INTERACTIVE DEMOGRAPHIC ANALYSIS | 3 |
John C. Hancock | US | Port Isabel | 2011-12-29 / 20110315798 - Method and Apparatus for Sludge Removal From a Tank | 2 |
Jesse R. Hancock | US | Austin | 2009-01-22 / 20090023891 - TREATMENT METHOD FOR IMPARTING SELF-HEALING AND SHAPE MEMORY PROPERTIES TO CERTAIN CBDO COPOLYMERS | 2 |
Benjamin H. Hancock | US | Grovetown | 2012-05-17 / 20120123836 - SYSTEMS AND METHODS FOR DRIVING INTERNET TRAFFIC | 1 |
Nathan Hancock | US | San Clemente | 2014-01-09 / 20140011144 - LIGHTER WIND PROTECTOR | 1 |
Emily Hancock | US | Arlington | 2016-03-31 / 20160088891 - Helmet Cover Assembly | 1 |
John F. Hancock | US | Houston | 2015-12-03 / 20150344407 - FENDILINE DERIVATIVES AND METHODS OF USE THEREOF | 2 |
Graham Hancock | GB | Oxford | 2011-12-15 / 20110302992 - APPARATUS FOR MEASUREMENT OF GAS CONCENTRATIONS IN BREATH | 1 |
Kent Hancock | US | Ann Arbor | 2015-12-03 / 20150346696 - INTENTIONALLY INCREASING A NON-TORQUE OUTPUT OF AN ELECTRIC MACHINE IN AN ELECTRIC VEHICLE | 2 |
Gene Hancock | US | Everett | 2011-07-28 / 20110180263 - Method For Improving Hydraulic Fracturing Efficiency And Natural Gas Production | 1 |
Graham Hancock | GB | Oxon Oxfordshire | 2015-10-15 / 20150289782 - PORTABLE BREATH VOLATILE ORGANIC COMPOUNDS ANALYSER AND CORRESPONDING UNIT | 1 |
Michael Hancock | US | Boston | 2015-10-08 / 20150286656 - GENOMIC APPLICATION DATA STORAGE | 1 |
Christopher Paul Hancock | GB | Chepstow | 2015-08-27 / 20150238257 - ELECTROSURGICAL APPARATUS | 1 |
Leonard H. Hancock | US | Hummelstown | 2008-10-30 / 20080264189 - Vehicle Hydraulic System | 1 |
John Hancock | US | Pittsburgh | 2008-10-30 / 20080270344 - RICH MEDIA CONTENT SEARCH ENGINE | 3 |
Lawrence F. Hancock | US | North Andover | 2015-11-05 / 20150316483 - DEVICE AND METHODS FOR DETECTION OF ANALYTES INCLUDING USE OF A COLORIMETRIC BARCODE | 3 |
Thomas M. Hancock | US | Charlotte | 2014-04-17 / 20140108237 - Automatic Savings Program | 3 |
James Walter Hancock | US | Chapin | 2013-03-28 / 20130074243 - STRETCHABLE WRIST BANDS WITH SECRET MESSAGES | 1 |
John Greg Hancock | US | Pensacola | 2008-09-18 / 20080226908 - Bi-Component Electrically Conductive Drawn Polyester Fiber and Method For Making Same | 1 |
Laura Hancock | GB | Surrey | 2012-12-13 / 20120316324 - Disulfide Stabilised Multivalent Antibodies | 1 |
John Hancock | US | Atlanta | 2016-05-05 / 20160121278 - Reciprocating tube-shaking mechanisms for processing a material | 4 |
Stephen Stewart Hancock | US | Flint | 2016-04-14 / 20160102674 - Fan Blade | 12 |
John H. Hancock | US | Champaign | 2008-08-28 / 20080202398 - Systems and methods for fluid dispensing | 1 |
Mark Steven Hancock | AU | Cecil Hill | 2012-02-02 / 20120023827 - Driving System for An Automatic Sliding Door | 1 |
Christopher Paul Hancock | GB | Bath And North East Somerset | 2015-07-16 / 20150196353 - ELECTROSURGICAL RESECTION INSTRUMENT | 1 |
John Arthur Hancock | US | Rockville | 2010-06-10 / 20100145727 - INTERACTION BETWEEN HEALTHCARE SOFTWARE PRODUCTS | 1 |
Floyd Hancock | US | Stuttgart | 2015-11-26 / 20150334955 - SOYBEAN VARIETY 01046909 | 22 |
Brandon E. Hancock | US | Farmington Hills | 2012-12-13 / 20120316741 - CONTROL SYSTEM AND METHOD FOR TRANSMISSION CLUTCH CONTROL | 1 |
Kerry Ruth Hancock | NZ | Palmerston North | 2016-05-19 / 20160138034 - Novel Genes Involved In Biosynthesis | 3 |
Stephen Stewart Hancock | US | Flint | 2016-04-14 / 20160102674 - Fan Blade | 12 |
Joseph Hancock | US | Rochester | 2010-08-12 / 20100202005 - PITCH TO PITCH ONLINE GRAY BALANCE CALIBRATION WITH DYNAMIC HIGHLIGHT AND SHADOW CONTROLS | 1 |
Joel Hancock | US | Seattle | 2010-09-23 / 20100241441 - AUTOMATED SCAT SYSTEM | 1 |
Wayne W. Hancock | US | Philadelphia | 2014-05-08 / 20140128408 - HDAC INHIBITORS AND THERAPEUTIC METHODS USING THE SAME | 4 |
Robert E. Hancock | CA | Vancouver | 2011-06-23 / 20110150917 - Small Cationic Antimicrobial Peptides | 1 |
Walter Hancock | US | Leesburg | 2015-07-09 / 20150193874 - SYSTEMS AND METHODS FOR ASSET VALUATION | 3 |
Samuel Hancock | US | Sparks | 2014-11-06 / 20140330582 - Patient Medical Data Access System | 1 |
Catherine Marguerite Hancock-Cooke | US | Neenah | 2015-08-06 / 20150216743 - Absorbent Article Having a Fastening System with Improved Flexibility | 7 |
Catherine Hancock-Cooke | US | Neenah | 2015-05-07 / 20150126950 - ABSORBENT ARTICLE HAVING A FASTENING SYSTEM AND WAIST ELASTIC WITH LOW LOAD LOSS PROPERTIES | 4 |
Robert Randall Hancock, Jr. | US | Corning | 2014-11-20 / 20140342148 - GLASS STRUCTURES AND METHODS OF CREATING AND PROCESSING GLASS STRUCTURES | 5 |
Thomas Douglas Hancock, Jr. | US | Isle Of Palms | 2014-02-27 / 20140056013 - LOW PROFILE BOAT NAVIGATION LIGHTS | 2 |
Jimmy L. Hancock, Jr. | US | Savannah | 2011-12-29 / 20110315819 - Supersonic Aircraft with Spike for Controlling and Reducing Sonic Boom | 2 |
Robert R. Hancock, Jr. | US | Corning | / - | 2 |
Leonard Hancock, Jr. | US | Morgantown | 2010-04-01 / 20100078965 - Utility Vehicle With Integrated Pull-Out Framework | 1 |
Leonard H. Hancock, Sr. | US | Hummelstown | 2014-04-17 / 20140102815 - Vehicle Hydraulic System | 4 |
Michael Richard Hancox | GB | Herts | 2014-04-17 / 20140106922 - OIL SCAVENGE ARRANGEMENT | 1 |
Timothy Colin Hancox | GB | Berkshire | 2011-09-22 / 20110230464 - PURINE DERIVATIVES USEFUL AS P13 KINASE INHIBITORS | 6 |
James Hancox | GB | Edinburgh | 2016-01-07 / 20160005218 - IMAGE RENDERING APPARATUS AND METHOD | 1 |
Timothy C. Hancox | GB | Berkshire | 2009-08-20 / 20090209559 - PHARMACEUTICAL COMPOUNDS | 1 |
Timothy C. Hancox | GB | Slough | 2014-10-02 / 20140294946 - PHARMACEUTICAL COMPOUNDS | 7 |
Ursula Joy Hancox | GB | Macclesfield | 2016-01-28 / 20160022690 - COMBINATION TREATMENT | 1 |
Anita Hancox | US | Gibsonia | 2010-02-25 / 20100044903 - AUTOMATED INFILTRANT TRANSFER APPARATUS AND METHOD | 1 |
Timothy Colin Hancox | GB | Slough | 2012-11-08 / 20120283257 - PHARMACEUTICAL COMPOUNDS | 2 |
Christopher N. Hancox | GB | Huntingdon | 2015-10-15 / 20150295336 - SPRING POWER CONTACT HAVING NON-LINEAR SLOT | 1 |
Gary C. Hancsin | US | Three Bridges | 2009-09-17 / 20090230580 - Systems and Techniques for Reducing Group Delay Ripple in a Dispersion Compensating Grating | 1 |
Dan Hancu | US | Clifton Park | 2015-06-04 / 20150151291 - CATALYST AND METHOD OF MANUFACTURE | 44 |
Ileana Hancu | US | Clifton Park | 2015-11-26 / 20150335316 - MRI SYSTEM FOR ROBOTICALLY ASSISTED BREAST BIOPSY | 10 |
Ileana Hancu | US | Clifton Park | 2015-11-26 / 20150335316 - MRI SYSTEM FOR ROBOTICALLY ASSISTED BREAST BIOPSY | 10 |
Anthony D. Hand | US | San Jose | 2014-09-18 / 20140278860 - CONTENT DELIVERY SYSTEM WITH CONTENT SHARING MECHANISM AND METHOD OF OPERATION THEREOF | 4 |
Michael Leslie Hand | US | Huntington Beach | 2016-03-03 / 20160059970 - VESSEL INSULATION ASSEMBLY | 14 |
Graham Hand | US | Marietta | 2010-02-25 / 20100044420 - CARTON WITH INSERT | 1 |
Robert Hand | US | Old Bridge | 2008-12-11 / 20080301865 - Toilet ventilation system and associated method | 1 |
Lynn Hand | US | Chandler | 2011-09-22 / 20110231217 - INTEGRATION OF REAL-TIME FIELD DATA IN CHEMICAL DELIVERY VEHICLE OPERATIONS | 1 |
Randall Hand | US | Clinton | 2012-05-03 / 20120109150 - HAPTIC GUIDANCE SYSTEM AND METHOD | 5 |
Paul D. Hand | US | Stanfordville | 2010-11-18 / 20100289645 - SYSTEM AND METHOD FOR SAFEGUARDING WAFERS AND PHOTOMASKS | 2 |
Barry Hand | US | Mount Pleasant | 2012-08-30 / 20120216345 - PATIENT TRANSFER DEVICE | 3 |
Barry Joseph Hand | US | Acton | 2013-04-11 / 20130089737 - METHOD FOR PRODUCING HYDROGELS | 1 |
Steven Joseph Hand | US | Los Gatos | 2016-03-24 / 20160087849 - PLANNING AND RECONFIGURING A MULTILAYER NETWORK | 3 |
John Michael Hand | US | Sammamish | 2015-07-16 / 20150199494 - CLOUD-BASED INITIATION OF CUSTOMIZED EXERCISE ROUTINE | 3 |
Steven Hand | US | Fort Wayne | 2015-04-23 / 20150109035 - Phase-Locked Loop Filter with Coarse and Fine Tuning | 1 |
Steven J. Hand | US | Los Gatos | 2016-04-21 / 20160112276 - RECONSTRUCTION OF AN OPTICAL NETWORK LINK IN A LINK VIEWER BASED ON A TEXT FILE | 1 |
Leonard S. Hand | US | Red Creek | 2015-12-31 / 20150379025 - DYNAMIC ASSET ASSEMBLY USING A RULE BASED METHODOLOGY | 14 |
Sheryl E. Hand | US | Lee'S Summit | 2010-06-17 / 20100148028 - Adjustable, retractable ceiling and wall hanging system | 1 |
Duncan Paul Hand | GB | Edinburgh | 2014-10-16 / 20140305791 - ADDITIVE METALLISATION PROCESS | 2 |
James A. Hand | US | Lee'S Summit | 2010-06-17 / 20100148028 - Adjustable, retractable ceiling and wall hanging system | 1 |
Bill Hand | US | Pleasanton | 2009-04-23 / 20090102272 - Work place and storage apparatus | 1 |
Steven Joseph Hand | US | San Jose | 2015-07-02 / 20150188624 - MULTI LAYER NETWORK RESILIENCY WITH SOFTWARE DEFINED ORCHESTRATION | 5 |
Richard Hand | GB | St. Helens | 2015-08-13 / 20150224671 - WOOD BOARD AND PROCESS FOR ITS PRODUCTION | 1 |
Duncan Hand | GB | Edinburgh | 2011-03-17 / 20110061884 - LASER ASSISTED SYSTEM AND METHOD FOR BONDING OF SURFACES; MICROCAVITY FOR PACKAGING MEMS DEVICES | 1 |
Leonard S. Hand | US | Red Creek | 2015-12-31 / 20150379025 - DYNAMIC ASSET ASSEMBLY USING A RULE BASED METHODOLOGY | 14 |
Michael Leslie Hand | US | Chicago | 2014-12-11 / 20140363595 - METHOD FOR JOINING SANDWICH TRUSS CORE PANELS AND COMPOSITE STRUCTURES PRODUCED THEREFROM | 1 |
Anthony D. Hand | US | Campbell | 2013-12-19 / 20130339441 - NETWORK SYSTEM WITH SHARING MECHANISM AND METHOD OF OPERATION THEREOF | 4 |
Peter Charles Hand | GB | Welshpool | 2015-06-25 / 20150181745 - Mounting Latch | 1 |
Scott P. Hand | US | Lawson | 2013-08-29 / 20130219768 - ADAPTIVE PORTABLE HUNTING BLIND SYSTEM AND METHOD | 1 |
Thomas H. Hand | US | Linthicum Heights | 2015-04-16 / 20150102973 - REFLECTARRAY ANTENNA SYSTEM | 1 |
John Hand | US | West Alexandria | 2011-06-23 / 20110146944 - Heat Exchanger Mounting Assembly | 2 |
David A. Hand | US | North Huntingdon | 2015-09-17 / 20150258694 - Laser Centering of Robotic Arm | 1 |
Barry J. Hand | US | Acton | 2011-01-27 / 20110021729 - Methods of Purifying Poly(Styrene-co-Maleic Anhydride/Acid) | 2 |
Douglas P. Hand | US | Lake Oswego | 2013-10-31 / 20130283514 - FLUSH VALVE STRUCTURE FOR A TOILET TANK | 5 |
Edward Hand | GB | Cheshire | 2010-01-07 / 20100003001 - CABLE GLANDS | 1 |
Richard Scott Hand | US | Pace | 2015-10-29 / 20150308186 - SPRING COUNTERBALANCE APPARATUS AND METHOD | 21 |
Larry E. Hand | US | Meridian | 2011-12-15 / 20110305354 - SYSTEMS AND METHODS FOR CONTROLLING HDA SYSTEM CAPABILITIES | 9 |
Chris Hand | US | Newport Beach | 2011-10-13 / 20110251773 - INTERNAL COMBUSTION ENGINE CONTROL FOR IMPROVED FUEL EFFICIENCY | 1 |
William David Hand | US | Houston | 2014-10-16 / 20140309065 - SYSTEMS AND APPARATUSES FOR A BALLISTIC ARROW | 4 |
Anthony D. Hand | US | Chicago | 2011-12-29 / 20110320980 - Electronic Device for Providing a Visual Representation of a Widget Associated with a Contacts Database | 1 |
Peter L. Hand | US | Berkeley | 2009-04-09 / 20090094069 - INVESTMENT FUND FOR MAXIMIZING A RISK ADJUSTED EXPECTED RETURN WHILE PROVIDING A DEFINED MINIMUM INCOME AT MATURITY | 1 |
Richard Hand | US | Katy | 2011-02-17 / 20110040584 - INTERACTIVE DEMAND MANAGEMENT | 2 |
Joseph Hand | US | Sheboygan | 2009-04-30 / 20090106884 - TOILET SEAT HINGE AND METHOD OF MANUFACTURE | 1 |
James H. Hand | US | Midland | 2012-02-02 / 20120029231 - METHODS FOR REMOVING VICINAL DIOLS FROM LACTIC ACID FERMENTATION BROTH | 2 |
Enda Hand | NZ | Timaru | 2014-07-17 / 20140196952 - DOWNHOLE SINUSOIDAL VIBRATIONAL APPARATUS | 1 |
Sandra K. Hand | US | Palmyra | 2013-12-12 / 20130327780 - FLEXIBLE FILM CONTAINER AND METHOD FOR MAKING SAME | 1 |
Geoffrey Hand | GB | Halesowen | 2011-10-27 / 20110262647 - TREATMENT OF TEXTILE MATERIALS | 1 |
Darren Hand | US | Los Angeles | 2013-12-12 / 20130328912 - SYSTEM AND METHOD FOR MANAGING, PUBLISHING AND MANIPULATING DATA OBJECTS | 1 |
Leondard S. Hand | US | Red Creek | 2012-06-14 / 20120151025 - FRAMEWORK PROVIDING UNIFIED INFRASTRUCTURE MANAGEMENT FOR POLYMORPHIC INFORMATION TECHNOLOGY (IT) FUNCTIONS ACROSS DISPARATE GROUPS IN A CLOUD COMPUTING ENVIRONMENT | 1 |
Sean Hand | US | Santa Barbara | 2015-08-27 / 20150241469 - PRECISE PROBE PLACEMENT IN AUTOMATED SCANNING PROBE MICROSCOPY SYSTEMS | 1 |
William Hand | US | Pleasanton | 2010-08-05 / 20100194063 - WORK PLACE AND STORAGE APPARATUS | 1 |
Christopher C. Hand | US | San Jose | 2014-09-18 / 20140278007 - USING CYLINDER FIRING HISTORY FOR COMBUSTION CONTROL IN A SKIP FIRE ENGINE | 2 |
Dylan Hand | US | Bronx | 2015-11-12 / 20150326210 - TIMING VIOLATION RESILIENT ASYNCHRONOUS TEMPLATE | 1 |
Chris Hand | US | San Jose | 2011-02-10 / 20110030657 - SKIP FIRE ENGINE CONTROL | 1 |
Christopher R. Hand | US | Run Dundee | 2013-02-28 / 20130055375 - Method and Protection System for Mitigating Slow HTTP Attacks Using Rate and Time Monitoring | 1 |
Sheryl Elaine Hand | US | Lee'S Summit | 2012-03-29 / 20120074276 - Adjustable, retractable ceiling and wall hanging system | 1 |
Kent Hand | US | Cumming | 2016-02-11 / 20160038966 - ADHESIVE DISPENSING SYSTEM AND METHOD USING SMART MELT HEATER CONTROL | 2 |
Christopher William Hand | GB | Abingdon | 2009-01-15 / 20090017555 - DELTA-9-TETRAHYDROCANNABINOL DETECTION METHOD | 2 |
Dennis L. Hand | US | Litchfield Park | 2009-07-09 / 20090173036 - BRACKET FOR BUILDING COMPONENTS | 1 |
Joseph Hand | US | Sheboygan Falls | 2015-11-19 / 20150327738 - TOILET SEAT HINGE ASSEMBLY | 11 |
Paul D. Hand | US | Wurtsboro | 2013-02-14 / 20130038451 - SYSTEM AND METHOD FOR SAFEGUARDING WAFERS AND PHOTOMASKS | 1 |
Cecil I. Hand | US | Clarkston | 2012-05-03 / 20120107040 - Remote Hopper Release | 1 |
Alexander Edward Hand | AU | Glenore Grove | 2016-02-18 / 20160047110 - LOCK ASSEMBLY | 1 |
Alan Jeffrey Hand | US | Tarzana | 2016-05-05 / 20160127917 - SYSTEM AND METHOD FOR DETERMINING THE LOCATION OF A STATION IN A WIRELESS ENVIRONMENT | 2 |
Michael L. Hand | US | Huntington Beach | 2015-12-17 / 20150362415 - Test Method and Fixture for Obtaining Material Properties Under Biaxial Loading | 15 |
Thomas E. Hand | US | Lee'S Summit | 2009-09-17 / 20090229913 - Dual Mode Exhaust Muffler | 1 |
Richard S. Hand | US | Pace | 2009-09-17 / 20090229767 - Storm curtain side retention system | 3 |
Joseph Hand | US | Sheboygan Falls | 2015-11-19 / 20150327738 - TOILET SEAT HINGE ASSEMBLY | 11 |
Mark Anthony Hand | US | Covington | 2014-05-08 / 20140126217 - OPTIC SHIELDING | 12 |
Anthony Hand | US | Chicago | 2011-12-29 / 20110320939 - Electronic Device for Providing a Visual Representation of a Resizable Widget Associated with a Contacts Database | 1 |
Mark Anthony Hand | US | Covington | 2014-05-08 / 20140126217 - OPTIC SHIELDING | 12 |
Michael Leslie Hand | US | Huntington Beach | 2016-03-03 / 20160059970 - VESSEL INSULATION ASSEMBLY | 14 |
Alan Hand | US | Tarzana | 2012-11-01 / 20120276918 - METHOD FOR DETERMINING A GEOSPATIAL LOCATION OF A CLIENT IN SIGNAL COMMUNICATION WITH A WIRELESS ARRAY | 2 |
Leonard S. Hand | US | Rochester | 2014-08-28 / 20140244574 - ENABLING DYNAMIC POLYMORPHIC ASSET CREATION AND REUSE | 5 |
Randall E. Hand | US | Clinton | 2015-12-10 / 20150356784 - FINDING NEW POINTS BY RENDER RATHER THAN SEARCH IN AUGMENTED OR VIRTUAL REALITY SYSTEMS | 7 |
Lawrence Hand | US | San Francisco | 2016-03-31 / 20160092038 - SEMI-MODAL INTERACTION BLOCKER | 1 |
Richard Scott Hand | US | Pace | 2015-10-29 / 20150308186 - SPRING COUNTERBALANCE APPARATUS AND METHOD | 21 |
Timothy Hand | US | Metamola | 2014-11-06 / 20140325971 - Hydromechanical Power Management of Fixed Displacement Boost Pumps | 1 |
Timothy Hand | US | Metamora | 2012-06-21 / 20120152107 - FLOW REGENERATION HYDRAULIC CIRCUIT | 1 |
James Arthur Hand | US | Lee'S Summit | 2012-03-29 / 20120074276 - Adjustable, retractable ceiling and wall hanging system | 1 |
Timothy L. Hand | US | Metamora | 2015-03-19 / 20150081179 - HYDRAULIC SYSTEM HAVING DUAL TILT BLADE CONTROL | 5 |
Larry Hand | US | De Soto | 2012-11-08 / 20120282372 - Process and Apparatus for Rapid Preparation of Dry Sausage | 1 |
Timothy Lynn Hand | US | Metamora | 2008-10-02 / 20080238187 - Hydrostatic drive system with variable charge pump | 1 |
Art Hand | US | Huntington | 2012-11-22 / 20120291833 - Tower Mounting Apparatus | 1 |
David R. Hand | US | Snellville | 2008-10-09 / 20080248709 - STAB RESISTANT AND ANTI-BALLISTIC MATERIAL AND METHOD OF MAKING THE SAME | 1 |
Jim Hand | US | Walla Walla | 2010-11-04 / 20100278595 - SOIL FORMULATION FOR RESISTING EROSION | 1 |
Geoffrey Hand | GB | West Midlands | 2012-05-17 / 20120122361 - Treatment of Textile Materials | 1 |
Katie R. Hand | US | Spring | 2008-10-23 / 20080261853 - Surface Active Polymers as Detergents | 1 |
James C. Hand | US | Glen Ellyn | 2013-08-22 / 20130216691 - Cooking Apparatus With Detachable Support And Method For Using The Same | 2 |
Kurt D. Hand | US | Crown Point | 2010-11-11 / 20100282739 - METHODS FOR INDUCTIVE HEATING OF WORKPIECE USING COILED ASSEMBLIES | 2 |
Duncan P. Hand | GB | Edinburgh | 2011-11-10 / 20110273702 - OPTICAL MEASURING METHOD AND SYSTEM | 1 |
Graham Hand | US | Roswell | 2010-07-15 / 20100175351 - CARTON WITH DISPENSER | 1 |
Carla Hand | US | Chapel Hill | 2008-12-11 / 20080305990 - Method of Producing Fully Carbamylated Erythropoietin | 1 |
Mitsuru Handa | JP | Aichi | 2010-08-12 / 20100199736 - SHOT PEENING APPARATUS AND SHOT PEENING METHOD | 1 |
Fukuto Handa | JP | Fukushima-Shi | 2012-11-15 / 20120289839 - VESSEL PULSE WAVE MEASUREMENT SYSTEM CONDUCTING VESSEL PULSE WAVE MEASUREMENT BY OBTAINING PULSATION WAVEFORM OF BLOOD VESSEL | 1 |
Amit Handa | IN | Delhi | 2013-01-10 / 20130014068 - COMPUTER-AIDED DESIGN SYSTEM AND METHODS THEREOF FOR MERGING DESIGN CONSTRAINT FILES ACROSS OPERATIONAL MODES | 1 |
Hitesh Handa | US | Ann Arbor | 2015-12-24 / 20150366831 - THROMBORESISTANT/BACTERICIDAL S-NITROSO-N-ACETYLPENICILLAMINE (SNAP)-DOPED NITRIC OXIDE RELEASE POLYMERS WITH ENHANCED STABILITY | 2 |
Go Handa | JP | Chiryu-City | 2015-03-26 / 20150084555 - MOTOR CONTROL APPARATUS AND MOTOR CONTROL METHOD | 2 |
Hiroshi Handa | JP | Kanagawa | 2015-08-20 / 20150233935 - POLYMER PARTICLE CONTAINING FLUORESCENT MOLECULE AND METHOD FOR PRODUCING THE SAME | 5 |
Hiroshi Handa | JP | Nagoya-Shi | 2014-12-04 / 20140356034 - DEVELOPING DEVICE | 7 |
Hiroshi Handa | JP | Yokohama-Shi | 2016-02-04 / 20160032130 - METHOD OF MANUFACTURING POLYMER COATED FERROMAGNETIC PARTICLES | 9 |
Hiroshi Handa | JP | Tokyo | 2015-08-20 / 20150232826 - PHARMACEUTICAL COMPOSITION CONTAINING CORE FACTOR INVOLVED IN PROLIFERATION AND DIFFERENTIATION OF CENTRAL NERVOUS CELL | 8 |
Hiroshi Handa | JP | Inazawa-Shi | 2015-10-01 / 20150278657 - IMAGE FORMING APPARATUS | 9 |
Kazuko Handa | US | Bellevue | 2011-06-16 / 20110142844 - Anti-Extended Type I Glycosphingolipid Antibody, Derivatives Thereof And Use | 1 |
Kiyoshi Handa | JP | Wako | 2015-12-10 / 20150352974 - FUEL-CELL VEHICLE | 2 |
Toshiyuki Handa | US | East Liberty | 2012-10-04 / 20120253630 - CONTROL DEVICE FOR CONTROLLING DRIVE FORCE THAT OPERATES ON VEHICLE | 6 |
Takeshi Handa | JP | Shizuoka-Shi | 2014-06-19 / 20140166162 - ALUMINUM ALLOY SHEET AND METHOD FOR MANUFACTURING SAME | 1 |
Osamu Handa | JP | Ebina-Shi | 2011-06-16 / 20110142485 - CLEANING DEVICE, CHARGING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Akio Handa | JP | Wako-Shi | 2015-07-30 / 20150210234 - SUSPENSION STRUCTURE FOR IRREGULAR GROUND TRAVELING VEHICLE | 1 |
Shinya Handa | US | Mayfield Village | 2014-05-08 / 20140128723 - Reconfigurable MRI-Guided Surgical Apparatus | 1 |
Omesh Kumar Handa | US | Carlsbad | 2016-02-11 / 20160044609 - REPORTING USER EQUIPMENT TRANSMISSION POWER HEADROOM (UPH) OF A SECONDARY UPLINK CARRIER | 4 |
Yasunobu Handa | JP | Sendai -Shi | 2012-10-25 / 20120267175 - THREE-WHEEL PEDAL-STYLE WHEELCHAIR | 1 |
Norihiko Handa | JP | Narashino-Shi | 2012-10-25 / 20120267339 - CERAMICS COMPOSITE MEMBER AND METHOD OF PRODUCING THE SAME | 1 |
Rohini Handa | IN | New Delhi | 2012-01-26 / 20120021077 - SYNERGISTIC HERBAL COMPOSITION FOR TREATMENT OF RHEUMATIC AND MUSCULO-SKELETAL DISORDERS (RMSDS) | 1 |
Takuya Handa | JP | Tochigi | 2016-04-21 / 20160111053 - SEMICONDUCTOR DEVICE | 13 |
Yuuichi Handa | JP | Anjo-Shi | 2016-03-31 / 20160094134 - POWER CONVERSION APPARATUS | 9 |
Hideyuki Handa | US | 2015-09-03 / 20150247443 - COOLER APPARATUS AND CONTROL METHOD THEREFOR | 1 | |
Vikas Handa | IN | Bangalore | 2014-02-27 / 20140058534 - PLANT CONTROL OPTIMIZATION SYSTEM | 1 |
Hiroshi Handa | JP | Aisai-Shi | 2016-04-21 / 20160109849 - Developing Cartridge And Process Cartridge For Stably Rotating Developing Roller | 9 |
Kenji Handa | JP | Hiratsuka-Shi | 2015-07-09 / 20150190580 - LIQUID ADMINISTRATION DEVICE | 1 |
Kazuya Handa | JP | Hamamatsu-Shi | 2013-06-13 / 20130149066 - GROMMET | 1 |
Masato Handa | JP | Kanagawa | 2015-07-09 / 20150193026 - COORDINATE DETECTION SYSTEM, COORDINATE DETECTION METHOD, AND INFORMATION PROCESSING DEVICE | 1 |
Kiyoshi Handa | JP | Wako-Shi | 2015-07-02 / 20150184804 - CONTROL METHOD FOR FUEL FILLING SYSTEM | 1 |
Takanobu Handa | JP | Minato-Ku | 2015-07-02 / 20150182175 - IMAGING CONTROLLER, IMAGING SYSTEM, IMAGING CONTROL METHOD, AND PROGRAM | 1 |
Vishal Handa | IN | Gurgaon | 2015-07-02 / 20150183789 - IMPROVED PROCESS FOR THE PREPARATION OF PRALATREXATE | 1 |
Takeshi Handa | JP | Sendai-Shi | 2016-04-21 / 20160106388 - BREAST CANCER DETECTION SYSTEM, BREAST CANCER DETECTION METHOD, BREAST CANCER DETECTION PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM HAVING BREAST CANCER DETECTION PROGRAM RECORDED THEREON | 1 |
Ajay Kumar Handa | IN | Gujarat | 2014-11-20 / 20140343076 - PHARMACEUTICAL COMPOSITIONS OF LURASIDONE | 3 |
Takanobu Handa | JP | Tokyo | 2015-03-05 / 20150065870 - X-RAY THERAPY SYSTEM AND IRRADIATION FIELD DETERMINING METHOD | 6 |
Sandeep Handa | IN | New Delhi | 2013-06-27 / 20130167138 - METHOD AND APPARATUS FOR SIMULATING INSTALLATIONS | 1 |
Masayoshi Handa | JP | Hyogo | 2012-04-12 / 20120089108 - WATER ABSORBENT SHEET | 9 |
Koji Handa | JP | Kurume-Shi, Fukuoka | 2016-05-05 / 20160120182 - PADDY RICE SEED TREATED BY HERBICIDAL COMPOSITION AND METHOD FOR CONTROLLING WEED | 1 |
James Handa | US | Baltimore | 2016-02-04 / 20160030240 - MICROMANIPULATION SYSTEMS AND METHODS | 2 |
Nitin Handa | US | Foster City | 2016-03-24 / 20160088023 - SERVICES WITHIN REVERSE PROXY SERVERS | 2 |
Soichiro Handa | JP | Tokyo | 2015-09-03 / 20150248943 - X-RAY IMAGING SYSTEM | 5 |
Takashi Handa | JP | Kawaguchi-Shi | 2015-06-11 / 20150157468 - NAVIGATION DEVICE FOR JOINT REPLACEMENT AND SURGICAL SUPPORT DEVICE | 1 |
Hirohisa Handa | JP | Kawasaki-Shi | 2012-03-08 / 20120056999 - IMAGE MEASURING DEVICE AND IMAGE MEASURING METHOD | 1 |
Akira Handa | JP | Saitama | 2015-09-17 / 20150258649 - MACHINE TOOL | 1 |
Ibuki Handa | JP | Iwata-Shi | 2009-07-02 / 20090172505 - MAGNETIC DATA PROCESSING DEVICE, MAGNETIC DATA PROCESSING METHOD, AND MAGNETIC DATA PROCESSING PROGRAM | 5 |
Kiyoshi Handa | JP | Hiroshima-Shi | 2015-01-29 / 20150029812 - SCREW PAIR AND CO-ROTATING INTERMESHING TWIN-SCREW EXTRUDER PROVIDED WITH SCREW PAIR | 1 |
Tokuhiko Handa | JP | Tokyo | 2014-09-18 / 20140272602 - SOLID-STATE LITHIUM ION CONDUCTOR AND ELECTROCHEMICAL DEVICE | 10 |
Haruhisa Handa | JP | Takaishi-Shi | 2011-10-27 / 20110261643 - AGITATION APPARATUS AND AGITATION METHOD | 1 |
Masahiro Handa | JP | Tokyo | 2014-05-15 / 20140136661 - TRANSMISSION APPARATUS, RECEIVING APPARATUS, TRANSMISSION METHOD, RECEIVING METHOD, AND STORAGE MEDIUM | 10 |
Naohiro Handa | JP | Tokyo | 2014-04-03 / 20140091479 - SEMICONDUCTOR DEVICE WITH STACKED SEMICONDUCTOR CHIPS | 2 |
Nobuo Handa | JP | Tokyo | 2012-11-22 / 20120292508 - X-Ray Detection System | 1 |
Ibuki Handa | JP | Hamamatsu-Shi | 2014-07-31 / 20140214364 - METHOD OF ESTIMATING OFFSET OF MAGNETIC SENSOR | 12 |
Yuji Handa | JP | Tokyo | 2011-03-17 / 20110066680 - INFORMATION PROCESSING APPARATUS AND EXECUTION CONTROL METHOD | 1 |
Shinichi Handa | JP | Tokyo | 2012-03-15 / 20120061697 - ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC LIGHT-EMITTING TRANSISTOR, AND LIGHT-EMITTING DISPLAY DEVICE | 2 |
Masumi Handa | JP | Tokyo | 2012-01-26 / 20120017630 - ELECTROSTATIC ATOMIZING APPARATUS, APPLIANCE, AIR CONDITIONER, AND REFRIGERATOR | 3 |
Seiichi Handa | JP | Tokyo | 2011-06-23 / 20110150531 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD OF EFFECTIVELY DETECTING A SPEED DEVIATION PATTERN OF THE IMAGE FORMING APPARATUS | 3 |
James Tahara Handa | US | Baltimore | 2014-03-06 / 20140066944 - TOOL EXCHANGE INTERFACE AND CONTROL ALGORITHM FOR COOPERATIVE SURGICAL ROBOTS | 3 |
Ibuki Handa | JP | Hamamatsu-Shi | 2014-07-31 / 20140214364 - METHOD OF ESTIMATING OFFSET OF MAGNETIC SENSOR | 12 |
Shinichi Handa | JP | Osaka-Shi | 2015-01-22 / 20150021671 - FIELD-EFFECT TRANSISTOR AND METHOD OF MANUFACTURING THEREOF | 1 |
Hiroto Handa | JP | Tokyo | 2008-11-13 / 20080282274 - Disk Selecting Device And Disk Device | 1 |
Hiroshi Handa | JP | Meguro-Ku | 2012-08-02 / 20120196130 - SPHERICAL FERRITE NANOPARTICLES AND METHOD FOR PRODUCING THE SAME | 1 |
Ajay Kumar Handa | IN | Ahmedabad | 2014-07-17 / 20140199401 - EXTENDED RELEASE PHARMACEUTICAL COMPOSITIONS OF FESOTERODINE | 1 |
Ajaykumar Handa | IN | Dist. Ahmedabad | 2013-09-19 / 20130243861 - PRESS-COATED TABLETS OF PREDNISONE | 1 |
Masato Handa | JP | Tokyo | 2013-06-20 / 20130152624 - POWER CONVERTER AND REFRIGERATING AND AIR-CONDITIONING APPARATUS | 3 |
Shivam Handa | IN | Delhi | 2015-05-28 / 20150149468 - Hierarchy Similarity Measure | 1 |
Masahiro Handa | JP | Tokyo | 2014-05-15 / 20140136661 - TRANSMISSION APPARATUS, RECEIVING APPARATUS, TRANSMISSION METHOD, RECEIVING METHOD, AND STORAGE MEDIUM | 10 |
Yoichiro Handa | JP | Tokyo | 2016-04-14 / 20160105624 - IMAGING DEVICE, IMAGING SYSTEM, AND METHOD FOR DRIVING IMAGING DEVICE | 9 |
Masaki Handa | JP | Tokyo | 2014-07-03 / 20140185938 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 2 |
Fukio Handa | JP | Tokyo | 2011-09-29 / 20110238729 - DATA STORING SYSTEM USING NETWORK | 4 |
Keiji Handa | JP | Tokyo | 2010-05-06 / 20100113872 - MEDICAL APPARATUS AND PROCEDURE OF INSTALLING MEDICAL APPARATUS IN PATIENT | 7 |
Makoto Handa | JP | Tokyo | 2009-09-03 / 20090220422 - Drug Delivery Material | 1 |
Takayoshi Handa | US | 2015-10-01 / 20150276240 - AIR-CONDITIONING APPARATUS | 1 | |
Takashi Handa | JP | Tokyo | 2009-05-21 / 20090128949 - HEAD APPARATUS, DRIVE APPARATUS, AND TRACKING METHOD | 3 |
Harumi Handa | JP | Tokyo | 2009-03-12 / 20090065733 - EXOTHERMIC AGENT | 1 |
Tomoaki Handa | JP | Susono-Shi | 2012-07-26 / 20120188545 - SPECTRUM MEASURING APPARATUS FOR MOVER | 1 |
Isao Handa | JP | Hiroshima | 2015-12-24 / 20150369396 - PIPE SUPPORTING DEVICE FOR CONSTRUCTION MACHINE | 1 |
Y. Paul Handa | US | Pittsford | 2014-07-03 / 20140187658 - Reduced-VOC and Non-VOC Blowing Agents for Making Expanded and Extruded Thermoplastic Foams | 4 |
Masayuki Handa | JP | Tokyo | 2014-11-27 / 20140345235 - METHOD AND DEVICE FOR ASSEMBLING HEIGHT-ADJUSTABLE BOX | 1 |
Masahisa Handa | US | Berkeley | 2014-05-29 / 20140148584 - EPHB3-SPECIFIC ANTIBODY AND USES THEREOF | 2 |
James T. Handa | US | Baltimore | 2013-02-07 / 20130033887 - HIGH-EFFICIENCY ILLUMINATION SYSTEM | 4 |
Keith T. Handa | US | San Clemente | 2014-05-15 / 20140133998 - CONTROLLING OF MULTIPLE PUMPS | 2 |
Robert J. Handa | US | Phoenix | 2010-03-25 / 20100076071 - USE OF EQUOL FOR TREATING SKIN DISEASES | 1 |
Robert J. Handa | US | Fort Collins | 2015-12-03 / 20150342924 - USE OF EQUOL FOR TREATING ANDROGEN MEDIATED DISEASES | 3 |
Neerav Handa | US | Sunnyvale | 2012-05-31 / 20120136778 - REPLICATING DATA IN FINANCIAL SYSTEMS | 3 |
Tsuneo Handa | JP | Shimosuwa-Machi | 2014-03-13 / 20140071469 - MULTIFUNCTION DEVICE | 2 |
Fukio Handa | JP | Tokyo-To | 2015-03-05 / 20150062623 - PRINT MANAGEMENT DEVICE AND PRINT MANAGEMENT PROGRAM | 1 |
Kazunori Handa | JP | Tokyo | 2011-10-06 / 20110241859 - DISPLAY APPARATUS | 1 |
Hiroshi Handa | JP | Setagaya-Ku | 2012-10-25 / 20120269737 - POLYMER COATED FERRITE FINE PARTICLES AND METHOD FOR PREPARING POLYMER COATED FERRITE FINE PARTICLES | 1 |
Keishin Handa | JP | Tokyo | 2012-05-24 / 20120125431 - ORGANIC ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shigeo Handa | JP | Moka | 2015-10-01 / 20150281121 - TRANSMITTER, TRANSMISSION SYSTEM, AND RECORDING MEDIUM | 1 |
Yuuichi Handa | JP | Anjo-Shi | 2016-03-31 / 20160094134 - POWER CONVERSION APPARATUS | 9 |
Tomoaki Handa | JP | Tokyo | 2014-02-27 / 20140055431 - IMAGE DISPLAY APPARATUS | 13 |
Nobuhisa Handa | JP | Nagano | 2015-10-15 / 20150293043 - MEASUREMENT APPARATUS AND METHOD OF MEASUREMENT | 1 |
Hiroyuki Handa | JP | Fujisawa | 2015-12-10 / 20150355314 - System and Method for Through-the-Wall-Radar-Imaging using Total-Variation Denoising | 1 |
Tetsuya Handa | JP | Fussa-Shi | 2011-08-11 / 20110193876 - DISPLAY PROCESSING APPARATUS | 4 |
Tetsuya Handa | JP | Tokyo | 2015-04-02 / 20150094053 - TERMINAL APPARATUS, CONTROL SYSTEM, AND CONTROL METHOD FOR CONTROLLING COLLABORATION AMONG A PLURALITY OF DEVICES | 13 |
Hiroshi Handa | JP | Inazawa-Shi | 2015-10-01 / 20150278657 - IMAGE FORMING APPARATUS | 9 |
Shuhei Handa | JP | Koto-Ku | / - | 1 |
Kenji Handa | JP | Ashigarakami-Gun | 2015-10-29 / 20150306231 - STABILIZED PROTEIN GEL PREPARATION | 1 |
Ajaykumar Handa | IN | Ahmedabad | 2015-04-30 / 20150118296 - CONTROLLED RELEASE BUDESONIDE COMPOSITIONS | 3 |
Yuri Handa | JP | Osaka-Shi | 2013-07-04 / 20130172091 - BALL TYPE CONSTANT VELOCITY JOINT | 1 |
Manoj Handa | US | Garland | 2013-12-05 / 20130322988 - Torque-Indication Crush Washer | 1 |
Takeshi Handa | JP | Shizuoka | 2015-03-19 / 20150075677 - ALUMINUM ALLOY SHEET EXCELLENT IN PRESS-FORMABILITY AND SHAPE FIXABILITY AND METHOD OF PRODUCTION OF SAME | 1 |
Takuya Handa | JP | Tochigi | 2016-04-21 / 20160111053 - SEMICONDUCTOR DEVICE | 13 |
Yukihisa Handa | US | Arlington | 2013-10-17 / 20130271219 - APPARATUS AND METHODS FOR FREQUENCY COMPENSATION OF AN AMPLIFIER | 1 |
Koichi Handa | JP | Tokyo | 2014-05-01 / 20140121300 - CARBON FIBROUS CONJUNCT AND COMPOSITE MATERIAL USING THEREOF | 11 |
Yuichi Handa | JP | Fuchu | 2014-12-25 / 20140379787 - COMMUNICATION MANAGEMENT PROGRAM AND CLIENT APPARATUS | 1 |
Shinichi Handa | JP | Osaka-Shi, Osaka | 2016-04-28 / 20160118488 - FIELD EFFECT TRANSISTOR | 1 |
Hiroshi Handa | JP | Yokohama-Shi | 2016-02-04 / 20160032130 - METHOD OF MANUFACTURING POLYMER COATED FERROMAGNETIC PARTICLES | 9 |
Hiroshi Handa | JP | Aisai-Shi | 2016-04-21 / 20160109849 - Developing Cartridge And Process Cartridge For Stably Rotating Developing Roller | 9 |
Masayoshi Handa | JP | Himeji-Shi | 2015-06-11 / 20150158015 - METHOD FOR PRODUCING WATER-ABSORBENT RESIN PARTICLES | 13 |
Shinsuke Handa | JP | Osaka | 2008-08-28 / 20080207063 - Electric connector and connection structure for electric connector | 1 |
Kiyoshi Handa | US | Rancho Palos Verdes | 2008-09-11 / 20080216914 - High Pressure Gas Tank Heat Management by Circulation of the Refueling Gas | 1 |
Kiyoshi Handa | JP | Tochigi | 2008-12-11 / 20080302504 - Station Side Cooling for Refueling Vehicle Storage Tanks with High Pressure Fuel | 5 |
Kiyoshi Handa | JP | Takenezawa-Cho | 2009-06-04 / 20090139987 - Induction Warming System for Fiber Composite Gas Storage Cylinders | 1 |
Kiyoshi Handa | JP | Takanezawa-Cho | 2009-06-25 / 20090159258 - Internal Gas Warming For High Pressure Gas Storage Cylinders With Metal Liners | 4 |
Kiyoshi Handa | JP | Saitama | 2012-08-30 / 20120217251 - GAS TANK | 3 |
Kiyoshi Handa | JP | Utsunomiya-Shi | 2014-09-18 / 20140272671 - FUEL CELL SYSTEM AND FUEL CONSUMPTION SYSTEM | 2 |
Yoshihiko Handa | JP | Hiroshima | 2008-09-25 / 20080232048 - FOLDING PORTABLE ELECTRONIC DEVICE | 1 |
Kimio Handa | JP | Chchibu-Gun | 2008-10-16 / 20080251997 - INFORMATION DETECTING DEVICE, SHEET MATERIAL PROCESSING APPARATUS EQUIPPED WITH INFORMATION DETECTING DEVICE, AND SIGNAL OUTPUT DEVICE | 1 |
Ryuichi Handa | JP | Gunma | 2008-10-30 / 20080266746 - ELECTROSTATIC CHUCK | 1 |
Masato Handa | JP | Mito | 2012-05-03 / 20120102955 - Thermal Power Plant | 2 |
Yuji Handa | JP | Tachikawa-Shi | 2013-03-28 / 20130078024 - PRINTING APPARATUS, PRINTING METHOD AND COMPUTER READABLE RECORDING MEDIUM STORING CONTROL PROGRAM FOR PRINTING APPARATUS | 1 |
Masami Handa | JP | Shinjuku-Ku | 2008-12-04 / 20080296951 - Seat apparatus and vehicle | 1 |
Sadayoshi Handa | JP | Kawasaki | 2009-01-01 / 20090003205 - METHOD AND APPARATUS FOR LOAD DISTRIBUTION CONTROL OF PACKET TRANSMISSION | 1 |
Yoichiro Handa | JP | Kanagawa-Ken | 2009-01-08 / 20090009756 - Recognition Chip for Target Substance, and Detection Method and Device for the Same | 2 |
Satoshi Handa | JP | Suntoh-Gun | 2009-04-02 / 20090087768 - Non-Magnetic Toner | 2 |
Masatoshi Handa | JP | Mishima-Shi | 2009-03-05 / 20090058159 - VEHICLE SEATS | 1 |
Tomohisa Handa | JP | Fukuoka | 2009-03-12 / 20090067609 - PRIVATE BRANCH EXCHANGE SYSTEM AND METHOD FOR CONTROL THEREOF | 1 |
Keishin Handa | JP | Yokkaichi-Shi | 2009-03-12 / 20090066220 - Electroluminescent device | 2 |
Yuji Handa | JP | Kanagawa | 2014-01-02 / 20140006562 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, COMPUTER PROGRAM, AND INFORMATION COMMUNICATION SYSTEM | 1 |
Keishin Handa | JP | Yokohama-Shi | 2009-05-14 / 20090123726 - FIBER-REINFORCED COMPOSITE MATERIAL, METHOD FOR MANUFACTURING THE SAME, AND APPLICATIONS THEREOF | 1 |
Minoru Handa | JP | Kanagawa | 2009-08-13 / 20090200369 - COMMUNICATION SYSTEM, READER/WRITER, AUTHENTICATION METHOD, AND COMPUTER PROGRAM | 1 |
Yukihiro Handa | JP | Yokohama-Shi | 2009-09-24 / 20090237910 - Indicator device | 1 |
Takanori Handa | JP | Tochigi | 2009-09-24 / 20090236989 - MAGNETRON | 2 |
Akio Handa | JP | Saitama | 2011-10-06 / 20110241413 - WHEEL FOR VEHICLE | 2 |
Yujin Handa | JP | Shizuoka | 2009-11-19 / 20090285494 - DATA VERIFICATION METHOD AND CHARGED PARTICLE BEAM WRITING APPARATUS | 1 |
Keishin Handa | JP | Yokohama | 2009-12-03 / 20090298976 - Fiber-Reinforced Composition Resin Composition, Adhesive and Sealant | 1 |
Kazuhiro Handa | JP | Saitama | 2010-01-28 / 20100021702 - SCRATCH COLOR-DEVELOPABLE INK AND INVISIBLE INFORMATION PRINTED SHEET | 1 |
Tatsuya Handa | JP | Nirasaki City | 2010-02-18 / 20100041240 - FOCUS RING, PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Tatsuya Handa | JP | Miyagi | 2013-06-27 / 20130162142 - PLASMA PROCESSING APPARATUS AND METHOD | 1 |
Michiharu Handa | JP | Sanyoonoda-Shi | 2013-01-03 / 20130005995 - METHOD FOR PREPARING A FATTY ACID DERIVATIVE | 1 |
Hiroto Handa | JP | Tokyo Japan | 2010-03-11 / 20100059643 - PANEL DRIVE APPARATUS | 1 |
Osamu Handa | JP | Kanagawa | 2010-03-25 / 20100074652 - CHARGE DEVICE, IMAGE FORMATION ASSEMBLY USING THE SAME, AND IMAGE FORMATION APPARATUS | 3 |
Syoji Handa | JP | Shunan-Shi | 2010-04-29 / 20100105922 - PROCESS FOR PRODUCING FUSED IMIDAZOLE COMPOUND, REFORMATSKY REAGENT IN STABLE FORM, AND PROCESS FOR PRODUCING THE SAME | 1 |
Kazunori Handa | JP | Nishio-Shi, Aichi | 2010-07-22 / 20100182154 - BATTERY MANAGEMENT SYSTEM FOR ELECTRIC VEHICLE | 1 |
Masayoshi Handa | JP | Shikama-Ku | 2014-08-28 / 20140243478 - METHOD FOR PRODUCING WATER ABSORBENT RESIN PARTICLES | 2 |
Masato Handa | JP | Utsunomiya-Shi | 2013-12-05 / 20130319299 - Boiler | 1 |
Kimio Handa | JP | Saitama | 2013-07-04 / 20130170009 - LIGHT-QUANTITY CONTROL APPARATUS AND OPTICAL APPARATUS | 1 |
Keishin Handa | JP | Chiyoda-Ku | 2013-10-31 / 20130284234 - SOLAR-CELL-INTEGRATED ROLL SCREEN | 1 |
Masayoshi Handa | JP | Hyogo | 2012-04-12 / 20120089108 - WATER ABSORBENT SHEET | 9 |
Hiroyuki Handa | JP | Osaka | 2016-03-03 / 20160064376 - SEMICONDUCTOR APPARATUS | 12 |
Kazunori Handa | JP | Nishio-Shi | 2014-06-12 / 20140163796 - CONTROL DEVICE FOR HYBRID VEHICLE | 2 |
Hideyuki Handa | JP | Okazaki-Shi | 2015-09-03 / 20150247443 - COOLER APPARATUS AND CONTROL METHOD THEREFOR | 3 |
Shinichi Handa | JP | Tsukuba-Shi | 2010-09-30 / 20100244710 - Organic Luminescence Transistor Device and Manufacturing Method Thereof | 5 |
Kazuya Handa | JP | Yokohama-Shi | 2010-10-07 / 20100252705 - CLIP AND MOUNTING STRUCTURE FOR MOUNTING AN AUTOMOTIVE BUMPER ACCESSORY COMPONENT | 1 |
Fukuto Handa | JP | Fukushima | 2013-11-07 / 20130296717 - VESSEL PULSE WAVE MEASUREMENT SYSTEM CONDUCTING VESSEL PULSE WAVE MEASUREMENT BY OBTAINING PULSATION WAVEFORM OF BLOOD VESSEL | 1 |
Naoki Handa | JP | Nanae | 2010-10-28 / 20100275048 - SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Tatsuya Handa | JP | Nirasaki-Shi | 2013-10-24 / 20130276982 - SUBSTRATE PROCESSING APPARATUS AND ELECTRODE STRUCTURE | 3 |
Takanobu Handa | JP | Hiroshima-Shi | 2010-11-04 / 20100276610 - RADIOTHERAPY APPARATUS CONTROLLER AND RADIATION IRRADIATING METHOD | 1 |
Keishin Handa | JP | Kanagawa | 2009-10-22 / 20090264036 - NANOFIBER SHEET, PROCESS FOR PRODUCING THE SAME, AND FIBER-REINFORCED COMPOSITE MATERIAL | 2 |
Masaki Handa | JP | Kanagawa | 2016-05-05 / 20160125575 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 13 |
Hiroyuki Handa | JP | Fukuoka | 2012-04-26 / 20120098961 - SHAPE MEASURING APPARATUS, ROBOT SYSTEM, AND SHAPE MEASURING METHOD | 3 |
Shinichi Handa | JP | Ikoma-Gun | 2010-12-30 / 20100330945 - RADIO RECEIVER | 1 |
Yoichiro Handa | JP | Yokohama-Shi | 2011-01-27 / 20110019195 - RECOGNITION CHIP FOR TARGET SUBSTANCE, AND DETECTION METHOD AND DEVICE FOR THE SAME | 1 |
Satoshi Handa | JP | Saitama-Shi | 2011-03-03 / 20110053073 - TONER | 2 |
Atsuro Handa | JP | Yokohama | 2011-03-10 / 20110060890 - STREAM DATA GENERATING METHOD, STREAM DATA GENERATING DEVICE AND A RECORDING MEDIUM STORING STREAM DATA GENERATING PROGRAM | 3 |
Mitsuru Handa | JP | Kanagawa | 2013-03-07 / 20130061194 - LAYOUT METHOD, LAYOUT APPARATUS, AND PROGRAM FOR SEMICONDUCTOR INTEGRATED CIRCUIT | 2 |
Masayoshi Handa | JP | Himeji-Shi | 2015-06-11 / 20150158015 - METHOD FOR PRODUCING WATER-ABSORBENT RESIN PARTICLES | 13 |
Takanobu Handa | JP | Hiroshima | 2011-04-14 / 20110087061 - RADIOTHERAPY APPARATUS CONTROL APPARATUS AND SPECIFIC SITE POSITION MEASURING METHOD | 1 |
Nobumasa Handa | JP | Kariya-City | 2011-04-28 / 20110094780 - Printed wiring board | 1 |
Makoto Handa | JP | Gifu | 2011-02-17 / 20110039127 - BIAXIALLY ORIENTED LAMINATED FILM | 1 |
Tetsuya Handa | JP | Tokyo | 2015-04-02 / 20150094053 - TERMINAL APPARATUS, CONTROL SYSTEM, AND CONTROL METHOD FOR CONTROLLING COLLABORATION AMONG A PLURALITY OF DEVICES | 13 |
Takanori Handa | JP | Shiga | 2015-05-07 / 20150123538 - MAGNETRON | 3 |
Ankur Handa | US | Santa Clara | 2015-03-19 / 20150081832 - MANAGING SEED DATA | 1 |
Paul Handa | SE | Gothenburg | 2015-12-10 / 20150352258 - Production of Moldable Bone Substitute | 3 |
Vishal Handa | IN | Ghaziabad | 2011-07-28 / 20110184167 - PROCESS FOR THE PREPARATION OF TEMSIROLIMUS AND ITS INTERMEDIATES | 1 |
Yoichiro Handa | JP | Tokyo | 2016-04-14 / 20160105624 - IMAGING DEVICE, IMAGING SYSTEM, AND METHOD FOR DRIVING IMAGING DEVICE | 9 |
Takashi Handa | ID | Jakarta Selatan | 2010-02-11 / 20100033248 - Power Amplifier and Common Mode Choke Coil Apparatus | 1 |
Ajaykumar Handa | IN | Gujarat | 2014-07-17 / 20140199382 - STABLE PHARMACEUTICAL COMPOSITIONS OF AN S1P RECEPTOR AGONIST | 1 |
Hiroshi Handa | JP | Tokyo | 2015-08-20 / 20150232826 - PHARMACEUTICAL COMPOSITION CONTAINING CORE FACTOR INVOLVED IN PROLIFERATION AND DIFFERENTIATION OF CENTRAL NERVOUS CELL | 8 |
Susumu Handa | JP | Tokyo | 2012-12-27 / 20120326830 - REACTOR AND METHOD FOR MANUFACTURING SAME | 2 |
Tomoko Handa | JP | Kawagoe-Shi | 2015-08-20 / 20150233726 - VISITING PLAN CREATING SYSTEM, TERMINAL DEVICE, AND VISITING PLAN CREATING METHOD | 2 |
Robert J. Handa | US | Fort Collin | 2012-10-18 / 20120264821 - USE OF EQUOL FOR TREATING ANDROGEN MEDIATED DISEASES | 1 |
Jeffrey Handa | US | Vista | 2014-07-10 / 20140190883 - CONSTRUCTION SITE WATER FILTRATION SYSTEM | 1 |
Hiroyuki Handa | JP | Kitakyushu-Shi | 2015-08-20 / 20150234904 - LADDER PROGRAM GENERATING DEVICE AND COMPUTER-READABLE INFORMATION RECORDING MEDIUM | 1 |
Norihisa Handa | JP | Tokyo | 2015-10-08 / 20150288264 - DOUBLE STATOR SWITCHED RELUCTANCE ROTATING MACHINE | 5 |
Jun Handa | JP | Azumino-Shi | 2015-08-13 / 20150226302 - HOLLOW STRAIN WAVE GEARING | 1 |
Naresh B. Handagama | US | Knoxville | 2013-10-17 / 20130272939 - SYSTEM AND METHOD FOR ENHANCED REMOVAL OF CO2 FROM A MIXED GAS STREAM VIA USE OF A CATALYST | 3 |
Naresh Handagama | US | Knoxville | 2013-04-25 / 20130101486 - ON-LINE MONITORING AND CONTROLLING OF SULFUR COMPOUNDS IN POWER GENERATION FACILITIES FOR CARBON DIOXIDE CAPTURE PROCESSES AND ARTICLES COMPRISING THE SAME | 1 |
Nareshkumar B. Handagama | US | Knoxville | 2013-10-03 / 20130261818 - INTEGRATED ELECTRIC POWER GENERATION AND STEAM DEMAND CONTROL SYSTEM FOR A POST COMBUSTION CO2 CAPTURE PLANTS | 15 |
Nareshkumar Bernard Handagama | US | Knoxville | 2014-04-17 / 20140105800 - METHOD FOR PROCESSING A POWER PLANT FLUE GAS | 2 |
Nareshkumar B. Handagama | US | Knoxville | 2013-10-03 / 20130261818 - INTEGRATED ELECTRIC POWER GENERATION AND STEAM DEMAND CONTROL SYSTEM FOR A POST COMBUSTION CO2 CAPTURE PLANTS | 15 |
Nelson M. Handal | US | Dothan | 2009-09-24 / 20090240522 - COMPUTER AIDED INTAKE AND ASSESSMENT SYSTEM | 1 |
John A. Handal | US | Bryn Mawr | 2011-05-12 / 20110111061 - Compositions and Methods for the Treatment of Skeletal Metastatic Lesions and Fractures | 1 |
Casey L. Handal | US | Barrington | 2008-09-04 / 20080215359 - System and method for automatically switching prescriptions in a retail pharmacy to a new generic drug manufacturer | 1 |
Anthony H. Handal | US | Westport | 2016-04-14 / 20160104062 - TEMPORARY CUTANEOUS INFORMATION DEVICE, ASSOCIATED METHOD AND RESORT INFRASTRUCTURE | 3 |
Thomas Handal | US | San Diego | 2016-04-28 / 20160119329 - DEVICE AUTHENTICATION FOR SECURE KEY RETRIEVAL FOR STREAMING MEDIA PLAYERS | 2 |
Patricia M. Handal | US | South Lake Tahoe | 2009-04-30 / 20090107416 - Bird Scooter Amusement Device | 2 |
Anthony N. Handal | US | Fairfield | 2014-06-12 / 20140161273 - SMARTPHONE SECURITY MASKING ENCLOSURE | 1 |
Lisa R. Handalian | US | Medford | 2011-08-25 / 20110208783 - Integration of User Identifiers | 1 |
Erlinda Handal Vega | SV | San Salvador | 2014-05-15 / 20140134279 - DRINK FOR THE RAPID REPLACEMENT OF CALCIUM IONS IN THE BLOOD STREAM | 1 |
Ulf Handberg | SE | Upplands Vasby | 2014-01-09 / 20140012196 - Dual Chamber Syringe With Retractable Needle | 3 |
Aase Handberg | DK | Risskov | 2014-12-18 / 20140371089 - METHOD FOR DIGANOSING ATHEROSCLEROTIC PLAQUES BY MEASUREMENT OF CD36 | 5 |
Prashanth Hande | US | Bridgewater | 2012-08-23 / 20120214527 - CONTROLLING APPLICATION ACCESS TO A NETWORK | 2 |
Prashanth Hande | US | Somerset | 2013-11-28 / 20130315204 - METHODS AND APPARATUS FOR MAKING HANDOFF DECISIONS IN ACCESS TERMINALS CAPABLE OF OPERATING AT DIFFERENT TIMES IN BEST EFFORT AND QOS MODES OF TRAFFIC OPERATION | 12 |
Prashanth Hande | US | San Diego | 2015-02-12 / 20150043374 - METHODS AND APPARATUS FOR BROADCASTING LOADING INFORMATION CORRESPONDING TO NEIGHBORING BASE STATIONS | 1 |
Vinayak Gopal Hande | IN | Mumbai | 2012-06-14 / 20120146829 - SUCCESSIVE APPROXIMATION REGISTER ANALOG TO DIGITAL CONVERTER CIRCUIT | 1 |
Sayaji Hande | IN | Ghaziabad | 2015-10-01 / 20150278835 - NORMALIZING USER RESPONSES TO AN EVENT BY REMOVING BEHAVIORAL BIAS | 5 |
Nitin Hande | US | Santa Clara | 2012-08-02 / 20120198465 - System and Method for Massively Multi-Core Computing Systems | 1 |
Prashanth Haridas Hande | US | Somerset | 2016-04-28 / 20160119971 - SYSTEM TIMING-AWARE CO-EXISTENCE ON A SHARED COMMUNICATION MEDIUM | 2 |
Prashanth Haridas Hande | US | San Diego | 2015-05-07 / 20150124782 - METHOD AND APPARATUS FOR OPTIMIZING CLOUD BASED RADIO ACCESS NETWORK | 1 |
Prashanth Hande | US | Jersey City | 2011-06-16 / 20110142150 - USER SPECIFIC DOWNLINK POWER CONTROL CHANNEL Q-BIT | 6 |
Koen Handekyn | BE | Gent | 2010-01-14 / 20100008367 - METHOD OF RELAYING TRAFFIC FROM A SOURCE TO A TARGETED DESTINATION IN A COMMUNICATIONS NETWORK AND CORRESPONDING EQUIPMENT | 1 |
Brandon Handel | US | Visalia | 2013-10-10 / 20130264791 - HAND RIM FOR PROPELLING A WHEELCHAIR | 2 |
Lynn Handel | US | Exton | 2009-10-15 / 20090256334 - Temporary snowboard fastener | 1 |
Richard Handel | US | Littlefalls | 2011-10-06 / 20110244061 - Formulations and Methods for Increasing Metabolic Rate and Weight Control | 1 |
Rainer Handel | DE | Stuttgart | 2013-06-20 / 20130152528 - FILTER INSERT | 1 |
Richard Handel | US | Little Falls | 2012-07-05 / 20120171309 - FORMULATIONS AND METHODS FOR INCREASING EFFICACIES AND IMPROVING FLAVORS OF FORMULATIONS CONTAINING GYNOSTEMMA PLANT | 1 |
Bernhard Handel | DE | Allmersbach Im Tal | 2015-08-27 / 20150239585 - METERING DEVICE FOR TABLETS AND METHOD FOR METERING TABLETS | 1 |
Tracy M. Handel | US | San Diego | 2014-02-13 / 20140045211 - HIGH LEVEL PRODUCTION OF RECOMBINANT PROTEINS | 1 |
Sean Handel | US | Moss Beach | 2016-02-25 / 20160055523 - SYSTEM FOR RESOURCE SERVICE PROVIDER | 2 |
Sean Peter Handel | US | San Bruno | 2008-09-04 / 20080215976 - AUTOMATED SUPPORT SCHEME FOR ELECTRONIC FORMS | 1 |
Mark Jonathan Handel | US | Seattle | 2016-05-05 / 20160127387 - Method for Combining Multiple Signal Values in the Dendritic Cell Algorithm | 2 |
David Brian Handel | US | Galloway | 2011-06-16 / 20110145043 - Method and System for Improving the Truthfulness, Reliability, and Segmentation of Opinion Research Panels | 1 |
Mirko Handel | DE | Neunkirchen-Seelscheid | 2014-08-07 / 20140221703 - METHOD AND APPARATUS FOR PURIFYING NITRATION PRODUCTS | 1 |
Jeffrey M. Handel | US | Warren | 2015-03-05 / 20150060135 - METHOD OF ATTACHING A WIRE CABLE TERMINAL TO A MULTI-STRAND WIRE CABLE, WIRE CABLE FORMED DURING SAID METHOD, AND APPARATUS FOR FORMING SAID WIRE CABLE | 4 |
Holger Handel | DE | Mannheim | 2013-05-02 / 20130111427 - IMPACT ANALYSIS AND ADOPTION PLANNING BASED ON GLOBAL WHERE-USED LISTS | 1 |
Mark Handel | US | Pittsburgh | 2015-11-26 / 20150339946 - SYSTEM FOR MONITORING AND PRESENTING HEALTH, WELLNESS AND FITNESS TREND DATA HAVING USER SELECTABLE PARAMETERS | 28 |
Ulrich Handel | DE | Friedrichshafen | 2015-04-30 / 20150114732 - Power Generation System and Method for Assembling the Same | 1 |
Mark Handel | US | Pittsburgh | 2015-11-26 / 20150339946 - SYSTEM FOR MONITORING AND PRESENTING HEALTH, WELLNESS AND FITNESS TREND DATA HAVING USER SELECTABLE PARAMETERS | 28 |
Otto Handel | DE | Solingen | 2013-05-02 / 20130110069 - SEALING PATTERN FOR TAMPON OVERWRAP | 2 |
Peter A. Handel | US | Bainbridge Island | 2011-11-10 / 20110275042 - Human-motion-training system | 1 |
Rory Handel | US | Los Angeles | 2012-11-01 / 20120278388 - SYSTEM AND METHOD FOR ONLINE COMMUNICATIONS MANAGEMENT | 1 |
Doron Handelman | IL | Givatayim | 2015-09-24 / 20150270893 - Apparatus and methods for enabling recovery from failures in optical networks | 14 |
Doron Handelman | IL | Givatayim | 2015-09-24 / 20150270893 - Apparatus and methods for enabling recovery from failures in optical networks | 14 |
Doron Handelman | IL | Jerusalem | 2011-04-21 / 20110093884 - ADVERTISEMENTS IN AN END-USER CONTROLLED PLAYBACK ENVIRONMENT | 2 |
Doran Handelman | IL | Givatayim | 2010-05-13 / 20100121748 - Configuring field programmable devices | 1 |
David A. Handelman | US | Princeton | 2011-12-29 / 20110320042 - METHODS AND PROCESSES TO AGGREGATE MULTIPLE IMAGE FEEDS AND DYNAMICALLY SELECT COMMAND REFERENCE FRAMES | 1 |
Samuel K. Handelman | US | Columbus | 2015-09-24 / 20150269308 - ENGINEERING SURFACE EPITOPES TO IMPROVE PROTEIN CRYSTALLIZATION | 2 |
Samuel K. Handelman | US | 2015-09-24 / 20150269308 - ENGINEERING SURFACE EPITOPES TO IMPROVE PROTEIN CRYSTALLIZATION | 1 | |
Bradley Handelman | US | Glencoe | 2015-11-12 / 20150320142 - BOWLING SHOE KIT | 1 |
Amir Handelman | IL | Hod-Hasharon | 2015-04-23 / 20150108618 - COMPOSITION AND METHOD FOR FORMING A DIELECTRIC LAYER | 1 |
Arthur Handelman | US | Rochester | 2016-03-24 / 20160088717 - Electric Defense Field | 1 |
Tristan Handels | NL | Beek | 2010-11-18 / 20100291116 - MICROPARTICLE COMPRISING CROSS-LINKED POLYMER | 1 |
Lior Handelsman | IL | Givataim | 2016-05-12 / 20160134234 - Electrically Isolated Heat Dissipating Junction Box | 45 |
Jo Emily Handelsman | US | North Bradford | 2014-11-20 / 20140341854 - CONSTRUCTION OF A QUADRUPLE ENTEROTOXIN-DEFICIENT MUTANT OF BACILLUS THURINGIENSIS | 1 |
Lior Handelsman | IL | Givaataim | 2012-05-10 / 20120112760 - Arc Detection and Prevention in a Power Generation System | 1 |
Lior Handelsman | IL | Givatayim | 2014-07-03 / 20140185245 - SWITCHING CIRCUIT LAYOUT WITH HEATSINK | 2 |
Jo Handelsman | US | Madison | 2008-10-16 / 20080254508 - TYPE I POLYKETIDE SYNTHASE EXTENDER UNITS | 1 |
Tali Handelsman | IL | Ramat-Hasharon | 2010-07-01 / 20100166733 - MCP-1 SPLICE VARIANTS AND METHODS OF USING SAME | 1 |
Jo E. Handelsman | US | Madison | 2010-08-26 / 20100216835 - Method For Modulating Microbial Quorum Sensing | 1 |
Steven Handelsman | US | Cincinnati | 2014-02-06 / 20140033722 - Fuel-Air Mixer For Use With A Combustor Assembly | 1 |
Gordon Michael Handelsman | US | Thousand Oaks | 2010-09-30 / 20100245103 - HEALTHY HOME GRAPHICAL USER INTERFACE METHOD AND DEVICE | 2 |
Mark W. Handelsman | US | Huntington Woods | 2010-12-30 / 20100332016 - VISION GUIDED REAL TIME LOCATING AND TRIMMING OF FLASH | 1 |
Jo Emily Handelsman | US | North Branford | 2012-06-28 / 20120164105 - CONSTRUCTION OF A QUADRUPLE ENTEROTOXIN-DEFICIENT MUTANT OF BACILLUS THURINGIENSIS | 1 |
Eelco Han De Man | NL | Vlijmen | 2015-08-06 / 20150217315 - PUMP DEVICE AND FLOW CONTROL SYSTEM | 7 |
Eelco Han De Man | NL | Vlimen | 2014-05-29 / 20140147318 - IMPROVED BELLOWS FOR A PUMP DEVICE | 1 |
Jonathan W. Hander | US | Wappingers Falls | 2012-09-27 / 20120245490 - APPARATUS AND METHOD OF DETECTING MOVEMENT OF OBJECTS WITHIN THE ABDOMINAL AND/OR PELVIC REGION | 1 |
Jonathan William Hander | US | Allen | 2010-07-22 / 20100185122 - METHOD OF MEASURING FETAL HEAD ORIENTATION, POSITION, AND VELOCITY AND PROVIDING FEEDBACK TO MOTHER AND DOCTOR | 1 |
Jonathan Hander | US | Westford | 2015-05-14 / 20150129418 - ELECTROCHEMICAL DEPOSITION APPARATUS WITH REMOTE CATHOLYTE FLUID MANAGEMENT | 3 |
Robert A. Hander | US | Spokane Valley | 2011-07-07 / 20110166232 - ENHANCEMENT OF UROGENITAL FUNCTION | 1 |
Edwin William Hander | US | Columbia | 2010-07-22 / 20100185122 - METHOD OF MEASURING FETAL HEAD ORIENTATION, POSITION, AND VELOCITY AND PROVIDING FEEDBACK TO MOTHER AND DOCTOR | 1 |
Edwin W. Hander | US | Columbia | 2012-09-27 / 20120245490 - APPARATUS AND METHOD OF DETECTING MOVEMENT OF OBJECTS WITHIN THE ABDOMINAL AND/OR PELVIC REGION | 1 |
Adam Handerek | US | 2015-03-26 / 20150083572 - Reactor for Gasifying and/or Cleaning, Especially for Depolymerizing, Plastic Material and Associated Method | 1 | |
Adam Handerek | PL | 43-300 Bielsko-Biala | 2015-03-26 / 20150087874 - Reactor and Method for Gasifying and/or Cleaning a Starting Material | 1 |
Adam Handerek | PL | Bielskp-Biala | 2015-03-26 / 20150087874 - Reactor and Method for Gasifying and/or Cleaning a Starting Material | 1 |
Adam Handerek | PL | Bielsko-Biala | 2015-03-26 / 20150083572 - Reactor for Gasifying and/or Cleaning, Especially for Depolymerizing, Plastic Material and Associated Method | 4 |
Vincent Andrei Handerek | GB | Grays | 2010-08-12 / 20100200736 - IMAGING DEVICE | 1 |
Vincent Handerek | GB | Essex | 2013-08-29 / 20130222811 - Distributed Optical Fibre Sensor | 1 |
Mark A. Handerek | CA | Midland | 2009-11-12 / 20090280244 - Method and Apparatus for Supporting Workpieces in a Coating Apparatus | 1 |
Vincent Andrei Handerek | GB | Essex | 2013-11-14 / 20130301037 - Distributed Optical Fibre Sensing | 6 |
Vincent Handerek | GB | Grays | 2015-11-12 / 20150323455 - Distributed Optical Fibre Sensor | 2 |
Gary M. Handerhan | US | Pittsburgh | 2012-01-19 / 20120011968 - Door Handle Actuator For The Manual Release Of Electronically Controlled Door Lock | 1 |
Alan C. Handermann | US | Asheville | 2010-10-14 / 20100261398 - FIBERGLASS CORESPUN FABRICS FOR USE IN FLAME RESISTANT DRYWALL INSTALLATIONS | 1 |
Martin Handfield | US | Gainesville | 2011-12-01 / 20110294146 - Identification of Actinobacillus actinomycetemcomitans Antigens for Use in the Diagnosis, Treatment, and Monitoring of Periodontal Diseases | 4 |
Michael Handfield | US | Rochester Hills | 2014-09-25 / 20140288698 - MEDICAMENT INVENTORY SYSTEM AND METHOD | 6 |
Robert Handfield | CA | Drummondville | 2012-09-27 / 20120242141 - TRACTION ASSEMBLY FOR VEHICLE | 2 |
Robert Handfield | CA | St-Lucien | 2015-07-16 / 20150196081 - HELMET CHIN CURTAIN | 5 |
Keith Ernest Handford | US | Macedon | 2014-03-06 / 20140065303 - HOLDING DEVICE FOR OPTICAL ELEMENT | 1 |
P. A. Handford | GB | Oxon | 2014-02-06 / 20140039450 - Method and Apparatus for Treating Respiratory Disease | 1 |
Christopher Cameron Handford | CA | Vancouver | 2012-01-26 / 20120019531 - Method and Apparatus for Applying a Rotational Transform to a Portion of a Three-Dimensional Representation of an Appliance for a Living Body | 1 |
John Handford | GB | Greater Manchester | 2014-05-08 / 20140125301 - CONTROL CIRCUITRY | 1 |
Penelope Ann Handford | GB | London | 2016-04-21 / 20160108128 - ANTIBODIES THAT BIND TO JAGGED 1 | 1 |
Christopher Cameron Handford | CA | Vancouver, Bc | 2011-06-09 / 20110134123 - METHOD, APPARATUS, MEDIA, AND SIGNALS FOR APPLYING A SHAPE TRANSFORMATION TO A THREE DIMENSIONAL REPRESENTATION | 1 |
Erin A. Handgen | US | Fort Collins | 2008-10-30 / 20080270743 - System and Method for Achieving Enhanced Memory Access Capabilities | 1 |
Erin A. Handgen | US | Ft. Collins | 2011-02-17 / 20110040950 - TRANSLATION LOOK-ASIDE BUFFER | 1 |
Rupert Handgretinger | DE | Bergisch Gladbach | 2014-10-16 / 20140308250 - CELL PREPARATIONS DEPLETED OF TCR ALPHA/BETA | 1 |
Rupert Handgretinger | US | Cordova | 2010-02-11 / 20100035764 - METHODS AND COMPOSITIONS FOR MONITORING T CELL RECEPTOR DIVERSITY | 1 |
Christian Handing | DE | Langenberg | 2016-05-05 / 20160121830 - CRASHBOX FOR A BUMPER SYSTEM OF A MOTOR VEHICLE | 25 |
Kalyan Handique | US | Ann Arbor | 2015-04-30 / 20150118684 - METHOD FOR PROCESSING POLYNUCLEOTIDE-CONTAINING SAMPLES | 10 |
Kalyan Handique | US | Plymouth | 2015-07-23 / 20150204766 - CELL CAPTURE SYSTEM AND METHOD OF USE | 3 |
Kalyan Handique | US | Ann Arbor | 2015-04-30 / 20150118684 - METHOD FOR PROCESSING POLYNUCLEOTIDE-CONTAINING SAMPLES | 10 |
Kalyan Handique | US | Ypsilanti | 2016-04-07 / 20160096178 - HEATER UNIT FOR MICROFLUIDIC DIAGNOSTIC SYSTEM | 40 |
Damian Handisides | US | San Carlos | 2015-10-01 / 20150272973 - Treatment of Cancer with Glufosfamide in Patients Not Receiving Insulin Therapy | 2 |
Damian Handisides | US | South San Francisco | 2013-11-07 / 20130296273 - TREATMENT OF BLOOD CANCER | 1 |
Daniel I. Handjojo | US | Fremont | 2012-05-10 / 20120113559 - ELECTROSTATIC DISCHARGE PREVENTION FOR LARGE AREA SUBSTRATE PROCESSING SYSTEM | 2 |
Ekkehard Handke | DE | Grossenwiehe | 2010-04-08 / 20100083826 - METHOD OF MOUNTING A CYLINDER ARRANGEMENT OF A HERMETICALLY ENCLOSED REFRIGERANT COMPRESSOR ARRANGEMENT, AND HERMETICALLY ENCLOSED REFRIGERANT COMPRESSOR ARRANGEMENT | 3 |
Armin Handke | DE | Duisburg | 2015-08-20 / 20150233156 - MOTOR VEHICLE DOOR LOCK | 8 |
Armin Handke | DE | Duisburg, North Rhine-Westphalia | 2015-12-10 / 20150354250 - MOTOR VEHICLE DOOR LOCK | 2 |
Günther Handke | DE | Euerbach | 2013-10-24 / 20130276625 - Piston-Cylinder Unit | 4 |
Derek J. Handke | US | Blanchard | 2012-06-21 / 20120157356 - Hydraulic fracturing with slick water from dry blends | 1 |
Patrick M. Handke | US | Monroeville | 2011-04-21 / 20110088698 - PATIENT CONTACTING SEAL AND MASK USING SAME | 1 |
Virgina A. Handke | US | Monroeville | 2011-04-21 / 20110088698 - PATIENT CONTACTING SEAL AND MASK USING SAME | 1 |
Günther Handke | DE | Euerbach | 2015-03-12 / 20150069688 - VIBRATION DAMPER FOR A MOTOR VEHICLE | 6 |
Armin Handke | DE | Duisburg | 2015-08-20 / 20150233156 - MOTOR VEHICLE DOOR LOCK | 8 |
Ralf Handl | DE | Heidelberg | 2013-08-29 / 20130227153 - COMPUTER-IMPLEMENTED METHOD, COMPUTER SYSTEM AND COMPUTER READABLE MEDIUM | 3 |
Stefan Handl | DE | Zell | 2015-09-03 / 20150247616 - RING LIGHT MODULE | 1 |
Werner Handl | DE | Altdorf | 2016-01-28 / 20160023907 - Process for Producing Fine, Morphologically Optimized Particles Using Jet Mill, Jet Mill for Use in Such a Process and Particles Produced | 8 |
Patrick Handl | DE | Koln | 2016-01-07 / 20160001678 - VEHICLE SEAT WITH A LOCKING UNIT | 3 |
Werner Handl | DE | Altdorf | 2016-01-28 / 20160023907 - Process for Producing Fine, Morphologically Optimized Particles Using Jet Mill, Jet Mill for Use in Such a Process and Particles Produced | 8 |
Torsten Handler | DE | Stuttgart | 2014-02-06 / 20140033794 - Method and Device for Diagnosing Electrodes in Sensor Elements | 7 |
Norbert Handler | AT | Vienna | 2016-02-11 / 20160039818 - NAPHTHYRIDINONE DERIVATIVES AND THEIR USE IN THE TREATMENT, AMELIORATION OR PREVENTION OF A VIRAL DISEASE | 9 |
Jonathan Blake Handler | US | Redwood City | 2015-09-17 / 20150261802 - INDEX CONFIGURATION FOR SEARCHABLE DATA IN NETWORK | 5 |
Nancy S. Handler | US | Davis | 2009-04-16 / 20090097900 - Brush Positioning Apparatus and Method | 1 |
Michael Irwin Handler | US | Dublin | 2013-09-12 / 20130233649 - Wayside Friction Management System | 1 |
Alfred M. Handler | US | Gainesville | 2009-03-26 / 20090083870 - Systems for gene targeting and producing stable genomic transgene insertions | 1 |
Jordan Jerome Handler | US | Seattle | 2016-03-03 / 20160061748 - SYSTEMS AND METHODS FOR DETECTING CRACK GROWTH | 1 |
Jordan Handler | US | Rye Brook | 2010-04-29 / 20100101855 - CABLE RACEWAY WITH BOX | 2 |
David Handler | US | Newton | 2016-03-10 / 20160067448 - SYSTEM AND METHOD FOR NAVIGATING A GUIDE WIRE | 6 |
Brad Handler | US | Palo Alto | 2011-03-24 / 20110071946 - CREDIT APPLICANT AND USER AUTHENTICATION SOLUTION | 3 |
Jonathan A. Handler | US | Northbrook | 2016-05-19 / 20160140193 - User Interface for Predictive Model Generation | 4 |
Jonathan A. Handler | US | Chicago | 2012-07-05 / 20120173576 - PATIENT IDENTIFICATION | 1 |
Tom Handler | US | North Hills | 2008-09-25 / 20080234850 - MODEL BASED DEFINITION INSTALLATION AND ASSEMBLY DRAWING PROCESS | 1 |
Brad Handler | US | Menlo Park | 2010-11-11 / 20100287066 - METHOD AND APPARATUS FOR HOLDING AN ONLINE LIVE AUCTION TO COMBINE FEATURES OF BOTH THE INTERNET AND TRADITIONAL, REAL WORLD AUCTIONS | 1 |
Bradley A. Handler | US | Menlo Park | 2015-10-22 / 20150301701 - METHOD AND SYSTEM FOR COMMUNICATING USER INTERFACES BETWEEN FIRST AND SECOND USERS OVER A NETWORK | 4 |
Norbert Handler | AT | Vienna | 2016-02-11 / 20160039818 - NAPHTHYRIDINONE DERIVATIVES AND THEIR USE IN THE TREATMENT, AMELIORATION OR PREVENTION OF A VIRAL DISEASE | 9 |
Jeffrey I. Handler | US | Grand Rapids | 2010-06-17 / 20100153209 - SYSTEM AND METHOD FOR CALCULATING CANDIDATE RECIPES FOR CONSUMERS USING WIDGETS BASED ON CONSUMER TARGET DATA | 1 |
Jonathan Alan Handler | US | Northbrook | 2011-12-29 / 20110320433 - Automated Joining of Disparate Data for Database Queries | 4 |
Bradley A. Handler | US | Palo Alto | 2015-06-25 / 20150178510 - METHOD AND SYSTEM FOR CHILD AUTHENTICATION | 5 |
Jeff Handler | US | Grand Rapids | 2010-06-17 / 20100153201 - SYSTEM AND METHOD FOR PROVIDING FOOD AND GROCERY MULTI-MEDIA CONTENT TO CONSUMERS USING WIDGETS | 1 |
Jonathan Handler | US | Northbrook | 2011-12-22 / 20110314024 - SEMANTIC CONTENT SEARCHING | 1 |
James R. Handley | US | East Amherst | 2016-01-07 / 20160003539 - ARGON CONDENSATION SYSTEM AND METHOD | 2 |
Joshua Handley | US | Silver Spring | 2011-08-18 / 20110202856 - Systems and methods for interfacing with a virtual object in a haptic virtual environment | 1 |
Ian Handley | US | Mountain View | 2011-04-21 / 20110093006 - BIOCOMPATIBLE PHASE INVERTIBLE PROTEINACEOUS COMPOSITIONS AND METHODS FOR MAKING AND USING THE SAME | 1 |
Jonathan David Handley | GB | West Midlands | 2014-06-05 / 20140151157 - Stairlift | 1 |
Karen Handley | US | Londonderry | 2014-12-18 / 20140371225 - PYRIDAZINONE COMPOUNDS AND METHODS FOR THE TREATMENT OF CYSTIC FIBROSIS | 1 |
Michael Handley | US | Greenbrae | 2012-03-15 / 20120065185 - SUBSTITUTED ALKYLAMINE DERIVATIVES AND METHODS OF USE | 1 |
John C. Handley | US | Roselawn | 2013-05-30 / 20130138481 - DETECTING PARKING ENFORCEMENT OPPORTUNITIES | 1 |
Michael J. Handley | US | Windsor | 2015-01-22 / 20150025083 - PROCASPASE COMBINATION THERAPY FOR GLIOBLASTOMA | 1 |
Joshua E. Handley | US | Silver Spring | 2014-11-13 / 20140333625 - Apparatus and Methods for Haptic Rendering Using a Haptic Camera View | 1 |
John C. Handley | US | Fairport | 2016-04-14 / 20160104081 - DYNAMIC SPACE-TIME DIAGRAM FOR VISUALIZATION OF TRANSPORTATION SCHEDULE ADHERENCE | 37 |
Daniel A. Handley | US | Genoa City | 2013-09-05 / 20130228241 - Apparatus, Systems and Methods for Managing Fluids | 1 |
Brian Alex Handley | GB | Derby | 2008-10-16 / 20080252021 - SEAL ARRANGEMENT | 1 |
Mont Andrew Handley | US | Pittsburgh | 2016-04-07 / 20160096779 - MATERIALS SUITABLE AS SUBSTITUTES FOR PEAT MOSSES AND PROCESSES AND APPARATUS THEREFOR | 1 |
Joyce A. Handley | US | Atlanta | 2010-01-07 / 20100000019 - MATTRESS WITH REMOVABLE TOP | 1 |
Jeremy Handley | US | Boaz | 2014-09-25 / 20140286716 - Portable Materials Transportation System | 1 |
Ian Matthew Handley | US | Wisconsin Dells | 2014-10-09 / 20140299029 - EXPANDABLE PONTOON SYSTEM | 1 |
Jason Handley | US | Waxhaw | 2016-05-12 / 20160131686 - APPARATUSES INCLUDING UTILITY METER, POWER ELECTRONICS, AND COMMUNICATIONS CIRCUITRY, AND RELATED METHODS OF OPERATION | 3 |
Stuart J. Handley | GB | Welwyn Garden City | 2008-09-25 / 20080232829 - ADAPTIVE TONER GAS GAUGE | 2 |
Harold H. Handley | US | Encintas | 2011-11-24 / 20110284463 - DEVICE AND METHOD FOR PURIFYING VIRALLY INFECTED BLOOD | 1 |
Richard S. Handley | US | Canton | 2013-04-04 / 20130084652 - Homogeneous Chemiluminescence Assay Methods with Increased Sensitivity | 3 |
John R. Handley | US | Duluth | 2015-04-16 / 20150105519 - ACID SALT FORMS OF POLYMER-DRUG CONJUGATES AND ALKOXYLATION METHODS | 4 |
Simon G. Handley | US | Palo Alto | 2013-01-10 / 20130013645 - SEMANTIC MATCHING | 1 |
Simon Handley | US | Palo Alto | 2008-10-09 / 20080249876 - METHOD AND SYSTEM USING DISTRIBUTIONS FOR MAKING AND OPTIMIZING OFFER SELECTIONS | 1 |
Michael W. Handley | US | Farmington | 2013-01-10 / 20130008313 - FILTER CONSTRUCTION FOR USE WITH AIR IN-TAKE FOR GAS TURBINE AND METHODS | 3 |
John Handley | US | Duluth | 2012-10-25 / 20120271000 - COMPOSITIONS COMPRISING CONJUGATES AND MALEAMIC ACID-TERMINATED, WATER-SOLUBLE POLYMERS | 3 |
Richard A. Handley | US | Loon Lake | 2011-02-03 / 20110024360 - COMPOSITIONS AND METHODS FOR WASTEWATER TREATMENT | 2 |
David W. Handley | US | Waterford | / - | 1 |
Brian A. Handley | GB | Derby | 2012-06-07 / 20120137650 - FLUID IMPINGEMENT ARRANGEMENT | 5 |
Harold H. Handley | US | Encinitas | 2016-01-07 / 20160000987 - DEVICE AND METHOD FOR PURIFYING VIRALLY INFECTED BLOOD | 4 |
William Handley | US | Chandler | 2008-09-11 / 20080217764 - Piezoelectric cooling of a semiconductor package | 1 |
Maynard Handley | US | Cupertino | 2008-10-02 / 20080240600 - ADAPTIVELY POST FILTERING ENCODED VIDEO | 2 |
Dean A. Handley | US | Shrewsbury | 2015-07-16 / 20150196531 - Methods and Compositions For Treating Sleep-Related Breathing Disorders | 3 |
Sheryll H. Handley | US | Fort Worth | 2008-12-11 / 20080305514 - METHOD FOR DETECTING MICROBES | 1 |
Joshua Handley | US | Cambridge | 2009-02-05 / 20090033660 - APPARATUS AND METHODS FOR TEXTURE MAPPING | 2 |
John Handley | US | Chicago | 2015-11-05 / 20150317731 - EXCHANGE ORDER PRIORITY RETENTION FOR ELECTRONIC TRADING USING AUTOMATIC BOOK UPDATES | 4 |
Michael Handley | US | Farmington | 2015-09-24 / 20150266145 - AIR INTAKE SEPARATOR SYSTEMS AND METHODS | 3 |
Paul Newton Handley | AU | Goodna | 2015-03-05 / 20150065440 - SULFATED OLIGOSACCHARIDE DERIVATIVES | 1 |
James Richard Handley | US | East Amherst | 2012-05-24 / 20120125044 - FEED COMPRESSION METHOD AND APPARATUS FOR AIR SEPARATION PROCESS | 1 |
Stuart John Handley | GB | Welwyn Garden City | 2009-05-21 / 20090129793 - BLADE MAINTENANCE PROCESS AND SYSTEM FOR MAINTAINING ADEQUATE TONER DAM | 1 |
Michael K. Handley | US | Windsor | 2016-04-14 / 20160100928 - CATHETER-BASED APPARATUSES AND METHODS | 7 |
Brian Handley | GB | Derby | 2013-02-07 / 20130034424 - TIP CLEARANCE CONTROL DEVICE | 1 |
Michael K. Handley | US | Greenbrae | 2013-10-17 / 20130273004 - SUBSTITUTED ALKYLAMINE DERIVATIVES AND METHODS OF USE | 1 |
Scott Handley | US | Saint Louis | 2015-10-08 / 20150284814 - VIRUSES ASSOCIATED WITH IMMUNODEFICIENCY AND ENTEROPATHY AND METHODS USING SAME | 1 |
Simon James Handley | GB | Kendal | 2013-12-19 / 20130333396 - CRYOGENIC COOLING APPARATUS FOR TRANSPORT OF PERISHABLE GOODS | 1 |
Ian J. Handley | US | Mountain View | 2014-08-21 / 20140235825 - BIOCOMPATIBLE PHASE INVERTIBLE PROTEINACEOUS COMPOSITIONS AND METHODS FOR MAKING AND USING THE SAME | 5 |
Kenneth R. Handley, Iii | US | Lockport | 2015-10-22 / 20150300680 - CONDENSATE DRAINAGE DEVICE FOR HEAT EXCHANGER | 2 |
Harold H. Handley, Jr. | US | Encinitas | 2011-09-08 / 20110218512 - ENHANCED ANTIVIRAL THERAPY METHODS AND DEVICES | 2 |
Dale L. Handlin | US | Shelby | 2012-09-27 / 20120244369 - COATINGS TO PREVENT BIOFOULING OF SURFACES | 2 |
Dale L. Handlin, Jr. | US | Houston | 2010-02-18 / 20100038815 - ELASTOMERIC BICOMPONENT FIBERS COMPRISING BLOCK COPOLYMERS HAVING HIGH FLOW | 3 |
Dale Lee Handlin, Jr. | US | Shelby | 2013-04-18 / 20130096265 - MIKTOPOLYMER COMPOSITIONS | 3 |
Dale Lee Handlin, Jr. | US | Charlotte | 2016-02-04 / 20160032096 - POLYMER COMPOSITION AND COMPOUND THEREFROM FOR ISOTROPIC FILM, EXTRUDED AND MOLDED ARTICLE | 1 |
Dale L. Handlin, Jr. | US | Shelby | 2012-05-10 / 20120111570 - WELLBORE TREATMENT COMPOSITIONS COMPRISING HYDROPHILICALLY MODIFIED POLYMERS AND NONIONIC SURFACTANTS | 2 |
Dale Lee Handlin, Jr. | US | Houston | 2011-11-03 / 20110268901 - PROCESS FOR PREPARING MEMBRANES AND MEMBRANE STRUCTURES FROM A SULFONATED BLOCK COPOLYMER FLUID COMPOSITION | 11 |
Michael William Handlogten | US | Rochester | 2013-11-21 / 20130309699 - ANTIBODY PURIFICATION VIA AFFINITY CHROMATOGRAPHY | 1 |
Glen H. Handlogten | US | Rochester | 2009-10-01 / 20090248777 - SYSTEMS, METHODS AND COMPUTER PROGRAM PRODUCTS FOR HARDWARE ASSISTS FOR MICROCODED FLOATING POINT DIVIDE AND SQUARE ROOT | 1 |
Brian Edward Handlon | US | Horse Shoe | 2015-10-08 / 20150285132 - ACTUATOR ROD SEALING SYSTEM | 2 |
Timothy James Handlon | US | Fishers | 2013-10-10 / 20130264350 - Keg Insulating Apparatus | 1 |
William Gregory Handlos | US | Manitowoc | 2014-09-18 / 20140270945 - MAT, PORTABLE POROUS CONSTRUCTION MAT SYSTEM, TOOLS, AND METHODS | 2 |
William G. Handlos | US | Manitowoc | 2012-07-26 / 20120189389 - METHOD OF PRODUCING A COMPOSITE MATERIAL USING A MIXING SYSTEM | 1 |
Joel Handlos | US | Omaha | 2011-04-21 / 20110089320 - DIRECT INJECTION NEBULIZER | 1 |
William Handlos | US | Manitowoc | 2014-05-22 / 20140140777 - ANCHOR ARRANGEMENT FOR USE WITH OPEN MAT SYSTEM; OPEN MAT SYSTEM; AND METHODS FOR REINFORCING EARTH | 7 |
Robert W. Handlos | US | Uniontown | 2015-07-09 / 20150190848 - Systems And Methods For The Management Of Waste Associated With Processing Guayule Shrubs To Extract Rubber | 2 |
Paul Robert Handly | US | Gilbert | 2010-05-20 / 20100125739 - SECURE CONFIGURATION OF PROGRAMMABLE LOGIC DEVICE | 1 |
Lawrence M. Handman | US | Los Angeles | 2016-03-31 / 20160090305 - Phosphorous Pentoxide Producing Methods and Systems with Increased Agglomerate Compression Strength | 1 |
Lawrence M. Handman | US | Cherry Hill | 2014-05-01 / 20140120813 - METHOD AND SYSTEM FOR OPENING SHELLFISH USING INFRARED ENERGY | 1 |
Takuya Hando | JP | Inuyama-Shi | 2015-12-17 / 20150366058 - WIRING SUBSTRATE AND METHOD FOR PRODUCING THE SAME | 15 |
Petrus Handoko | US | Saratoga | 2011-11-17 / 20110282944 - SYSTEMS AND METHODS FOR CONTENT SHARING ACROSS ENTERPRISE SOCIAL NETWORKS | 1 |
Li Handong | US | State College | 2013-04-25 / 20130099342 - LATERAL COLLECTION PHOTOVOLTAICS | 1 |
Timothy Handorf | US | Trevor | 2010-11-04 / 20100281429 - METHODS AND APPARATUS FOR CONFIGURING A PRODUCT USING AN ARRAY OF CONFIGURATION SETS | 2 |
Cherie Handorf | US | Maineville | 2016-03-10 / 20160066647 - FOOTWEAR INSOLES INCORPORATING GEL-INFUSED MEMORY FOAM | 1 |
Charles Handorf | US | Memphis | 2013-10-03 / 20130261018 - INTERFERON GENE SIGNATURE AND METHODS OF USE THEREOF | 1 |
Timothy W. Handorf | US | Trevor | 2014-08-21 / 20140236858 - SCORING SYSTEM, METHOD AND DEVICE FOR GENERATING AND UPDATING SCORES FOR MARKETED OFFERINGS | 2 |
Timothy William Handorf | US | Trevor | 2013-04-25 / 20130103806 - METHODS AND APPARATUS FOR MAINTAINING BUSINESS RULES IN A CONFIGURATION SYSTEM | 2 |
Aaron S. Handrick | US | Chicago | 2015-01-22 / 20150024091 - Cheese With Improved Organoleptic And Melting Properties | 3 |
Brian David Handrigan | US | Wildwood | 2016-03-03 / 20160062727 - SYSTEMS AND METHODS FOR ENABLING INTERNET CO-BROWSING | 2 |
Randy G. Handrinos | US | Troy | 2011-09-15 / 20110221178 - Stamped Housing Linear Pretensioner | 1 |
Christopher Hands | US | Freehold | 2014-01-23 / 20140021202 - BEVERAGE CAN INCLUDING ANTIMICROBIAL WIPE | 1 |
David S. Hands | GB | Ipswich | 2010-03-11 / 20100061446 - VIDEO SIGNAL ENCODING | 1 |
David Hands | GB | Enfield | 2010-07-08 / 20100174091 - Process for the preparation of tetrazolytetrahydrocyclopentapyrazoles | 1 |
Philip Hands | GB | Edinburgh | 2015-05-21 / 20150138497 - PRINTING OF LIQUID CRYSTAL DROPLET LASER RESONATORS ON A WET POLYMER SOLUTION AND PRODUCT MADE THEREWITH | 1 |
Godfrey Hands | GB | Warwickshire | 2010-03-04 / 20100050308 - Protective Clothing | 1 |
Jerrold Handsaker | US | Roland | 2008-12-11 / 20080304253 - LIGHTED HANDRAIL | 1 |
Robert Handsaker | US | Charlemont | 2013-01-10 / 20130013994 - SYSTEM AND METHOD FOR DYNAMIC DATA ACCESS IN A SPREADSHEET WITH EXTERNAL PARAMETERS | 3 |
Jerry Handsaker | US | Roland | 2013-06-20 / 20130155670 - LENTICULAR LED LIGHT SOURCE REPLACEMENT FOR FLUORESCENT IN TROFFER | 3 |
David H. Handsaker | US | Winterset | 2014-10-23 / 20140317240 - SYSTEMS AND METHODS FOR STREAMING VIDEO PROGRAMS WHILE INTEGRATING ACCOUNTABILITY AND COMMUNICATION | 1 |
Bert Handschick | DE | Obersdorf | 2011-10-27 / 20110259714 - METHOD AND CONVEYOR DEVICE FOR RETURNING EMPTIES, IN PARTICULAR BOTTLES AND CANS | 1 |
Bert Handschick | DE | Olbersdorf | 2013-11-14 / 20130299304 - DEVICE FOR TAKING BACK EMPTY CONTAINERS, IN PARTICULAR PLASTIC BOTTLES AND METAL CANS | 2 |
Eduard Handschuh | DE | Donaustauf | 2016-04-21 / 20160107368 - HOLLOW PART MANUFACTURING MACHINE | 8 |
Sandra Handschuh | DE | Biberach An Der Riss | 2015-12-31 / 20150376131 - SUBSTITUTED 4-PYRIDONES AND THEIR USE AS INHIBITORS OF NEUTROPHIL ELASTASE ACTIVITY | 8 |
Eduard Handschuh | IS | Donaustauf | 2015-02-19 / 20150048560 - Blow moulding machine with controlled movement of the stretch rod and blow moulding nozzle | 1 |
Eduard Handschuh | DE | Regensburg | 2012-12-27 / 20120326359 - Apparatus and Method of Shaping Plastics Material Pre-forms into Plastics Material Containers with Stretch Bar Movement Sealed Off by Rolling Diaphragm | 7 |
Tobias Handschuh | DE | Stuttgart | 2010-05-13 / 20100121592 - Method for Determining the Actual Amount of Energy Available in an Energy Storage Module | 1 |
Sandra Handschuh | DE | Warthausen | 2010-08-12 / 20100204160 - SUBSTITUTED 1,2-ETHYLENEDIAMINES, METHODS FOR PREPARING THEM AND USES THEREOF | 2 |
Sandra Handschuh | DE | Biberach | 2013-07-18 / 20130184256 - NEW SUBSTITUTED GLYCINAMIDES, PROCESS FOR THEIR MANUFACTURE AND USE THEREOF AS MEDICAMENTS | 12 |
Kurt Handschuh | DE | Eckental | 2010-07-29 / 20100186560 - APPARATUS AND METHOD FOR CONTROLLING THE MACHINING OF WORKPIECES USING PIEZOCERAMIC TRANSDUCERS | 3 |
Helena Handschuh | FR | Paris | 2009-12-03 / 20090300312 - INSTANT HARDWARE ERASE FOR CONTENT RESET AND PSEUDO-RANDOM NUMBER GENERATION | 6 |
Helena Handschuh | US | Palo Alto | 2015-06-25 / 20150178478 - PROTECTING MEDIA ITEMS USING A MEDIA SECURITY CONTROLLER | 4 |
Eduard Handschuh | DE | Donaustauf | 2016-04-21 / 20160107368 - HOLLOW PART MANUFACTURING MACHINE | 8 |
Héléna Handschuh | US | Palo Alto | / - | 1 |
Eduard Handschuh | DE | Regonsburg | 2012-11-15 / 20120286456 - APPARATUS AND A METHOD FOR MOULDING PLASTIC PREFORMS | 1 |
Eduard Handschuh | DE | Neutraubling | 2013-03-14 / 20130064920 - BLOWING MACHINE WITH DEMOUNTABLE BLOWING STATIONS | 1 |
Sandra Handschuh | DE | Biberach An Der Riss | 2015-12-31 / 20150376131 - SUBSTITUTED 4-PYRIDONES AND THEIR USE AS INHIBITORS OF NEUTROPHIL ELASTASE ACTIVITY | 8 |
Mark A. Handschy | US | Boulder | 2012-03-29 / 20120075320 - DEFECT MAPPING FOR A DIGITAL DISPLAY | 10 |
Darran Handshaw | US | Sound Beach | 2012-04-12 / 20120085824 - OBJECT PROXIMITY SENSOR RECESSED INTO IMAGING READER | 2 |
Darren Handshaw | US | Miller Place | 2010-04-29 / 20100102128 - Laser power control arrangements in electro-optical readers | 1 |
Sam Handshoe | US | Catlettsburg | 2013-08-01 / 20130193991 - High Voltage Sensing Capacitor and Indicator Device | 2 |
John Handshoe | US | Bethoage | 2015-10-29 / 20150307944 - KIT COMPRISING PRIMERS FOR AMPLIFYING ALK KINASE DOMAIN NUCLEIC ACIDS | 1 |
Gary L. Handshoe | US | Wawaka | 2009-07-16 / 20090179421 - APPARATUS FOR COUPLING COMPONENTS OF A FUEL DELIVERY SYSTEM | 1 |
John Handshoe | US | Bethpage | 2015-08-20 / 20150232940 - METHODS AND COMPOSITIONS RELATING TO DIAGNOSING AND TREATING RECEPTOR TYROSINE KINASE RELATED CANCERS | 2 |
Sam Handshoe | US | 2013-02-21 / 20130043891 - High Voltage Sensing Capacitor and Indicator Device | 1 | |
Bret Handson | US | Sherman Oaks | 2012-06-14 / 20120144596 - Modular mattress | 1 |
Herbert M. Hand, Sr. | US | Bel Air | 2012-09-13 / 20120230891 - HYDROPHILIC DIAGNOSTIC DEVICES FOR USE IN THE ASSAYING OF BIOLOGICAL FLUIDS | 3 |
René Handte | DE | Vaihingen/enz | 2015-12-31 / 20150378144 - ASSEMBLY FOR A VIDEO ENDOSCOPE | 1 |
Marcus Handte | DE | Troisdorf | 2015-06-25 / 20150177359 - METHOD FOR USING AND GENERATING A MAP | 1 |
Jakob Handte | CH | Bottighofen | 2015-10-29 / 20150306532 - Filter Device and Method for Cleaning at Least One Filter Element, in Particular by Using Such a Filter Device | 1 |
Martin Handtmann | DE | Muenchen | 2009-04-16 / 20090096550 - Bulk acoustic wave device | 4 |
Martin Handtmann | DE | Riemerling | 2016-04-28 / 20160119017 - MULTIPLEXER DEVICE WITH MULTIPLE NOTCH FILTERS CONNECTED IN PARALLEL | 2 |
Martin Handtmann | DE | Munich | 2013-02-21 / 20130043961 - DUPLEXER WITH SHIELDING BONDWIRES BETWEEN FILTERS | 10 |
Martin Handtmann | DE | Munich | 2013-02-21 / 20130043961 - DUPLEXER WITH SHIELDING BONDWIRES BETWEEN FILTERS | 10 |
Justin P. Handville | US | Largo | 2014-10-02 / 20140292481 - WIRELESS ACCESS CONTROL SYSTEM AND RELATED METHODS | 1 |
Gary Handwerk | US | Ocala | 2011-10-06 / 20110240317 - INTEGRATED CONTROLS FOR A FIRE SUPRESSION SYSTEM | 2 |
Detlef Handwerk | DE | Koln | 2015-12-03 / 20150345807 - AIR INTAKE - DRAINAGE FEATURES | 4 |
Richard Handwerk | US | Schnecksville | 2016-03-03 / 20160059440 - EQUIPMENT AND PROCESS FOR PRODUCING POLYMER PELLETS | 3 |
Carol Anne Handwerker | US | West Lafayette | 2014-12-18 / 20140370322 - SHELL ACTIVATED SINTERING OF CORE-SHELL PARTICLES | 2 |
Guenter Handwerker | DE | Boehl-Lggelheim | 2011-12-01 / 20110295095 - Meter with bi-stable display | 1 |
Guenter Handwerker | DE | Boehl-Iggelheim | 2012-06-28 / 20120165639 - STORAGE OF CALIBRATION DATA AT A CONTINUOUS GLUCOSE MONITOR | 1 |
Julie Larsen Handwerker | US | Appleton | 2010-06-17 / 20100152688 - Wetness sensor insert | 1 |
Carol Handwerker | US | West Lafayette | 2016-02-18 / 20160049542 - HOMOGENEOUS PRECURSOR FORMATION METHOD AND DEVICE THEREOF | 1 |
Gary Handwerker | US | Northfield | 2013-10-31 / 20130288014 - CONCRETE CURE BLANKET HAVING REFLECTIVE BUBBLE LAYER AND METHOD OF MANUFACTURING | 5 |
Martin Lewis Handwerker | US | Palo Alto | 2009-01-29 / 20090031327 - METHOD FOR PERFORMING REAL-TIME ANALYTICS USING A BUSINESS RULES ENGINE ON REAL-TIME HETEROGENOUS MATERIALIZED DATA VIEWS | 1 |
Michael Handwerker | DE | Muenchen | 2015-10-01 / 20150278618 - AUTOMATIC HEAD COUNT DETERMINATION ON BOARD A MEANS OF TRANSPORT | 1 |
John Adam Handwork | US | Clackamas | 2009-10-15 / 20090257092 - STORAGE OF STAMP INFORMATION FOR ERROR DIFFUSION | 2 |
Elizabeth Handy | US | East Point | 2016-04-21 / 20160106662 - Cocoa Butter Powdered Moisturizer | 1 |
Aslam Taher Handy | US | Richmond | 2010-03-18 / 20100070291 - Business_on_a_page | 1 |
Erik S. Handy | US | Malden | 2015-03-19 / 20150079284 - Methods for Coating Articles | 8 |
Rachel Handy | GB | Hampshire | 2012-04-26 / 20120101082 - VASOPRESSIN V1A ANTAGONISTS | 2 |
Rachel Louisa Charlotte Handy | GB | Southampton | 2013-10-24 / 20130281439 - TOSYLATE SALT OF CYCLOPROPANECARBOXYLIC ACID 4-(6-CHLORO-3-METHYL-4, 10-DIHYDRO-3H-2,3,4,9-TETRABENZO[F]AZULENE-9-CARBONYL)-2-FLUOROBENZYLAMID- E | 1 |
Stephen Van De Walker Handy | US | Bremerton | 2015-07-09 / 20150193423 - AUTOMATIC RELATIONSHIP DETECTION FOR SPREADSHEET DATA ITEMS | 8 |
Derek S. Handy | US | Redondo Beach | 2014-08-28 / 20140242874 - Toy Apparatus | 1 |
John P. Handy | US | Nashua | 2013-04-25 / 20130101090 - Methods to Perform Backscatter Inspection of Complex Targets in Confined Spaces | 1 |
Steve Handy | US | Redmond | 2012-05-17 / 20120124043 - PERIOD TO DATE FUNCTIONS FOR TIME INTELLIGENCE FUNCTIONALITY | 2 |
Ryan Handy | CA | Calgary | 2008-11-06 / 20080273308 - MAGNETICALLY COUPLED COOLING BLOCK | 2 |
Keith David Handy | GB | Stone Staffordshire | 2015-10-22 / 20150298915 - CLEANING APPARATUS | 1 |
Derek S. Handy | US | Hawthorne | 2016-05-19 / 20160136518 - Toy Apparatus | 2 |
Ebrahim Handy | US | Bloomfield Hills | 2012-05-24 / 20120130594 - METHOD FOR MANAGING FAULTS IN A MAGNETO-RHEOLOGICAL HYDRAULIC POWER STEERING SYSTEM | 2 |
William Brooks Handy | US | Spring | 2015-12-10 / 20150354339 - Downhole Camera | 1 |
John N. Handy | US | Big Sur | 2011-08-18 / 20110197335 - Combination shirt and suspenders | 1 |
Stacey Handy | GB | West Midlands | 2010-09-30 / 20100243463 - Chromium Alloy Coating with Enhanced Resistance to Corrosion in Calcium Chloride Environments | 2 |
Erik S. Handy | US | Malden | 2015-03-19 / 20150079284 - Methods for Coating Articles | 8 |
Stacey L. Handy | GB | West Midlands | 2012-12-13 / 20120312694 - Dark Colored Chromium Based Electrodeposits | 2 |
William B. Handy | US | Houston | 2014-08-21 / 20140236485 - RECOVERABLE DATA ACQUISITION SYSTEM AND METHOD OF SENSING AT LEAST ONE PARAMETER OF A SUBTERRANEAN BORE | 1 |
Francis J. Handy | US | Kentfield | 2012-05-24 / 20120125947 - Refill Bag | 1 |
Paul Handy | IL | Halutza | 2009-05-21 / 20090126805 - Double Check Valve for a Fuel System | 1 |
Scott R. Handy | US | Hagerstown | 2013-03-28 / 20130075313 - Water Softener With Closed-Pressure Aeration | 2 |
Derek Handy | US | North Hollywood | 2011-08-04 / 20110189922 - TOY FIGURES | 1 |
Jacquelyn Handy | US | Huron | 2015-11-26 / 20150337507 - Traffic Barrier Assembly | 1 |
Keith David Handy | GB | Stone | 2015-10-22 / 20150298915 - CLEANING APPARATUS | 1 |
Erik S. Handy | US | Arlington | 2008-12-25 / 20080318030 - LASER TRANSFER ARTICLES AND METHOD OF MAKING | 1 |
Stephen Van De Walker Handy | US | Bremerton | 2015-07-09 / 20150193423 - AUTOMATIC RELATIONSHIP DETECTION FOR SPREADSHEET DATA ITEMS | 8 |
Stephen Handy | US | Redmond | / - | 1 |
Peter James Handy | GB | Cheltenham | 2016-02-11 / 20160041222 - BUILT-IN TESTING OF AN ARC FAULT/TRANSIENT DETECTOR | 3 |
John Hans Handy-Bosma | US | Austin | 2011-01-06 / 20110003578 - Automatic Wireless Utilization of Cellular Telephone Devices | 1 |
John Hans Handy-Bosma | US | Cedar Park | 2013-01-03 / 20130007166 - PLAYBACK OF INSTANT MESSAGING SESSION HISTORY | 18 |
John H. Handy-Bosma | US | Cedar Park | 2015-10-01 / 20150278313 - TAGGING OF FACET ELEMENTS IN A FACET TREE | 7 |
John Handy-Bosma | US | Austin | 2011-06-09 / 20110138000 - APPLYING TAGS FROM COMMUNICATION FILES TO USERS | 2 |
Handylab, Inc. | US | Frankin Lakes | 2013-04-25 / 20130101990 - MICROFLUIDIC SYSTEM FOR AMPLIFYING AND DETECTING POLYNUCLEOTIDES IN PARALLEL | 1 |
Handylab, Inc. | US | Ann Arbor | 2013-04-18 / 20130096292 - POLYNUCLEOTIDE CAPTURE MATERIALS, AND METHODS OF USING SAME | 1 |
Handylab, Inc. | US | Franklin Lakes | 2013-06-27 / 20130164832 - MICROFLUIDIC DEVICES HAVING A REDUCED NUMBER OF INPUT AND OUTPUT CONNECTIONS | 2 |
Handylab, Inc. | US | 2013-08-22 / 20130217102 - SYSTEMS AND METHODS FOR THERMAL ACTUATION OF MICROFLUIDIC DEVICES | 1 | |
Perry Handyside | US | Kremmling | 2014-01-23 / 20140020671 - SLIDER GRILL | 1 |
Alan Handyside | GB | East Sussex | 2015-11-26 / 20150337381 - ASSESSMENT OF RISK OF ANEUPLOIDY | 1 |
Timothy Marc Handyside | GB | Saffron Walden | 2014-10-09 / 20140302737 - WATER-PROOF COATING SYSTEM FOR REFLECTING SOLAR RADIATION AND WATER-BORNE COATINGS FOR FORMING THE WHITE DECORATIVE AND REFLECTIVE LAYER IN THE COATING SYSTEM | 2 |
Alan Handyside | GB | London | 2008-12-25 / 20080318235 - Chromosomal Analysis By Molecular Karyotyping | 1 |
Graham Handyside | US | San Jose | 2009-03-05 / 20090058659 - Inventory alarm and ink tag combination | 1 |
Perry Handyside | US | Eaton | 2016-02-25 / 20160051091 - SLIDER GRILL | 1 |
Timothy Marc Handyside | GB | Essex Elmdon | 2015-01-22 / 20150025178 - AMINE CURABLE EPOXY RESIN COMPOSITION | 1 |
Amir Handzel | US | Watertown | 2010-10-28 / 20100273661 - Methods and Kits for Predicting Treatment Response in Type II Diabetes Mellitus Patients | 2 |
Robert M. Handzel | US | Liverpool | 2012-11-01 / 20120277614 - High-Frequency Oscillatory Ventilation Monitoring Method and System | 1 |
Milosz Handzel | PL | Krakow | 2015-03-12 / 20150070125 - INTEGRAL INDUCTOR ARRANGEMENT | 1 |
Amir Handzel | US | Rahway | 2009-08-27 / 20090211346 - Methods for Detecting Alzheimer's Disease | 1 |
Mark J. Handzel | US | Encinitas | 2008-12-18 / 20080310608 - CREDIT BASED MANAGEMENT OF TELECOMMUNICATION ACTIVITY | 1 |
Amir Aharon Handzel | US | Waltham | 2015-07-30 / 20150213599 - AUTOMATED HISTOLOGICAL DIAGNOSIS OF BACTERIAL INFECTION USING IMAGE ANALYSIS | 1 |
James J. Handzel | US | Golden Valley | 2011-05-26 / 20110121103 - SPRAYER FOR A FLUID DELIVERY SYSTEM | 4 |
Perry Handziak | US | Belgium | 2014-06-05 / 20140155853 - APPARATUS AND METHODS FOR FORMING LAMINATES CONTAINING ADDITIVE MATTER | 6 |
Jun Hane | JP | Tokyo | 2015-12-17 / 20150359419 - OBJECT INSERTION SYSTEM | 15 |
Shingo Hane | JP | Tokyo | 2013-03-07 / 20130061043 - METHOD OF VALIDATION PUBLIC KEY CERTIFICATE AND VALIDATION SERVER | 5 |
Ryoichi Hane | JP | Shiga | 2010-08-05 / 20100193428 - SUPPORT FOR SEPARATION MEMBRANE, AND METHOD FOR PRODUCTION THEREOF | 1 |
Hideomi Hane | JP | Oshu-Shi | 2015-11-19 / 20150329964 - Film Forming Apparatus | 1 |
Ryoichi Hane | JP | Otsu | 2015-03-05 / 20150060354 - SEPARATION MEMBRANE SUPPORT, METHOD FOR PRODUCING SAME, AND SEPARATION MEMBRANE AND FLUID SEPARATION ELEMENT USING SEPARATION MEMBRANE SUPPORT | 1 |
Tomoko Hane | JP | Moriyama-Shi | 2010-12-30 / 20100326825 - SOLID ION CONDUCTOR, ELECTROCHEMICAL DEVICE USING A SOLID ION CONDUCTOR, AND PROCESS FOR PRODUCING THE SAME | 4 |
Yoshinari Hane | JP | Tokyo | 2010-08-26 / 20100216333 - CABLE CONNECTING MEMBER FOR USE IN COLD CLIMATES | 1 |
Kiyoji Hane | JP | Azumino-Shi | 2011-03-10 / 20110058218 - PRINTING SYSTEM, PRINTER AND PDL PROCESSING APPARATUS | 1 |
Cindi Hane | US | Atlanta | 2015-04-09 / 20150100365 - CONSTRAINT OPTIMIZATION METHOD AND SYSTEM FOR SUPPLY CHAIN MANAGEMENT | 1 |
Kouji Hane | JP | Chigasaki-Shi | 2010-03-18 / 20100066775 - SPACER PLACING METHOD | 2 |
Koji Hane | JP | Chigasaki-Shi | 2008-09-04 / 20080210160 - Applicator and method for transferring dispersion liquid | 1 |
Shingo Hane | JP | Yokohama | 2009-10-15 / 20090259842 - METHOD, PRODUCT AND APPARATUS FOR ACCELERATING PUBLIC-KEY CERTIFICATE VALIDATION | 1 |
Shougo Hane | JP | Isehara-Shi | 2015-02-05 / 20150038286 - VEHICLE DRIVE CONTROL APPARATUS, AND VEHICLE DRIVE CONTROL METHOD | 1 |
Christopher A. Hane | US | Irvine | 2014-09-18 / 20140280309 - Efficient SQL Based Multi-Attribute Clustering | 4 |
Ryoichi Hane | JP | Otsu-Shi | 2015-08-27 / 20150240390 - POLYPHENYLENE SULFIDE COMPOSITE FIBER AND NONWOVEN FABRIC | 2 |
Hideomi Hane | JP | Iwate | 2015-07-30 / 20150214029 - METHOD FOR PROCESSING A SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS | 1 |
John K. Hane | US | Bethesda | 2010-01-28 / 20100023972 - WIDEBAND DIRECT-TO-HOME BROADCASTING SATELLITE COMMUNICATIONS SYSTEM AND METHOD | 1 |
Hiroshi Hane | JP | Tokyo | 2009-05-21 / 20090133065 - Information accumulation apparatus, information display method and computer program | 2 |
Jun Hane | JP | Tokyo | 2015-12-17 / 20150359419 - OBJECT INSERTION SYSTEM | 15 |
Norimasa Hane | JP | Tokyo | 2011-08-25 / 20110204867 - Switching Power Supply Circuit | 5 |
Jun Hane | JP | Shinjuku-Ku, Tokyo | 2010-07-01 / 20100165359 - OPTICAL ENCODER | 1 |
Claus Hanebeck | DE | Rheinstetten | 2012-11-22 / 20120292548 - Motorized rotary valve | 2 |
Hanns-Christian L. Hanebeck | US | Dallas | 2010-01-14 / 20100007495 - System and Method for Monitoring a Location of a Mobile RFID Reader | 1 |
Uwe D. Hanebeck | DE | Waldbronn | 2014-12-18 / 20140368387 - METHOD AND SYSTEM FOR SIMULTANEOUS RECEIVER CALIBRATION AND OBJECT LOCALISATION FOR MULTILATERATION | 1 |
Hanns-Christian Leemon Hanebeck | US | Carrollton | 2009-10-15 / 20090259579 - SYSTEM AND METHOD FOR TRANSFERRING MONETARY VALUE BETWEEN CURRENCY DOCUMENTS | 4 |
Michael Haneberg | DE | Muechen | 2012-04-19 / 20120096318 - Method for Computer-Aided Detection of Errors During the Execution of One or More Software-Based Programs in a System of Components | 1 |
Bjorn Haneberg | NO | Oslo | 2012-01-19 / 20120014991 - NOVEL, NON-ANTIGENIC, MUCOSAL ADJUVANT FORMULATION WHICH MODULATES THE EFFECTS OF SUBSTANCES, INCLUDING VACCINE ANTIGENS, IN CONTACT WITH MUCOSAL BODY SURFACES | 1 |
Sean Haneberg | US | Seattle | 2015-04-30 / 20150119147 - TIME LIMITED, APPLICATION SPANNING AND POST-APPLICATION RELEASE ACHIEVEMENTS | 1 |
Masaaki Hanebuchi | JP | Nukata-Gun | 2015-12-31 / 20150374228 - OPTICAL COHERENCE TOMOGRAPHY DEVICE, OPTICAL COHERENCE TOMOGRAPHY CALCULATION METHOD, AND OPTICAL COHERENCE TOMOGRAPHY CALCULATION PROGRAM | 13 |
Masaaki Hanebuchi | JP | Nukata | 2016-02-11 / 20160038023 - OPHTHALMOLOGIC APPARATUS | 4 |
Masaaki Hanebuchi | JP | Gamagori-Shi | 2013-12-19 / 20130338649 - OPHTHALMIC LASER SURGICAL APPARATUS | 2 |
Masaaki Hanebuchi | JP | Aichi | 2016-03-31 / 20160089016 - FUNDUS PHOTOGRAPHING APPARATUS WITH WAVEFRONT COMPENSATION AND METHOD FOR PHOTOGRAPHING FUNDUS IMAGE | 7 |
Masaaki Hanebuchi | JP | Nukata-Gun | 2015-12-31 / 20150374228 - OPTICAL COHERENCE TOMOGRAPHY DEVICE, OPTICAL COHERENCE TOMOGRAPHY CALCULATION METHOD, AND OPTICAL COHERENCE TOMOGRAPHY CALCULATION PROGRAM | 13 |
Marc Hanebuth | DE | Hamburg | 2012-12-06 / 20120304689 - Method for Separating a Cleaned Useful Gas From a Gas Mixture, and Device for Carrying Out Said Method | 4 |
Marc Hanebuth | DE | Nuremberg | 2015-02-26 / 20150056104 - FLUIDIZED BED REACTOR | 1 |
Marc Hanebuth | DE | Nurnberg | 2015-03-19 / 20150080483 - POWER STATION-BASED METHANATION SYSTEM | 4 |
Marc Hanebuth | DE | Nuremburg | 2016-04-28 / 20160115569 - METHOD FOR SYNTHESIZING A RARE EARTH ELEMENT BY REDOX REACTION | 2 |
Henning Hanebuth | DE | Pliening Ot Gelting | 2015-09-03 / 20150246409 - TORCH FOR TUNGSTEN INERT GAS WELDING | 1 |
Marc Hanebuth | DE | Numberg | 2013-11-21 / 20130309141 - APPARATUS FOR CONVERTING CARBON MONOXIDE AND WATER INTO CARBON DIOXIDE AND HYDROGEN WITH THE REMOVAL OF AT LEAST ONE PRODUCT GAS | 1 |
Ulf R. Hanebutte | US | Gig Harbor | 2012-01-19 / 20120017099 - METHOD AND APPARATUS TO LIMIT MEMORY POWER | 8 |
Brian D. Hanechak | US | Waltham | 2015-10-01 / 20150278166 - FLEXIBLE WEB PAGE TEMPLATE BUILDING SYSTEM AND METHOD | 19 |
Yoichi Haneda | JP | Tokyo | 2013-10-31 / 20130287225 - SOUND ENHANCEMENT METHOD, DEVICE, PROGRAM AND RECORDING MEDIUM | 1 |
Eri Haneda | US | San Diego | 2013-01-17 / 20130016222 - AUTOMATIC ADAPTIVE IMAGE SHARPENINGAANM Jiang; XiaoyunAACI San DiegoAAST CAAACO USAAGP Jiang; Xiaoyun San Diego CA USAANM Haneda; EriAACI San DiegoAAST CAAACO USAAGP Haneda; Eri San Diego CA US | 1 |
Yuya Haneda | JP | Chino | 2012-10-04 / 20120249702 - PRINTING METHOD AND PRINTING DEVICE | 3 |
Koji Haneda | JP | Anjo-Shi | 2012-07-05 / 20120167539 - BUSH CUTTER | 1 |
Shigeru Haneda | JP | Hitachinaka | 2013-04-04 / 20130082190 - STAGE APPARATUS | 4 |
Shigeru Haneda | JP | Yokohama-Shi | 2009-01-08 / 20090008653 - LIGHT EMITTING DEVICE | 1 |
Noriyuki Haneda | JP | Tokyo | 2014-07-24 / 20140204756 - COMMUNICATION SPEED CONTROL SYSTEM | 1 |
Hideo Haneda | JP | Aichi-Ken | 2009-03-05 / 20090062989 - Seat device for vehicle | 1 |
Masaki Haneda | JP | Kawasaki | 2013-08-15 / 20130207193 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 9 |
Hiroyuki Haneda | JP | Nagoya-Shi | 2011-12-15 / 20110302776 - ELECTRONIC-CIRCUIT ASSEMBLING PROCESS AND ELECTRONIC-CIRCUIT ASSEMBLING SYSTEM | 1 |
Masaki Haneda | JP | Kanagawa | 2015-06-25 / 20150179546 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE | 1 |
Terumasa Haneda | JP | Kawasaki | 2012-11-01 / 20120278688 - SEMICONDUCTOR DEVICE, INFORMATION PROCESSING APPARATUS, AND METHOD OF DETECTING ERROR | 13 |
Kazuyuki Haneda | JP | Chiba | 2009-06-11 / 20090148724 - Glass Substrate for Magnetic Recording Medium and Magnetic Recording Medium | 1 |
Takushiro Haneda | JP | Ome-Shi | 2009-06-18 / 20090153589 - MOBILE RADIO TERMINAL APPARATUS | 1 |
Shigeru Haneda | JP | Tokyo | 2015-07-23 / 20150206704 - Stage Apparatus and Sample Observation Apparatus | 2 |
Akira Haneda | JP | Kanagawa | 2009-07-16 / 20090178553 - COMPRESSOR | 1 |
Naoya Haneda | JP | Kanagawa | 2009-07-30 / 20090190695 - DECODING DEVICE AND METHOD, RECEIVING DEVICE AND METHOD, AND PROGRAM | 1 |
Yasuhiko Haneda | JP | Tokyo | 2013-01-24 / 20130022768 - MULTILAYER STRUCTURE AND METHOD FOR PRODUCING SAME | 1 |
Yoshitomi Haneda | JP | Anjo-Shi | 2015-07-23 / 20150203104 - HYBRID DRIVE DEVICE | 4 |
Toshio Haneda | JP | Tokyo | 2012-05-31 / 20120134539 - OBSERVATION APPARATUS AND OBSERVATION METHOD | 1 |
Kazuhiro Haneda | JP | Tokyo | 2015-12-24 / 20150373251 - IMAGE PICKUP DEVICE AND IMAGE PICKUP APPARATUS | 9 |
Naoya Haneda | JP | Tokyo | 2008-08-28 / 20080208943 - Data transform method and apparatus, data processing method and apparatus, and program | 1 |
Norihisa Haneda | JP | Tokyo | 2008-10-09 / 20080246991 - CONTENT MANAGING SYSTEM | 1 |
Tsutomu Haneda | JP | Tokyo | 2009-10-29 / 20090269502 - METHOD FOR PRODUCING CIRCULAR POLARIZATION SEPARATION SHEET, AND APPARATUS FOR COATING LAYER FORMATION | 1 |
Norihiro Haneda | JP | Tokyo | 2010-01-21 / 20100012603 - CIGARETTE DISPLAY SYSTEM | 1 |
Hideo Haneda | JP | Matsumoto-Shi | 2013-10-10 / 20130265181 - A/D CONVERTER CIRCUIT, ELECTRONIC APPARATUS AND A/D CONVERSION METHOD | 5 |
Masaji Haneda | JP | Tokyo | 2010-03-04 / 20100051857 - NEGATIVE-ELECTRODE ACTIVE MATERIAL FOR SECONDARY BATTERY | 1 |
Masaki Haneda | JP | Kawasaki | 2013-08-15 / 20130207193 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 9 |
Tsuyoshi Haneda | JP | Tokyo-To | 2010-04-29 / 20100105901 - SYNTHESIS METHOD OF POLYMER COMPLEX CRYSTAL | 1 |
Nana Haneda | JP | Tokyo | 2010-06-17 / 20100146829 - Card Toy | 1 |
Shigeya Haneda | JP | Inuyama | 2010-07-15 / 20100177995 - SLIDE MEMBER | 1 |
Takushiro Haneda | JP | Tokyo | 2010-06-24 / 20100161742 - COMMUNICATION APPARATUS | 4 |
Toru Haneda | JP | Ushiku-Shi | 2010-08-05 / 20100197911 - Nitrogen-Containing Aromatic Derivatives | 1 |
Satoshi Haneda | JP | Kita-Ku | 2012-11-29 / 20120297820 - COMBINED HEAT EXCHANGER SYSTEM | 1 |
Toru Haneda | JP | Ibaraki | 2010-10-21 / 20100267754 - INTEGRIN EXPRESSION INHIBITOR | 4 |
Narihiro Haneda | JP | Nagoya-City | 2012-11-29 / 20120299764 - RADAR APPARATUS AND LIGHT SCAN APPARATUS | 1 |
Hideo Haneda | JP | Matsumoto | 2015-10-01 / 20150280730 - SUCCESSIVE COMPARISON TYPE ANALOG/DIGITAL CONVERTER, PHYSICAL QUANTITY SENSOR, ELECTRONIC DEVICE, MOVING OBJECT, AND SUCCESSIVE COMPARISON TYPE ANALOG/DIGITAL CONVERSION METHOD | 3 |
Koji Haneda | JP | Aichi | 2015-12-31 / 20150375416 - CHAINSAW | 1 |
Yuya Haneda | JP | Azumino-Shi | 2014-12-25 / 20140376057 - CORRECTION METHOD OF PRINTING DATA | 1 |
Satoshi Haneda | JP | Osaka | 2015-12-24 / 20150368423 - THERMALLY EXPANDING MICROCAPSULES | 2 |
Kouji Haneda | JP | Anjo-Shi | 2013-01-17 / 20130014966 - ELECTRIC-POWER CULTIVATORAANM TOZAWA; KatsumiAACI Anjo-shiAACO JPAAGP TOZAWA; Katsumi Anjo-shi JPAANM TAKEDA; ShigeruAACI Anjo-shiAACO JPAAGP TAKEDA; Shigeru Anjo-shi JPAANM HANEDA; KoujiAACI Anjo-shiAACO JPAAGP HANEDA; Kouji Anjo-shi JPAANM WATANABE; MitsunoriAACI Anjo-shiAACO JPAAGP WATANABE; Mitsunori Anjo-shi JP | 2 |
Kazuyuki Haneda | JP | Ichihara-Shi | 2012-09-06 / 20120225610 - METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM GLASS SUBSTRATE | 9 |
Masaki Haneda | JP | Yokohama | 2014-05-22 / 20140138769 - SEMICONDUCTOR DEVICE AND FABRICATION METHOD | 4 |
Shigeya Haneda | JP | Inuyama-Shi | 2015-10-15 / 20150291904 - SLIDING MEMBER | 3 |
Noriyuki Haneda | JP | Toyohashi-Shi | 2012-08-09 / 20120199221 - RAW MATERIAL LOADING APPARATUS | 1 |
Hidetaka Haneda | JP | Seto | 2014-07-10 / 20140191889 - ANALOG-DIGITAL CONVERSION CIRCUIT AND METHOD | 1 |
Shinichi Haneda | JP | Anjo-Shi | 2014-10-02 / 20140292040 - ROOF RAIL | 6 |
Kazuo Haneda | JP | Toyota-Shi | 2016-04-14 / 20160102771 - VALVE DEVICE | 2 |
Yasuhiko Haneda | JP | Chiyoda-Ku | 2013-09-19 / 20130244048 - RESIN COMPOSITION AND MULTILAYERED STRUCTURE USING SAME | 3 |
Terumasa Haneda | JP | Machida | 2015-11-12 / 20150324248 - INFORMATION PROCESSING DEVICE, CONTROL METHOD AND RECORDING MEDIUM FOR RECORDING CONTROL PROGRAM | 7 |
Hiroyuki Haneda | JP | Chiryu-Shi | 2012-01-26 / 20120020765 - COMPONENT MOUNTING APPARATUS | 1 |
Toru Haneda | JP | Kamisu-Shi | 2011-08-11 / 20110195999 - NOVEL ANTIFUNGAL AGENT CONTAINING HETEROCYCLIC COMPOUND | 1 |
Toru Haneda | JP | Ushiki-Shi | 2011-05-19 / 20110118470 - NITROGEN-CONTAINING AROMATIC DERIVATIVES | 1 |
Kazuhiro Haneda | JP | Tokyo | 2015-12-24 / 20150373251 - IMAGE PICKUP DEVICE AND IMAGE PICKUP APPARATUS | 9 |
Tomoaki Haneda | JP | Kawasaki | 2012-04-26 / 20120100795 - AIR-CONDITIONING SYSTEM | 4 |
Shigeya Haneda | JP | Aichi | 2013-11-28 / 20130316189 - SLIDING | 1 |
Eri Haneda | US | West Lafayette | 2012-11-29 / 20120300227 - APPARATUS AND METHOD OF SEGMENTING AN IMAGE IN AN IMAGE CODING AND/OR DECODING SYSTEM | 2 |
Kazuyuki Haneda | JP | Ichihara-Shi | 2012-09-06 / 20120225610 - METHOD OF MANUFACTURING MAGNETIC RECORDING MEDIUM GLASS SUBSTRATE | 9 |
Takekuni Haneda | US | Honolulu | 2012-10-11 / 20120258372 - BATTERY LIFE EXTENDER | 1 |
Tsuyoshi Haneda | JP | Chiyoda-Ku | 2013-12-19 / 20130334037 - ELECTRODE FOR ELECTROLYSIS, ELECTROLYTIC CELL AND PRODUCTION METHOD FOR ELECTRODE FOR ELECTROLYSIS | 1 |
Markolf Hanefeld | DE | Frankfurt | 2013-07-04 / 20130172246 - INSULIN GLARGINE VERSUS METFORMIN FOR THE FIRST-LINE TREATMENT OF EARLY TYPE-2 DIABETES | 1 |
Phillip Hanefeld | DE | Mannheim | 2009-07-23 / 20090186998 - PARYLENE VARIANTS AND METHODS OF SYNTHESIS AND USE | 2 |
Philip Hanefeld | US | Cranford | 2013-06-27 / 20130164635 - USE OF EXPANDED GRAPHITE IN LITHIUM/SULPHUR BATTERIES | 1 |
Phillip Hanefeld | US | Cranford | 2012-02-09 / 20120032109 - ELECTROACTIVE MATERIAL, AND USE THEREOF IN ANODES FOR LITHIUM-ION CELLS | 2 |
Andrea Hanefeld | DE | Heidelberg | 2015-08-13 / 20150224189 - SILICON DIOXIDE NANOPARTICLES AND THE USE THEREOF FOR VACCINATION | 3 |
Phillip Hanefeld | DE | Heidelberg | 2011-02-10 / 20110034360 - ALPHA-OLEFIN/ISOBUTENE DIBLOCK COPOLYMERS | 11 |
Ori Hanegbi | IL | Herzlia | 2010-08-05 / 20100198984 - Method and System for Transparent TCP Offload with Best Effort Direct Placement of Incoming Traffic | 3 |
Ori Hanegby | IL | Tel Aviv | 2010-09-23 / 20100240449 - SYSTEM AND METHOD FOR CONTROLLING USAGE OF EXECUTABLE CODE | 1 |
Ori Hanegby | US | Sunnyvale | 2013-11-21 / 20130311328 - SYSTEM AND METHODS TO PRESENT A PERSONAL SHOPPING EXPERIENCE | 1 |
Thomas Christopher Hanegraaf | US | Elk River | 2010-08-05 / 20100193275 - MOTORCYCLE | 1 |
Sylvain Hanein | FR | Paris | 2013-05-30 / 20130137096 - Diagnosis of Hereditary Spastic Paraplegias (HSP) by Identification of a Mutation in the ZFVYE26 Gene or Protein | 2 |
Sylvain Hanein | FR | Paris Cedex | 2016-02-04 / 20160032382 - Method for Diagnosing or Predicting a Non Syndromic Autosomal Recessive Optic Atrophy, or a Risk of a Non Syndromic Autosomal Recessive Optic Atrophy | 2 |
Yael Hanein | IL | Cesarea | 2012-08-02 / 20120197364 - PHOTOELECTRICAL DEVICES FOR STIMULATING NEURONS | 1 |
Yael Hanein | IL | Caesarea | 2015-08-13 / 20150229165 - RECTIFYING ANTENNA DEVICE WITH NANOSTRUCTURE DIODE | 6 |
Tsuyoshi Haneishi | JP | Shizuoka | 2011-03-10 / 20110060146 - VITAMIN-D-LIKE COMPOUNDS | 1 |
Misao Haneishi | JP | Saitama-Shi | 2014-07-31 / 20140210674 - PLANAR INVERTED F ANTENNA | 2 |
Tadashi Haneishi | JP | Tokyo | 2014-10-30 / 20140323078 - DETERMINATION OF ORDER OF TRANSMISSION DESTINATION | 4 |
Tomoki Haneishi | JP | Oshu-Shi | 2010-03-04 / 20100055312 - FILM DEPOSITION APPARATUS, SUBSTRATE PROCESSING APPARATUS, FILM DEPOSITION METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 3 |
Takashi Haneishi | JP | Hitachi | 2010-09-23 / 20100236333 - METHOD FOR ASSESSING REMAINING LIFESPAN OF BOLT USED AT HIGH TEMPERATURES | 1 |
Tsuyoshi Haneishi | JP | Tokyo | 2010-10-14 / 20100261917 - PROCESS FOR PRODUCING VITAMIN D DERIVATIVE USING PHOTOREACTION | 2 |
Hayato Haneji | GB | Reading | 2015-11-12 / 20150327178 - COMMUNICATIONS DEVICE AND A METHOD THEREBY, A BASE STATION AND A METHOD THEREBY, A SYSTEM, AND A NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Tomoyuki Haneji | JP | Kai-Shi | 2013-11-21 / 20130309617 - BURNER COMBUSTION METHOD | 3 |
Tomoyuki Haneji | JP | Tokyo | 2014-01-16 / 20140016428 - KNEADING APPARATUS | 2 |
Hayato Haneji | GB | Berkshire | 2016-04-21 / 20160113057 - Communications Device, Apparatus, System, Method and Computer Implementable Instructions Product | 2 |
Francis G. Hanejko | US | Marlton | 2014-06-26 / 20140178576 - Magnetic Powder Metallurgy Materials | 5 |
Heather Hane-Karr | US | Newbury Park | 2010-04-08 / 20100087763 - Hand-held cradle for ball massage | 1 |
Martin Haneke | DE | Muenster | 2013-12-19 / 20130338324 - PROCESS FOR POLYMERIZATION OF A DIENE | 1 |
Jody Haneke | US | Tampa | 2013-09-26 / 20130254129 - TECHNOLOGICAL SOLUTION TO INTERVIEW INEFFICIENCY | 1 |
Torsten Haneke | DE | Kulmbach | 2015-02-19 / 20150050295 - NOVEL LIPIDS AND COMPOSITIONS FOR INTRACELLULAR DELIVERY OF BIOLOGICALLY ACTIVE COMPOUNDS | 5 |
Martin Haneke | DE | Rosenheim | 2010-06-03 / 20100136130 - Preparation for the Controlled Release of Bioactive Natural Substances | 1 |
Juergen Hanel | DE | Dormagen | 2016-03-03 / 20160059258 - DEVICE FOR COATING AND/OR IMPREGNATING A TEXTILE MATERIAL | 1 |
Lutz Hanel | DE | Stuttgart | 2015-10-01 / 20150276209 - MULTI-VARIABLE STATE CLOSED-LOOP CONTROL FOR A STEAM GENERATOR OF A THERMAL POWER PLANT | 2 |
Robert Dale Hanel | US | Los Angeles | 2012-11-15 / 20120285974 - HEAT RESISTING CUP SLEEVE | 2 |
Mathias Hanel | DE | Hessigheim | 2008-09-04 / 20080210218 - Dynamic heat accumulator and method for storing heat | 1 |
Martin Hanel | DE | Ingolstadt | 2016-04-14 / 20160104384 - Redundant Determination of Positional Data for an Automatic Landing System | 1 |
Joachim Hanel | DE | Bad Friedrichshall | 2013-08-29 / 20130223961 - STORAGE SHELF SYSTEM FOR STORING STORAGE GOODS | 6 |
Walter Hanel | US | Stony Brook | 2013-11-14 / 20130302886 - Pre-Ligand assembly domain of the IL-17 receptor | 2 |
Chris Hanel | US | Oakland Park | 2014-10-16 / 20140308861 - Floating Chair | 1 |
Nele Hanel | DE | Bodolz | 2012-05-24 / 20120130628 - Method for Classifying an Object as an Obstacle | 1 |
Matthias M. Hanel | US | Mountain View | 2014-05-22 / 20140143205 - Data replication protocol with efficient update of replica machines | 1 |
Christopher Blake Hanel | US | Fort Lauderdale | 2013-04-04 / 20130082442 - Bean bag toss game board set | 1 |
Matthias Hanel | DE | Pforring | 2015-05-21 / 20150140979 - CONFERENCE SYSTEM AND ASSOCIATED SIGNALLING METHOD | 2 |
Stephan Hanel | DE | Markgroeningen | 2012-11-01 / 20120278007 - METHOD FOR CHECKING THE PLAUSIBILITY OF THE TORQUE OF AN ELECTRIC MACHINE AND MACHINE CONTROLLER FOR CONTROLLING AN ELECTRIC MACHINE AND FOR CARRYING OUT THE METHOD | 1 |
Christian Hanel | DE | Leonberg | 2016-05-05 / 20160127625 - device and method for recognizing inscriptions on vehicle tires | 1 |
Craig D. Hanela | US | North Bend | 2013-01-03 / 20130000093 - APPARATUS FOR CONVERTING SIDE-TO-SIDE DRIVING MOTION TO ROTATIONAL MOTION WITH A SPRING ASSEMBLY AND SYSTEM FOR TUNING THE SPRING ASSEMBLY | 1 |
Ronald W. Haneline | US | San Diego | 2010-03-25 / 20100075588 - VENTILATION FAN | 1 |
Mason Haneline | US | Henderson | 2015-09-10 / 20150251927 - Cerium (IV) Oxide with Exceptional Arsenic Removal Properties | 2 |
Matthias Hanelt | DE | Coppenbrugge | 2009-05-07 / 20090117312 - Method for Equipping a Carpet or a Planar Support with Electronic Components, Apparatus for the Method, and a Carpet or Planar Support Comprising Electronic Components | 1 |
Eckhard Hanelt | DE | Geltendorf | 2016-05-05 / 20160126538 - ELECTRODE MATERIAL AND USE THEREOF IN LITHIUM ION BATTERIES | 1 |
Andreas Hanelt | DE | Fischbachau | 2013-04-18 / 20130092337 - Dewatering Screen and Method for Manufacturing the Same | 1 |
Jan Hendrik Hanemaaijer | NL | Delft | 2014-01-16 / 20140014583 - METHOD OF CONVERTING THERMAL ENERGY INTO MECHANICAL ENERGY, AND AN APPARATUS THEREFOR | 1 |
Jan Hendrik Hanemaaijer | NL | Oosterbeek | 2010-03-25 / 20100072135 - MEMBRANE DISTILLATION METHOD FOR THE PURIFICATION OF A LIQUID | 2 |
Victoire Hanemaayer | CA | Richmond | 2013-11-14 / 20130301769 - PROCESSES, SYSTEMS, AND APPARATUS FOR CYCLOTRON PRODUCTION OF TECHNETIUM-99M | 1 |
Lothar Hanenberg | DE | Duesseldorf | 2010-06-03 / 20100132853 - Method for the Treatment of Metal Sheets | 1 |
Helmut Hanenberg | DE | Krefeld | 2015-04-23 / 20150111213 - RAD51C AS A HUMAN CANCER SUSCEPTIBILITY GENE | 1 |
Helmut Hanenberg | US | Indianapolis | 2012-06-07 / 20120141440 - FOAMYVIRUS VECTORS AND METHODS OF USE | 2 |
Helmut Hanenberg | DE | Duesseldorf | 2012-02-23 / 20120045771 - METHOD FOR ANALYSIS OF NUCLEIC ACID POPULATIONS | 1 |
Robert Haner | CH | Uettligen | 2011-05-12 / 20110111967 - METHOD FOR OPTIMIZED ISOLATION OF RNA FROM FIXED TISSUE | 3 |
Ronald Lambert Haner | US | Berkeley | 2011-11-17 / 20110279198 - Compact-area capacitive plates for use with spiral inductors having more than one turn | 1 |
Markus Haner | DE | Rothenstadt | 2012-08-30 / 20120217776 - VEHICLE SEAT BELT RETENTION APPARATUS AND METHOD | 2 |
Arno Haner | DE | Regensburg | 2010-08-12 / 20100200560 - APPARATUS FOR HEATING PLASTIC PREFORMS | 1 |
Bernhard Haner | DE | Fussgonheim | 2008-10-30 / 20080265206 - Method for the Enzymatic Production of 5-Norbornen-2-Carboxylic Acid | 1 |
Dale L. Haner | US | Ringwood | 2008-10-09 / 20080249233 - Hot melt adhesive | 2 |
Arno Haner | DE | Wiesent | 2016-02-25 / 20160052174 - ATTACHABLE REFLECTOR | 4 |
Gregory Lee Haner | US | Mahwah | 2013-09-12 / 20130237120 - Pattern-Making And Construction Kit | 1 |
David Haner | US | Mountlake Terrace | 2014-04-17 / 20140108268 - SYSTEM AND METHOD FOR COLLABORATIVELY MANAGING FINANCIAL TASKS | 1 |
Markus Haner | AT | Pressbaum | 2014-07-31 / 20140212448 - KLEBSIELLA ANTIGENS | 1 |
Franz Haner | CH | Busserach | 2014-03-27 / 20140083739 - SILICONE MULTILAYER INSULATION FOR ELECTRIC CABLE | 2 |
Justin Scot Hanes | US | Baltimore | 2015-05-21 / 20150141359 - Controlled Release Formulations for the Delivery of HIF-1 Inhibitors | 4 |
Ronnie M. Hanes | US | Union Grove | 2014-11-27 / 20140350296 - COMPOSITIONS FOR CARBOXYLIC ACID PRODUCTION AND METHODS FOR MAKING AND USING SAME | 2 |
Dustin Thomas Hanes | US | Loveland | 2015-12-24 / 20150366729 - Elevator Chair | 1 |
Michael Hanes | US | Weddington | 2010-01-14 / 20100010846 - SYSTEMS AND METHODS FOR EVALUATING BUSINESS-CRITICAL CRITERIA RELATING TO EXPLORING ENTITY MOBILITY/PRODUCTIVITY OPPORTUNITIES | 1 |
David H. Hanes | US | Loveland | 2014-08-07 / 20140222875 - SYSTEM HAVING AN APPARATUS THAT USES A RESOURCE ON AN EXTERNAL DEVICE | 21 |
Mitchell E. Hanes | US | Gilroy | 2011-02-17 / 20110041198 - FLOWER PIGMENTATION IN PELARGONIUM HORTORUM | 1 |
David H. Hanes | US | Ft. Collins | 2014-10-30 / 20140325092 - CONFIGURATION OF A PHYSICAL CHARACTERISTIC OF PERIPHERAL DEVICE | 1 |
Charles Hanes | US | Mobile | 2014-05-15 / 20140135809 - EXPANDABLE MEMBER DISSECTION PORT AND RELATED METHODS | 1 |
Jeremiah Hanes | US | Menlo Park | 2016-03-24 / 20160083789 - NUCLEIC ACID SEQUENCING WITH NANOSCALE ELECTRODE PAIRS | 9 |
Floyd Raymond Hanes | US | Tulsa | 2008-09-18 / 20080222807 - Bed sheet retainer system | 1 |
Gordan Hanes | CA | Ottawa | 2012-05-03 / 20120106567 - MLPPP OCCUPANCY BASED ROUND ROBIN | 1 |
Vladimir Hanes | US | Sleepy Hollow | 2010-01-28 / 20100021529 - STEP-DOWN ESTROGEN REGIMEN FOR WOMEN RECEIVING ESTROGEN THERAPY | 1 |
Charles R. Hanes | US | Mobile | 2008-08-28 / 20080207988 - APPARATUS AND METHOD FOR ORGAN SUSPENSION | 1 |
Taylor Hanes | US | Mountain Brook | 2014-10-02 / 20140290808 - Remediation of Sensitization in Metals | 2 |
Michael Hanes | US | Limerick | 2014-02-06 / 20140033842 - LINEAR ACTUATOR | 1 |
Justin S. Hanes | US | Baltimore | 2015-02-12 / 20150044270 - SUSTAINED DELIVERY OF THERAPEUTIC AGENTS TO AN EYE COMPARTMENT | 2 |
Robert Eugene Hanes | US | Austin | 2016-05-19 / 20160135463 - SELENIUM-BASED BIOCIDAL FORMULATIONS AND METHODS OF USE THEREOF | 8 |
Charles Patrick Hanes | US | Hoschton | 2016-01-28 / 20160026451 - Automated Operating System Installation on Multiple Drives | 1 |
Justin Hanes | US | San Diego | 2013-03-14 / 20130067545 - Website Security | 1 |
Vladimir Hanes | US | New York | 2010-11-11 / 20100285097 - VAGINAL DELIVERY SYSTEM | 1 |
Brett E. Hanes | US | Lula | / - | 1 |
Justin Hanes | US | Baltimore | 2015-10-22 / 20150297531 - NANOPARTICLE FORMULATIONS WITH ENHANCED MUCOSAL PENETRATION | 13 |
Robert Eugene Hanes | US | Austin | 2016-05-19 / 20160135463 - SELENIUM-BASED BIOCIDAL FORMULATIONS AND METHODS OF USE THEREOF | 8 |
Kevin Hanes | US | Georgetown | 2011-10-13 / 20110252072 - System and Method for Automated Deployment of an Information Handling System | 5 |
Kevin Hanes | US | Round Rock | 2015-04-30 / 20150121362 - System and Method for Information Handling System Image Network Communication | 6 |
Dave Hanes | US | Troy | / - | 1 |
Matthew D. Hanes | US | Fort Collins | 2011-12-22 / 20110314245 - SECURE MEDIA SYSTEM | 1 |
Jeremiah Hanes | US | Menlo Park | 2016-03-24 / 20160083789 - NUCLEIC ACID SEQUENCING WITH NANOSCALE ELECTRODE PAIRS | 9 |
Jeremiah Hanes | US | Redwood City | 2013-11-28 / 20130316912 - POLYMERASE ENZYME SUBSTRATES WITH PROTEIN SHIELD | 9 |
Justin Hanes | US | Baltimore | 2015-10-22 / 20150297531 - NANOPARTICLE FORMULATIONS WITH ENHANCED MUCOSAL PENETRATION | 13 |
M. David Hanes | US | Lewisville | 2013-08-01 / 20130195262 - Techniques for Handling High Delay Fax Transmissions | 4 |
Michael David Hanes | US | Lewisville | 2016-04-21 / 20160112502 - DISTRIBUTED COMPUTING BASED ON DEEP PACKET INSPECTION BY NETWORK DEVICES ALONG NETWORK PATH TO COMPUTING DEVICE | 1 |
Jeremiah Hanes | US | Redwood City | 2013-11-28 / 20130316912 - POLYMERASE ENZYME SUBSTRATES WITH PROTEIN SHIELD | 9 |
Mark Hanes | US | Winona Lake | 2009-07-02 / 20090171264 - Medical Devices Based On Poly(Vinyl Alcohol) | 1 |
Adam Hanes | US | Glen Allen | 2014-10-23 / 20140314926 - Kitchen Appliance for Preparing a Beverage and Method of Operating Same | 7 |
Mark D. Hanes | US | Winona Lake | 2013-08-01 / 20130197121 - METHOD OF MAKING CROSSLINKED POLYMERIC MATERIAL FOR ORTHOPAEDIC IMPLANTS | 3 |
David H. Hanes | US | Fort Collins | 2011-05-05 / 20110107040 - Adaptable External Drive | 1 |
Vladimir Hanes | US | Tarrytown | 2015-09-10 / 20150250783 - Treatment of Vasomotor Symptoms | 5 |
Matthew Scott Hanes | US | West Chester | 2014-07-31 / 20140213333 - Puzzle-Based Interaction System For Eliciting A Desired Behavior | 1 |
Mitchell E. Hanes | US | Morgan Hill | 2009-05-21 / 20090133151 - Flower Pigmentation in Pelargonium hortorum | 1 |
Charles R. Hanes, Ii | US | Mobile | 2015-06-25 / 20150173796 - DISSECTION AND RETRACTION DEVICE FOR VAGINAL SACRAL COLPOPEXY | 2 |
Rob E. Hanes, Jr. | US | Austin | 2009-01-22 / 20090023617 - Friction reducer performance by complexing multivalent ions in water | 1 |
Robert E. Hanes, Jr. | US | Austin | 2014-02-27 / 20140057815 - METHODS AND COMPOSITIONS FOR ENHANCING GUAR HYDRATION RATES AND PERFORMING GUAR DERIVATIZATION REACTIONS | 4 |
Robert E. Hanes, Jr. | US | Duncan | 2008-12-04 / 20080300152 - Methods and Compositions for Crosslinking Polymers with Boronic Acids | 1 |
Roland Hanes, Jr. | US | Archdale | 2012-09-20 / 20120235383 - Truck Hitch and/or Ball Mount Protector | 1 |
Stephen Hanessian | CA | Beaconsfield | 2015-04-23 / 20150112055 - TRICYCLIC NUCLEIC ACID ANALOGS | 8 |
Stephen Hanessian | CA | Beaconsfield | 2015-04-23 / 20150112055 - TRICYCLIC NUCLEIC ACID ANALOGS | 8 |
Stephan Hanessian | CA | Beaconsfield | 2012-10-11 / 20120258993 - NON-NATURAL MACROCYCLIC AMIDE HDAC6 INHIBITOR COMPOUNDS AND THEIR USES AS THERAPEUTIC AGENTS | 2 |
Jeroen Haneveld | NL | Lochem | 2015-09-17 / 20150262874 - METHOD FOR FORMING AN ELECTRICALLY CONDUCTIVE VIA IN A SUBSTRATE | 4 |
Rudolf Hanewald | NL | Meppel | 2011-09-08 / 20110217182 - AIR MOVEMENT SYSTEM | 1 |
Dieter Hanewald | DE | Frankenthal | 2011-11-24 / 20110283780 - Device and Method for Detecting Deposits | 1 |
Nicole Hanewald | DE | Limburgerhof | 2012-07-05 / 20120171268 - DISPENSER COMPRISING A POLYESTER MEMBRANE FOR CONTROL OF MITES IN BEE HIVES | 1 |
Joseph W. Haney | US | Huntington Beach | 2015-12-10 / 20150354907 - HIGH HEAT TRANSFER RATE REUSABLE THERMAL PROTECTION SYSTEM | 1 |
Sean Haney | US | North Canton | 2015-03-05 / 20150061181 - SPACER MOLDS WITH RELEASABLE SECUREMENT | 13 |
James Allan Haney | US | Houston | 2012-06-14 / 20120148381 - SPAR HULL LOAD OUT METHOD | 2 |
Roger Lynn Haney | US | Denver | 2014-10-30 / 20140325209 - SYSTEM AND METHOD FOR MANAGING NETWORK ACCESS BASED ON A HISTORY OF A CERTIFICATE | 6 |
Gary Haney | US | New York | 2015-06-25 / 20150180406 - MODULAR, SELF SUPPORTING EXTERIOR ENCLOSURE SYSTEM WITH INSULATING, EVACUATED TUBES HAVING SOLAR COLLECTOR RODS | 3 |
Kevin Haney | US | Hartsville | 2013-07-25 / 20130189059 - TRANSFORMING TRAILER | 1 |
Ricky Lance Haney | US | St. Peters | 2015-10-15 / 20150292950 - NON-CONTACT MEASUREMENT OF MULTI-TEMPERATURE PROFILE OF AN OBJECT | 1 |
Michael W. Haney | US | Oak Hill | 2014-05-15 / 20140130855 - DISPERSIVE OPTICAL SYSTEMS AND METHODS AND RELATED ELECTRICITY GENERATION SYSTEMS AND METHODS | 9 |
Sarah Haney | US | Cary | 2015-12-10 / 20150355035 - IN-PACKAGE TEMPERATURE SENSOR AND METHODS THEREFOR | 4 |
Paul S. Haney | US | Pewee Valley | 2013-07-18 / 20130180123 - APPLIANCE HAVING A DRYING RACK | 1 |
Ronnie Haney | US | Douglas | 2015-02-19 / 20150047200 - LIFT CYLINDER YOKE BEARING INSTALLER AND METHOD OF INSTALLATION | 1 |
Kevin L. Haney | US | Fort Worth | 2015-05-14 / 20150128402 - Deflectable Finger Connection Feature On Surgical Saw Blade | 2 |
Aaron Haney | US | San Francisco | 2013-06-13 / 20130151974 - OUTLINE VIEW | 2 |
Paul Jeffrey Haney | US | Beloit | 2015-12-17 / 20150362504 - QUANTITATIVE PEPTIDE OR PROTEIN ASSAY | 3 |
Richard D. Haney | US | Union City | 2015-05-28 / 20150146734 - VPN USAGE TO CREATE WIDE AREA NETWORK BACKBONE OVER THE INTERNET | 14 |
Donald C. Haney | US | Strongsville | 2012-01-19 / 20120012574 - HEAT AND/OR LIGHT PRODUCING UNIT POWERED BY A LITHIUM SECONDARY CELL BATTERY WITH HIGH CHARGE AND DISCHARGE RATE CAPABILITY | 2 |
Sean Haney | US | North Canton | 2015-03-05 / 20150061181 - SPACER MOLDS WITH RELEASABLE SECUREMENT | 13 |
Max A. Haney | US | Houston | 2008-10-09 / 20080245133 - Apparatus and method for eliminating the breakthrough peak in differential detectors | 1 |
Sarah K. Haney | US | Cary | 2015-10-15 / 20150291415 - HERMETIC ENCAPSULATION FOR MICROELECTROMECHANICAL SYSTEMS (MEMS) DEVICES | 4 |
Perry Haney | US | Tahoka | 2015-09-24 / 20150267488 - GAS TRAP EXPANSION CHAMBER | 2 |
James Haney | US | Bakersfield | 2008-10-30 / 20080264163 - TRACKING FLUID DISPLACEMENT ALONG A WELLBORE USING REAL TIME TEMPERATURE MEASUREMENTS | 2 |
Robert M. Haney | US | Mountain View | 2009-01-29 / 20090030632 - METHODS FOR PLASMA MATCHING BETWEEN DIFFERENT CHAMBERS AND PLASMA STABILITY MONITORING AND CONTROL | 1 |
Keith L. Haney | US | San Diego | 2016-02-04 / 20160032345 - METHOD FOR PRODUCING SOLUBLE RECOMBINANT INTERFERON PROTEIN WITHOUT DENATURING | 2 |
Steven A. Haney | US | Concord | 2009-01-29 / 20090029408 - Methods for Characterizing Cell Proximity | 1 |
Paul Stephen Haney | US | Pewee Valley | 2013-09-12 / 20130232810 - DOOR HANDLE FOR A WASHING MACHINE OR DRYER APPLIANCE | 1 |
Penelope Haney | US | Douglas | 2015-02-19 / 20150047200 - LIFT CYLINDER YOKE BEARING INSTALLER AND METHOD OF INSTALLATION | 1 |
Trevor R. Haney | US | Jenison | 2009-03-19 / 20090072598 - TRANSPORTATION SEATING SYSTEM | 1 |
Sarah Haney | US | Apex | 2009-07-30 / 20090189228 - SEMICONDUCTOR TRANSISTOR WITH P TYPE RE-GROWN CHANNEL LAYER | 1 |
Paul J. Haney | US | Beloit | 2009-08-13 / 20090200225 - DIALYSIS DEVICE WITH ACCESS PORT | 1 |
Matthew Ira Haney | US | Azle | 2009-09-17 / 20090230141 - Guzzlegun | 1 |
Stefan M. Haney | US | Seattle | 2015-09-24 / 20150268824 - ADAPTIVE INFORMATION REGIONS DISPLAYING CONTENT ASSOCIATED WITH AN ELECTRONIC COMMERCE SYSTEM | 3 |
Thayne Haney | US | Syracuse | 2009-09-24 / 20090235605 - Method of Making A Modular Synthetic Floor Tile Configured For Enhanced Performance | 1 |
Mark T. Haney | US | Tallahassee | 2010-01-28 / 20100023262 - Driftline navigation system | 1 |
Joseph Haney | US | Bayview | 2010-03-18 / 20100065274 - System and Method for Performing Multiple Downhole Operations | 2 |
Christopher N. Haney | US | Midland | 2011-12-22 / 20110312484 - ALUMINUM BORON CARBIDE COMPOSITE AND METHOD TO FORM SAID COMPOSITE | 2 |
Thayne B. Haney | US | Syracuse | 2013-11-07 / 20130291481 - EDGE AND CORNER FOR A STRUCTURE CONSTRUCTED FROM BLOW-MOLDED PLASTIC | 5 |
Richard M. Haney | US | Enumclaw | 2010-06-17 / 20100151067 - AUTOMATED CONTROLLED ATMOSPHERIC PRESSURIZED RESIN INFUSION | 1 |
Michael W. Haney | US | Oak Hill | 2014-05-15 / 20140130855 - DISPERSIVE OPTICAL SYSTEMS AND METHODS AND RELATED ELECTRICITY GENERATION SYSTEMS AND METHODS | 9 |
Craig Haney | US | Nazareth | 2016-03-17 / 20160076681 - Coupling And Seal | 2 |
Ralph Haney | US | Petaluma | 2013-08-29 / 20130221874 - GLO-BLADES SKATES | 2 |
Sarah Kay Haney | US | Cary | 2014-09-04 / 20140246790 - FLOATING BOND PAD FOR POWER SEMICONDUCTOR DEVICES | 3 |
Harley Alan Haney | US | Gurnee | 2015-06-04 / 20150152911 - ADJUSTABLE BONDING WASHER | 2 |
Sarah Haney | US | San Jose | 2015-12-03 / 20150351229 - PRINTED CIRCUIT BOARD COMPRISING CO-PLANAR SURFACE PADS AND INSULATING DIELECTRIC | 1 |
Steven R. Haney | US | Spring | 2011-10-13 / 20110251929 - SYSTEMS AND METHODS TO OUTSOURCE SERVICE AND SUPPORT OPERATIONS | 1 |
Philip J. Haney | US | Merrimack | 2010-11-04 / 20100278347 - ACOUSTIC HOSTILE FIRE INDICATOR | 2 |
Christopher Haney | US | Chicago | 2009-10-15 / 20090256030 - Lock extension for train derail | 1 |
Bart Haney | US | Boston | 2015-10-01 / 20150277688 - SYSTEM AND METHOD FOR STREAMLINING USER INTERACTION WITH ELECTRONIC CONTENT | 2 |
Carl Phillip Haney | US | City Newton | 2011-03-24 / 20110067245 - Shaving Razors and Cartridges | 1 |
John Haney | US | Wildwood | 2015-05-07 / 20150128104 - Systems and Methods for Creating a Playable Video Game From A Three-Dimensional Model | 2 |
Matthew B. Haney | US | Cedar Hills | 2015-12-03 / 20150345928 - TRIP HAZARD MEASUREMENT GAUGE | 1 |
Richard D. Haney | US | Union City | 2015-05-28 / 20150146734 - VPN USAGE TO CREATE WIDE AREA NETWORK BACKBONE OVER THE INTERNET | 14 |
Mark A. Haney | US | Huntley | 2014-12-25 / 20140378065 - METHOD AND APPARATUS FOR SELECTIVELY CONFIGURING A TWO-WAY RADIO DEVICE TO OPERATE IN A CONTROL STATION MODE OR A NON-CONTROL STATION MODE | 1 |
Aaron Haney | US | Santa Clara | 2011-03-31 / 20110074831 - SYSTEM AND METHOD FOR DISPLAY NAVIGATION | 1 |
Matthew J. Haney | US | Chapel Hill | 2015-06-04 / 20150151006 - Compositions and Methods for Gene Therapy | 1 |
Carl Phillip Haney | US | Newton | 2015-11-05 / 20150314467 - SHAVING RAZORS AND CARTRIDGES | 2 |
Robert Haney | US | Parsippany | 2013-10-03 / 20130262162 - SYSTEM AND METHOD FOR PROVIDING IMMEDIATE CONFIRMATION FOR SHIPPING SERVICES | 1 |
Mark A. Haney | US | Jonesboro | 2013-11-21 / 20130311364 - Managing Financial Accounts Associated with Residents of Controlled-Environment Facilities | 1 |
Edward Haney | US | Baroda | 2015-09-17 / 20150258512 - BLENDER JAR SCRAPING UTENSIL | 4 |
Amy Haney | US | / - | 1 | |
Thayne Haney | US | / - | 1 | |
Joe Carmon Haney | US | Littleton | 2012-07-26 / 20120186684 - Flame Resistant Hose Construction and Method | 1 |
Robert Haney | US | Mountain View | 2014-03-20 / 20140076354 - REMOVING RESIDUES FROM SUBSTRATE PROCESSING COMPONENTS | 2 |
Ariel Haney | US | San Francisco | 2014-10-16 / 20140310403 - SYSTEM AND METHOD FOR ENABLING USER DEVICE CONTROL | 1 |
Edward J. Haney | US | Gaines | 2012-07-19 / 20120180369 - FIBER OPTIC SHOTGUN SIGHT | 4 |
Todd W. Haney | US | Cambridgr, | 2012-07-12 / 20120176805 - GLASS BLOCK WITH LOW-E CENTER LITE | 1 |
Jacob Haney | US | Cincinnati | 2013-05-02 / 20130105058 - METHOD FOR MAKING A POWER CABLE WITH MICRODUCT | 2 |
Robert Hanf | DE | Eisenberg | 2016-04-28 / 20160115075 - Method for the Unidirectional and or Bidirectional Removal of a Cladding Layer of an Optical Preform | 3 |
Rémy Hanf | FR | Lille | 2012-10-04 / 20120252725 - USE OF 1,3-DIPHENYLPROP-2-EN-1-ONE DERIVATIVES FOR TREATING LIVER DISORDERS | 3 |
Rémy Hanf | FR | Lille | 2016-02-25 / 20160051501 - USE OF 1,3-DIPHENYLPROP-2-EN-1-ONE DERIVATIVES FOR TREATING LIVER DISORDERS | 5 |
Karl Hanf | US | Billerica | 2010-04-15 / 20100093980 - Methods of Humanizing Immunoglobulin Variable Regions Through Rational Modification Of Complementarity Determining Residues | 2 |
Robert Hanf | DE | Jena | 2014-01-30 / 20140029889 - Sensor Fiber Having a Multicore Optical Waveguide Including Fiber Bragg Gratings | 1 |
Thomas Hanf | US | Kansas City | 2010-04-29 / 20100106531 - SYSTEM AND METHOD FOR DISTRIBUTED ANALYSIS OF PATIENT RECORDS | 2 |
Rüdiger Hanf | DE | Oberderdingen | 2014-08-07 / 20140219641 - CERAMIC ROD AND AUXILIARY HEATER OR LIQUID HEATER COMPRISING A CERAMIC HEATING ROD | 1 |
Jurgen Hanf | DE | Tuebingen | 2010-11-18 / 20100291848 - Device for Separating Paint Overspray | 1 |
Juergen Hanf | DE | Tuebingen | 2013-12-05 / 20130319822 - SURFACE TREATMENT PLANT FOR MOTOR VEHICLE BODIES | 4 |
Karl J.m. Hanf | US | Billerica | 2014-08-07 / 20140220031 - ANTI-CD154 ANTIBODIES | 2 |
Matthew F. Hanfelt | US | Marquette | 2011-08-18 / 20110202096 - Spinal Rod and Screw Securing Apparatus and Method | 1 |
Julie M. Hanfland | US | Pittsford | 2012-08-30 / 20120219339 - MAXIMIZE PRINTER COMPONENT LIFE USING INCOMING MEDIA AND IMAGE DATA | 1 |
Julie Marie Hanfland | US | Pittsford | 2010-06-17 / 20100150587 - METHOD AND APPARATUS FOR ADJUSTING NIP WIDTH BASED ON THE MEASURED HARDNESS OF A FUSER ROLL IN AN IMAGE PRODUCTION DEVICE | 2 |
Yoram Hanfling | IL | Pardes Hana Karkur | 2015-06-25 / 20150174758 - SUBSTRATE TRANSPORTER | 1 |
Keith E. Hanford | US | Macedon | 2011-12-01 / 20110292527 - X-Y ADJUSTABLE OPTICAL MOUNT WITH Z ROTATION | 4 |
Ryan J. Hanford | US | Houston | 2012-10-11 / 20120255784 - Apparatus for Controlling Drill Bit Depth of Cut Using Thermally Expandable Materials | 1 |
Scott David Hanford | US | Port Matilda | 2016-01-28 / 20160025850 - Autonomous Robotic Mobile Threat Security System | 1 |
Rabah Hanfoug | NL | Gouda | 2011-10-20 / 20110253900 - LITHOGRAPHY SYSTEM, SENSOR, CONVERTER ELEMENT AND METHOD OF MANUFACTURE | 1 |
Hans-Jürgen Hanft | DE | Pegnitz | 2014-05-29 / 20140146729 - Communication Devices, Radio Switch and Method for Communication | 1 |
Jeffrey Hanft | US | Miami | 2010-12-23 / 20100324800 - System and Method to Enforce Excessive Engine Idle Control | 1 |
Jeffrey Hanft | US | Commerce Township | 2010-05-27 / 20100128418 - WATTHOUR METER SOCKET WITH SECURED ACCESS HIGH VOLTAGE SECTION | 1 |
Andreas Hanft | DE | Nuernberg | 2013-10-03 / 20130257246 - BAGGAGE COMPARTMENT FOR AN AIRCRAFT AND AIRCRAFT HAVING THE BAGGAGE COMPARTMENT | 2 |
Hans Hanft | DE | Pegnitz | 2015-06-25 / 20150180219 - APPARATUS AND METHOD FOR PROTECTING A CIRCUIT OF A VEHICLE AND CIRCUIT | 1 |
Dominik Hanft | DE | Bayreuth | 2015-11-19 / 20150333314 - Method for Producing a Galvanic Element and Galvanic Element | 1 |
Leslie Hanft | US | New York | 2015-02-12 / 20150040836 - Electronic Pet Entertainment Device | 1 |
Jason R. Hanft | US | South Miami | 2016-01-07 / 20160000188 - PROTECTIVE PATIENT FOOTWEAR DESIGN AND MANUFACTURING SYSTEM AND METHODS | 3 |
James Hanft | US | New York | 2015-02-12 / 20150040836 - Electronic Pet Entertainment Device | 1 |
Thomas A. Hanft | US | Allen | 2013-06-27 / 20130161782 - Heterogeneous Chip Integration with Low Loss Interconnection through Adaptive Patterning | 2 |
Joshua Hanft | US | New York | 2015-02-12 / 20150040836 - Electronic Pet Entertainment Device | 1 |
Marco Hanft | DE | Jena | 2015-05-14 / 20150133902 - OPHTHALMOLOGICAL LASER SYSTEM AND OPERATING METHOD | 9 |
Jeffrey John Hanft | US | Commerce Township | 2014-03-20 / 20140077950 - COVER ACCESS NOTIFICATION DEVICE | 4 |
Marius Hanft | DE | Saarbruecken | 2009-04-23 / 20090102480 - SHIMMING OF ELECTRIC FIELD FOR ELECTRIC PROPERTIES TOMOGRAPHY | 1 |
Marco Hanft | DE | Jena | 2015-05-14 / 20150133902 - OPHTHALMOLOGICAL LASER SYSTEM AND OPERATING METHOD | 9 |
Haiying Hang | CN | Foshan New City | 2016-03-31 / 20160090616 - METHOD AND APPARATUS FOR DISPERSION OF MICROBES IN A LIQUID SUSPENSION | 1 |
Kenneth Warren Hang | US | Cary | 2015-08-06 / 20150221789 - THICK FILM SILVER PASTE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES | 36 |
Daming Hang | CN | Shanghai | 2013-06-06 / 20130141277 - Adding Multi-System Functionalities to Legacy Navigation Satellite System Receivers | 1 |
Run-Hua Hang | TW | Chung-Ho | 2012-05-24 / 20120126615 - Production Line Capable of Supplying Electric Power | 1 |
Zimin Hang | US | Rockville | 2014-04-17 / 20140107520 - SLEEP TRACKING AND WAKING OPTIMIZATION SYSTEM AND METHOD THEREFORE | 1 |
Cheng Hang | CN | Beijing | 2014-01-02 / 20140006619 - Method for Logging in by Multi-account and the Client | 1 |
Chi Hang | CA | Montreal | 2014-05-01 / 20140122084 - Data Search Service | 1 |
Huang Yong Hang | CN | Guangdong Province | 2014-04-24 / 20140110513 - DICING TOOL FOR DOMESTIC FOOD PROCESSING DEVICE | 1 |
Haicun Hang | CN | Shanghai | 2013-09-26 / 20130250856 - CARRIER BEARING METHOD AND DEVICE, AND RADIO REMOTE UNIT | 2 |
Calvin Hang | US | Stanford | 2013-05-02 / 20130109738 - Control of Cardiac Growth, Differentiation and Hypertrophy | 1 |
Haiyu Hang | CN | Hangzhou | 2013-08-08 / 20130204879 - WEB PAGE RETRIEVAL METHOD AND DEVICE | 1 |
Florian Hang | DE | Munchen | 2013-05-09 / 20130112922 - Synthesis Gas Generation | 1 |
Shi Hang | CN | Changzhou City | 2013-12-12 / 20130328347 - LOCK AND ROLL UP TONNEAU COVER METHOD | 2 |
Faxi Hang | CN | Shenzhen | 2013-04-11 / 20130087107 - Steam Generation Device and Household Electric Steam Box | 1 |
Jun Hang | CN | Shanghai | 2014-11-13 / 20140337312 - TRAFFIC DRIVER FOR SUGGESTING STORES | 2 |
William M. Hang | US | Westlake Village | 2014-11-13 / 20140335465 - Anterior Development And Postural Trainer | 3 |
Chao Hang | US | Monmouth Junction | 2015-05-14 / 20150133654 - NOVEL METHODS AND INTERMEDIATES FOR THE PREPARATION OF (4bS,5aR)-12-CYCLOHEXYL-N-(N,N-DIMETHYLSULFAMOYL)-3-METHOXY-5a-((1R,5S)-3- -METHYL-3,8-DIAZABICYCLO[3.2.1]OCTANE-8-CARBONYL)-4b,5,5a,6-TETRAHYDROBENZ- O [3,4]CYCLOPROPA[5,6]AZEPINO[1,2-A]INDOLE-9-CARBOXAMIDE | 1 |
Chit Yong Hang | MY | Penang | 2015-05-21 / 20150138037 - ANTENNA ASSEMBLIES AND METHODS OF MANUFACTURING THE SAME | 1 |
Ha (nancy) Hang | US | San Francisco | 2012-06-14 / 20120150695 - Apparatuses, Methods and Systems for an Online Rewards Incentive Program | 1 |
Ling Hang | US | San Diego | 2015-12-03 / 20150350985 - RECEIVER-ONLY TUNE-AWAY | 8 |
Jin-Hee Hang | KR | Yongin-City | 2011-10-13 / 20110248279 - Thin film transitor, fabrication method of the same, and display device having the same | 1 |
Lin Tsung Hang | TW | New Taipei City | 2013-05-30 / 20130139092 - METHOD OF INPUTTING DATA ENTRIES OF A SERVICE IN ONE CONTINUOUS STROKE | 1 |
Haojie Hang | US | Bellevue | 2016-04-28 / 20160117161 - INSTALLING AND UPDATING SOFTWARE SYSTEMS | 2 |
Christian Hang | DE | Wesel | 2011-08-11 / 20110197180 - SYSTEM AND METHOD FOR SECURING WEB APPLICATION CODE AND VERIFYING CORRECTNESS OF SOFTWARE | 1 |
Sinsin Hang | US | Saratoga | 2011-07-07 / 20110164616 - Methods and apparatus for processing superframes | 1 |
Chia Lun (chuck) Hang | US | Morgan Hill | 2008-08-21 / 20080201292 - Method and apparatus for preserving control information embedded in digital data | 1 |
James Hang | US | San Francisco | 2015-12-31 / 20150379104 - METHODS AND SYSTEMS FOR SYNCHRONIZING DATA IN A MULTI-TENANT DATABASE ENVIRONMENT | 6 |
Robert Hang | CA | Calgary | 2008-12-11 / 20080307292 - Method and Apparatus for Digit-Serial Communications for Iterative Digital Processing Algorithms | 1 |
Keneth Warren Hang | US | Hillsborough | 2009-05-14 / 20090120483 - CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES | 1 |
Nigel Hang | CN | Guangdong | 2009-05-21 / 20090130044 - Skin Care Method Using Wax and the Apparatus Thereof | 1 |
Lingxia Hang | AU | New South Wales | 2009-06-18 / 20090151777 - Solar Absorptive Material For a Solar Selective Surface Coating | 1 |
Hsueh-Ming Hang | TW | Hsin-Chu Hsien | 2010-04-01 / 20100080298 - Refined Weighting Function and Momentum-Directed Genetic search pattern algorithm | 1 |
Howard C. Hang | US | New York | 2010-08-12 / 20100203647 - Chemical Reporters of Protein Acylation | 1 |
Jianfeng Hang | US | Belmont | 2016-02-11 / 20160038499 - COMPOSITIONS USEFUL FOR TREATING DISORDERS RELATED TO TRPA1 | 3 |
Kenneth Warren Hang | US | Hillsbrorough | 2013-05-09 / 20130112251 - PROCESS OF FORMING AN ALUMINUM P-DOPED SURFACE REGION OF AN N-DOPED SEMICONDUCTOR SUBSTRATE | 2 |
Jian-Yi Hang | TW | Zhonghe City | 2010-10-28 / 20100270238 - METHOD FOR TRANSFERRING INORGANIC OXIDE NANOPARTICLES FROM AQUEOUS PHASE TO ORGANIC PHASE | 1 |
Fu Hang | JP | Kanagawa | 2010-07-15 / 20100177960 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 2 |
Carina Hang | DE | Grolsheim | 2015-02-26 / 20150057321 - SOFT CHEWABLE PHARMACEUTICAL PRODUCTS | 1 |
Jing Hang | CN | Beijing | 2012-04-19 / 20120093061 - ADAPTIVE TRANSMISSION MODES FOR TRANSPARENT RELAY | 1 |
Hsueh-Ming Hang | TW | Hsinchu City | 2015-07-02 / 20150189272 - METHOD FOR ENCODING/DECODING VIDEO BY OBLONG INTRA PREDICTION | 1 |
Raymond Mok Chi Hang | HK | Tsing Yi | 2011-11-24 / 20110287688 - ELECTRONIC TOY AND WATERPROOF MODULAR DESIGN | 1 |
Yong Taek Hang | KR | Chungcheongnam-Do | 2011-12-22 / 20110309700 - CONNECTING MOLDING FOR AUTOMATION OF THREE-PHASE MOTOR WINDING | 1 |
Kenneth Warren Hang | US | Hillsborough | 2013-07-25 / 20130186463 - CONDUCTIVE SILVER PASTE FOR A METAL-WRAP-THROUGH SILICON SOLAR CELL | 42 |
Bin Hang | CA | Quebec | 2012-03-22 / 20120072177 - OCCLUSION ESTIMATION IN DENTAL PROSTHESIS DESIGN | 1 |
Bin Hang | CA | Quebec Qc | 2012-03-22 / 20120070803 - PROSTHESIS MANIPULATION IN DENTAL PROSTHESIS DESIGN | 1 |
Ling Hang | US | San Diego | 2015-12-03 / 20150350985 - RECEIVER-ONLY TUNE-AWAY | 8 |
Wang Hang | CN | Shouguang City | 2016-01-07 / 20160003196 - QUAD LAYER PASSAGE VARIABLE GEOMETRY TURBINE FOR TURBOCHARGERS IN EXHAUST GAS RECIRCULATION ENGINES | 1 |
Kailang Hang | CN | Hangzhou | 2016-02-25 / 20160056657 - CHARGE AND DISCHARGE MANAGEMENT SYSTEM AND MOVABLE POWER SOURCE USING THE SAME | 6 |
Kenneth Warren Hang | US | Cary | 2015-08-06 / 20150221789 - THICK FILM SILVER PASTE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES | 36 |
Haifeng Hang | CN | Shanghai | 2012-01-19 / 20120015391 - BIOCHEMICAL REACTOR | 1 |
Bui Thi Hang | JP | Ibaraki | 2012-03-15 / 20120064400 - Negative-Electrode Material And Lithium Secondary Battery Using Same | 1 |
Feng Hang | CN | Shanghai | 2014-10-30 / 20140322273 - STRAIN OF EXOPOLYSACCHARIDE-SECRETING LACTOBACILLUS PLANTARUM AND APPLICATION THEREOF | 1 |
Baojian Hang | CN | Jiangsu | 2014-10-30 / 20140325700 - THIFENSULFURON HYDROLASE GENE TSME AND USES THEREOF | 1 |
Xiaochun Hang | US | Tempe | 2015-10-08 / 20150287938 - Four Coordinated Platinum and Palladium Complexes with Geometrically Distorted Charge Transfer State and Their Applications in Light Emitting Devices | 3 |
Nancy Tu Hang | US | San Francisco | 2015-08-27 / 20150242495 - SEARCH MACHINE FOR PRESENTING ACTIVE SEARCH RESULTS | 1 |
Lijun Hang | CN | Shanghai | 2015-12-10 / 20150357933 - METHOD FOR DESIGNING CASCADED MULTI-LEVEL INVERTER WITH MINIMIZED LARGE-SCALE VOLTAGE DISTORTION | 2 |
Nathaniel Hang | US | Ladera Ranch | 2014-03-06 / 20140068747 - Automatic Completeness Checks of Network Device Infrastructure Configurations During Enterprise Information Technology Transformation | 4 |
Isabelle Hang | DE | Karlsruhe | 2016-05-12 / 20160132692 - SEARCHABLE ENCRYPTION FOR INFREQUENT QUERIES IN ADJUSTABLE ENCRYPTED DATABASES | 5 |
Guomin Hang | CN | Nanjing | 2011-06-09 / 20110137958 - Implementation Method of DATACOM Data Command Platform | 1 |
Bo Hang | US | Lafayette | 2016-02-11 / 20160039859 - Novel NNA-DNA Adducts as Biomarkers for Detecting Exposure to Thirdhand Smoke | 1 |
Xuchen Hang | CN | Hangzhou | 2016-02-11 / 20160041719 - DISPLAY AND MANAGEMENT OF APPLICATION ICONS | 1 |
Julie Q. Hang | US | San Jose | 2015-09-10 / 20150252117 - ANTI-JAGGED1 ANTIBODIES AND METHODS OF USE | 1 |
Vasile Hanga | IL | Netanya | 2012-06-14 / 20120151424 - CONVERSION OF CIRCUIT DESCRIPTION TO AN ABSTRACT MODEL OF THE CIRCUIT | 2 |
Ole Hangaard | DK | Skanderborg | 2012-11-29 / 20120298886 - FOR RAPID PROTOTYPING APPARATUS | 2 |
Tomohiro Hangai | JP | Miyoshi-Shi | 2011-09-22 / 20110227246 - BUR REMOVING PUNCH PIN, BUR REMOVING APPARATUS AND BUR REMOVING METHOD | 1 |
Dana H. Hangai | US | Charlottesville | 2011-03-31 / 20110078170 - Routing a Teleportation Request Based on Compatibility with User Contexts | 2 |
Tomohisa Hangai | JP | Osaka-Shi | 2014-04-17 / 20140106787 - INFORMATION TERMINAL, INFORMATION TERMINAL CONTROL METHOD, AND RECORDING MEDIUM | 2 |
Masatake Hangai | JP | Tokyo | 2013-07-11 / 20130175544 - SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Ryuichi Hangai | JP | Tokyo | 2014-09-11 / 20140251367 - MASCARA BRUSH AND MANUFACTURING METHOD THEREOF | 1 |
Masanori Hangai | JP | Kyoto | 2010-04-29 / 20100106054 - PROBE TYPE DEVICE FOR REMOVING LIVING BODY TISSUE | 1 |
Yoshihiko Hangai | JP | Kiryu-Shi | 2012-08-09 / 20120202089 - PROCESSES FOR PRODUCING PRECURSOR FOR FUNCTIONALLY GRADIENT MATERIAL AND PRODUCING FUNCTIONALLY GRADIENT MATERIAL, PRECURSOR FOR FUNCTIONALLY GRADIENT MATERIAL, AND FUNCTIONALLY GRADIENT MATERIAL | 1 |
Masanori Hangai | JP | Kyoto-Shi | 2015-04-30 / 20150116660 - FUNDUS OBSERVATION APPARATUS AND FUNDUS IMAGE ANALYZING APPARATUS | 3 |
Sudheendra Hangal | US | Stanford | 2010-08-05 / 20100198799 - Method and Apparatus for Software Simulation | 1 |
Alexandru Hanganu | US | Bellevue | 2009-12-31 / 20090328154 - ISOLATION OF SERVICES OR PROCESSES USING CREDENTIAL MANAGED ACCOUNTS | 1 |
Alexandru Hanganu | US | Sammamish | 2012-05-24 / 20120131661 - BACK-END CONSTRAINED DELEGATION MODEL | 1 |
Rajkumar Hangarge | IN | Pune | 2015-10-22 / 20150299186 - HETEROCYCLYL COMPOUNDS | 2 |
Peter Hangarter | DE | Ohningen | 2013-05-23 / 20130129875 - COOKING AID | 1 |
Carlos Hangarter | US | Gaithersburg | 2013-03-21 / 20130068293 - SUBSTRATE GEOMETRY FOR THREE DIMENSIONAL PHOTOVOLTAICS FABRICATION | 1 |
Sandra C. Hangarter | US | Gaithersburg | 2014-09-11 / 20140255705 - Growth of Crystalline Materials on Two-Dimensional Inert Materials | 1 |
Carlos Maldonado Hangarter | US | Gaithersburg | 2016-02-25 / 20160053392 - SYNTHESIS OF NANOPEAPODS BY GALVANIC DISPLACEMENT OF SEGMENTED NANOWIRES | 2 |
Rick Hangartner | US | 2009-09-03 / 20090222392 - DYMANIC INTERACTIVE ENTERTAINMENT | 1 | |
Kenneth B. Hangartner | US | Estacada | 2016-02-18 / 20160050773 - OUTPUT MODULE FOR VEHICLE GAUGES | 1 |
Thomas N. Hangartner | US | Dayton | 2011-10-27 / 20110261926 - COMPUTED TOMOGRAPHY SCANNERS, X-RAY FILTERS AND METHODS THEREOF | 1 |
Rick Hangartner | US | Corvallis | 2014-08-21 / 20140237361 - DYNAMIC INTERACTIVE ENTERTAINMENT VENUE | 11 |
Rick Hangartner | US | Corvallis | 2014-08-21 / 20140237361 - DYNAMIC INTERACTIVE ENTERTAINMENT VENUE | 11 |
Paul W. Hangas | US | San Jose | 2013-08-08 / 20130205001 - MODIFYING APPLICATION DATA SYNCHRONIZATION BASED ON APPLICATION USAGE FREQUENCY | 5 |
Andreas Hangauer | DE | Munchen | 2013-06-27 / 20130162979 - Measuring Method and Measuring Device for Optical Gas Measurement | 3 |
David G. Hangauer | US | Est Amherst | 2008-09-04 / 20080214539 - Benzisoxazole Piperidine Compounds and Methods of Use Thereof | 1 |
Wilfried Hangauer | DE | Rastatt | 2012-11-01 / 20120276487 - BURNER SYSTEM | 2 |
David G. Hangauer | US | East Amherst | 2009-07-23 / 20090186872 - LOXAPINE ANALOGS AND METHODS OF USE THEREOF | 3 |
David G. Hangauer, Jr. | US | Lancaster | 2015-11-05 / 20150315147 - COMPOSITIONS FOR MODULATING A KINASE CASCADE AND METHODS OF USE THEREOF | 16 |
David G. Hangauer, Jr. | US | Lancaster | 2015-11-05 / 20150315147 - COMPOSITIONS FOR MODULATING A KINASE CASCADE AND METHODS OF USE THEREOF | 16 |
David G. Hangauer, Jr. | US | East Amherst | 2009-06-11 / 20090149510 - Compositions and methods for modulating a kinase cascade | 2 |
Daniel B. Hangebrauck | US | Garner | 2015-09-10 / 20150253530 - FIBER OPTIC CLOSURE | 3 |
Jørgen Hangel | DK | Vejle O | 2012-12-13 / 20120311948 - Tower Construction and a Method for Erecting the Tower Construction | 1 |
Jørgen Hangel | DK | Vejle Ø | 2015-09-10 / 20150252580 - Tower Construction and a Method for Erecting the Tower Construction | 1 |
Jon J. Hangeland | US | Morrisville | 2016-02-04 / 20160031816 - ARYL DIHYDROPYRIDINONES AND PIPERIDINONE MGAT2 INHIBITORS | 11 |
Jon J. Hangeland | US | Morrisville | 2016-02-04 / 20160031816 - ARYL DIHYDROPYRIDINONES AND PIPERIDINONE MGAT2 INHIBITORS | 11 |
Amy B. Hangen | US | Clarence | 2015-10-08 / 20150283678 - ABRASIVE SHARPENER | 1 |
Ude D. Hangen | DE | Aachen | 2015-07-02 / 20150185117 - ENVIRONMENTAL CONDITIONING ASSEMBLY FOR USE IN MECHANICAL TESTING AT MICRON OR NANO-SCALES | 1 |
William A. Hangen | US | Lake Wales | 2014-03-20 / 20140075799 - Firearm Configuration For Reducing Recoil | 1 |
Ude Dirk Hangen | DE | Aachen | 2009-12-31 / 20090320575 - SURFACE EVALUATION EMPLOYING ORTHOGONAL FORCE MEASUREMENT | 1 |
Goetz Hangen | DE | Wolfsheim | 2011-09-29 / 20110238271 - SHIFT METHOD FOR A DUAL-CLUTCH TRANSMISSION FOR VEHICLES | 1 |
William A. Hangen | US | Winter Garden | 2016-05-12 / 20160131446 - Firearm Configuration for Reducing Frame Battering | 3 |
John Charles Hanger | US | Alpharetta | 2010-03-25 / 20100076837 - Presence optimized advertisement publishing system and methodology | 2 |
Gregory A. Hanger | US | Carmel | 2013-11-07 / 20130296170 - CONTROL OF AAD DICOT VOLUNTEERS IN MONOCOT CROPS | 2 |
Cecilia Ann-Christin Malmborg Hanger | SE | Helsingborg | 2013-05-23 / 20130129672 - MUTANTS OF INTERLEUKIN- 1 RECEPTOR ANTAGONIST AND USES THEREOF | 1 |
Gregory Hanger | US | Carmel | 2015-03-26 / 20150087518 - CONTROL OF AAD DICOT VOLUNTEERS IN MONOCOT CROPS | 1 |
Diane Hanger | GB | London | 2015-02-19 / 20150051097 - Methods for Screening Inhibitors of Tau Phosphorylation By Casein Kinase I | 1 |
Mccarthy Hanger | US | La Jolla | 2010-11-25 / 20100297297 - ENERGY BEVERAGES AND METHODS FOR FABRICATION THEREOF | 1 |
Ann-Christin Malmborg Hanger | SE | Helsingborg | 2015-12-24 / 20150368318 - MUTANTS OF INTERLEUKIN-1 RECEPTOR ANTAGONIST AND USES THEREOF | 1 |
Sören Hanghöj | DK | Kopenhamn | 2010-07-22 / 20100181401 - Roller Mill For Grinding Particulate Material | 1 |
Sören Hanghöj | DK | Kopenhamn O | 2010-08-12 / 20100200684 - Rolling Bearing | 1 |
Sören Hanghöj | DK | Kopenhamn O | 2010-08-12 / 20100200684 - Rolling Bearing | 1 |
Sören Hanghöj | DK | Kopenhamn | 2010-07-22 / 20100181401 - Roller Mill For Grinding Particulate Material | 1 |
Sören Hanghöj | DK | Kopenhamn | 2010-07-22 / 20100181401 - Roller Mill For Grinding Particulate Material | 1 |
Sören Hanghöj | DK | Kopenhamn O | 2010-08-12 / 20100200684 - Rolling Bearing | 2 |
Sören Hanghöj | DK | Kopenhamn O | 2010-08-12 / 20100200684 - Rolling Bearing | 2 |
Sören Hanghöj | DK | Kopenhamn | 2010-07-22 / 20100181401 - Roller Mill For Grinding Particulate Material | 1 |
Silvia Hangl | DE | Nurnberg | 2010-09-30 / 20100244654 - Built-In Lamp with Cable, in Particular for Aerodrome Lighting | 1 |
Eugen Hangleiter | DE | Hermaringen | 2014-01-02 / 20140001713 - GRIPPER WITH EMERGENCY RELEASE | 8 |
Eugen Hangleiter | DE | Hermaringen | 2014-01-02 / 20140001713 - GRIPPER WITH EMERGENCY RELEASE | 8 |
Eugen Hangleiter | DE | Hermaringende | 2012-05-17 / 20120119451 - METHOD OF CHUCKING A TOOL OR A WORKPIECE AND APPARATUS FOR CARRYING OUT THE METHOD | 1 |
Giao Hangoc | US | Brownsburg | 2011-04-21 / 20110091429 - Methods for Enhancing Stem Cell Engraftment During Transplantation | 1 |
Dave W. Hangsleben | US | Las Vegas | 2014-11-13 / 20140334610 - INMATE COMMUNICATIONS | 1 |
János Hangyál | HU | Solymar | 2015-10-22 / 20150298076 - Method For Producing A Material Mixture Of Powder Or Granular State Material And Liquid | 1 |
Craig Richard Hanham | NZ | Auckland | 2009-09-24 / 20090238881 - IONOPHORE ANTIBIOTIC FORMULATIONS | 1 |
Paul Edward Hanham | GB | Didcot | 2016-01-07 / 20160006462 - NON-VOLATILE MEMORY CONTROLLER WITH ERROR CORRECTION (ECC) TUNING VIA ERROR STATISTICS COLLECTION | 2 |
Kalle Hanhijärvi | FI | Helsinki | 2011-10-27 / 20110261347 - Method for interferometric detection of surfaces | 1 |
Petteri Hanhimäki | FI | Salo | 2010-12-09 / 20100312951 - METHOD, DEVICE AND DATA STRUCTURE FOR DATA STORAGE ON MEMORY DEVICES | 2 |
Petteri Hanhimäki | FI | Salo | 2010-12-09 / 20100312951 - METHOD, DEVICE AND DATA STRUCTURE FOR DATA STORAGE ON MEMORY DEVICES | 1 |
Beat Hani | CH | Zuzwil | 2015-10-15 / 20150291779 - COTTON BUD | 2 |
Erwin F. Hani | US | Asheville | 2012-04-19 / 20120091961 - ELECTRIC VEHICLE SUPPLY EQUIPMENT WITH STORAGE CONNECTOR | 2 |
Hiroaki Hani | JP | Hyogo | 2009-08-20 / 20090208638 - TEMPERATURE-SENSITIVE ALUMINUM PHOSPHATE SOLUTION, A PROCESS FOR PRODUCING THE SAME AND THE USE THEREOF | 1 |
Tsutomu Hani | JP | Ibaraki-Shi | 2010-02-25 / 20100047484 - POLARIZER PROTECTIVE FILM, POLARIZING PLATE, AND IMAGE DISPLAY APPARATUS | 3 |
Rahim Hani | US | Alpharetta | 2015-06-11 / 20150157014 - METHOD OF CONTROLLING ALGAE IN A BODY OF WATER | 3 |
Mohammad Bani Hani | US | Niles | 2012-10-04 / 20120253663 - ELECTRONIC SYSTEM AND METHOD FOR PERSONAL NAVIGATION | 1 |
Mohammad Bani Hani | US | Lindenhurst | 2009-06-18 / 20090153398 - METHOD AND APPARATUS FOR CALIBRATING A GLOBAL POSITIONING SYSTEM OSCILLATOR | 1 |
Michael Hani | DE | Bad Aibling | 2010-02-04 / 20100026212 - ELECTRONIC BALLAST FOR A LAMP | 2 |
Michael Hani | DE | Bad Albling | 2010-05-13 / 20100117563 - Electronic Operating Device and Method for the Incremental Dimming of a Lighting Device | 1 |
Rahim Hani | US | Alpharetia | 2016-02-11 / 20160037767 - ENCAPSULATION OF ACTIVE INGREDIENTS AND METHOD OF MAKING | 1 |
Tsutomu Hani | JP | Osaka | 2010-09-30 / 20100249323 - RETARDATION FILM, METHOD OF PRODUCING THE SAME AND IMAGE DISPLAY DEVICE | 4 |
Binhas Régis Hania | FR | Maisons Alfort | 2010-06-03 / 20100133968 - CASING COMPRISING A RE-RECORDABLE COMPUTER MEDIUM TO BE FIXED IN A SERVICE CABINET | 1 |
Simon Hania | NL | Eg Den Haag | 2013-10-17 / 20130275038 - MOBILE DEVICE THAT OPERATES DIFFERENTLY IN DIFFERENT REGIONS | 1 |
Binhas Régis Hania | FR | Maisons Alfort | 2010-06-03 / 20100133968 - CASING COMPRISING A RE-RECORDABLE COMPUTER MEDIUM TO BE FIXED IN A SERVICE CABINET | 1 |
Adedayo Hanidu | US | Bethel | 2009-07-23 / 20090186010 - METHODS FOR THE IDENTIFICATION OF IKKALFA FUNCTION AND OTHER GENES USEFUL FOR TREATMENT OF INFLAMMATORY DISEASES | 1 |
Aviv Hanien | IL | Haifa | 2015-08-27 / 20150238573 - NUTRITIONAL FOOD AND FEED, COMPOSITION, PROCESSING AND METHOD OF USE | 4 |
Nouman Hanif | GB | Croydon | 2009-07-30 / 20090191968 - METHODS AND APPARATUS FOR A VIDEO GAME MAGIC SYSTEM | 1 |
Umar Hanif | US | Portland | 2009-06-11 / 20090147214 - Sports glasses with lens adapter for semi-rimless construction | 2 |
Mohammed Ayub Hanif | CA | Waterdown | 2009-03-05 / 20090057517 - BEVERAGE CONTAINER AND SUPPORT AND HOLDER THEREFOR | 1 |
Zahid Hanif | KR | Gwangju | 2015-05-21 / 20150140207 - METHOD FOR PREPARING ELECTROMAGNETIC WAVE SHIELDING MATERIAL | 1 |
Umar Hanif | HK | Sheung Wan | 2014-07-03 / 20140185004 - Adjustable Spectral Transmittance Eyewear | 2 |
Mohammed A. Hanif | CA | Waterdown | 2012-05-31 / 20120132300 - FAIL-SAFE WATER DISTRIBUTION SYSTEM | 1 |
Muhammad Imran Hanif | GB | London | 2014-05-22 / 20140137413 - FEEDING UTENSIL | 1 |
Umar Hanif | US | Chicago | 2016-02-04 / 20160031603 - CYLINDRICAL CONTAINER WITH SIDE-MOUNT HANG TAB AND METHODS THEREFOR | 1 |
Ahmed Farhan Hanif | FR | Evry | 2013-04-25 / 20130101008 - SYSTEMS AND METHODS FOR DOWNLINK SCHEDULING IN MULTIPLE INPUT MULTIPLE OUTPUT WIRELESS COMMUNICATIONS SYSTEMS | 2 |
Zachary Daniel Hanif | US | Alpharetta | 2014-11-06 / 20140331319 - Method and Apparatus for Detecting Malicious Websites | 1 |
Sadeque Mohammad Hanif | JP | Tokyo | 2010-05-06 / 20100112945 - APPARATUS AND METHOD FOR CONTROLLING ELECTRONIC DEVICE | 1 |
Umar Hanif | CN | Hong Kong | 2011-02-10 / 20110032476 - Adjustable Spectral Transmittance Curved Lens Eyewear | 1 |
Amjad Hanif | US | Santa Clara | 2011-05-26 / 20110125612 - AUTOMATED COMMENT CANCELLATION IN A NETWORK-BASED FACILITY | 2 |
Mohammad Hanif | US | Pleasanton | 2013-07-18 / 20130182562 - TECHNIQUES FOR DETERMINING LOCAL REPAIR PATHS USING CSPF | 6 |
Tariq Haniff | US | Redwood City | 2015-02-19 / 20150051465 - Ingestible Event Marker Systems | 2 |
Haris Hanifi | US | Centerville | 2009-11-19 / 20090287595 - Dealer to Dealer Sales Lead System and Method | 1 |
Paul Hanifl | US | Barrington Hills | 2015-12-31 / 20150376886 - Toilet Bowl Trapping Device/US | 3 |
Paul H. Hanifl | US | Barrington Hills | 2010-12-02 / 20100305013 - Bleach Delivery System and Method | 4 |
Paul H. Hanifl | US | Cary | 2010-01-28 / 20100022471 - Oral Moisturizer for Alleviating Dry Mouth | 1 |
John William Hanify | US | West Chester | 2009-01-08 / 20090011195 - EROSION- AND IMPACT-RESISTANT COATINGS | 1 |
John William Hanify | US | Liberty Township | 2014-10-09 / 20140301861 - AIRFOIL HAVING AN EROSION-RESISTANT COATING THEREON | 2 |
Nicholas James Hanigan | AU | Darwin | 2009-05-28 / 20090133224 - Hinge | 1 |
Nicholas James Hanigan | US | 2012-05-10 / 20120110785 - Hinge | 1 | |
Maury Hanigan | US | New York | 2016-04-07 / 20160098685 - VIDEO ASSISTED HIRING SYSTEM AND METHOD | 1 |
Peter P. Hanik | US | Houston | 2014-11-27 / 20140350296 - COMPOSITIONS FOR CARBOXYLIC ACID PRODUCTION AND METHODS FOR MAKING AND USING SAME | 1 |
Peter P. Hanik | US | Friendswood | 2009-12-31 / 20090326268 - COMPOSITIONS FOR CARBOXYLIC ACID PRODUCTION AND METHODS FOR MAKING AND USING SAME | 1 |
Markus Hanika | DE | Landsberg | 2015-07-30 / 20150214018 - METHOD FOR COATING A SUBSTRATE AND COATER | 8 |
Tim Hanika | DE | Langgoens/oberkleen | 2016-05-19 / 20160136753 - METHOD FOR PRODUCING A NODE BY WELDING WITH THE SAME WIDTH FOR TWO WELDS | 1 |
Johannes Hanika | DE | Ulm | 2010-11-18 / 20100289799 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR EFFICIENT RAY TRACING OF MICROPOLYGON GEOMETRY | 1 |
Markus Hanika | DE | Landsberg | 2015-07-30 / 20150214018 - METHOD FOR COATING A SUBSTRATE AND COATER | 8 |
Umar Hanil | US | Portland | 2010-08-12 / 20100201936 - Sports glasses with lens adapter for semi-rimless construction | 1 |
Peter Hanimann | CH | Salez | 2009-08-13 / 20090200353 - Combustion-operated setting tool | 1 |
Kurt Hanimann | CH | Rodels | 2009-03-19 / 20090072423 - METHOD FOR THE PRODUCTION OF POLYESTER GRANULATES FROM HIGHLY VISCOUS POLYESTER MELTS AND ALSO DEVICE FOR THE PRODUCTION OF THE POLYESTER GRANULATES | 1 |
Kurt Hanimann | CH | Rodels/pratval | 2016-01-28 / 20160023377 - Method for the Production of Polyester Granulates From Highly Viscous Polyester Melts and Also Device for the Production of the Polyester Granulates | 6 |
Aleh Hanin | RU | Saint Petersburg City | 2013-07-11 / 20130179489 - ACCELERATING WEB SERVICES APPLICATIONS THROUGH CACHING | 1 |
Erez Hanina | IL | Haifa | 2009-04-30 / 20090107326 - Armor module | 1 |
Adam Hanina | US | New York | 2016-04-28 / 20160117547 - METHOD AND APPARATUS FOR IDENTIFICATION | 42 |
Ryan M. Haning | US | Issaquah | 2015-02-05 / 20150040057 - Chromeless User Interface | 9 |
Ryan M. Haning | US | Issaquah | 2015-02-05 / 20150040057 - Chromeless User Interface | 9 |
Helmut Haning | DE | Wuppertal | 2013-03-07 / 20130059844 - 2-PHENYL SUBSTITUTED IMIDAZOTRIAZINONES AS PHOSPHODIESTERASE INHIBITORS | 6 |
Joseph Hanington | US | Elko | 2012-11-01 / 20120274328 - AXIAL HIGH VOLTAGE TRANSFORMER WITH SIGNAL PASS-THROUGH ABILITY | 1 |
Gary J. Hanington | US | Elko | 2012-11-01 / 20120274328 - AXIAL HIGH VOLTAGE TRANSFORMER WITH SIGNAL PASS-THROUGH ABILITY | 1 |
Peter Hanington | US | Elko | 2012-11-01 / 20120274328 - AXIAL HIGH VOLTAGE TRANSFORMER WITH SIGNAL PASS-THROUGH ABILITY | 1 |
Gary Hanington | US | Elko | 2015-10-29 / 20150310993 - Plurality of Capacitors Electrically Connected in Parallel as a Single Physical Unit | 5 |
Jamal Hanini | US | 2012-02-02 / 20120030000 - System and method for calculating loyalty points based on usage of consumer energy | 1 | |
Samer Hanini | US | 2012-02-02 / 20120030000 - System and method for calculating loyalty points based on usage of consumer energy | 1 | |
Yuto Hanioka | JP | Kanagawa | 2016-03-24 / 20160083577 - RESIN COMPOSITION AND RESIN MOLDED ARTICLE | 3 |
Susan S. Hanis | US | Raleigh | 2009-05-21 / 20090132482 - SYSTEM AND METHOD FOR PROVIDING USER-TAILORED VIEWS | 1 |
Thomas T. Hanis | US | Raleigh | 2014-09-18 / 20140280228 - Semantic Mapping of Topic Map Meta-Models Identifying Assets and Events to Include Weights | 5 |
Greg Hanis | US | Fort Lauderdale | / - | 1 |
Susan S. Hanis | US | Research Triangle Park | 2012-12-06 / 20120311554 - METHOD AND SYSTEM FOR LOADING PROGRAM MODULES | 1 |
Tomas Hanis | CZ | Vysocany | 2015-01-22 / 20150021443 - Method and Apparatus for Minimizing Dynamic Structural Loads of an Aircraft | 1 |
Greg Hanis | US | Pompano Beach | 2014-06-26 / 20140179419 - SYSTEM AND METHOD FOR VERIFICATION OF IDENTIFICATION AND RESIDENCE IN AN ONLINE GAMING ENVIRONMENT | 1 |
Juergen Hanisch | DE | Bempflingen | 2013-08-29 / 20130227331 - Modular Structure for Processing Data | 9 |
Michael Hanisch | DE | Spiesen-Elversberg | / - | 1 |
Bodo Hanisch | DE | Overath | 2012-11-22 / 20120296521 - Control System For A Motor Vehicle With A Box Body And Motor Vehicle | 1 |
Bernhard Hanisch | DE | Frick | 2015-09-10 / 20150252198 - COLOR AND METHOD FOR RENOVATING AN OPEN-PORED LAYER OF PLASTER | 1 |
Oliver Hanisch | FR | Paris | 2015-07-02 / 20150182312 - ABUTMENT SYSTEM FOR IMMEDIATE IMPLANTS FOR PRODUCING A DENTAL PROSTHESIS | 1 |
Robert Hanisch | DE | Remseck | 2011-04-28 / 20110097194 - Radial Compressor | 1 |
Jörg Hanisch | DE | Wuppertal | 2012-11-15 / 20120284981 - MANUALLY GUIDED PRESS DEVICE | 1 |
Juergen Hanisch | DE | Bempflingen | 2013-08-29 / 20130227331 - Modular Structure for Processing Data | 9 |
Juergen Hanisch | DE | Bemflingen | 2010-08-05 / 20100195670 - CIRCUIT ARRANGEMENT FOR SIGNAL PICK-UP AND SIGNAL GENERATION AND METHOD FOR OPERATING THIS CIRCUIT ARRANGEMENT | 1 |
Michael Hanisch | DE | Erlangen | 2009-03-12 / 20090067655 - Hearing aid device | 1 |
Uli Hanisch | DE | Berlin | 2016-03-10 / 20160067460 - Balloon Catheter | 1 |
Lydia Jasmin Hanisch | CH | Birmensdorf | 2015-08-06 / 20150218244 - INTERLEUKIN-10 FUSION PROTEINS AND USES THEREOF | 1 |
Markus Hanisch | DE | Fellbach | 2015-10-01 / 20150274433 - TRANSPORT DEVICE WITH CONTROLLABLE CONVEYING ELEMENT | 2 |
Frank Hanisch | DE | Tubingen | 2013-05-23 / 20130131486 - COMPUTER PROGRAM FOR SPINE MOBILITY SIMULATION AND SPINE SIMULATION METHOD | 1 |
Marco Hanisch | DE | Bergisch Gladbach | 2016-03-03 / 20160060023 - PORTION CAPSULE HAVING A LIQUID DISTRIBUTOR | 1 |
Enno Hanisch | DE | Osnabruck | 2013-01-17 / 20130017077 - Rotary Pump with Spiral CasingAANM Hanisch; EnnoAACI OsnabruckAACO DEAAGP Hanisch; Enno Osnabruck DEAANM Hembrock; ReinhardAACI HorstelAACO DEAAGP Hembrock; Reinhard Horstel DE | 1 |
Joerg Hanisch | DE | Wuppertal | 2013-12-12 / 20130328513 - METHOD FOR AUTOMATICALLY CONTROLLING AN ELECTROHYDRAULIC PRESSING TOOL | 1 |
Joerg Hanisch | US | La Habra | 2009-02-19 / 20090048812 - SYSTEM AND METHOD FOR COMPOSITION OF NURBS SURFACES | 1 |
Harald Hanisch | AT | Wien | 2013-11-07 / 20130293392 - METHOD FOR DETECTING VEHICLES WITH CARGO | 4 |
Hans-Jurgen Hanisch | DE | Munchen | 2009-05-07 / 20090116477 - Signaling Referring to Setup of H.324 Video Telephone Between Media Gateway and Controller | 1 |
Dirk Hanisch | DE | Koln | 2013-08-08 / 20130200675 - SEAT BASE FRAME FOR A MOTOR VEHICLE SEAT | 2 |
Dirk Hanisch | DE | Koeln | 2010-03-04 / 20100050418 - BEARING PIN FOR LOCKING PIECES, IN PARTICULAR, A MOTOR VEHICLE DOOR LOCK | 2 |
Christian Hanisch | DE | Braunschweig | 2014-10-02 / 20140290438 - METHOD FOR RECLAIMING ACTIVE MATERIAL FROM A GALVANIC CELL, AND AN ACTIVE MATERIAL SEPARATION INSTALLATION, PARTICULARLY AN ACTIVE METAL SEPARATION INSTALLATION | 1 |
Franz-Georg Hanisch | DE | Koln | 2009-02-26 / 20090054622 - Peptide variants of the tumor marker MUC1 and their application | 2 |
Michael B. Hanish | US | Middlefield | 2015-12-31 / 20150378585 - OBJECT IDENTIFICATION SYSTEM | 2 |
Tyson Hanish | US | Raleigh | 2014-08-07 / 20140219570 - AUTOMATED ANALYSIS OF A MOTION | 1 |
Ned Hanisko | US | Paradise | 2014-09-11 / 20140250675 - Selectively Compliant Clamp | 1 |
Sakiko Hanita | JP | Osaka | 2009-07-23 / 20090187008 - ADSORBENT ADSORBING ANTIBODY AGAINST BETA 1 ADRENORECEPTOR | 2 |
Tokumitsu Hanita | JP | Aichi | 2013-10-10 / 20130265208 - ANTENNA UNIT | 1 |
Kiyoto Hanita | JP | Susono-Shi | 2016-05-19 / 20160140847 - ALERTING APPARATUS | 9 |
Kiyoto Hanita | JP | Susono-Shi | 2016-05-19 / 20160140847 - ALERTING APPARATUS | 9 |
Ninja Hanitzsch | DE | Oberhausen | 2016-01-28 / 20160024310 - POCESS FOR PROVIDING METALLIC SUBSTRATES WITH CORROSION RESISTANCE | 2 |
Udo Hanitzsch | DE | Bielefeld | 2010-10-14 / 20100258051 - COATING DEVICE COMPRISING FLOWING COATING MATERIAL FOR SMOOTH OR STRUCTURED SURFACES | 1 |
Robert Hanitzsch | DE | Kernen | 2013-07-18 / 20130180233 - Exhaust Gas Treatment Device | 2 |
Udo Hanitzsch | DE | Zabeltitz | 2016-05-12 / 20160129473 - COATED BOARD OF WOOD-BASED MATERIAL | 4 |
Umesh Mutt Hanjagi | IN | Aurobino Phara | 2011-05-05 / 20110104267 - Pharmaceutical compositions of antiretrovirals | 1 |
Mahesh Nagesh Hanjagi | US | Yorktown | 2011-08-04 / 20110186015 - Comprehensive Fuel Pressure Damper | 1 |
Mahesh N. Hanjagi | US | Yorktown | 2013-03-07 / 20130056098 - COMPACT FUEL PRESSURE REGULATOR | 1 |
Donny Hanjaya-Putra | US | Baltimore | 2012-12-20 / 20120322151 - SMOOTH MUSCLE-LIKE CELLS (SMLCs) DERVIDED FROM HUMAN PLURIPOTENT STEM CELLS | 2 |
Munetsugu Hanji | JP | Wako-Shi | 2015-07-23 / 20150203147 - VEHICLE STEERING DEVICE | 1 |
Cho Han-Jin | KR | Daejeon | 2010-06-24 / 20100161793 - METHOD FOR COMPOSING ON-CHIP NETWORK TOPOLOGY | 1 |
Rainer Hank | DE | Eberdingen | 2014-04-10 / 20140096661 - REORIENTABLE ROTATABLE PROCESSING TOOL | 1 |
Michael Hank | DE | Kinsau | 2009-09-03 / 20090218285 - CONTROLS OF A FILTRATION SYSTEM | 1 |
Rainer Hank | DE | Eberdingen/hochdorf | 2009-08-27 / 20090211326 - REORIENTABLE ROTATABLE PROCESSING TOOL | 1 |
Maxwell Hank | US | Mount Pleasant | 2015-11-05 / 20150313296 - Removable Skin Protection Apparatus | 1 |
Hans-Peter Hank | DE | München | 2015-05-21 / 20150142268 - SYSTEMATIC ABNORMALITY DETECTION IN CONTROL COMMANDS FOR CONTROLLING POWER STEERING SYSTEM | 1 |
Oliver Hanka | DE | Muenchen | 2015-10-01 / 20150278618 - AUTOMATIC HEAD COUNT DETERMINATION ON BOARD A MEANS OF TRANSPORT | 2 |
Stephen C. Hanka | US | Lindon | 2013-03-28 / 20130077621 - SYSTEM AND METHOD FOR A VIRTUAL CHASSIS SYSTEM | 2 |
Oliver Hanka | DE | Munchen | 2014-12-25 / 20140380042 - COMPUTER NETWORK, NETWORK NODE AND METHOD FOR PROVIDING CERTIFICATION INFORMATION | 1 |
Gordon R. Hanka | US | Beaumont | 2014-07-24 / 20140203005 - Welder powered arc starter | 1 |
Gordon Hanka | US | Beaumont | 2016-05-05 / 20160121418 - Welder Powered Arc Starter | 1 |
Olli Hankamaki | FI | Tampere | 2013-07-04 / 20130170141 - Method for providing power supply, and a power supply device | 1 |
Gregory Allen Hankamp | US | Holland | 2013-11-07 / 20130293143 - INTELLIGENT POWER SUPPLY SYSTEM | 1 |
Masashi Hankawa | JP | Tokyo-To | 2009-02-12 / 20090040363 - Zoom optical system and electronic imaging apparatus having the same | 1 |
Masashi Hankawa | JP | Shibuya-Ku | 2009-08-06 / 20090195886 - Zoom lens and imaging apparatus incorporating the same | 1 |
Masashi Hankawa | JP | Hachioji-Shi | 2010-12-23 / 20100321546 - Zoom lens and image pickup apparatus equipped with same | 5 |
Bernhard Hanke | DE | Schwalbach | 2015-03-19 / 20150080826 - SUBSTRATE COMPRISING OLIGOGALACTURONIDES AND DISPOSABLE ABSORBENT ARTICLE COMPRISING THE SUBSTRATE | 1 |
Linda A. Hanke | US | Phoenix | 2011-04-07 / 20110079527 - CONTAINER WRAP AND CONTAINER FORMED THEREWITH | 1 |
Ingo Hanke | DE | Frankfurt | 2010-09-02 / 20100221165 - OFF-GAS CATALYST FOR HYDROCHLORIC ACID-CONTAINING OFF-GASES | 1 |
Thomas Hanke | DE | Wurzburg | 2015-12-31 / 20150376278 - USE OF A CD28 BINDING PHARMACEUTICAL SUBSTANCE FOR MAKING A PHARMACEUTICAL COMPOSITION WITH DOSE-DEPENDENT EFFECT | 5 |
Wilhelm Hanke | DE | Ruckersdorf | 2011-05-26 / 20110122992 - X-RAY SOURCE AND X-RAY SYSTEM | 3 |
Hans-Jürgen Hanke | DE | Hilchenbach | 2012-07-12 / 20120174817 - DEVICE FOR HANDLING AND/OR TRANSPORTING ROLLS OF A ROLL STAND | 2 |
Elisabeth Hanke | DE | Augsburg | 2015-08-27 / 20150239123 - Method and Programming Means for Modification of a Robot Path | 1 |
David Andrew Hanke | CA | Victoria | 2015-08-27 / 20150241480 - POWER METER CONFIGURED FOR REAR AND SIDE EXPANSION | 1 |
Thomas Hanke | DE | Veitshoechheim | 2012-04-05 / 20120082683 - Superagonistic Anti-CD28 Antibodies | 3 |
Andre Hanke | DE | Unterhaching | 2016-04-28 / 20160119925 - COMMUNICATION DEVICE AND METHOD FOR CONTROLLING TRANSCEIVER CHAINS OF A COMMUNICATION DEVICE | 10 |
Stepan Hanke | US | Lake Orion | 2016-05-05 / 20160123044 - BOWDEN CABLE ARRANGEMENT | 7 |
Dennis Hanke | US | Algonquin | 2012-08-23 / 20120211571 - Rail Fastening System | 3 |
Hans-Martin Hanke | DE | Efringen-Kirchen | 2010-10-14 / 20100257720 - METHOD FOR PLACING RIVET ELEMENTS BY MEANS OF A PORTABLE RIVETING DEVICE DRIVEN BY AN ELECTRIC MOTOR AND RIVETING DEVICE | 1 |
Wilhelm Hanke | DE | Rueckersdorf | 2009-12-31 / 20090323893 - MAMMOGRAPHY SYSTEM AND OPERATING METHOD | 1 |
Harald Hanke | DE | Hamburg | 2014-08-21 / 20140236131 - SHAFT OF A LAPAROSCOPIC INSTRUMENT | 1 |
Christian Hanke | AT | Vienna | 2016-03-10 / 20160072985 - System and Method for Preparing a Pharmaceutical Compound | 1 |
Andreas Hanke | DE | Osnabruck | 2016-02-25 / 20160057880 - Underwater Switch Unit | 5 |
Bernhard Hanke | DE | Bensheim | 2009-03-12 / 20090069573 - Method for the purification of betaines | 1 |
Paul Hanke | US | Urbana | 2013-05-09 / 20130116138 - PEPTIDE DOMAINS THAT BIND SMALL MOLECULES OF INDUSTRIAL SIGNIFICANCE | 1 |
Andre Hanke | DE | Strausberg | 2009-06-18 / 20090153229 - Method for Signal Transmission between Semiconductor Substrates, and Semiconductor Component Comprising Such Semiconductor Substrates | 2 |
Tomas Hanke | US | 2011-07-21 / 20110177115 - VACCINATION REGIMEN | 1 | |
Andre Hanke | DE | Unterhaching | 2016-04-28 / 20160119925 - COMMUNICATION DEVICE AND METHOD FOR CONTROLLING TRANSCEIVER CHAINS OF A COMMUNICATION DEVICE | 10 |
Joachim Hanke | DE | Niederwerrn | 2014-12-11 / 20140364259 - Chainring | 1 |
Conni Hanke | SW | Zurich | 2013-03-21 / 20130068621 - DIELECTROPHORETIC CELL CAPTURE | 1 |
Hans-Jürgen Hanke | DE | Hilchenbach | 2009-09-03 / 20090217727 - Rolling installation | 1 |
Jeffrey Herbert Hanke | US | Reading | 2015-04-16 / 20150104409 - HUMAN MONOCLONAL ANTIBODIES TO CTLA-4 | 6 |
Ingo Hanke | DE | Bad Vilbel | 2009-04-30 / 20090111687 - CATALYST DESIGN AND PREPARATION PROCESS FOR STEAM-REFORMING CATALYSTS | 1 |
Randolf Hanke | DE | Puschendorf | 2013-04-18 / 20130094741 - APPARATUS AND METHOD FOR NON-ROTATIONAL COMPUTER TOMOGRAPHY | 3 |
John Hanke | US | Schertz | 2009-06-11 / 20090144908 - SYSTEM AND METHOD TO OCCLUDE PATIENT ENTRAPMENT ZONES | 3 |
Tilo Hanke | DE | Dresden | 2011-05-05 / 20110101556 - METHOD AND HEATING DEVICE FOR THERMOFORMING | 1 |
Paul D. Hanke | US | Urbana | 2011-02-24 / 20110045549 - POLYNUCLEOTIDES ENCODING A FEEDBACK RESISTANT ASPARTOKINASE FROM CORYNEBACTERIUM | 3 |
Thomas Hanke | DE | Wuerzburg | 2011-03-03 / 20110052587 - PEPTIDE OR PROTEIN COMPRISING A C'-D LOOP OF THE CD28 RECEPTOR FAMILY | 1 |
Andre Hanke | DE | Neubiberg | 2009-11-05 / 20090274202 - SYSTEM PARTITIONING FOR MULTI-MOBILE DEVICES | 2 |
Carsten Hanke | DE | Bielefeld | 2012-05-31 / 20120131865 - WALL CONSTRUCTION | 6 |
Michael Hanke | DE | Braunschweig | 2012-01-26 / 20120018919 - MOLD FOR MANUFACTURE OF FIBER COMPOSITE PARTS AND METHOD OF MANUFACTURE OF FIBER COMPOSITE PARTS WITH SUCH A MOLD | 2 |
Stefan Hanke | DE | Braunschweig | 2016-01-28 / 20160025200 - TRANSMISSION AND DIFFERENTIAL GEARING AND ENGINE AND GEARING UNIT | 4 |
Susan Hanke | FR | Toulouse | 2009-03-12 / 20090070091 - METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR THE OPTIMIZATION OF POWER SYSTEM ARCHITECTURES AT THE AIRCRAFT LEVEL DURING PRE-DESIGN | 1 |
Alexander Hanke | DE | Radebeul | 2009-12-10 / 20090305498 - SEMICONDUCTOR DEVICE COMPRISING A COPPER ALLOY AS A BARRIER LAYER IN A COPPER METALLIZATION LAYER | 1 |
Wolfgang Hanke | DE | Leisnig | 2011-01-20 / 20110011263 - METHOD FOR ABSORBING CHLORINE FROM GAS STREAMS | 1 |
Tomas Hanke | GB | Oxford | 2011-06-30 / 20110159034 - METHODS AND REAGENTS FOR VACCINATION WHICH GENERATE A CD8 T CELL IMMUNE RESPONSE | 3 |
Helmut Hanke | DE | Bachhagel | 2010-07-29 / 20100187241 - HEAT-INSULATING WALL FOR A REFRIGERATING DEVICE | 2 |
Tomas Hanke | GB | Old Marston | 2010-05-13 / 20100119551 - Methods and reagents for vaccination which generate a CD8 T cell immune response | 3 |
Carl-Heinz Hanke | DE | Langwedel | 2015-01-29 / 20150029902 - AIRWORTHY CAN BUS SYSTEM | 1 |
Andreas Hanke | DE | Hannover | 2012-09-06 / 20120222821 - DEVICE FOR TURNING UP A TIRE-BUILDING PART ON A TIRE-BUILDING DRUM USING A ROLLER LEVER | 1 |
Sabine Hanke | DE | Ruppichteroth-Winterscheid | 2010-06-03 / 20100136535 - MEANS AND METHODS FOR DETECTING PROTEIN-PEPTIDE INTERACTIONS | 1 |
Thomas Hanke | DE | Berlin | 2015-04-16 / 20150104490 - Growth-inhibited hydroxyapatite, process for its preparation and use | 3 |
Jeffrey S. Hanke | US | Rhinelander | 2013-01-24 / 20130019728 - Method and apparatus for forming paired stiles and rails | 1 |
Marcel Hanke | DE | Berlin | 2014-06-26 / 20140177951 - METHOD, APPARATUS, AND STORAGE MEDIUM HAVING COMPUTER EXECUTABLE INSTRUCTIONS FOR PROCESSING OF AN ELECTRONIC DOCUMENT | 1 |
William Ben Hanke | CA | Vancouver | 2015-12-10 / 20150355826 - ENABLING USER INTERACTIONS WITH VIDEO SEGMENTS | 2 |
Alexander Hanke | DE | Ingolstadt | 2015-11-26 / 20150339334 - METHOD FOR ENTERING IDENTIFICATION DATA OF A VEHICLE INTO A USER DATABASE OF AN INTERNET SERVER DEVICE | 2 |
Bernard Hanke | DE | Bad Sahwalbach | 2013-10-24 / 20130281948 - Substrate Comprising One or More Human Milk Oligosaccharides and Disposable Absorbent Article Comprising the Substrate | 1 |
Dirk Hanke | DE | Lemgo | 2016-02-18 / 20160049737 - SPRING-FORCE CLAMPING ELEMENT WITH PIVOTING LEVER | 3 |
Petra Hanke | DE | Muenchen | 2013-08-01 / 20130195844 - ANTIBODIES AGAINST HUMAN IL17 AND USES THEREOF | 2 |
Nathaniel S. Hankel | US | 2012-07-12 / 20120175519 - Detector Tube Stack with Integrated Electron Scrub System and Method of Manufacturing the Same | 1 | |
Harold David Hankel | CA | Coquitlam | 2010-12-23 / 20100323555 - Meter Collar | 1 |
Willi Hankel | DE | Isny | 2012-08-16 / 20120204463 - BREECH FOR A REPEATING FIREARM | 1 |
Thomas Hankemeier | NL | Leiden | 2016-05-19 / 20160139090 - METHOD AND DEVICE FOR RECEIVING A DROPLET | 8 |
Thomas Hankemeier | NL | Cc Leiden | 2015-05-14 / 20150129427 - ELECTROEXTRACTION | 1 |
Thomas Hankemeier | NL | Leiden | 2016-05-19 / 20160139090 - METHOD AND DEVICE FOR RECEIVING A DROPLET | 8 |
Dennis G. Hanken | US | Hillsboro | 2015-06-25 / 20150179501 - TECHNIQUES FOR TRENCH ISOLATION USING FLOWABLE DIELECTRIC MATERIALS | 1 |
Kurt David Hankenson | US | Philadelphia | 2015-12-10 / 20150352180 - Notch Ligand Bound Biocompatible Substrates And Their Use In Bone Formation | 1 |
Kurt D. Hankenson | US | Ann Arbor | 2009-03-19 / 20090074886 - GSK-3 INHIBITORS | 1 |
Gert Hanker | DE | Meckenbeuren | 2014-09-11 / 20140251750 - Transmission Parking Lock | 5 |
Ariella Hanker | US | Nashville | 2014-09-18 / 20140271665 - Combinations of Kinase Inhibitors for the Treatment of Cancer | 1 |
Theo Hankers | DE | Braunschweig | 2012-09-13 / 20120232785 - METHODS AND SYSTEMS FOR DYNAMICALLY PROVIDING CONTEXTUAL WEATHER INFORMATION | 2 |
Kurt A. Hankerson | US | Newark | 2015-06-25 / 20150175836 - LOW BAKE TEMPERATURE CURABLE COATING COMPOSITIONS AND PROCESSES FOR PRODUCING COATINGS AT LOW BAKE TEMPERATURES | 2 |
Michael Carl Hankes | US | Austin | 2015-02-26 / 20150056043 - WORKPIECE ORIENTING AND CENTERING WITH A FACTORY INTERFACE | 1 |
Gregory M. Hanket | US | Newark | 2012-06-21 / 20120156372 - Nozzle-based, Vapor-phase, Plume Delivery Structure for Use in Production of Thin-film Deposition Layer | 5 |
James J. Hankey | US | Norwood | 2011-02-10 / 20110034313 - CENTRIFUGE WITH HYDRAULIC DRIVE UNIT | 1 |
Evans Hankey | US | Cupertino | 2015-10-08 / 20150286247 - Highly Portable Media Devices | 1 |
Jeffrey C. Hankey | US | Kittanning | 2014-09-11 / 20140251096 - LATHE FOR MACHINING A WORKPIECE AND RELATED METHODS AND TOOLHOLDERS | 1 |
Paul Hankey | US | Raleigh | 2012-09-13 / 20120233573 - TECHNIQUES TO PRESENT HIERARCHICAL INFORMATION USING ORTHOGRAPHIC PROJECTIONS | 1 |
Evans Hankey | US | San Francisco | 2014-01-30 / 20140030522 - TRANSPARENT FIBER COMPOSITE | 13 |
Stephen Hankey | GB | Wigan Lancashire | 2010-02-18 / 20100040439 - SLIDE PROCESSING APPARATUS AND METHOD | 1 |
Stephen Hankey | GB | Wigan | 2012-08-02 / 20120195497 - Slide Processing Apparatus and Method | 1 |
Jude L. Hankey | US | Essex Junction | 2012-05-03 / 20120110524 - METHODS, PHOTOMASKS AND METHODS OF FABRICATING PHOTOMASKS FOR IMPROVING DAMASCENE WIRE UNIFORMITY WITHOUT REDUCING PERFORMANCE | 2 |
Kim Graulich Hankey | US | Edgewood | 2010-10-21 / 20100266534 - Human Lymphocyte Vaccine Adjuvant | 1 |
M. Evans Hankey | US | San Francisco | 2015-05-14 / 20150135108 - DEVICE, METHOD, AND GRAPHICAL USER INTERFACE FOR MANIPULATING USER INTERFACES BASED ON FINGERPRINT SENSOR INPUTS | 12 |
M. Evans Hankey | US | Cupertino | 2016-01-28 / 20160025119 - ATTACHMENT APPARATUSES AND ASSOCIATED METHODS OF USE AND MANUFACTURE | 2 |
Jon Hankey | US | Blacksburg | 2011-10-27 / 20110264325 - IMPAIRED OPERATION DETECTION METHOD | 1 |
Evans Hankey | US | San Francisco | 2014-01-30 / 20140030522 - TRANSPARENT FIBER COMPOSITE | 13 |
Dana Lynn Hankey | US | Santa Barbara | 2016-03-03 / 20160060177 - TAPE CASTING USING SLURRY FROM A CAVITATION APPARATUS AND METHODS OF MAKING SAME | 2 |
M. Evans Hankey | US | San Francisco | 2015-05-14 / 20150135108 - DEVICE, METHOD, AND GRAPHICAL USER INTERFACE FOR MANIPULATING USER INTERFACES BASED ON FINGERPRINT SENSOR INPUTS | 12 |
Don Rufus Hankey | US | Los Angeles | 2015-03-05 / 20150066737 - SYSTEMS AND METHODS FOR OPTIMIZATION OF A FINANCIAL TRANSACTION | 2 |
Martha E. Hankey | US | Cupertino | 2016-03-10 / 20160071392 - CARE EVENT DETECTION AND ALERTS | 1 |
Robert Alan Hankin | US | Dana Point | 2014-09-18 / 20140278656 - Service Level Model, Algorithm, Systems and Methods | 2 |
Frank Dale Hankin | US | Carsbad | 2015-08-06 / 20150220948 - ON-SITE ELECTION METHOD AND APPARATUS | 1 |
David Lewis Hankin | FR | Nice | 2009-10-22 / 20090264033 - DEEP WATER HYDROCARBON TRANSFER SYSTEM | 1 |
Marc Hankin | US | Los Angeles | 2010-01-14 / 20100005692 - RE-ATTACHABLE CONTAINER IDENTIFIERS | 1 |
Joseph A. Hankin | US | Denver | 2010-04-15 / 20100090099 - Method and apparatus of uniform gas-phase molecular matrix deposition for imaging mass spectrometry | 1 |
Neil A. Hankin | GB | Smallfield | 2012-03-08 / 20120059898 - NETWORK ESTABLISHMENT AND MANAGEMENT PROTOCOL | 1 |
Neil A. Hankin | GB | Horley, Surrey | 2013-06-06 / 20130144972 - NETWORK ESTABLISHMENT AND MANAGEMENT PROTOCOL | 1 |
Maxim Hankin | IL | Kiryat Ono | 2012-02-23 / 20120045029 - RELATIVE TIME MEASUREMENT SYSTEM WITH NANOSECOND LEVEL ACCURACY | 1 |
Luke Hankin | CH | Gams | 2011-09-22 / 20110229338 - COMPRESSOR WHEEL | 1 |
Heino Hanking | DE | Minden | 2009-11-19 / 20090287727 - SYSTEM AND METHOD FOR THE LOSS-FREE PROCESSING OF PROCESS VALUES OF A TECHNICAL INSTALLATION OR A TECHNICAL PROCESS | 2 |
Robert Hankins | US | Washington | 2012-08-16 / 20120209694 - VIRTUAL COMMUNICATION PLATFORM | 1 |
Don Hankins | US | Friendswood | 2014-09-18 / 20140267705 - APPARATUS FOR MEASURING FEED AMOUNT | 1 |
Christopher Hankins | US | Santa Rosa | 2009-03-26 / 20090080849 - BLANK PLATE FOR MANAGING CABLES | 1 |
John B. Hankins | US | Cleveland | 2016-01-28 / 20160024879 - DIVERTER STABBING DOG | 2 |
Richard A. Hankins | US | Santa Clara | 2016-01-21 / 20160019067 - MECHANISM FOR INSTRUCTION SET BASED THREAD EXECUTION ON A PLURALITY OF INSTRUCTION SEQUENCERS | 1 |
Richard Hankins | US | San Jose | 2011-12-22 / 20110314480 - Apparatus, System, And Method For Persistent User-Level Thread | 1 |
Michael B. Hankins | US | Simi Valley | 2009-04-23 / 20090100678 - ROCKET ENGINE NOZZLE AND METHOD OF FABRICATING A ROCKET ENGINE NOZZLE USING PRESSURE BRAZING | 1 |
Robert Jeffery Hankins | US | Garland | 2013-05-02 / 20130104750 - Roller Grill | 4 |
James Graham Hankins | US | Chesterfield | 2016-01-21 / 20160019551 - SYSTEM AND METHOD FOR PROCESSING CUSTOMER SERVICE REQUESTS USING AN ELECTRONIC DEVICE | 1 |
Richard A. Hankins | US | San Jose | 2014-04-24 / 20140115594 - MECHANISM TO SCHEDULE THREADS ON OS-SEQUESTERED SEQUENCERS WITHOUT OPERATING SYSTEM INTERVENTION | 3 |
Kathy Marie Hankins | US | Chico | 2012-06-14 / 20120149536 - HEAVY DUTY FITNESS EQUIPMENT BAG | 1 |
Doreen Jean Hankins | US | Chesterfield | 2016-01-21 / 20160019551 - SYSTEM AND METHOD FOR PROCESSING CUSTOMER SERVICE REQUESTS USING AN ELECTRONIC DEVICE | 1 |
Kimberly M. Hankins | US | Smyrna | / - | 1 |
Richard Hankins | US | Westland | 2010-07-01 / 20100162806 - Detection of cylinder-to-cylinder air/fuel imbalance | 1 |
Robert B. Hankins | US | Del Valle | / - | 1 |
Scott Andrew Hankins | US | Cupertino | 2012-03-29 / 20120079101 - Behavioral Classification of Network Data Flows | 2 |
Jeff Hankins | US | Southbury | 2016-04-07 / 20160097562 - NEXT GENERATION BARE WIRE WATER HEATER | 7 |
Matthew W. Hankins | US | Chicago | 2014-03-20 / 20140081855 - Waterfall Prioritized Payment Processing | 2 |
John Benjamin Hankins | US | Cleveland | 2012-01-26 / 20120018222 - Inflatable Restraint System | 2 |
Christopher Michael Hankins | US | Santa Rosa | 2010-10-28 / 20100273342 - Bayonet connector | 1 |
Lance W. Hankins | US | Allen | 2015-06-04 / 20150154104 - CONTINUOUS INTEGRATION OF BUSINESS INTELLIGENCE SOFTWARE | 5 |
Richard Andrew Hankins | US | San Jose | 2013-02-28 / 20130054940 - MECHANISM FOR INSTRUCTION SET BASED THREAD EXECUTION ON A PLURALITY OF INSTRUCTION SEQUENCERS | 1 |
Genevieve J. Hankins | US | Brooklyn | 2015-02-19 / 20150051874 - QUALITY FACTOR ESTIMATION OF A REVERBERANT CAVITY | 1 |
Brett Hankins | US | Manassas Park | 2011-07-14 / 20110173359 - COMPUTER-IMPLEMENTED METHOD AND SYSTEM FOR SECURITY EVENT TRANSPORT USING A MESSAGE BUS | 1 |
Jerry J. Hankins | US | St. Joseph | 2014-08-21 / 20140234507 - METHODS AND DEVICES FOR HEATING OR COOLING VISCOUS MATERIALS | 1 |
Mark S. Hankins | US | Land O Lakes | 2013-01-10 / 20130012151 - Defibrillator with integrated telecommunications | 1 |
William G. Hankins | US | Sammamish | 2015-12-10 / 20150353370 - Urea to Ammonia Process | 3 |
Rich Hankins | US | San Jose | 2015-10-08 / 20150288805 - METHOD AND APPARATUS FOR INCREMENTALLY DETERMINING LOCATION CONTEXT | 3 |
Michael J. Hankins | US | Jonestown | 2016-05-19 / 20160136025 - Infant Warming Device with Integrated Touch Screen and Display Isolation Mounting | 1 |
Mark W. Hankins | US | Houston | 2016-04-21 / 20160111070 - Guitar Stand | 1 |
Gerald R. Hankins | US | Charlottesville | 2011-08-11 / 20110196497 - Method and System For Fusing a Spinal Region | 1 |
Richard Hankins | US | Santa Clara | 2015-03-12 / 20150070368 - Instruction Set Architecture-Based Inter-Sequencer Communications With A Heterogeneous Resource | 4 |
John L. Hankins | US | Lafayette | 2013-04-25 / 20130100484 - Public Network Printing System | 1 |
Linh Hankins | US | Milpitas | 2016-04-21 / 20160112866 - AUTOMATICALLY QUIETING MOBILE DEVICES | 5 |
Danny Ray Hankins | US | Trinity | 2013-11-21 / 20130307308 - Chair with Foldable Armrest and Armrest Locking Mechanism | 1 |
Ryan Hankins | US | St. Paul | 2014-12-25 / 20140379714 - DETECTING HARDWARE AND SOFTWARE PROBLEMS IN REMOTE SYSTEMS | 2 |
Mark W. Hankins | GB | London | 2009-08-20 / 20090208462 - Methods of Treatment | 1 |
Benjamin B. Hankins, Jr. | US | Great Falls | 2015-12-03 / 20150347765 - SECURE FILE TRANSFER SYSTEMS AND METHODS | 2 |
Robert Hankinson | US | Orlando | 2012-05-03 / 20120110309 - Data Output Transfer To Memory | 2 |
Christopher Hankinson | GB | Staffordshire | 2010-12-02 / 20100301162 - MOVABLE SEAT | 6 |
Michael F. Hankinson | US | Monroeville | 2012-06-14 / 20120148011 - NUCLEAR REACTOR CAVITY ARRANGEMENTS FOR ICE CONDENSER PLANTS | 1 |
Debora Hankinson | US | Golden | 2009-07-23 / 20090184024 - MEDICATION AND SUPPLEMENT ORGANIZER | 1 |
Stephen J.f. Hankinson | CA | Timberlea | 2013-09-19 / 20130241574 - SEAFOOD PHYSICAL CHARACTERISTIC ESTIMATION SYSTEM AND METHOD | 3 |
Matt Hankinson | US | San Jose | 2009-03-26 / 20090079974 - METHODS AND SYSTEMS FOR LITHOGRAPHY PROCESS CONTROL | 1 |
Richard Hankinson | US | Elizabeth City | 2009-03-19 / 20090072084 - LIGHTER-THAN-AIR VEHICLES | 1 |
John Matthew Hankinson | US | Cary | 2015-10-29 / 20150308242 - METHOD FOR MONITORING AND OPTIMIZING THE PERFORMANCE OF A WELL PUMPING SYSTEM | 1 |
Stephen Hankinson | CA | Timberlea | 2008-11-20 / 20080287819 - Method and System for High-Resolution Extraction of Quasi-Periodic Signals | 1 |
William Charles Hankinson | US | Mountain View | 2008-10-30 / 20080270915 - Community-Based Security Information Generator | 1 |
John Hankinson | GB | Felixstowe Suffolk | 2015-10-22 / 20150298555 - RANGE EXTENDER CONTROL | 1 |
Patrick Ian Wayne Hankinson | CA | Halifax | 2013-09-26 / 20130254264 - TETHERING METHOD, COMPUTING DEVICES, SYSTEM AND SOFTWARE | 1 |
Stephen James Frederic Hankinson | CA | Nova Scotia | 2010-06-03 / 20100137079 - METHOD AND DEVICE FOR SPORTS SKILL TRAINING | 1 |
Stephen James Frederic Hankinson | CA | Hammonds Plains | 2013-09-26 / 20130254264 - TETHERING METHOD, COMPUTING DEVICES, SYSTEM AND SOFTWARE | 1 |
John Hankinson | GB | Felixstowe | 2015-10-22 / 20150298555 - RANGE EXTENDER CONTROL | 1 |
Chad Hankinson | US | Westfield | 2013-11-21 / 20130311282 - MARKETING COMMUNICATION MANAGEMENT SYSTEM | 1 |
Neil Hankinson | GB | Warrington | 2015-08-06 / 20150219602 - RADIUS INSPECTION TOOLS | 2 |
Michael Hanko | DE | Dresden | 2015-02-26 / 20150056110 - System for Treating Liquids | 18 |
Rudolf Hanko | DE | Dusseldorf | 2012-01-26 / 20120021441 - IN-PROCESS CONTROL IN A METHOD FOR PRODUCING EPO | 2 |
Rudolf Hanko | DE | Düsseldorf | 2011-08-04 / 20110189732 - Process for the Fermentative Production of Erythropoietin | 1 |
James G. Hanko | US | Redwood City | 2015-11-26 / 20150341694 - Method And Apparatus For Using Contextual Content Augmentation To Provide Information On Recent Events In A Media Program | 15 |
Jason Hanko | US | West Lafeyette | 2011-11-10 / 20110275695 - CRYSTALLINE FORMS OF ZOTEPINE HYDROCHLORIDE | 1 |
Michael Hanko | DE | Dresden | 2015-02-26 / 20150056110 - System for Treating Liquids | 18 |
Jason A. Hanko | US | West Lafayette | 2015-08-13 / 20150225333 - Crystalline Solid and Amorphous Forms of (-)-Halofenate and Methods Related Thereto | 13 |
James G. Hanko | US | Redwood City | 2015-11-26 / 20150341694 - Method And Apparatus For Using Contextual Content Augmentation To Provide Information On Recent Events In A Media Program | 15 |
Jason Hanko | US | West Lafayette | 2016-03-03 / 20160060300 - ROMIDEPSIN SOLID FORMS AND USES THEREOF | 7 |
Jason A. Hanko | US | West Lafayette | 2015-08-13 / 20150225333 - Crystalline Solid and Amorphous Forms of (-)-Halofenate and Methods Related Thereto | 13 |
Steven John Hanks | US | Seattle | 2013-06-13 / 20130151334 - STRATIFIED DELIVERY OF ADVERTISEMENTS BASED ON AFFINITY SCORES | 1 |
Jeffrey Alan Hanks | US | Midlothian | 2015-12-17 / 20150360457 - COMPOSITE MATERIAL, A BALLISTIC RESISTANT ARTICLE MADE FROM SAME AND METHOD OF MAKING THE ARTICLE | 8 |
Stephen D. Hanks | US | Las Vegas | 2009-01-29 / 20090029309 - Orthodontic force module | 1 |
Dallas A. Hanks | US | Burley | 2008-09-04 / 20080210134 - Precipitated Calcium Carbonate (PCC) from Sugar Processing Byproducts for use in Cementitious Applications and Methods Thereof | 2 |
Patrick Loring Hanks | US | Bridgewater | 2015-11-05 / 20150315497 - SYSTEMS AND METHODS OF INTEGRATED SEPARATION AND CONVERSION OF HYDROTREATED HEAVY OIL | 12 |
Matthew A. Hanks | US | East Peoria | 2015-05-21 / 20150136560 - HYDRAULIC PISTON SYSTEM | 1 |
John P. Hanks | US | College Station | 2015-07-30 / 20150208950 - Arterial and Venous Oxygenation Method and Apparatus | 2 |
Trevor Hanks | AU | Wanneroo, Western Australia | 2015-11-26 / 20150336777 - CRANE ARRANGEMENT | 1 |
James T. Hanks | US | Peoria | 2009-04-23 / 20090100957 - ROTOR ASSEMBLIES HAVING SHAFTS WITH INTEGRAL BEARING RACEWAYS | 1 |
D. Mitchel Hanks | US | Ft. Collins | 2008-11-27 / 20080291799 - Laser Power Calibration in an Optical Disc Drive | 1 |
Patrick L. Hanks | US | Annandale | 2014-02-20 / 20140048448 - HYDROPROCESSING OF HIGH NITROGEN FEED USING BULK CATALYST | 11 |
Ryan L. Hanks | US | Bunker Hill | 2010-02-25 / 20100043231 - METHOD FOR MACHINING A STRUCTURAL MEMBER HAVING AND UNDULATING WEB | 1 |
Dennis J. Hanks | US | Enumclaw | 2010-02-18 / 20100040722 - Bulk Resin Infusion System Apparatus and Method | 1 |
Jason Leslie Hanks | US | Newport | 2015-01-22 / 20150021146 - CONVEYING DEVICE AND PROCESS FOR OPERATING THE SAME | 1 |
Suzanne Hanks | US | Capistrano Beach | 2012-05-10 / 20120111384 - Protective cover for a stroller | 1 |
Charlotte Hanks | US | Richmond | 2013-01-24 / 20130020382 - PAPERBOARD ACCORDION PACKAGE | 1 |
Bryan Hanks | US | San Jose | 2015-12-03 / 20150350181 - CLIENT/SERVER AUTHENTICATION USING DYNAMIC CREDENTIALS | 1 |
Robert Hanks | US | Fort Collins | 2015-05-14 / 20150130928 - POINT-TO-POINT MEASUREMENTS USING A HANDHELD DEVICE | 1 |
Benjamin J. Hanks | GB | Leicester | 2009-06-25 / 20090158726 - Machine having selective ride control | 1 |
Carl J. Hanks | US | Saint Louis | 2013-03-14 / 20130063254 - ELECTRONIC IDENTIFICATION PACKAGE | 2 |
D'Anne Beukelaur Hanks | US | Santa Rosa | 2013-01-03 / 20130000393 - EXTENDABLE MOISTURE CONTENT SENSING SYSTEM | 1 |
Kevin Hanks | US | League City | 2014-03-06 / 20140066649 - Process for Producing Vinyl Acetate | 3 |
Ryan L. Hanks | US | Shipman | 2015-03-19 / 20150078847 - Adjustable Fixture for a Multi-Spindle Machine | 3 |
Dennis Hanks | US | Charlotte | 2013-05-02 / 20130110516 - VESSEL SUCH AS A PILL BOTTLE WITH CONTENTS DESCRIBING VOICE STORING AND REPRODUCING MEANS AND METHOD | 1 |
Gordon K. Hanks | US | Salt Lake City | 2014-11-13 / 20140331516 - HEAT EXCHANGER ASSEMBLY WITH AIR MOVER | 1 |
Patrick Loring Hanks | US | Fairfax | 2013-12-05 / 20130324777 - PRODUCTION OF OLEFINIC DIESEL, LUBRICANTS, AND PROPYLENE | 4 |
Richard Hanks | US | Swansea | 2016-01-28 / 20160024733 - Back-Saver Snow Shovel | 2 |
Dennis J. Hanks | US | Seattle | 2010-03-25 / 20100074979 - Methods and Systems for Manufacturing Composite Parts with Female Tools | 1 |
Rip Hanks | US | Gulf Breeze | 2009-08-27 / 20090215306 - ELECTRICAL CONNECTOR WITH COMPRESSION GORES | 2 |
Patrick Loring Hanks | US | Bridgewater | 2015-11-05 / 20150315497 - SYSTEMS AND METHODS OF INTEGRATED SEPARATION AND CONVERSION OF HYDROTREATED HEAVY OIL | 12 |
Carl J. Hanks | US | St. Louis | 2012-11-29 / 20120304007 - METHODS AND SYSTEMS FOR USE IN IDENTIFYING ABNORMAL BEHAVIOR IN A CONTROL SYSTEM | 2 |
Charlotte Hanks | US | Oak Ridge | 2009-08-13 / 20090201460 - Spectacle temple for lens | 1 |
Brent Hanks | US | Houston | 2015-10-29 / 20150306140 - INDUCED ACTIVATION IN DENDRITIC CELLS | 3 |
Gary D. Hanks | US | Bowling Green | 2015-05-07 / 20150122722 - Multistage filter | 2 |
Steven J. Hanks | US | Redmond | 2014-01-09 / 20140012842 - Indexing Semantic User Profiles for Targeted Advertising | 2 |
Gina Marie Hanks | US | Carol Stream | 2013-03-21 / 20130071093 - MAINTAINING VIEWER ACTIVITY INFORMATION OF A RECORDED PROGRAM FOR PROGRAM DELETION DECISIONS | 1 |
Brian David Hanks | AU | Western Australia | 2009-08-27 / 20090212624 - TRACK CHAIN ASSEMBLY | 1 |
Gilbert Hanks | US | Jacksonville | 2012-12-20 / 20120318178 - FOLDING PLATFORM | 1 |
Patrick L. Hanks | US | Annandale | 2014-02-20 / 20140048448 - HYDROPROCESSING OF HIGH NITROGEN FEED USING BULK CATALYST | 11 |
Carl Joseph Hanks | US | St. Louis | 2015-01-15 / 20150016248 - SYSTEM FOR COMMUNICATION IN A TACTICAL NETWORK | 3 |
Steve Hanks | US | Seattle | 2009-09-24 / 20090240601 - INCREASES IN SALES RANK AS A MEASURE OF INTEREST | 1 |
Charlotte Hanks | CA | Toronto | 2014-06-19 / 20140166525 - RECLOSABLE MULTI-PART BLISTER | 4 |
Andrew Hamilton Hanks | US | Tyler | 2016-05-12 / 20160131398 - FURNACE BURNER HOLDERS, CARTRIDGES, ASSEMBLIES AND METHODS FOR THEIR INSTALLATION | 5 |
Christopher Hanks | US | Jacksonville | 2012-12-20 / 20120318178 - FOLDING PLATFORM | 1 |
Michael S. Hanks | US | Centralia | 2013-10-03 / 20130255474 - KEYBOARD GUITAR INCLUDING TRANSPOSE BUTTONS TO CONTROL TUNING | 1 |
Paul Douglas Hanks | US | Manvel | 2010-03-11 / 20100063901 - Oilfield Inventory control and Communication System | 1 |
Steven D. Hanks | US | Farmington | 2009-10-22 / 20090263814 - Physiogenomic Method for Predicting Metabolic and Cardiovascular Side Effects of Thiazolidinediones | 1 |
James Hanks | US | Peoria | 2009-10-22 / 20090263062 - Active Preload Control for Rolling Element Bearings | 1 |
John Phillip Hanks | US | Austin | 2016-05-12 / 20160133148 - INTELLIGENT CONTENT ANALYSIS AND CREATION | 1 |
Ed Hanks | US | Hammond | 2014-10-09 / 20140303909 - Ultrasonic Meter Flow Measurement Monitoring System | 1 |
Jonathan B. Hanks | US | Huntsville | 2014-10-23 / 20140314275 - Pedestrian Right of Way Monitoring and Reporting System and Method | 1 |
Thomas L. Hanks | US | Winnetka | 2012-11-01 / 20120278122 - AUTOMATED DERIVATION, DESIGN AND EXECUTION OF INDUSTRY-SPECIFIC INFORMATION ENVIRONMENT | 2 |
William T. Hanks | US | Carol Stream | 2015-07-02 / 20150188653 - Determining Bitloading Profiles based on SNR Measurements | 2 |
Patrick L. Hanks | US | Bridgewater | 2014-07-31 / 20140213838 - EBULLATING BED METHODS FOR TREATMENT OF BIOCOMPONENT FEEDSTOCKS | 3 |
Richard Hanks | US | Seattle | 2013-06-20 / 20130154917 - PAIRING A COMPUTING DEVICE TO A USER | 4 |
Virginia M. Hanks | US | Portland | 2013-05-16 / 20130124359 - ANTI-COUNTERFEITING SYSTEM AND METHOD | 2 |
Nicolas J. Hanks | US | Pendleton | 2015-11-19 / 20150333666 - MULTI-BATTERY PACK FOR POWER TOOLS | 1 |
Aaron Hanks | US | Issaquah | 2011-09-08 / 20110219425 - ACCESS CONTROL USING ROLES AND MULTI-DIMENSIONAL CONSTRAINTS | 1 |
Gilbert A. Hanks | US | Fleming Island | 2010-09-16 / 20100230210 - RETRACTING PLATFORM | 1 |
Christopher Hanks | US | Fleming Island | 2010-09-16 / 20100230210 - RETRACTING PLATFORM | 1 |
Patrick L. Hanks | US | Fairfax | 2012-08-16 / 20120209041 - PROCESSING OF FEEDSTOCKS IN SEPARATED REACTOR VOLUMES | 5 |
Clay D. Hanks | US | College Station | 2015-12-10 / 20150356478 - SYSTEM AND METHOD FOR RISK ASSESSMENT | 1 |
Dennis James Hanks | US | Enumclaw | 2015-11-26 / 20150336294 - Concurrent Infusion of Dissimilar Resins | 3 |
Adam Hanks | US | West Warwick | 2015-06-11 / 20150158985 - MINERAL OIL BARRIER FILM WITH HIGH OXYGEN DIFFUSION PROPERTIES | 1 |
James Hanks | US | Seattle | 2013-11-14 / 20130302547 - MICROSTRUCTURE FOR FUSION BONDED THERMOPLASTIC POLYMER MATERIAL, AND RELATED METHODS | 1 |
Jeffrey Alan Hanks | US | Midlothian | 2015-12-17 / 20150360457 - COMPOSITE MATERIAL, A BALLISTIC RESISTANT ARTICLE MADE FROM SAME AND METHOD OF MAKING THE ARTICLE | 8 |
Tracey S. Hanks | US | Denver | 2012-04-26 / 20120101535 - BONE REPAIR PUTTY | 1 |
Darwin Mitchel Hanks | US | Fort Collins | 2013-04-25 / 20130100790 - Optical beam positioning at radial location of optical disc using series of segments at edge of optical disc | 2 |
Steven J. Hanks | US | Seattle | 2013-04-18 / 20130097028 - Dynamic Floor Pricing for Managing Exchange Monetization | 1 |
William Turner Hanks | US | Carol Stream | 2013-03-21 / 20130071093 - MAINTAINING VIEWER ACTIVITY INFORMATION OF A RECORDED PROGRAM FOR PROGRAM DELETION DECISIONS | 4 |
Patrick Loring Hanks | US | Bridgwater | 2014-07-17 / 20140197071 - FIELD ENHANCED SEPARATION OF HYDROCARBON FRACTIONS | 1 |
Sara P. Hanks | US | Alexandria | 2014-06-12 / 20140164289 - DUE DILIGENCE AND DISCLOSURE REPORT CREATION AND PRESENTATION SYSTEM, AND COMPUTER READABLE MEDIUM | 1 |
Eiji Hankui | JP | Tokyo | 2014-05-15 / 20140132371 - NOISE SUPPRESSION DEVICE AND MULTILAYER PRINTED CIRCUIT BOARD CARRYING SAME | 9 |
Lu Hankun | CN | Jiangmen City | 2009-12-03 / 20090297373 - COOLING AIRFLOW ELECTRIC MOTOR-DRIVEN PUMP | 1 |
Colleen Marie Hanlen | US | Clayton | 2008-08-28 / 20080202958 - STRETCHABLE, VARIABLE VOLUME CARRYING CASE AND METHODS FOR TRANSPORTING ITEMS | 1 |
Colleen M Hanlen | US | Oakland | 2014-05-08 / 20140123394 - Cushion System and Method | 1 |
Dennis Hanlen | US | Palm Beach Gardens | 2009-08-20 / 20090205470 - Coated guided pad for saw blades | 1 |
Brett Jason Hanley | US | Hilliard | 2014-09-18 / 20140279114 - Systems and Methods for Single Swipe Cross-Channel Sales | 2 |
Kathryn A. Hanley | US | Las Cruces | 2015-03-05 / 20150064214 - DEVELOPMENT OF MUTATIONS USEFUL FOR ATTENUATING DENGUE VIRUSES AND CHIMERIC DENGUE VIRUSES | 2 |
Michael Francis Hanley | US | Rochester | 2009-04-02 / 20090087188 - Compact Optical Transceivers Including Thermal Distributing and Electromagnetic Shielding Systems and Methods Therefor | 1 |
Brian M. Hanley | US | Framingham | 2014-10-16 / 20140309627 - SIDE-FIRING LASER FIBER WITH GLASS FUSED REFLECTOR AND CAPILLARY AND RELATED METHODS | 7 |
John Hanley | US | Emerald Hills | 2015-10-22 / 20150298689 - DESIGN SYSTEMS AND METHODS FOR HYBRID VEHICLES WITH A HIGH DEGREE OF HYBRIDIZATION | 8 |
James Hanley | US | Johnstown | 2014-06-26 / 20140180706 - HUMAN SERVICES STRATEGIES OUTCOME GENERATOR | 1 |
Mark Gregory Hanley | US | Shamania | / - | 1 |
John Hanley | US | Palo Alto | 2015-08-20 / 20150235152 - SYSTEM AND METHOD FOR MODELING BEHAVIOR CHANGE AND CONSISTENCY TO DETECT MALICIOUS INSIDERS | 1 |
Neil S. Hanley | US | Hartford | 2016-03-03 / 20160058105 - PROTECTIVE GARMENT HAVING A THERMALLY REFLECTIVE LAYER | 2 |
Kenneth J. Hanley | US | Inver Grove Heights | 2011-03-03 / 20110051392 - LIGHT MANAGEMENT ASSEMBLY | 2 |
Jeff Hanley | US | Burbank | 2016-04-07 / 20160096710 - Hoist Assembly | 1 |
Richard Thomas Hanley | US | Fountain Valley | / - | 1 |
Michael Hanley | US | Brookline | 2013-10-24 / 20130281960 - Medication Dispensing Blister Card Package with Adjustable Mechanism that Provides a Custom Patient Schedule for Complex Medication Regimens | 1 |
Stephen J. Hanley | US | Lebanon | 2011-01-06 / 20110000127 - SINGLE LAYER FUEL TANK | 1 |
Colette Marie Hanley | NZ | Auckland | 2015-10-15 / 20150290402 - PRESSURE RELIEF ARRANGEMENT FOR OPEN SURGERY INSUFFLATION SYSTEM | 1 |
Charles L. Hanley | US | Woonsocket | 2015-06-25 / 20150175086 - SHELVING SYSTEMS | 5 |
David Hanley | US | Scottsdale | 2014-06-12 / 20140161598 - IMPELLER BACKFACE ROTATING HEAT SHIELD | 3 |
Marc Hanley | AU | Brookvale | 2011-05-26 / 20110119870 - DOOR MOUNTING ASSEMBLIES | 1 |
Brendan Hanley | IE | Galway | 2011-10-13 / 20110251545 - Cell Reservoirs Created by Polymer Plugs | 1 |
Stephen Hanley | US | Boynton Beach | 2010-12-30 / 20100328035 - SECURITY WITH SPEAKER VERIFICATION | 1 |
James Patrick Hanley | US | Decatur | 2014-01-16 / 20140019384 - UTILITY METER SYSTEM | 5 |
Edward N. Hanley | US | Charlotte | 2012-09-20 / 20120238521 - Compositions and Methods for the Treatment of Musculoskeletal Related Diseases and Disorders Using Metal Ion-Citrate Analog Complexes | 1 |
Robert Hanley | GB | Royston | 2015-02-05 / 20150033715 - ZONED DIESEL OXIDATION CATALYST | 1 |
Barbara B. Hanley | US | Westport Point | 2011-08-04 / 20110189356 - Methods of Storing Cheese | 1 |
Bryan Hanley | GB | Norwich | 2014-10-02 / 20140294739 - COMPOSITIONS CONTAINING ZINC SALTS AND ISOTHIOCYANATES FOR REDUCTION OF ORAL VOLATILE SULFUR COMPOUNDS (VSCs) | 1 |
Alan Hanley | IE | County Galway | 2011-05-12 / 20110111435 - Detecting Cell Surface Markers | 1 |
Sean Hanley | US | San Francisco | 2015-08-13 / 20150227296 - SYSTEM AND METHOD TO COMMUNICATE INFORMATION TO A USER | 1 |
Grainne Hanley | IE | Galway | 2011-04-07 / 20110079315 - ATRAUMATIC STENT WITH REDUCED DEPLOYMENT FORCE, METHOD FOR MAKING THE SAME AND METHOD AND APPARATUS FOR DEPLOYING AND POSITIONING THE STENT | 2 |
Brian P. Hanley | US | Davis | 2013-05-16 / 20130122105 - Methods and Compositions for Gene Therapy and GHRH Therapy | 3 |
Peter J. Hanley | AU | Naremburn | 2011-03-03 / 20110054243 - Tinnitus rehabiliation device and method | 2 |
Roger T. Hanley | US | Great Falls | 2010-11-04 / 20100277907 - HEAT SINKING AND FLEXIBLE CIRCUIT BOARD, FOR SOLID STATE LIGHT FIXTURE UTILIZING AN OPTICAL CAVITY | 2 |
Michael Hanley | US | Smithfield | 2016-03-31 / 20160088977 - Multi-piece two-hook hanger for a shower curtain | 6 |
Dervala A. Hanley | US | Seattle | 2014-12-04 / 20140356501 - APPARATUS AND METHOD FOR BREWING A BEVERAGE | 1 |
Peter J. Hanley | AU | New South Wales | 2011-10-06 / 20110245235 - Systems, Methods, and Devices for Rehabilitation of Auditory System Disorders Using Pharmaceutical Agents and Auditory Devices | 1 |
Conor Hanley | IE | Co. Dublin | 2010-07-15 / 20100179438 - SYSTEM AND METHOD FOR MONITORING CARDIORESPIRATORY PARAMETERS | 1 |
Conor Hanley | IE | Dun Laoghaire | 2016-05-05 / 20160125160 - CONTACTLESS AND MINIMAL-CONTACT MONITORING OF QUALITY OF LIFE PARAMETERS FOR ASSESSMENT AND INTERVENTION | 4 |
Peter John Hanley | AU | New South Wales | 2011-06-09 / 20110137111 - SYSTEMS METHODS AND APPARATUSES FOR REHABILITATION OF AUDITORY SYSTEM DISORDERS | 2 |
Gerard Andrew Hanley | US | San Diego | 2014-07-10 / 20140192954 - X-Ray Scanner with Partial Energy Discriminating Detector Array | 1 |
Ronald Charles Hanley | NZ | Auckland | 2011-07-21 / 20110176863 - PANEL MOUNTING CLIP WITH ADHESIVES | 2 |
Rochelle Hanley | US | Ann Arbor | / - | 3 |
Douglas Hanley | GB | Edinburgh | 2015-04-02 / 20150095102 - COMPUTER IMPLEMENTED SYSTEM AND METHOD FOR ENSURING COMPUTER INFORMATION TECHNOLOGY INFRASTRUCTURE CONTINUITY | 1 |
James Patrick Hanley | US | Atlanta | 2014-06-12 / 20140164570 - Sharing Information Associated with Power Generation Devices Via a File System on a Network | 1 |
Kenneth J. Hanley | US | Eagan | 2010-04-22 / 20100098962 - BLOCK COPOLYMER BLEND ADHESIVES WITH MULTIPLE TACKIFIERS | 3 |
Luke Hanley | US | Chicago | 2010-01-07 / 20100000607 - All-gaseous deposition of nanocomposite films | 1 |
William G. Hanley | US | Livermore | 2011-09-01 / 20110213742 - INFORMATION EXTRACTION SYSTEM | 2 |
Brendan Hanley | IE | Ballybrit | 2009-10-15 / 20090259285 - Bifurcated Delivery System | 1 |
Conor Hanley | IE | Dublin | 2014-06-12 / 20140163343 - APPARATUS, SYSTEM, AND METHOD FOR MONITORING PHYSIOLOGICAL SIGNS | 2 |
Ryan Christopher Hanley | US | Berkeley | 2014-05-08 / 20140129272 - SYSTEM AND METHOD FOR MANAGING SERVICE RESTORATION IN A UTILITY NETWORK | 1 |
Charles Francis Hanley | US | Liberty Township | 2015-12-31 / 20150377145 - METHOD AND APPARATUS FOR LOCAL LOOP CLOSURE | 2 |
John Hanley | US | Emerald Hills | 2015-10-22 / 20150298689 - DESIGN SYSTEMS AND METHODS FOR HYBRID VEHICLES WITH A HIGH DEGREE OF HYBRIDIZATION | 8 |
Stephen Hanley | US | Lebanon | 2016-01-07 / 20160002453 - Inner Liner For A Pneumatic Tire Assembly | 2 |
Michael Hanley | US | Lawrenceville | 2011-06-23 / 20110151942 - DYNAMIC CURRENT LIMITING CHARGING CIRCUIT | 1 |
Martin G. Hanley | US | St. Louis | 2013-06-13 / 20130150187 - Golf Ball Placement Device | 1 |
Mark Gregory Hanley | US | Skamania | 2009-09-17 / 20090234955 - Methods and Systems for Synchronization of Multiple Applications | 1 |
Steven J. Hanley | US | Wildwood | 2015-05-28 / 20150147277 - COMPOSITIONS AND METHODS FOR ASSESSING GUT FUNCTION | 1 |
Kenneth Hanley | CA | Thunder Bay | 2012-01-19 / 20120015755 - Putting Stroke Teacher | 1 |
Thomas J. Hanley | US | Endicott | 2013-12-26 / 20130347120 - SECURE DATA STORAGE AND RETRIEVAL | 2 |
Matthew Hanley | US | Sterling | 2015-03-12 / 20150068538 - Oral Airway and Intubation Assisting Device | 1 |
Brian Hanley | US | Framingham | 2013-09-05 / 20130231648 - METHOD AND APPARATUS FOR PROTECTING CAPILLARY OF LASER FIBER DURING INSERTION AND REDUCING METAL CAP DEGRADATION | 5 |
Kathryn Hanley | US | Las Cruces | 2013-01-10 / 20130011433 - DENGUE TETRAVALENT VACCINE CONTAINING A COMMON 30 NUCLEOTIDE DELETION IN THE 3'-UTR OF DENGUE TYPES 1, 2, 3, AND 4, OR ANTIGENIC CHIMERIC DENGUE VIRUSES 1, 2, 3, AND 4 | 2 |
Thomas Hanley | US | Redwood City | 2012-10-04 / 20120249550 - Selective Transmission of Image Data Based on Device Attributes | 1 |
Brian M. Hanley | US | Reading | 2016-04-07 / 20160095502 - BRONCHOSCOPIC SHEATH FOR MEASURING OR SPACING | 3 |
Kenneth Joseph Hanley | US | Louisville | 2015-02-05 / 20150035425 - METHOD AND APPARATUS FOR INSULATING A REFRIGERATION APPLIANCE | 4 |
Brian Hanley | US | Lowell | 2013-09-26 / 20130248348 - METHOD OF OPTIMIZING PRODUCT OUTPUT RATE OF PACKED COLUMNS | 3 |
Michael R. Hanley | US | Corte Madero | 2013-02-07 / 20130035294 - Neuromedin and FN-38 Peptides for Psychotic Diseases | 1 |
Christopher Hanley | GB | Whiston | 2009-05-21 / 20090130395 - Glazing | 1 |
Justin Hanley | CA | Kelowna | 2009-02-12 / 20090039639 - Receiving device for books | 1 |
Michael G. Hanley | US | Lawrenceville | 2014-09-18 / 20140268459 - METHODS AND APPARATUS FOR DYNAMICALLY ADJUSTING AN OVER-CURRENT PROTECTION THRESHOLD | 3 |
Stephen T. Hanley | US | Portland | 2014-12-04 / 20140353531 - SYSTEM AND METHOD OF RETRIEVING MASS DENSITY DISTRIBUTIONS AND THERMAL PROFILES FROM THE ATMOSPHERE TO IDENTIFY MOLECULAR CONSTITUENTS THAT MAY ABSORB SPECTRAL ENERGY | 1 |
Michael R. Hanley | US | San Diego | 2013-08-01 / 20130196913 - GIP ANALOG AND HYBRID POLYPEPTIDES WITH SELECTABLE PROPERTIES | 9 |
Bryan Hanley | US | Chicago | 2013-04-18 / 20130095189 - COMPOSITION AND METHOD OF PHYTONUTRIENTS FOR METABOLIC PROGRAMMING EFFECTS | 1 |
Michael R. Hanley | US | Corte Madera | 2014-09-04 / 20140249299 - HYBRID POLYPEPTIDES WITH SELECTABLE PROPERTIES | 9 |
Colette Marie Hanley | NZ | East Tamaki, Auckland | 2015-10-15 / 20150290402 - PRESSURE RELIEF ARRANGEMENT FOR OPEN SURGERY INSUFFLATION SYSTEM | 1 |
Kevin F. Hanley | US | Bradenton | 2012-12-20 / 20120319806 - THERMALLY MANAGED ELECTROMAGNETIC SWITCHING DEVICE | 3 |
Michael R. Hanley | US | Corte Madera | 2014-09-04 / 20140249299 - HYBRID POLYPEPTIDES WITH SELECTABLE PROPERTIES | 9 |
Michael R. Hanley | US | San Diego | 2013-08-01 / 20130196913 - GIP ANALOG AND HYBRID POLYPEPTIDES WITH SELECTABLE PROPERTIES | 9 |
Peter John Hanley | AU | Naremburn | 2013-09-26 / 20130253258 - TINNITUS REHABILITATION DEVICE AND METHOD | 1 |
Ronald Hanley | NZ | Waimauku | 2014-10-23 / 20140314517 - CONNECTOR | 1 |
Michael P. Hanley | US | Smithfield | 2015-09-24 / 20150265086 - Curtain Rod Return Bracket | 1 |
Elaine Hanley | IE | Lucan | 2014-04-24 / 20140115077 - GENERATING A USER UNAVAILABILITY ALERT IN A COLLABORATIVE ENVIRONMENT | 2 |
Daniel F. Hanley | US | Towson | 2011-08-18 / 20110200578 - INTRAVENTRICULAR HEMORRHAGE THROMBOSIS | 1 |
Peter Hanley | GB | Gloucestershire | 2008-08-28 / 20080204016 - Magnetic Resonance Apparatus and Method | 1 |
Kathryn A. Hanley | US | Bethesda | 2009-10-22 / 20090263424 - DEVELOPMENT OF MUTATIONS USEFUL FOR ATTENUATING DENGUE VIRUSES AND CHIMERIC DENGUE VIRUSES | 1 |
John T. Hanley | US | Orland Park | 2015-09-24 / 20150270654 - HOUSING FOR BREAKOUT CORDS TERMINATED TO PLATES | 2 |
Charles C. Hanley | US | Oklahoma City | 2011-11-24 / 20110288551 - Maxillo-Mandibular Fixation Apparatus And Method | 1 |
Howard J.m. Hanley | QA | Doha | 2014-06-19 / 20140169879 - Co-Polymer Soil Subgrade Binders | 1 |
Elaine Hanley | IE | Dublin | 2012-12-13 / 20120317549 - Automatic Rules Based Capturing of Graphical Objects for Specified Applications | 1 |
Kevin Francis Hanley | US | Bradenton | 2015-06-04 / 20150153414 - METHOD AND APPARATUS FOR SENSING THE STATUS OF A CIRCUIT INTERRUPTER | 3 |
Thomas E. Hanley, Iv | US | Canton | 2009-03-26 / 20090078082 - Adapter Ring For Transmission Case To Engine Connection | 1 |
John L. Hanley, Iv | US | Sterling Heights | 2009-01-22 / 20090023824 - THERMALLY EXPANSIBLE MATERIAL SUBSTANTIALLY FREE OF TACKIFIER | 2 |
John I. Hanley, Iv | US | Sterling Heights | 2014-02-13 / 20140042706 - EXPANDING MATERIAL IN NONWOVEN 3-D STRUCTURE | 1 |
Hal Hanlin | US | Austin | 2015-11-12 / 20150325087 - SIDE BETTING IN BLACKJACK GAME | 1 |
R Josh Hanlin | US | Simpsonville | 2015-09-10 / 20150254949 - FIBER OPTIC VAULT SECURITY SYSTEM | 1 |
John Harold Hanlin | US | Louisville | 2010-08-05 / 20100198081 - Scanning light imager | 1 |
John Henry Hanlin | US | Maple Grove | 2014-09-11 / 20140253334 - METHOD AND MEANS FOR PROMOTING HEALTH | 1 |
John J. Hanlin | US | Worcesther | 2011-07-28 / 20110181479 - METHOD AND APPARATUS FOR TRI-BAND FEED WITH PSEUDO-MONOPULSE TRACKING | 1 |
H. John Hanlin | US | Louisville | 2009-03-12 / 20090069694 - Coronary sinus access catheter with forward-imaging means | 1 |
Robert Austin Hanlin | US | Nashville | 2014-09-18 / 20140283136 - SYSTEMS AND METHODS FOR SECURING AND LOCATING COMPUTING DEVICES | 6 |
David Joseph Hanlin | US | Spring | 2015-12-31 / 20150376971 - INDEPENDENT GUIDE STRING HANGER | 2 |
Thesa Hanlin | US | Winchester | 2016-04-07 / 20160098034 - Engineering Change Management System | 2 |
Jared Hanlon | US | Santa Fe Springs | 2009-11-12 / 20090276962 - STRIKING TOOL | 2 |
Christopher J. Hanlon | US | Sturbridge | 2015-08-13 / 20150226117 - GAS TURBINE ENGINE WITH DISTRIBUTED FANS | 2 |
David Neal Hanlon | NL | Hillegom | 2015-07-09 / 20150191807 - PROCESS FOR PRODUCING HOT-ROLLED STEEL STRIP AND A STEEL STRIP PRODUCED THEREWITH | 7 |
Gregory Hanlon | US | Windsor | 2015-10-08 / 20150285732 - FLOW CELL MODULES AND LIQUID SAMPLE ANALYZERS AND METHODS INCLUDING SAME | 9 |
John Hanlon | US | Condordville | 2012-12-06 / 20120309505 - ADVERTISEMENT GAME | 1 |
Jared W. Hanlon | US | Santa Clarita | 2013-07-25 / 20130186891 - MARKETING DEVICE | 9 |
James G. Hanlon | US | Manchester | 2012-07-19 / 20120184899 - PUMP SET HAVING SECURE LOADING FEATURES | 5 |
Alaina B. Hanlon | US | Waltham | 2012-11-15 / 20120290327 - MEDICAL HEALTH INFORMATION SYSTEM FOR HEALTH ASSESSMENT, WEIGHT MANAGEMENT AND MEAL PLANNING | 3 |
Alastair David Hanlon | GB | Essex | 2015-01-29 / 20150032624 - FRAUD DETECTION ENGINE AND METHOD OF USING THE SAME | 1 |
Bill Hanlon | US | Bellevue | 2014-05-29 / 20140149572 - MONITORING AND DIAGNOSTICS IN COMPUTER NETWORKS | 1 |
John Hanlon | US | Concordville | 2014-10-16 / 20140309005 - Card Game | 2 |
Douglas Hanlon | US | Branford | 2013-03-14 / 20130066262 - MULTI-DOSE DELIVERY SYSTEM | 1 |
Jared Hanlon | US | 2009-11-05 / 20090271929 - Multi-function tool for demolition | 1 | |
Jon T. Hanlon | US | Mercer Island | 2015-12-31 / 20150374061 - AUTO-POWERED SAFETY EQUIPMENT | 1 |
Thomas Patrick Hanlon | CA | Cambridge | 2009-09-17 / 20090232623 - ROOF HOIST | 1 |
John W. Hanlon | US | Littleton | 2010-11-18 / 20100287859 - CONCRETE BEAM ASSEMBLY | 2 |
Sean Hanlon | US | O'Fallon | 2015-10-08 / 20150282643 - REFRIGERATION SYSTEM AND DILUTION DEVICE FOR A MERCHANDISER | 1 |
Dennis R. Hanlon | US | Naples | 2015-02-26 / 20150053211 - Cleaning and safe mouth guard solution | 1 |
Jared Hanlon | US | Elk Grove Village | 2011-11-17 / 20110278404 - RATCHET STAND DEVICE | 1 |
Andrew Hanlon | US | San Jose | 2010-09-16 / 20100232060 - DISK STACK ASSEMBLY WITH SPINDLE MOTOR HUB HAVING IMPROVED DISK-SUPPORTING FLANGE | 1 |
Matthew Hanlon | US | O'Fallon | 2013-10-03 / 20130261610 - SURGICAL INSTRUMENT HANDLE WITH CAM-ACTUATING SYSTEM | 1 |
Jared Hanlon | US | Santa Clarita | 2015-02-05 / 20150033434 - GEL CUSHION | 8 |
Matthew M. Hanlon | US | San Jose | 2015-06-25 / 20150177958 - PROVIDING CONTEXT INFORMATION RELATING TO MEDIA CONTENT THAT IS BEING PRESENTED | 2 |
Thomas R. Hanlon | US | Colchester | 2015-10-22 / 20150300477 - HARDENED SILVER COATED JOURNAL BEARING SURFACES AND METHOD | 2 |
Robert F. Hanlon | US | Chaska | 2016-03-24 / 20160086505 - SYSTEM FOR ASSESSING USER KNOWLEDGE ABOUT A HEALTHCARE SYSTEM | 2 |
Gregory Hanlon | US | Windsor | 2015-10-08 / 20150285732 - FLOW CELL MODULES AND LIQUID SAMPLE ANALYZERS AND METHODS INCLUDING SAME | 9 |
Jared Hanlon | US | Santa Clarita | 2015-02-05 / 20150033434 - GEL CUSHION | 8 |
Jared W. Hanlon | US | Santa Clarita | 2013-07-25 / 20130186891 - MARKETING DEVICE | 9 |
Samuel Hanlon | US | Livonia | 2015-12-10 / 20150352990 - ADJUSTABLE SEAT ASSEMBLY | 1 |
Matthew A. Hanlon | US | O'Fallon | 2014-07-10 / 20140194870 - DUAL IRRIGATING BIPOLAR FORCEPS | 10 |
James Hanlon | US | Library | 2015-11-26 / 20150339946 - SYSTEM FOR MONITORING AND PRESENTING HEALTH, WELLNESS AND FITNESS TREND DATA HAVING USER SELECTABLE PARAMETERS | 29 |
Patrick W Hanlon | US | Sunman | 2010-04-01 / 20100078373 - SUBMERSIBLE MEDIA-FILLED WASTEWATER TREATMENT FILTER | 1 |
Steven Paul Hanlon | CH | Bottmingen | 2013-04-25 / 20130102778 - PROCESS FOR THE PREPARATION OF ISOXAZOLYL- METHOXY NICOTINIC ACIDS | 2 |
Matthew A. Hanlon | US | O'Fallon | 2014-07-10 / 20140194870 - DUAL IRRIGATING BIPOLAR FORCEPS | 10 |
Matthew Hanlon | US | Kingston | 2013-11-07 / 20130294578 - VOLTAGE SWITCHING IN AN IMAGING MODALITY THAT UTILIZES RADIATION TO IMAGE AN OBJECT | 1 |
Patrick Hanlon | US | Brooklyn | 2016-01-28 / 20160027338 - WEARABLE SOUND | 2 |
David W. Hanlon | US | Derry | 2009-09-03 / 20090221430 - Proteomic Methods For The Identification And Use Of Putative Biomarkers Associated With The Dysplastic State In Cervical Cells Or Other Cell Types | 1 |
Robert Tryon Hanlon | US | Philadelphia | 2014-03-27 / 20140084123 - Overhead Storage System | 5 |
Matthew Hanlon | US | Seattle | 2013-10-31 / 20130290960 - MULTITENANT HOSTED VIRTUAL MACHINE INFRASTRUCTURE | 9 |
Christine Hanlon | US | New York | 2015-07-16 / 20150199958 - TEXT TO AUDIO CONVERSION OF SOCIAL MEDIA CONTENT | 1 |
James Hanlon | US | Morgan Hill | 2014-12-25 / 20140379012 - Introducer Sheaths, Thrombus Collection Devices, and Associated Methods | 3 |
James Hanlon | US | Library | 2015-11-26 / 20150339946 - SYSTEM FOR MONITORING AND PRESENTING HEALTH, WELLNESS AND FITNESS TREND DATA HAVING USER SELECTABLE PARAMETERS | 29 |
Justine Hanlon | US | Vancouve | 2012-11-29 / 20120298734 - PRESSURE SENSITIVE ADHESIVE TEAR TAPE | 1 |
Jason Patrick Hanlon | US | Scotts Valley | 2013-01-03 / 20130002904 - REMOVABLE DATA STORAGE DEVICE WITH INTERFACE TO RECEIVE IMAGE CONTENT FROM A CAMERA | 2 |
William N. Hanlon | US | Bellevue | 2016-02-04 / 20160036919 - Propagating Communication Awareness for Communication Sessions | 3 |
Alaina B. Hanlon | US | Boston | 2015-09-17 / 20150262507 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR NUTRITIONAL IMPROVEMENT | 1 |
Eugene B. Hanlon | US | Bedford | 2015-03-12 / 20150073282 - SPECTROSCOPIC DETECTION OF BRAIN DAMAGE | 1 |
James G. Hanlon | US | Morgan Hill | 2013-10-24 / 20130282047 - Safety Connector Assembly | 5 |
Michael J. Hanlon | US | Austin | 2013-09-05 / 20130232133 - SYSTEMS AND METHODS FOR PERFORMING A NESTED JOIN OPERATION | 4 |
James Casey Hanlon | US | Queen Creek | 2009-07-16 / 20090178502 - HUMAN-MACHINE INTERFACE WITH PASSIVE SOFT STOPS | 3 |
Timothy Hanlon | US | Glenmount | 2014-07-24 / 20140205449 - SUPERALLOYS AND COMPONENTS FORMED THEREOF | 1 |
Mark D. Hanlon | US | Durham | 2010-05-20 / 20100122631 - Pomegranate juice extractor | 1 |
Samuel R. Hanlon | US | Livonia | 2015-12-10 / 20150352979 - ADJUSTABLE SEAT ASSEMBLY | 4 |
Robert Tyron Hanlon | US | Philadelphia | 2009-12-03 / 20090294358 - PROCESS FOR HETEROGENEOUSLY CATALYSED ESTERFICATION OF FATTY ACIDS | 1 |
Steve Hanlon | US | Ballwin | 2015-02-19 / 20150048010 - SYSTEMS AND METHODS FOR SORTING | 2 |
Timothy Hanlon | US | Glenmont | 2013-06-20 / 20130153089 - COLD SPRAY OF NICKEL-BASE ALLOYS | 7 |
James Hanlon | US | South Park | 2014-01-02 / 20140006055 - Integrated Medical Evaluation and Record Keeping System | 2 |
Amy D. Hanlon | US | Santa Barbara | 2015-12-24 / 20150369732 - METHOD OF CHARACTERIZING INTERACTIONS AND SCREENING FOR EFFECTORS | 4 |
John Hanlon | US | Littleton | 2009-12-31 / 20090320393 - Precast prestress raised access floor construction | 1 |
John P. Hanlon | US | Concordville | 2015-02-12 / 20150040996 - Valved Cover Device | 1 |
Jared Hanlon | US | Las Vegas | 2014-11-27 / 20140345097 - RATCHETING TIE-DOWN WITH STRAP QUICK RELEASE FEATURE | 1 |
Justine Hanlon | US | Vancouver | 2015-06-11 / 20150159055 - PRESSURE SENSITIVE ADHESIVE TEAR TAPE | 5 |
Matthew Hanlon | US | Seattle | 2013-10-31 / 20130290960 - MULTITENANT HOSTED VIRTUAL MACHINE INFRASTRUCTURE | 9 |
Thomas Hanlon | US | Murietta | 2009-12-31 / 20090325477 - Cooling of Rack Mounted Equipment | 1 |
James George Hanlon | US | Morgan Hill | 2011-10-27 / 20110264133 - INTRODUCER SHEATHS, THROMBUS COLLECTION DEVICES AND ASSOCIATED METHODS | 1 |
Casey Hanlon | US | Queen Creek | 2014-10-16 / 20140305238 - BALL SCREW ACTUATOR INCLUDING A COMPLIANT BALL SCREW STOP | 35 |
Robb S. Hanlon | US | Wappingers Falls | 2015-01-29 / 20150028636 - BOOSTER SEAT AND TABLE | 1 |
Roisin Louise Hanlon | GB | Derby | 2014-12-25 / 20140373503 - METHOD OF FINISHING A BLADE | 1 |
Jason Hanlon | GB | Bristol | 2012-06-21 / 20120152733 - APPARATUS FOR USE WITH METALLIC STRUCTURES | 1 |
Jared Wayne Hanlon | US | Santa Fe Springs | 2008-09-04 / 20080210059 - GRAPHITE / TITANIUM HAMMER | 1 |
Robin Julie Hanlon | CA | Toronto | 2013-11-14 / 20130304910 - METHOD AND SYSTEM FOR GENERATING AND PROVIDING DATA ALERTS | 1 |
Stephen P. Hanlon | US | San Ramon | 2013-11-07 / 20130296725 - SYSTEMS AND METHODS FOR DETECTION OF THE SUPERIOR VENA CAVA AREA AND THE CAVOATRIAL JUNCTION | 2 |
Jason P. Hanlon | US | Scotts Valley | 2010-07-08 / 20100173517 - MEMORY CARD FOR AN EXPRESSCARD SLOT | 8 |
Robert Joseph Hanlon, Jr. | US | Noblesville | 2011-05-19 / 20110118436 - Method For Degrading Water-Soluble Polymeric Films | 1 |
Huang Han-Lung | TW | Hsinchu | 2012-01-12 / 20120008387 - METHOD OF TWICE PROGRAMMING A NON-VOLATILE FLASH MEMORY WITH A SEQUENCE | 1 |
Stephen William Hanly | US | Charlestown | 2016-01-28 / 20160027989 - ROBUST PIEZOELECTRIC FLUID MOVING DEVICES AND METHODS | 1 |
Amy Colleen Hanly | US | San Jose | 2015-08-13 / 20150227969 - SYSTEMS AND METHODS FOR MANAGING SEATING LOCATIONS AND PREFERENCES | 1 |
W. Carey Hanly | US | Chicago | 2008-12-25 / 20080319172 - DEVICE FOR DETECTING MOLECULES, METHOD FOR DETECTING MOLECULES | 1 |
Stephen Hanly | US | Charlestown | 2015-08-13 / 20150227204 - BUSSED HAPTIC ACTUATOR SYSTEM AND METHOD | 1 |
Noritaka Hanma | JP | Wakayama | 2012-07-19 / 20120184563 - COMPOSITION FOR EXTERNAL APPLICATION COMPRISING ARIPIPRAZOLE AND ORGANIC ACID AS ACTIVE INGREDIENTS | 1 |
Noritaka Hanma | JP | Higashikagawa-Shi | 2010-02-04 / 20100029704 - SALT OF NONSTEROIDAL ANTI-INFLAMMATORY DRUG AND ORGANIC AMINE COMPOUND AND USE THEREOF | 1 |
Noritaka Hanma | JP | Kagawa-Ken | 2011-06-23 / 20110152377 - EXTERNAL PREPARATION COMPRISING FATTY ACID SALT OR BENZOIC ACID SALT OF BASIC PHARMACOLOGICALLY ACTIVE COMPONENT, AND METHOD FOR PRODUCTION THEREOF | 1 |
Gregory Keith Hann | US | Odessa | 2012-11-22 / 20120293074 - Method and System for Determining Signal State | 1 |
Genebeck Hann | KR | Seoul | 2014-11-13 / 20140335869 - METHOD OF CONFIGURING DUAL CONNECTIVITY TO UE IN HETEROGENEOUS CELL DEPLOYMENT | 1 |
Michael Allen Hann | US | Berthoud | 2014-09-18 / 20140281219 - Storage Zoning Tool | 1 |
Gregory K. Hann | US | Odessa | 2014-09-18 / 20140263856 - System and Method For Expanded Monitoring and Control of Railroad Wayside Interlocking Systems | 4 |
Elly Hann | US | La Jolla | 2013-09-26 / 20130249695 - SYSTEMS AND METHODS FOR ASSESSING RISKS OF PRESSURE ULCERS | 5 |
Brian Hann | US | Avondale | 2016-02-25 / 20160053346 - METHODS FOR PRODUCING ALLOY FORMS FROM ALLOYS CONTAINING ONE OR MORE EXTREMELY REACTIVE ELEMENTS AND FOR FABRICATING A COMPONENT THEREFROM | 7 |
Bret F. Hann | US | San Antonio | 2012-06-28 / 20120164424 - Cold Water Soluble Polyvinyl Alcohol/Alkyl Acrylate Copolymers and Films Thereof | 4 |
Gregory Hann | US | Grain Valley | 2010-05-27 / 20100131129 - SYSTEM AND METHOD TO PROVIDE COMMUNICATION-BASED TRAIN CONTROL SYSTEM CAPABILITIES | 1 |
Daniel Hann | US | Williamson | 2015-05-28 / 20150146917 - METHOD AND SYSTEM FOR VIDEO-BASED VEHICLE TRACKING ADAPTABLE TO TRAFFIC CONDITIONS | 2 |
Mark S. Hann | US | Shorewood | 2010-03-04 / 20100052304 - FENDER WEAR PLATE GUARD | 1 |
Daniel S. Hann | US | Williamson | 2015-07-30 / 20150210068 - System And Method For Measuring Cross-Talk In Inkjet Printheads | 3 |
Bradley Hann | US | Sierra Vista | 2011-10-13 / 20110251110 - Methods for Sequencing Individual Nucleic Acids Under Tension | 4 |
Louane E. Hann | US | Boston | 2010-02-04 / 20100029500 - OLIGONUCLEOTIDE ARRAYS TO MONITOR GENE EXPRESSION AND METHODS FOR MAKING AND USING SAME | 1 |
Jennifer R. Hann | US | Pittsburgh | 2009-08-06 / 20090198675 - METHODS AND SYSTEMS FOR USING COMMUNITY DEFINED FACETS OR FACET VALUES IN COMPUTER NETWORKS | 1 |
Kenneth Hann | FI | Espoo | 2014-05-29 / 20140145875 - SATELLITE RECEIVER MODULE FOR TELECOMMUNICATION EQUIPMENT | 12 |
Gregory Hann | US | Odessa | 2009-03-19 / 20090076667 - SYSTEM, METHOD AND COMPUTER SOFTWARE CODE FOR DETERMINING A MISSION PLAN FOR A POWERED SYSTEM USING SIGNAL ASPECT INFORMATION | 1 |
Bret Hann | US | San Antonio | 2009-02-26 / 20090054295 - Chemical Delivery Product And Process For Making The Same | 1 |
Simon Hann | CA | Lethbridge | 2012-03-29 / 20120076607 - COLLAPSIBLE INTERMODAL TRANSPORT PLATFORM | 4 |
Brenda Hann | US | Menlo Park | 2013-04-25 / 20130103063 - DEVICES, SYSTEMS, AND METHODS FOR PERFORMING ATHERECTOMY INCLUDING DELIVERY OF A BIOACTIVE MATERIAL | 7 |
Simon Hann | CA | Alberta | 2013-05-23 / 20130125796 - INTERMODAL TRANSPORT PLATFORM | 3 |
Thomas Hann | CA | Onoway | 2014-06-05 / 20140151269 - ENHANCED TEMPERATURE CONTROL OF BITUMEN FROTH TREATMENT PROCESS | 4 |
Kenneth Hann | FI | Espoo | 2014-05-29 / 20140145875 - SATELLITE RECEIVER MODULE FOR TELECOMMUNICATION EQUIPMENT | 12 |
Thian Yaw Hann | SG | Singapore | 2010-01-14 / 20100008108 - NOVEL ADAPTIVE SLOPE COMPENSATION METHOD FOR STABILIZING A CONTINUOUS CONDUCTION MODE CONVERTER | 1 |
Andre De Hann | NL | Delfgauw | 2010-01-14 / 20100009033 - LIQUID COMPOSITION COMPRISING AN ASPARTIC PROTEASE | 1 |
Dagmar Renate Hann | GB | Norfolk | 2008-12-11 / 20080307539 - Methods, Means and Compositions for Enhancing Agrobacterium-Mediated Plant Cell Transformation Efficiency | 1 |
Thomas Charles Hann | CA | Onoway | 2013-04-25 / 20130098846 - PROCESS, APPARATUS AND SYSTEM FOR TREATING A HYDROCARBON FEEDSTOCK | 8 |
Dragana Hann | CA | Anjou | 2009-04-23 / 20090105680 - SANITARY ARTICLE ASSEMBLY INCLUDING AN OVERWRAP FOR DISPOSAL OF A SOILED SANITARY ARTICLE | 1 |
Christopher Eric Hann | NZ | Rangiora | 2011-08-25 / 20110208043 - GLOBAL MOTION INVARIANT SIGNATURES FOR FAST AND ACCURATE MOTION TRACKING IN A DIGITAL IMAGE-BASED ELASTO-TOMOGRAPHY SYSTEM | 4 |
Murray Hann | US | Malabar | 2015-07-30 / 20150211336 - HYDROCARBON RESOURCE HEATING SYSTEM INCLUDING COMMON MODE CHOKE ASSEMBLY AND RELATED METHODS | 16 |
Richard Anthony Hann | GB | Ipswich | 2009-03-12 / 20090068383 - Thermal Transfer Printing | 1 |
Lenn R. Hann | US | Wheaton | 2015-08-20 / 20150231306 - COATED MEDICAL DEVICE | 2 |
Alistair Hann | GB | Oxford | 2011-10-27 / 20110265026 - NOVELTY DETECTION WITH MISSING PARAMETERS | 1 |
Thomas C. Hann | CA | Onaway | 2012-03-15 / 20120061334 - SETTLER WITH OVERFLOW RECYCLE | 1 |
Byron C. Hann | US | San Francisco | 2016-01-28 / 20160022813 - COMPOSITIONS COMPRISING ANTI-CD38 ANTIBODIES AND CARFILZOMIB | 2 |
David Hann | AU | Shorncliffe Queensland | 2013-11-14 / 20130298950 - CLEANING APPARATUS | 1 |
Swook Hann | KR | Gwangju | 2011-09-15 / 20110222573 - FIBER LASER HAVING INLINE ISOLATOR FOR PREVENTING DAMAGE TO PUMP LIGHT SOURCE | 1 |
Simon A. Hann | CA | Lethbridge | 2015-03-05 / 20150059598 - WASTE COLLECTION VEHICLE WITH RAISED AND SLOPED HOPPER | 1 |
Lenn Hann | US | Wheaton | 2013-12-19 / 20130338480 - INTRAVENOUS APPARATUS AND METHOD | 1 |
Eugenia Costa Hann | US | Carneys Point | 2013-01-03 / 20130004479 - ENZYMATIC PERACID GENERATION FORMULATION | 10 |
Thomas Charles Hann | CA | Onoway | 2013-04-25 / 20130098846 - PROCESS, APPARATUS AND SYSTEM FOR TREATING A HYDROCARBON FEEDSTOCK | 8 |
Allison Hann | US | Westlake | 2015-07-02 / 20150183651 - Process for the Synthesis of Magnesium Aluminate Spinels | 1 |
Murray T. Hann | US | Malabar | 2015-11-26 / 20150337637 - METHOD OF RECOVERING HYDROCARBON RESOURCES WHILE INJECTING A SOLVENT AND SUPPLYING RADIO FREQUENCY POWER AND RELATED APPARATUS | 1 |
Murray Hann | US | Malabar | 2015-07-30 / 20150211336 - HYDROCARBON RESOURCE HEATING SYSTEM INCLUDING COMMON MODE CHOKE ASSEMBLY AND RELATED METHODS | 16 |
Eugenia Costa Hann | US | Carneys Point | 2013-01-03 / 20130004479 - ENZYMATIC PERACID GENERATION FORMULATION | 10 |
Ronald B. Hann | US | Stirling | / - | 1 |
Nic Hann | GB | Cardiff | 2010-09-23 / 20100241620 - APPARATUS AND METHOD FOR DOCUMENT PROCESSING | 1 |
Abbe J. Hann | US | Stirling | / - | 1 |
Glenn Harris Hann | US | Mount Laurel | 2011-02-03 / 20110029355 - SYSTEM AND METHOD FOR PROVIDING TARGETED ADVERTISEMENTS AND INFORMATION OVER A WIRELESS COMMUNICATIONS NETWORK BASED ON CURRENT GPS LATITUDE AND LONGITUDE POSITIONS | 1 |
Tom Hann | CA | Onoway | 2014-01-02 / 20140001101 - PROCESS AND SYSTEM FOR SOLVENT ADDITION TO BITUMEN FROTH | 3 |
Jaqub Hanna | US | Boston | 2011-03-31 / 20110076678 - REPROGRAMMING OF SOMATIC CELLS | 1 |
George B. Hanna | GB | London | 2014-03-13 / 20140074091 - INSTRUMENTS, SYSTEMS, AND METHODS FOR SEALING TISSUE STRUCTURES | 1 |
Wes Hanna | US | Marquette | 2015-05-07 / 20150127003 - CABLE FIXATION DEVICE | 1 |
Keith J. Hanna | US | Bronxville | 2016-03-17 / 20160078293 - METHODS AND APPARATUS FOR DIRECTING THE GAZE OF A USER IN AN IRIS RECOGNITION SYSTEM | 1 |
Mark Hanna | GB | London | 2011-07-28 / 20110181837 - METHOD AND SYSTEM FOR PRODUCING A PEPPER'S GHOST | 1 |
Timothy T. Hanna | US | Smithville Flats | 2011-09-22 / 20110231059 - SELF-CENTERING, TORQUE-SENSING JOINT ASSEMBLY FOR A PALLET TRUCK POWER STEERING SYSTEM | 2 |
Kevin Carmine Hanna | US | Patterson | 2011-09-22 / 20110229059 - S.A.C. DEGRADABLE BAGS FOR DISCREET DISPOSAL OF USED OR SOILED PERSONAL CARE PRODUCTS | 1 |
Mina-Elraheb S. Hanna | US | Stanford | 2016-05-12 / 20160128588 - Deep-brain Probe and Method for Recording and Stimulating Brain Activity | 1 |
Alex A. Hanna | US | Ooltewah | 2011-06-09 / 20110132729 - CONVEYING AND MARKING APPARATUS AND METHOD | 1 |
Lewis Hanna | US | Naples | 2015-06-25 / 20150174221 - SYSTEMS, COMPOSITIONS, AND METHODS FOR TRANSPLANTATION | 2 |
Anthony Hanna | US | Tyler | 2011-09-15 / 20110219633 - Apparatus and methods for determining angular offset between objects | 1 |
Alex A. Hanna | US | Cleveland | 2015-11-12 / 20150320074 - SYSTEMS AND METHODS FOR MAKING AND APPLYING STRIPS OF EDIBLE MATERIAL | 1 |
Ziyad E. Hanna | IL | Haifa | 2015-05-14 / 20150135150 - FORMAL VERIFICATION COVERAGE METRICS FOR CIRCUIT DESIGN PROPERTIES | 1 |
George S. Hanna | US | Maimi | 2014-12-11 / 20140366095 - METHODS FOR AUTHENTICATION USING NEAR-FIELD | 1 |
Ronald J. Hanna | US | Mancelona | 2008-11-13 / 20080276537 - LIFTGATE DRIVE UNIT | 2 |
David Matthew Hanna | US | Galesburg | 2013-05-16 / 20130119066 - BULK CONTAINER FOR CATERING OR LARGE FOOD ORDERS | 2 |
John Clark Hanna | US | Coquille | 2012-02-16 / 20120038163 - Wave energy turbine for oscillating water column systems | 1 |
Fadi S. Hanna | US | Shelby Township | 2008-12-11 / 20080302594 - Automotive Speaker Enclosure | 1 |
Charlie Hanna | US | Knoxville | 2014-10-16 / 20140305424 - HOME APPLIANCE WITH SUPPLEMENTAL COMBUSTION AIR SUPPLY APPARATUS | 5 |
D. Alan Hanna | US | Westminster | 2013-11-07 / 20130293353 - External Reader for Device Management | 1 |
Milford Hanna | US | Lincoln | 2013-11-07 / 20130296543 - METHOD FOR THE PRODUCTION OF SUBSTITUTED POLYSACCHARIDES VIA REACTIVE EXTRUSION | 1 |
Michael D. Hanna | US | West Boomfield | 2010-11-04 / 20100276236 - DAMPED PRODUCT AND METHOD OF MAKING THE SAME | 1 |
Charles Hanna | US | Gardner | 2013-06-13 / 20130147576 - HIGH DIRECTIVITY DIRECTIONAL COUPLER | 1 |
Shirley Hanna | US | Troy | 2009-03-05 / 20090057214 - Surface skimmer with flotation support | 1 |
George B. Hanna | GB | Middlesex | 2016-03-31 / 20160089198 - SURGICAL DEVICE WITH AN END-EFFECTOR ASSEMBLY AND SYSTEM FOR MONITORING OF TISSUE DURING A SURGICAL PROCEDURE | 1 |
Ronald J. Hanna | US | East Jordan | 2013-05-09 / 20130111716 - CABLE TENSIONING DEVICE FOR HUNTING TREE STANDS OR CLIMBING LADDERS | 4 |
Fadi Hanna | US | Shelby Twp. | 2009-05-28 / 20090134658 - WATER SHIELD FOR VEHICLE DOOR | 1 |
Ihab M. Hanna | US | Lake Orion | 2010-08-26 / 20100212412 - METHOD OF DISPLAYING CONTOURS OF A SURFACE OF A BEARING | 1 |
Dave Hanna | US | Troy | 2013-01-03 / 20130004292 - CONTROL STRATEGY FOR DECREASING RESONANCE IN A TURBOCHARGER | 3 |
Mark E. Hanna | US | Fremont | 2011-02-24 / 20110041884 - Crutch Apparatus | 1 |
Gerald Hanna | CA | Sherwood Park | 2016-02-18 / 20160046513 - COMPOSITION AND SYSTEM FOR FLOCCULATING OR SETTLING SOLIDS FROM LIQUIDS AND METHODS FOR USING SAME | 2 |
Emad Hanna | CA | Ottawa | 2012-05-17 / 20120121128 - OBJECT TRACKING SYSTEM | 1 |
Mark Hanna | US | Boulder | 2011-09-08 / 20110214726 - Ultra- High Solar Conversion Efficiency for Solar Fuels and Solar Electricity via Multiple Exciton Generation in Quantum Dots Coupled with Solar Concentration | 1 |
Milad A. Hanna | US | Staten Island | 2015-11-26 / 20150336702 - Test Tube Labeling Assembly | 1 |
Mark Cooper Hanna | US | Boulder | 2011-09-29 / 20110233730 - REACTIVE CODOPING OF GaAlInP COMPOUND SEMICONDUCTORS | 1 |
Charaf Hanna | US | Lewisville | 2015-03-12 / 20150071302 - SYSTEM AND METHOD FOR IMPROVED UPSTREAM TRANSMISSION | 3 |
Nabil Hanna | US | Rancho Santa Fe | 2011-01-27 / 20110020222 - MODIFIED ANTIBODIES AND METHODS OF USE | 12 |
Craig A. Hanna | US | Vestal | 2008-09-11 / 20080219322 - Methods and apparatus for emitter detection | 1 |
Wadia M. Hanna | US | Albany | 2011-02-10 / 20110030704 - Method and apparatus for protecting teeth, preventing the effects of bruxism and protecting oral structures from sports injuries | 1 |
Nader G. Hanna | US | Campbell | 2012-09-06 / 20120226548 - METHOD FOR REQUESTING, DISPLAYING, AND FACILITATING PLACEMENT OF AN ADVERTISEMENT IN A COMPUTER NETWORK | 1 |
Fadi Hanna | US | Bothell | 2015-10-01 / 20150277899 - HIERARCHICAL DIRECTIVES-BASED MANAGEMENT OF RUNTIME BEHAVIORS | 2 |
Jason Hanna | US | Lakeville | 2014-12-11 / 20140365017 - METHODS AND SYSTEMS FOR OPTIMIZED HVAC OPERATION | 1 |
Russell Hanna | AU | Milsons Point | 2012-05-10 / 20120115380 - CHANNEL AND WATER STORAGE LINER | 1 |
Keith J. Hanna | US | New York | 2016-04-14 / 20160104165 - BIOMETRIC CHAIN OF PROVENANCE | 50 |
Michel Hanna | US | Fremont | 2013-11-21 / 20130311747 - Memory Mapping and Translation for Arbitrary Number of Memory Units | 1 |
Rinoud Hanna | US | Rochester | 2012-02-02 / 20120024978 - WATER FOUNTAIN SYSTEM INCLUDING A MEANS FOR PROPELLING FLOATING ORNAMENTS | 4 |
Malek Z. Hanna | US | Woodland Hills | 2011-09-29 / 20110236574 - CRUSHED STONE SURFACE TEXTURE COMPOSITION AND PROCESS FOR APPLYING THE SAME | 1 |
James Hanna | US | Bethlehem | 2012-09-06 / 20120222421 - SOLAR ENERGY GAS TURBINE | 2 |
Raghed Hanna | DE | Koblenz | 2013-10-31 / 20130286013 - CHOOSING ANATOMICAL VARIANT MODEL FOR IMAGE SEGMENTATION | 1 |
Carlton E. Hanna | US | Santa Clara | 2014-03-06 / 20140062607 - ULTRA SLIM RF PACKAGE FOR ULTRABOOKS AND SMART PHONES | 1 |
Kenneth S. Hanna | US | Adell | 2011-08-04 / 20110186138 - SYSTEMS AND METHODS FOR PROVIDING A PROGRAMMABLE SHOWER INTERFACE | 4 |
Aaron E. Hanna | US | Corona | 2011-08-04 / 20110186162 - Faucet with adjustable height spout | 1 |
J. Richard Hanna | US | Massillon | 2015-08-06 / 20150221160 - BANKING SYSTEM CONTROLLED RESPONSIVE TO DATA READ FROM DATA BEARING RECORDS | 9 |
Thor Hanna | US | Memphis | 2013-04-25 / 20130103150 - ENCAPSULATED DATA CARRIER TAG FOR TRACK AND TRACE PURPOSES | 1 |
Stephen D. Hanna | US | Fort Collins | 2014-12-25 / 20140379959 - MAP RECYCLING ACCELERATION | 2 |
Stephen R. Hanna | US | Brighton | 2014-05-29 / 20140150053 - COMBINING NETWORK ENDPOINT POLICY RESULTS | 2 |
Ramez Hanna | CA | North York | 2015-05-21 / 20150142612 - MULTI-MODAL TRANSACTION ENGINE FOR MOBILE RETAIL SYSTEMS | 1 |
Stephen Hanna | US | Brighton | 2013-05-23 / 20130133027 - COMBINING NETWORK ENDPOINT POLICY RESULTS | 1 |
Mark Hanna | US | Medford | 2015-11-19 / 20150329205 - AIRCRAFT DEPLOYMENT AND RETRIEVAL OF UNMANNED AERIAL VEHICLES | 2 |
Kenneth S. Hanna | US | Bellingham | 2013-09-12 / 20130232684 - FACEPLATE FOR SHOWER DEVICE | 1 |
Keith J. Hanna | US | New York | 2016-04-14 / 20160104165 - BIOMETRIC CHAIN OF PROVENANCE | 50 |
Jacob Hanna | US | Watertown | 2015-04-23 / 20150111241 - INLET AND OUTLET GEOMETRIES FOR A VERTICAL THREE-STREAM MICROFLUIDIC DEVICE | 1 |
Charles R. Hanna | US | Houston | 2015-12-17 / 20150363346 - SATA INITIATOR ADDRESSING AND STORAGE DEVICE SLICING | 2 |
David M. Hanna | US | Galesburg | 2014-11-13 / 20140332542 - FOOD CARRIER | 1 |
Wadia M. Hanna | US | Addison | 2015-04-02 / 20150089721 - Helmet construction | 1 |
Thomas E. Hanna | US | Huntersville | 2013-05-02 / 20130105489 - CONTAINER WITH DIVIDER | 3 |
Fadi Hanna | US | Santa Clarita | 2015-04-23 / 20150108135 - DISPOSABLE COMPONENTS FOR A SPRAY GUN | 1 |
James L. Hanna | US | Saline | 2015-03-05 / 20150061496 - System for Generating High Speed Flow of an Ionized Gas | 1 |
Leigh Farris Hanna | US | West Chester | 2014-01-30 / 20140030382 - Bacteriophage Treated Food Products | 1 |
Mark B. Hanna | US | Allen | 2015-12-17 / 20150364809 - DUAL STRIPLINE TILE CIRCULATOR UTILIZING THICK FILM POST-FIRED SUBSTRATE STACKING | 2 |
Ganatios Y. Hanna | US | Irvine | 2012-12-20 / 20120323382 - SYSTEMS AND METHODS TO ASSESS AND OPTIMIZE ENERGY USAGE FOR A FACILITY | 2 |
Henin Hanna | US | Santa Clarita | 2015-04-23 / 20150108135 - DISPOSABLE COMPONENTS FOR A SPRAY GUN | 1 |
Amber D. Hanna | US | Lebanon | 2016-03-17 / 20160077105 - POLYSPECIFICITY REAGENTS, METHODS FOR THEIR PREPARATION AND USE | 2 |
Timothy Glen Hanna | US | Olympia | 2015-05-28 / 20150147895 - Techniques to Convert Signals Routed Through a Fabric Cable Assembly | 1 |
Michael Hanna | US | Fairfax | 2015-12-03 / 20150347096 - Generic Template Node for Developing and Deploying Model Software Packages Made Up Of Interconnected Working Nodes | 1 |
George Hanna | US | Princeton | 2014-12-04 / 20140356863 - METHODS FOR DETERMINING THE SUSCEPTIBILITY OF A VIRUS TO AN ATTACHMENT INHIBITOR | 1 |
Ken S. Hanna | US | Bellingham | 2014-09-18 / 20140263760 - SPLASHLESS SPRAY HEAD | 1 |
Jeremy Hanna | US | Fairfax | 2016-03-31 / 20160089740 - Bonding Method for Thin Film Diamond Providing Low Vapor Pressure at High Temperature | 3 |
Sherif Hanna | US | Foster City | 2015-04-30 / 20150116271 - Pulse- or Frame-Based Communication Using Active Stylus | 4 |
Richard Hanna | US | San Diego | 2013-05-02 / 20130108602 - METHODS AND USES OF NUR77 AND NUR77 AGONISTS TO MODULATE MACROPHAGES AND MONOCYTES, AND TREAT INFLAMMATION, INFLAMMATORY DISEASE AND CARDIOVASCULAR DISEASE | 1 |
Brent Hanna | CA | Lethbridge | 2012-05-03 / 20120102634 - Toilet seat connector | 1 |
Thomas Hanna | DE | Detrnold | 2008-10-23 / 20080261521 - Monitoring Condition of Network with Distributed Components | 1 |
Calvin Hanna | US | Little Rock | 2010-12-23 / 20100323978 - Non-aqueous oil delivery system for ophthalmic drugs | 1 |
Mohsen R. Hanna | US | Tampa | 2010-06-24 / 20100155060 - TRIANGLE AIR INJECTION AND IGNITION EXTRACTION METHOD AND SYSTEM | 1 |
Barbara Hanna | US | Princeton Junction | 2013-07-18 / 20130182119 - APPARATUS FOR INTELLIGENT AND AUTONOMOUS VIDEO CONTENT GENERATION AND STREAMING | 2 |
Rinoud K. Hanna | US | Rochester | 2013-01-17 / 20130014962 - Fire retardant strip to contain brush firesAANM Hanna; Rinoud K.AACI RochesterAAST NYAACO USAAGP Hanna; Rinoud K. Rochester NY US | 1 |
Keith J. Hanna | US | Princeton Junction | 2013-05-02 / 20130110859 - SCALABLE SEARCHING OF BIOMETRIC DATABASES USING DYNAMIC SELECTION OF DATA SUBSETS | 1 |
J. Richard Hanna | US | Massillon | 2015-08-06 / 20150221160 - BANKING SYSTEM CONTROLLED RESPONSIVE TO DATA READ FROM DATA BEARING RECORDS | 9 |
Junichi Hanna | JP | Yokohama | 2008-10-23 / 20080258148 - THIN FILM TRANSISTOR AND ORGANIC ELECTROLUMINESCENCE DISPLAY USING THE SAME | 1 |
Ryan Wayne Hanna | CA | Vancouver | 2015-07-09 / 20150193719 - Comparison of Client and Benchmark Data | 2 |
Todd Russell Hanna | CA | West Vancouver | 2009-04-16 / 20090099881 - APPARATUS AND METHOD FOR DISTRIBUTION OF A REPORT WITH DYNAMIC WRITE-BACK TO A DATA SOURCE | 1 |
Samer S. Hanna | US | Sanford | 2010-04-29 / 20100103850 - METHOD AND APPARATUS FOR CHANNEL SELECTION IN A WIRELESS COMMUNICATION SYSTEM | 2 |
Thomas Hanna | DE | Detmold | 2014-05-01 / 20140119338 - Method for Switching Communication Links to a Mobile Terminal Device Which is Associated with a Local Radio Area of a Network | 3 |
Yaqub Hanna | IL | Tel-Aviv | 2014-10-23 / 20140315301 - ISOLATED NAIVE PLURIPOTENT STEM CELLS AND METHODS OF GENERATING SAME | 1 |
James Hanna | US | Seattle | 2015-08-13 / 20150226446 - SYSTEMS AND METHODS TO CONTROL ENERGY CONSUMPTION EFFICIENCY | 7 |
Christopher P. Hanna | US | Elmhurst | 2013-11-28 / 20130316469 - Low Dead Volume Extraction Column Device | 6 |
George S. Hanna | US | Miami | 2012-06-28 / 20120165062 - METHOD AND APPARATUS FOR COMMUNICATING PUSH-TO-TALK STATE TO A COMMUNICATION DEVICE | 4 |
Nicolas Y. Hanna | AE | Dubai | 2009-08-13 / 20090200856 - Methods and systems for raising and lowering a rig mast and substructure by remote control | 1 |
Jerry Hanna | CA | Sherwood Park | 2012-03-15 / 20120061327 - WATER REACTION TANK | 2 |
Thor M. Hanna | US | Memphis | 2011-11-03 / 20110270082 - MEDICAL DEVICE TRACKING SYSTEM WITH TAG AND METHOD | 5 |
Mazen Hanna | US | Lutz | 2015-10-29 / 20150306116 - NOVEL ORAL FORMS OF A PHOSPHONIC ACID DERIVATIVE | 10 |
Ramez Hanna | CA | Toronto | 2015-12-24 / 20150371205 - SYSTEMS AND METHODS FACILITATING MOBILE RETAIL ENVIRONMENTS | 6 |
Kromel E. Hanna | US | Omaha | 2011-01-06 / 20110002744 - CONTINUOUSLY PRESTRESSED CONCRETE PILE SPLICE | 1 |
Edward Jon Hanna | JM | Kingston 5 | 2010-06-17 / 20100148720 - Solar Powered Electrical Energy Generating Device | 1 |
Marc Hanna | FR | Limours | 2014-12-25 / 20140376085 - PASSIVE DEVICE AND METHOD FOR THE COHERENT COMBINATION OF A PLURALITY OF OPTICAL AMPLIFIERS | 4 |
Fadi M. Hanna | US | Bothell | 2014-10-23 / 20140317641 - CONTROLLING RUNTIME ACCESS TO APPLICATION PROGRAMMING INTERFACES | 1 |
Dave R. Hanna | US | Troy | 2014-12-25 / 20140377051 - TURBOCHARGER | 3 |
William Suhail Hanna | US | Bellevue | 2010-11-04 / 20100277346 - Slat Skew Detection System | 1 |
Viertio-Oja Elina Hanna | FI | Espoo | 2011-04-07 / 20110082440 - METHOD AND SYSTEM FOR DELIVERING ANALGESIC DRUGS | 1 |
Wayne William Hanna | US | Chula | 2010-08-19 / 20100212054 - Pennisetum 'Tift-23' | 3 |
Todd Hanna | CA | West Vanecouver | 2010-05-13 / 20100122192 - DYNAMIC DATA OBJECT INSERTION | 1 |
Junichi Hanna | JP | Tokyo | 2016-02-18 / 20160049596 - ORGANIC THIN FILM, AND ORGANIC SEMICONDUCTOR DEVICE AND ORGANIC TRANSISTOR USING SAME | 3 |
Stephen Dale Hanna | US | Longmont | 2010-08-12 / 20100202793 - Checking and Conditional Processing of a Print Job Printed with Multiple Transfer Media | 1 |
Terry Joe Hanna | US | Millersport | 2011-08-04 / 20110185771 - ADJUSTABLE POSITIONING APPARATUS FOR COOLING MEMBERS AND METHOD | 3 |
Reda G. Hanna | US | Allentown | 2010-07-22 / 20100184979 - NEW PROCESS FOR PREPARING 2-(3--PHENYL)-2-METHYLPROPRIONIC ACID | 1 |
Ronald J. Hanna | US | Petoskey | 2012-01-12 / 20120006733 - MAGNETIC FLUID CLEANING SYSTEM | 1 |
Shaddy Youssef Hanna | US | Houston | 2015-10-29 / 20150308210 - WELL CAPPING ASSEMBLY AND METHOD OF CAPPING UNDERWATER WELL | 1 |
George C. Hanna | US | Chagrin Falls | 2016-02-18 / 20160046172 - HEATING SYSTEM FOR A MOTOR VEHICLE | 1 |
Brady L. Hanna | US | Penrose | 2010-07-15 / 20100179388 - Apparatus And Method For Diagnostic Leverage Testing Of Equine Distal Limb | 1 |
Wayne W. Hanna | US | Chula | 2015-10-29 / 20150313055 - Ornamental perennial peanut 'PP-1' | 1 |
Charles R. Hanna | US | Spring | 2015-03-05 / 20150062789 - SERVER ADAPTER | 1 |
John N. Hanna | US | Austin | 2012-01-05 / 20120002385 - Card Guide System and Method | 2 |
Lewis S. Hanna | US | Naples | 2013-03-28 / 20130078246 - BIOMARKERS AND METHODS FOR DETECTING AND TREATING SPINAL AND JOINT PAIN | 2 |
Ian L. Hanna | US | Clarkston | 2015-07-23 / 20150202980 - RECHARGEABLE ENERGY STORAGE SYSTEM MANAGEMENT FOR VEHICLES | 8 |
Keith Hanna | US | Princeton Junction | 2010-03-25 / 20100073482 - Method and apparatus for providing a scalable multi-camera distributed video processing and visualization surveillance system | 3 |
Ragheb R. Hanna | US | Cypress | 2013-04-18 / 20130096833 - SYSTEMS AND METHODS FOR THE DETERMINATION OF FORMATION WATER RESISTIVITY AND CONDUCTIVITY | 1 |
Edward J. Hanna | IE | Holywood | 2016-01-28 / 20160028786 - INMATE INFORMATION CENTER FOR CORRECTIONAL FACILITY PROCESSING | 2 |
D. Alan Hanna | US | Boulder | 2016-02-11 / 20160038217 - EXTERNAL READER FOR DEVICE MANAGEMENT | 5 |
Todd Hanna | US | Tulsa | 2015-10-01 / 20150273741 - PAD FORMATION METHOD, ASSEMBLY AND PAD PRODUCED THEREBY | 2 |
Keith Hanna | US | Princeton | 2010-01-21 / 20100013917 - Method and system for performing surveillance | 1 |
Thom M. Hanna | US | Evergreen | 2010-01-07 / 20100001520 - PVC Pipe Coupling | 1 |
Jun-Ichi Hanna | JP | Tokyo | 2015-08-13 / 20150228913 - BENZOTHIENOBENZOTHIOPHENE DERIVATIVE, ORGANIC SEMICONDUCTOR MATERIAL, AND ORGANIC TRANSISTOR | 1 |
Raouf Hanna | US | Houston | 2009-11-12 / 20090280454 - Dental Implant with Bone and Gingival Tissue Preservation Collar | 1 |
Keith J. Hanna | US | West Windsor | 2013-08-15 / 20130212655 - EFFICIENT PREVENTION FRAUD | 2 |
John W. Hanna | US | Boston | 2014-07-17 / 20140199706 - Methods and Compositions for Enhancing Proteasome Activity | 1 |
Reda Hanna | US | Allentown | 2009-08-13 / 20090203761 - PROCESS FOR PREPARING (3-OXO-2,3-DIHYDRO-1H-ISOINDOL-1-YL) ACETYLGUANIDINE DERIVATIVES | 1 |
Ebert Seixas Hanna | BR | Ribeirao Preto | 2013-09-12 / 20130236948 - RECOMBINANT MICROORGANISMS AND USES THEREOF | 1 |
Rafik Hanna | US | Orlando | 2015-10-22 / 20150301167 - DETECTION OF MOVABLE OBJECTS | 1 |
Timothy Paul Hanna | US | Cedar Hills | 2009-05-21 / 20090132587 - METHODS AND SYSTEMS FOR MANAGING CONTENT DEPENDENCY DEPLOYMENT | 1 |
William L. Hanna | US | San Diego | 2015-10-15 / 20150292047 - DETECTION OF NUCLEIC ACIDS FROM MULTIPLE TYPES OF HUMAN PAPILLOMAVIRUS | 11 |
Michael Hanna | US | Washington | 2009-05-07 / 20090119329 - SYSTEM AND METHOD FOR PROVIDING VISIBILITY FOR DYNAMIC WEBPAGES | 1 |
Joerg Hanna | DE | Roedinghausen | 2015-12-10 / 20150352912 - METHOD FOR ASSOCIATING TIRE POSITIONS ON A VEHICLE HAVING A TIRE PRESSURE MONITORING SYSTEM | 2 |
David R. Hanna | US | Troy | 2016-04-14 / 20160102579 - SHEET METAL TURBINE HOUSING WITH CELLULAR STRUCTURE REINFORCEMENT | 2 |
Terry Hanna | US | Millersport | 2011-11-17 / 20110277512 - FIBERIZING BUSHING AND METHOD FOR FIBERIZING MOLTEN MATERIAL | 2 |
Steven Hanna | CA | Richmond Hill | 2014-02-06 / 20140036147 - SYSTEM, METHOD, AND APPARATUS FOR PROVIDING IMPROVED HIGH DEFINITION VIDEO FROM UPSAMPLED STANDARD DEFINITION VIDEO | 2 |
Ihab Daoud Hanna | EG | Cairo | 2011-06-23 / 20110153010 - INTRACARDIAC IMPLANT - TOTAL ARTIFICIAL HEART | 1 |
Matthew Hanna | US | Brashear | 2013-12-19 / 20130336756 - LIFTING APPARATUS AND METHOD | 3 |
James T. Hanna | US | College Station | 2012-08-09 / 20120203933 - Clock Signal Synchronization Among Computers In A Network | 2 |
Keith Hanna | US | Bronxville | 2016-05-19 / 20160140567 - MODEL-BASED PREDICTION OF AN OPTIMAL CONVENIENCE METRIC FOR AUTHORIZING TRANSACTIONS | 1 |
Paul J. Hanna | US | Wexford | 2016-05-05 / 20160123786 - DUAL LID CONTAINER-DISPENSER APPARATUS | 1 |
Michael S. Hanna | US | Raleigh | 2008-09-18 / 20080225705 - Monitoring, Controlling, And Preventing Traffic Congestion Between Processors | 1 |
Christopher M. Hanna | US | Arlington | 2013-05-02 / 20130108055 - DYNAMIC VOLUME CONTROL AND MULTI-SPATIAL PROCESSING PROTECTION | 12 |
Fifi G. Hanna | US | Kearny | 2008-09-18 / 20080226575 - Long-Lasting Cosmetic Composition, Method For Using, and Process For Making | 1 |
Robert C. Hanna | US | Luxora | 2008-09-04 / 20080210401 - SUBMERGED ENTRY NOZZLE WITH INSTALLABLE PARTS | 1 |
Stephen M. Hanna | US | Norwalk | 2016-04-21 / 20160106450 - Variable Suction Control | 1 |
Jun-Ichi Hanna | JP | Mitaka-Shi | 2012-07-12 / 20120175604 - LIQUID ORGANIC SEMICONDUCTOR MATERIAL | 1 |
Christopher T. Hanna | US | San Francisco | 2009-03-26 / 20090081084 - Low Dead Volume Extraction Column Device | 1 |
Michael Hanna | US | La Canada | 2010-11-04 / 20100279213 - METHODS AND SYSTEMS FOR CONTROLLING VARIATION IN DIMENSIONS OF PATTERNED FEATURES ACROSS A WAFER | 1 |
Robert J. Hanna | US | San Diego | 2015-08-06 / 20150216744 - LOW PROFILE MEDICAL KIT | 3 |
William L. Hanna | US | San Diego | 2015-10-15 / 20150292047 - DETECTION OF NUCLEIC ACIDS FROM MULTIPLE TYPES OF HUMAN PAPILLOMAVIRUS | 11 |
Samy M. Hanna | US | Danville | 2009-02-19 / 20090045746 - Particle Accelerator and Methods Therefor | 1 |
Ryan Hanna | CA | Vancouver | 2012-04-12 / 20120089631 - PROGRESSIVE EXPLORATION OF DATA RELATIONSHIPS | 1 |
Barbara Hanna | US | New York | 2014-04-17 / 20140108302 - RAPID IMAGE ANNOTATION VIA BRAIN STATE DECODING AND VISUAL PATTERN MINING | 2 |
Jeffrey D. Hanna | US | Spicewood | 2015-12-31 / 20150380235 - METHODS FOR BONDING SEMICONDUCTOR WAFERS | 1 |
Michael D. Hanna | US | West Bloomfield | 2015-11-19 / 20150333606 - ROTOR AND METHOD OF FORMING SAME | 45 |
Kenneth Scott Hanna | US | Bellingham | 2015-07-23 / 20150208152 - SPEAKER AND SHOWER | 6 |
Michelle M. Hanna | US | Carlsbad | 2013-06-20 / 20130157266 - ABSCRIPTION BASED MOLECULAR DETECTION OF DNA METHYLATION | 4 |
Assaad G. Hanna | US | San Francisco | 2014-11-20 / 20140344089 - MONEY TRANSFER SYSTEM AND METHOD | 1 |
John F. Hanna | US | Newbury Park | 2010-02-18 / 20100037977 - UNIVERSAL PIPE CAP | 1 |
Ihab M. Hanna | US | Redwood City | 2009-03-05 / 20090063620 - Novel method and system for controlling access to features of a software program | 1 |
Mazen Hanna | US | Lutz | 2015-10-29 / 20150306116 - NOVEL ORAL FORMS OF A PHOSPHONIC ACID DERIVATIVE | 10 |
Bret S. Hanna | US | Denver | 2012-03-29 / 20120077175 - TIME-INDEXED DISCUSSION ENABLED VIDEO EDUCATION | 1 |
Ibrahim Hanna | US | Miami | 2016-05-05 / 20160123296 - SYNERGIC METHOD FOR HYDRODYNAMIC ENERGY GENERATION WITH NEUTRALIZED HEAD PRESSURE PUMP | 5 |
Glen Alan Hanna | AU | Kwinana | 2014-01-09 / 20140010742 - METHOD OF INCREASING THE STABILITY OF A BAYER PROCESS LIQUOR | 1 |
William N. Hanna | US | Olympia | 2014-06-26 / 20140175100 - CONFIGURABLE PLUG TO STOP OR SLOW THE FLOW OF A FLUID OR GAS OR FLOWABLE MATERIAL EITHER INWARD OR OUTWARD THROUGH AN OPENING | 1 |
Keith J. Hanna | US | Princeton | 2014-08-07 / 20140218166 - ENSURING THE PROVENANCE OF PASSENGERS AT A TRANSPORTATION FACILITY | 1 |
Edward J. Hanna | GB | Holywood | 2011-02-24 / 20110047473 - Inmate information center for correctional facility processing | 1 |
Jason Kyle Hanna | GB | Kilkeel | 2010-12-23 / 20100319588 - TABLE APPARATUS FOR A VEHICLE SEAT | 1 |
Mazen H. Hanna | GB | Bradford | 2015-12-24 / 20150366241 - COMPOSITIONS OF PARTICULATE COFORMULATION | 2 |
Jonathan Richard Hanna | US | Massillon | 2014-07-24 / 20140201966 - DEVICE AND METHOD FOR AUTOMATICALLY WEAVING SEAL SEGMENTS | 1 |
Ian L. Hanna | US | Clarkston | 2015-07-23 / 20150202980 - RECHARGEABLE ENERGY STORAGE SYSTEM MANAGEMENT FOR VEHICLES | 8 |
Joel Patrick Hanna | US | Sacramento | 2014-04-24 / 20140113828 - ELECTRICAL, MECHANICAL, COMPUTING/ AND/OR OTHER DEVICES FORMED OF EXTREMELY LOW RESISTANCE MATERIALS | 1 |
Yousry Kamel Hanna | US | Philadelphia | 2013-10-03 / 20130255621 - Rotary internal combustion diesel engine | 1 |
Stephen R. Hanna | US | Bedford | 2011-10-20 / 20110258479 - SERVER-TO-SERVER INTEGRITY CHECKING | 5 |
George Hanna | GB | Fife | 2010-08-26 / 20100217258 - METHOD AND SYSTEM FOR MONITORING TISSUE DURING AN ELECTROSURGICAL PROCEDURE | 1 |
Carlton Hanna | US | Marlborough | 2009-05-07 / 20090115057 - C4 JOINT RELIABILITY | 1 |
Jesse Lewis Hanna | US | Gardnerville | 2014-07-31 / 20140214363 - FAULT FREQUENCY SET DETECTION SYSTEM AND METHOD | 2 |
Trevor T. Hanna | GB | Dowdeswell | 2010-05-13 / 20100120437 - CELLULAR COMMUNICATION SYSTEM AND METHOD OF OPERATION THEREFOR | 1 |
Mazen Hanna | GB | Bradford | 2009-08-13 / 20090203680 - In vivo studies of crystalline forms of meloxicam | 3 |
Gordon Hanna | GB | Perthshire | 2015-10-01 / 20150279144 - MEDIA ESCAPE PREVENTION FOR SELF-SERVICE TERMINAL | 1 |
Klaus Hanna | DE | Hamburg | 2015-08-13 / 20150225067 - RAIL SYSTEM FOR SEAT ASSEMBLY IN AN AIRCRAFT | 5 |
Steven Nashed Hanna | CA | Richmond Hill | 2013-03-14 / 20130063575 - SYSTEM AND METHOD FOR VIEWING ANGLE COMPENSATION FOR POLARIZED THREE DIMENSIONAL DISPLAY | 1 |
Christoper Hanna | US | Arlington | 2011-03-24 / 20110068867 - SYSTEM AND METHOD FOR INTERPOLATING DIGITALLY-CONTROLLED AMPLIFIER GAIN | 1 |
Yaqub Hanna | US | Boston | 2015-04-30 / 20150118755 - REPROGRAMMING OF SOMATIC CELLS | 2 |
Christopher M. Hanna | US | Arlington | 2013-05-02 / 20130108055 - DYNAMIC VOLUME CONTROL AND MULTI-SPATIAL PROCESSING PROTECTION | 12 |
Christopher Hanna | US | Arlington | 2010-06-24 / 20100158259 - DYNAMIC VOLUME CONTROL AND MULTI-SPATIAL PROCESSING PROTECTION | 1 |
Magdy S. Hanna | US | San Diego | 2016-02-25 / 20160055079 - SOFTWARE APPLICATION LIFECYCLE MANAGEMENT | 1 |
Hassan Hannache | MA | Casablanca | 2014-07-24 / 20140202930 - ACTIVATION, REFINING, AND USE OF OIL SHALE | 2 |
George P. Hannafin | US | Hudson | 2015-09-10 / 20150250545 - Movable Holder for Medical Instruments and Associated Methods | 6 |
Blake Hannaford | US | Seattle | 2016-03-17 / 20160074123 - Surgery Pathway Guidance And Boundary System | 20 |
Darrel Hannaford | GB | West Midlands | 2013-12-12 / 20130327031 - Combined Power Take-Off and Hydraulic Pump Assembly | 1 |
Christopher John Hannaford | CA | Toronto | 2011-05-19 / 20110119201 - Virtual test market system and method | 1 |
Blake Hannaford | US | Seattle | 2016-03-17 / 20160074123 - Surgery Pathway Guidance And Boundary System | 20 |
Christopher Joel Hannaford | US | Norwood | 2014-07-24 / 20140203833 - CONNECTOR / CABLE ASSEMBLY | 4 |
Mark Hannaford | GB | Clevedon | 2009-10-08 / 20090250296 - Lubrication and scavenge system | 1 |
Lawrence Joseph Hannaford, Jr. | US | Sayville | 2014-06-05 / 20140153193 - PHASE CHANGE HEAT SINK FOR TRANSIENT THERMAL MANAGEMENT | 1 |
Samuel F. Hannah | US | Eagle Rock | 2012-10-25 / 20120266482 - DRYER SYSTEM WITH IMPROVED THROUGHPUT | 2 |
Larkin Curtis Hannah | US | Gainesville | 2015-11-19 / 20150329839 - METHODS FOR INCREASING GRAIN YIELD | 1 |
Michael Andrew Hannah | US | Fairview | 2009-07-16 / 20090180761 - SYSTEM AND METHOD FOR RECORDING HIGH FRAME RATE VIDEO, REPLAYING SLOW-MOTION AND REPLAYING NORMAL SPEED WITH AUDIO-VIDEO SYNCHRONIZATION | 1 |
Steven Scott Hannah | US | Chesterfield | 2014-05-29 / 20140147865 - METHODS FOR DIAGNOSING DEGENERATIVE JOINT DISEASE | 4 |
Matthew Hannah | BE | Gent | 2015-12-31 / 20150376637 - METHODS AND MEANS FOR INCREASING STRESS TOLERANCE AND BIOMASS IN PLANTS | 2 |
Clive Hannah | CA | Toronto | 2010-07-29 / 20100191466 - GPS LOCATION AND FAVORITE PREDICTION BASED ON IN-VEHICLE META-DATA | 1 |
Steven K. Hannah | US | Hudson | 2015-05-07 / 20150126063 - HYBRIDIZED COAXIAL CABLE CONNECTOR | 1 |
James Hannah | US | Ossining | 2015-01-22 / 20150024989 - CLEANING COMPOSITION AND PROCESS FOR CLEANING SEMICONDUCTOR DEVICES AND/OR TOOLING DURING MANUFACTURING THEREOF | 2 |
Stephen E. Hannah | US | Placentia | 2016-01-28 / 20160023675 - MONITORING USAGE OR STATUS OF CART RETRIEVERS | 28 |
Lonnie E. Hannah | US | Houston | 2015-10-08 / 20150286025 - CABLE MANAGEMENT PANEL WITH SLIDING DRAWER AND METHODS | 1 |
Mark Hannah | US | Ashland | 2012-12-27 / 20120328741 - DRINKING STRAW AND STIRRING DEVICE WITH AN ADDITIVE AND METHOD THEREFOR | 1 |
Rita Hannah | US | Madison | 2008-08-28 / 20080206798 - KITS FOR DETECTION OF ATP | 1 |
Alison Hannah | US | Sebastopol | 2015-10-29 / 20150309032 - Method for Assessing and Predicting Efficacy of Breast Cancer Treatment with a Long-Acting Topoisomerase I Inhibitor | 1 |
Gary Ray Hannah | US | Shawnee | 2014-04-03 / 20140090731 - DOSING MANIFOLD AND SYSTEM | 2 |
Matthew Hannah | US | Troutdale | 2015-07-23 / 20150201602 - PORTABLE POWER BAIT STOARAGE AND DISPENSING APPARATUS | 1 |
Eric C. Hannah | US | Pebble Beach | 2015-12-10 / 20150355343 - ON-DIE ELECTRIC COSMIC RAY DETECTOR | 22 |
James Hannah | US | Hopewell Junction | 2011-07-14 / 20110171832 - Chemical-Mechanical Polishing Formulation and Methods of Use | 1 |
Gary R. Hannah | US | Merriam | 2011-07-14 / 20110168182 - QUICK-DONNING FULL FACE OXYGEN MASK WITH INFLATABLE HARNESS AND SOFT FOLDABLE LENS | 1 |
Marc R. Hannah | US | Los Altos | 2016-04-07 / 20160097648 - MANAGED ACCESS SYSTEM FOR TRAFFIC FLOW OPTIMIZATION | 1 |
Rachel L. Hannah | US | Charleston | 2012-11-15 / 20120286092 - RECONFIGURABLE FLOORBOARD SYSTEM | 1 |
Eric Hannah | US | Pebble Beach | 2009-10-22 / 20090262994 - MODEL-BASED FUSION OF SCANNING PROBE MICROSCOPIC IMAGES FOR DETECTION AND IDENTIFICATION OF MOLECULAR STRUCTURES | 1 |
Richard Hannah | US | Vista | 2009-10-01 / 20090247990 - LOCKING MEDICAL CATHETER | 1 |
Kevin Wayne Hannah | US | Tulsa | 2015-04-02 / 20150092430 - Rapid Deploy Multi-Mount Lighting System | 1 |
Chadwick W. Hannah | US | Brookville | 2013-12-12 / 20130328365 - ADJUSTABLE SPINE PRESSURE RELIEF APPARATUS | 1 |
Duncan Hannah | GB | Suffolk | 2010-07-01 / 20100168077 - Novel Pyridine Derivatives, Processes for Preparing Them, Pharmaceutical Compositions Thereof | 2 |
Alison L. Hannah | US | Sebastopol | 2009-08-06 / 20090197852 - Method of treating breast cancer using 17-AAG or 17-AG or a prodrug of either in combination with a HER2 inhibitor | 1 |
Richard Hannah | US | Hillsboro | 2015-07-02 / 20150189072 - INTELLIGENT ANCILLARY ELECTRONIC DEVICE | 1 |
Gary R. Hannah | US | Shawnee | 2008-10-02 / 20080242190 - Novelty LED-projection message balloon | 1 |
Charles Jeremy Hannah | GB | New Milton | 2009-08-13 / 20090200115 - Lubrication of Sail Edge Channels | 1 |
Michael Morton Hannah | NZ | Auckland | 2014-12-25 / 20140374332 - STORM WATER FILTER AND COMPONENTS THEREOF AND METHODS OF INSTALLATION AND USE | 1 |
Stephen S. Hannah | US | Chesterfield | 2014-10-16 / 20140309137 - GENE EXPRESSION PROFILES ASSOCIATED WITH LEAN PHENOTYPE AND USES THEREOF | 1 |
Mark Hannah | AT | Bad Fischau-Brunn | 2015-03-12 / 20150072723 - Speaker with Grained Zeolite Material in Deep-Drawn Foil Resonance Volume | 3 |
Christopher L. Hannah | US | Vancouver | 2010-05-13 / 20100121695 - Web-based marketing method | 1 |
James Ronald Hannah | US | Jackson | 2012-02-23 / 20120046629 - Flow away garment | 1 |
Eric C. Hannah | US | Pebble Beach | 2015-12-10 / 20150355343 - ON-DIE ELECTRIC COSMIC RAY DETECTOR | 22 |
Steven S. Hannah | US | Chesterfield | 2015-06-04 / 20150153353 - METHODS FOR DIAGNOSING CHRONIC VALVULAR DISEASE | 6 |
Lonnie E. Hannah | US | Monticello | 2012-03-29 / 20120076466 - CABLE MANAGEMENT PANEL WITH SLIDING DRAWER AND METHODS | 4 |
Deborah S. Hannah | US | Appleton | 2014-08-28 / 20140243772 - BODY ADHERING ABSORBENT ARTICLE | 4 |
Deborah Snell Hannah | US | Appleton | 2013-01-17 / 20130018345 - Body Adhering Absorbent Aticle | 6 |
Sabrina Hannah | US | Blacksburg | 2010-08-19 / 20100209589 - Meat Flavoring Compositions and Methods for Making and Using Them | 1 |
L. Curtis Hannah | US | Gainesville | 2011-07-07 / 20110167519 - HEAT STABLE VARIANTS OF PLANT ADENOSINE DIPHOSPHATE GLUCOSE PYROPHOSPHORYLASE SMALL SUBUNIT | 4 |
James Nolan Hannah | US | Richmond | 2009-11-12 / 20090277174 - Generator | 1 |
Joseph Hannah | GB | Hardwick | 2013-07-04 / 20130170322 - SYSTEMS AND METHODS FOR MARINE ANTI-FOULING | 2 |
John Christopher Hannah | US | Wilmington | 2016-04-07 / 20160099083 - FAST FLUX SHIELD AND METHOD OF REDUCING FAST NEUTRON FLUENCE AT A CORE SHROUD OF A BOILING WATER REACTOR USING THE SAME | 2 |
Gregory L. Hannah | US | San Antonio | 2013-01-24 / 20130022396 - Self-Fronting Spring Assembly for a Traffic Delineator | 2 |
Gary R. Hannah | US | Lenexa | 2011-01-20 / 20110011177 - LIQUID LEVEL SENSOR FOR GALLEY INSERTS | 1 |
Steven Hannah | US | Chesterfield | 2011-07-28 / 20110183870 - GENE EXPRESSION PROFILES ASSOCIATED WITH LEAN PHENOTYPE AND USES THEREOF | 1 |
John Hannah | US | Wilmington | 2009-11-05 / 20090272920 - SYSTEMS AND METHODS FOR STORAGE AND PROCESSING OF RADIOISOTOPES | 1 |
Daniel L. Hannahs | US | Houston | 2010-02-18 / 20100037675 - Hardband Wear Testing System and Method | 1 |
Michael G. Hanna, Jr. | US | Seneca | 2015-04-02 / 20150093416 - AUTOLOGOUS TUMOR VACCINES AND METHODS | 1 |
Thor M. Hanna, Jr. | US | Memphis | 2009-10-29 / 20090266728 - MEDICAL DEVICE TRACKING SYSTEM WITH TRAY AND METHOD | 1 |
Robert W. Hanna, Jr. | US | Waterford | 2009-02-12 / 20090038134 - Bushing replacement kit | 1 |
David A. Hanna, Jr. | US | Bel Air | 2014-11-13 / 20140337921 - SECURITY AND ACCESS SYSTEM BASED ON MULTI-DIMENSIONAL LOCATION CHARACTERISTICS | 2 |
Robert Hannam | AU | Queensland | 2010-03-04 / 20100050662 - Workstation System | 1 |
Joanne Clare Hannam | GB | Sandwich | 2010-01-14 / 20100010093 - ANTIPARASITIC AGENTS | 1 |
Joanne Clare Hannam | GB | Canterbury | 2010-02-25 / 20100048623 - PIPERIDINES AND RELATED COMPOUNDS FOR THE TREATMENT OF ALZHEIMER'S DISEASE | 2 |
Jason Hannam | US | Katy | 2012-02-16 / 20120037361 - ARRANGEMENT AND METHOD FOR DETECTING FLUID INFLUX AND/OR LOSS IN A WELL BORE | 1 |
Gerald Anthony Hannam | US | Loveland | 2013-08-08 / 20130200971 - SPLIT-CORE CURRENT TRANSFORMER | 1 |
John Hannam | US | Broken Arrow | 2015-04-23 / 20150112137 - Endoscope Coupler | 1 |
Steve Hannam | GB | North Hykeham, Lincoln | 2015-11-12 / 20150322818 - VANE SEGMENT FOR A GAS TURBINE COATED WITH A MCRALY COATING AND TBC PATCHES | 1 |
Amy C. Hannaman | US | Jamesville | 2016-05-05 / 20160124756 - KEYBOARD-ACCESSIBLE CALENDAR FOR INDIVIDUAL WITH DISABILITIES | 1 |
Andrew W. Hannaman | US | San Diego | 2012-11-01 / 20120277661 - METHOD AND APPARATUS FOR DELIVERY OF THERAPEUTIC AGENTS | 3 |
Bruce W. Hannan | US | Maryville | 2012-09-13 / 20120228510 - SHIFTING SCINTILLATOR NEUTRON DETECTOR | 1 |
Dermot Hannan | IE | Dundalk | 2013-02-07 / 20130036365 - System and Method for Effecting Simultaneous Control of Remote Computers | 2 |
Masud Hannan | US | Hillsboro | 2015-09-10 / 20150255988 - CAPACITIVE ELEMENT COUPLING IN WIRELESS POWER | 1 |
James Hannan | AU | Wahroonga | 2015-02-26 / 20150052713 - DECORATIVE DEVICE, SYSTEM AND PROCESS THEREOF | 1 |
Geoffrey Hannan | US | Grand Chain | 2009-01-01 / 20090004340 - Method and apparatus for combining cookie dough and ice cream | 1 |
Douglas Michael Hannan | US | Minneapolis | 2012-01-05 / 20120004694 - THERAPY CIRCUIT PROTECTION FOR IMPLANTABLE MEDICAL DEVICE | 1 |
Kevin Michael Hannan | US | San Mateo | 2015-03-19 / 20150081704 - METHODS AND APPARATUS TO OBTAIN ANONYMOUS AUDIENCE MEASUREMENT DATA FROM NETWORK SERVER DATA FOR PARTICULAR DEMOGRAPHIC AND USAGE PROFILES | 3 |
Ariful Hannan | US | Sterling | 2014-06-19 / 20140171105 - Enhanced Cell ID Location Method Using Non Uniform Subsectors and Neighboring Cell Centroid | 10 |
Kerry L. Hannan | US | Sunnyside | 2009-05-14 / 20090125371 - Domain-Specific Sentiment Classification | 1 |
John Joseph Hannan | US | San Diego | 2010-06-24 / 20100157406 - SYSTEM AND METHOD FOR MATCHING LIGHT SOURCE EMISSION TO DISPLAY ELEMENT REFLECTIVITY | 1 |
Erich Hannan | US | Bozeman | 2010-02-18 / 20100042694 - METHOD AND SYSTEM FOR SENDING BULK ELECTRONIC MESSAGES | 1 |
Joseph P. Hannan | US | Snoqualmie | 2012-06-14 / 20120149325 - Location services gateway server | 1 |
Kevin Hannan | US | Lisle | 2010-01-14 / 20100009671 - AUTOMATIC DATA CAPTURE WHEN WIRELESS SERVICE DISRUPTION IS DETECTED | 1 |
Robin E. Hannan | US | Romeoville | 2009-10-01 / 20090242453 - MEDICATION CART DRAWER LINER AND METHOD FOR USING SAME TO REDUCE NOSOCOMIAL INFECTIONS | 1 |
Kerry Hannan | US | Sunnyside | 2012-05-24 / 20120131021 - Phrase Based Snippet Generation | 2 |
Matthew Lawrence Hannan | GB | Hertfordshire | 2009-05-14 / 20090124585 - Novel Crystalline Pharmaceutical Product | 1 |
Daniel L. Hannan | US | Westlake | 2008-09-11 / 20080217911 - ONE-PIECE ELBOW HYDRAULIC FITTING DESIGNED FOR ELECTRIC ARC STUD WELDING | 1 |
Richard G. Hannan | US | San Jose | 2008-09-18 / 20080228872 - SYSTEM AND METHOD FOR PROCESSING TRANSACTIONS IN A MULTISYSTEM DATABASE ENVIRONMENT | 1 |
Jimmy Hannan | US | San Diego | 2016-02-04 / 20160036123 - Position Adjuster for Millimeter Wave Antenna | 2 |
Joseph Hannan | US | Snoqualmie | 2013-12-26 / 20130344865 - RLP Router | 3 |
Matthew Lawrence Hannan | GB | Stevenage | 2012-02-23 / 20120046258 - NOVEL CRYSTALLINE PHARMACEUTICAL PRODUCT | 1 |
Chris Hannan | US | Los Angeles | 2009-07-23 / 20090187850 - SYSTEM AND METHOD FOR MULTI-SCREEN EXPERIENCE | 2 |
Scott Hannan | US | Los Altos | 2013-08-22 / 20130218683 - Location Event Advertising | 1 |
James Hannan | US | Lufkin | 2008-11-27 / 20080289279 - Sheathing/Weather Resistive Barrier Method and System | 1 |
Scott Hannan | US | 2012-05-17 / 20120123867 - Location Event Advertising | 1 | |
Peter J. Hannan | US | Austin | 2013-01-10 / 20130009697 - PIPELINE POWER GATING | 1 |
Ariful Hannan | US | Sterling | 2014-06-19 / 20140171105 - Enhanced Cell ID Location Method Using Non Uniform Subsectors and Neighboring Cell Centroid | 10 |
Robert Laurence Hannan | US | Pinecrest | 2015-01-22 / 20150021878 - PORTABLE CARDIOPULMONARY SUPPORT CART SYSTEMS | 1 |
Daniel L. Hannan | US | North Olmsted | 2011-05-26 / 20110120205 - ONE-PIECE ELBOW HYDRAULIC FITTING DESIGNED FOR ELECTRIC ARC STUD WELDING AND PROCESS FOR PRODUCING THE SAME | 1 |
Karl E. Hannan | US | Pittsfield | 2015-01-15 / 20150013201 - RIFLE DRY-FIRE APPARATUS AND METHOD | 1 |
Jonathan P. Hannan | GB | Northern Ireland | 2012-05-03 / 20120108492 - LIGAND-SPECIFIC NON-ANTIBODY COMPOUNDS THAT INHIBIT CR2 ACTIVATION AND METHODS OF USE THEREOF | 1 |
Masud Hannan | US | Portland | 2013-12-19 / 20130335169 - Optimal Acoustic Impedance Materials for Polished Substrate Coating to Suppress Passband Ripple in BAW Resonators and Filters | 2 |
Kerry L. Hannan | US | 2011-10-13 / 20110252036 - Domain-Specific Sentiment Classification | 1 | |
Brent Hannan | US | Maple Grove | 2014-07-03 / 20140182971 - Boat Lift Step Assembly | 1 |
Joe Hannan | US | Snoqualmie | 2016-01-07 / 20160006881 - Location Services Agent | 2 |
John Francis Hannan | IE | Enniscrone | 2014-06-12 / 20140163497 - POUCH FOR COLLECTING LIQUID EXCRETIONS | 1 |
Pete J. Hannan | US | Austin | 2012-06-28 / 20120167030 - METHOD AND APPARATUS FOR ADDRESSING AND IMPROVING HOLDS IN LOGIC NETWORKS | 2 |
Raquib Hannan | US | East Meadow | 2016-03-24 / 20160083475 - PANCREATIC CANCER ASSOCIATED ANTIGEN, ANTIBODY THERETO, AND DIAGNOSTIC AND TREATMENT METHODS | 1 |
Nicholas Hannan | GB | Cambridge | 2013-06-20 / 20130156743 - VITRO HEPATIC DIFFERENTIATION | 1 |
John R. Hannan | US | Carmichael | 2014-03-13 / 20140069881 - Kayak Retainer | 2 |
John J. Hannan | US | San Diego | 2014-02-13 / 20140044307 - SENSOR INPUT RECORDING AND TRANSLATION INTO HUMAN LINGUISTIC FORM | 1 |
Curtis A. Hannan | US | Romeoville | 2009-10-01 / 20090242453 - MEDICATION CART DRAWER LINER AND METHOD FOR USING SAME TO REDUCE NOSOCOMIAL INFECTIONS | 1 |
Kevin Hannan | US | San Mateo | 2011-02-17 / 20110038468 - METHOD AND SYSTEM FOR MEASURING MARKET-SHARE FOR AN ENTIRE TELECOMMUNICATION MARKET | 2 |
Robert L. Hannan | US | Pinecrest | 2011-02-17 / 20110040229 - EXTRACORPOREAL BLOOD CIRCUIT FOR CARDIOPULMONARY BYPASS | 1 |
John F. Hannan | IE | Enniscrone | 2015-01-22 / 20150025483 - VALVE FOR OSTOMY POUCH | 2 |
Ariful Hannan | US | Potomac Falls | 2011-01-27 / 20110019607 - System and Method for Detection of Mobile Operating Through A Repeater | 1 |
Jonathan P. Hannan | US | Arvada | 2013-07-25 / 20130190477 - COMPLEMENT RECEPTOR 2 (CR2) TARGETING GROUPS | 1 |
Patty Hannan | US | Rockwall | 2011-01-06 / 20110003274 - Educational System for Directionality Enhancement | 1 |
Paul M. Hannan | US | Pittsburgh | 2014-12-04 / 20140352507 - CLAMPING DEVICE FOR A COILED METAL WEB CUTTER | 1 |
Kambiz Hannani | US | Los Angeles | 2015-08-13 / 20150227495 - METHOD AND SOFTWARE PROGRAM PRODUCT FOR ORGANIZING BOOKMARKS IN PDF AND OTHER DOCUMENTS | 1 |
Kambiz Hannani | US | West Covina | 2010-11-11 / 20100286695 - METHODS AND SYSTEMS FOR MINIMALLY INVASIVE LATERAL DECOMPRESSION | 1 |
Matthew Hannant | GB | London | 2009-12-24 / 20090318829 - SAMPLE COLLECTION AND TESTING DEVICE WITH PIVOT ARM | 2 |
Matthew Hannant | US | 2011-05-19 / 20110118645 - Wound Dressing Applicator | 1 | |
Bruce Hannant | GB | Battersea | 2014-09-11 / 20140255559 - Confection Piece Shape | 1 |
Mohamad Hannaoui | US | Concord | 2014-04-17 / 20140106703 - METHODS AND APPARATUS TO ASSOCIATE A MOBILE DEVICE WITH A PANELIST PROFILE | 3 |
Eric D. Hannapel | US | Middleville | 2015-07-30 / 20150209120 - Orthodontic Protection Device | 3 |
Ewald Hannappel | DE | Uttenreuth | 2010-08-26 / 20100215583 - CELL NUCLEUS-ENTERING COMPOSITIONS | 2 |
Ulich Stephan Hannappel | US | Slater | 2015-07-09 / 20150191771 - EMBRYO SAMPLING METHOD | 1 |
Ulrich Stephan Hannappel | US | Slater | 2016-04-28 / 20160115472 - NON-DISRUPTIVE DNA ISOLATION FROM CORN SEEDS | 1 |
Ulrich Hannappel | US | Slater | 2014-11-13 / 20140336372 - DNA EXTRACTION FROM SEEDS USING OSMOTICUM | 2 |
Loubna Hannati | FR | Roquefort Les Pins | 2016-03-17 / 20160078927 - DESIGN-FOR-TEST APPARATUSES AND TECHNIQUES | 2 |
Haluk Hannavi | US | Lodi | 2009-05-14 / 20090120894 - Multifunctional bottle cap | 1 |
Wael M. Hannawa | US | West Bloomfield | 2015-12-10 / 20150354765 - LIGHTING ASSEMBLY | 1 |
Wael M. Hannawa | US | Auburn Hills | 2015-09-03 / 20150248804 - REVERSE VENDING MACHINE INCORPORATING A METHOD OF CLEANING THEREIN | 1 |
William J. Hannaway | US | Webster | 2016-05-05 / 20160120808 - 3D Printing of Digestible Shells For Medicaments | 12 |
Neil Hannay | GB | Yeovil | 2014-05-08 / 20140124457 - Methods For Treating Liquid Waste With High Purity Oxygen | 2 |
Richard Charles Hannay | US | Conroe | 2012-10-04 / 20120250010 - Aerial Inspection System(s) and Method(s) | 2 |
Richard C. Hannay | US | Conroe | 2009-10-29 / 20090266026 - Method For Repairing A Utility Pole In Place | 1 |
Gwynne Hannay | AU | Queensland | 2015-09-03 / 20150245929 - HELICAL ARM TIE DOWN | 2 |
Mike Hannay | DE | Wachtberg-Villiprott | 2012-08-23 / 20120215185 - TRANSDERMAL DELIVERY SYSTEM FOR THE ADMINISTRATION OF ROTIGOTINE | 1 |
G. Friedrich Hanne | DE | Munster | 2012-09-06 / 20120223294 - SPIN FILTER DEVICE, METHOD FOR ITS MANUFACTURE AND ITS USE | 1 |
Nicholas Jean Hanne | US | Walnut Creek | 2016-01-28 / 20160027123 - AUTOMATIC TAGGING OF TRIAL BALANCE | 1 |
Kari A. Hanne | FI | Kangasala | 2012-01-26 / 20120018043 - FELLER DEVICE FOR FELLING AND DELIMBING OF TREE TRUNKS, AND A DELIMBING BLADE | 2 |
Kari Hanne | FI | Kangasala | 2015-02-19 / 20150047743 - HARVESTER HEAD AND A MEASURING WHEEL APPARATUS FOR A HARVESTER HEAD | 2 |
Hiroshi Hanne | JP | Tsukubamirai-Shi | 2014-07-03 / 20140183858 - PIPE JOINT | 1 |
Jeungphill Hanne | KR | Paju | 2010-06-24 / 20100157208 - Liquid crystal display device having wide viewing angle | 1 |
Hiroshi Hanne | JP | Ibaraki | 2011-03-24 / 20110068573 - PIPE JOINT | 2 |
Robert Hanne | US | Auburn | 2009-08-20 / 20090209044 - Fluid Sample Collection System and Method | 2 |
Takashi Hanne | JP | Kanagawa | 2015-03-19 / 20150079368 - LAMINATE MATERIAL | 1 |
Bernd Hannebauer | DE | Mühlheim | 2015-10-15 / 20150290633 - ASYMMETRICAL BISPHOSPHITE | 1 |
Robert Hannebauer | CA | Vancouver | 2012-03-15 / 20120061572 - SEMICONDUCTOR FOR SENSING INFRARED RADIATION AND METHOD THEREOF | 7 |
Markus Hannebauer | DE | Berlin | 2013-08-01 / 20130194297 - METHOD OF SOLVING PAGE LAYOUT CONSTRAINTS | 1 |
James Bruce Hannebauer | CA | Salmon Arm | 2009-03-26 / 20090081018 - CONTINUOUS BREAKDOWN HOISTS | 1 |
Bernd Hannebauer | DE | Muehlheim | 2015-10-15 / 20150290633 - ASYMMETRICAL BISPHOSPHITE | 4 |
Markus Oliver Hannebauer | DE | Berlin | 2011-08-04 / 20110191672 - METHOD, COMPUTER READABLE STORAGE MEDIUM AND COMPUTER SYSTEM FOR EFFICIENT AGENDA DRAFTING, SYNCHRONIZATION AND DISPLAY | 1 |
Robert Steven Hannebauer | CA | Vancouver | 2010-12-23 / 20100323468 - METHOD OF FABRICATING IMAGE SENSOR PHOTODIODES USING A MULTI-LAYER SUBSTRATE AND CONTACT METHOD AND THE STRUCTURE THEREOF | 2 |
Reed Hannebaum | US | Mount Vernon | 2013-05-16 / 20130124110 - IN-PROCESS VISION DETECTION OF FLAW AND FOD CHARACTERISTICS | 9 |
Reed Hannebaum | US | Belleville | 2012-12-27 / 20120328159 - SYSTEM AND METHOD FOR DETERMINING CUMULATIVE TOW GAP WIDTH | 7 |
Reed Hannebaum | US | Mount Vernon | 2013-05-16 / 20130124110 - IN-PROCESS VISION DETECTION OF FLAW AND FOD CHARACTERISTICS | 9 |
Baudouin Hannecart | BE | La Roche-En-Ardenne | 2010-11-04 / 20100279373 - DEVICE AND PROCESS FOR TREATING A LIQUID MEDIUM | 1 |
Don M. Hannegan | US | Fort Smith | 2015-11-19 / 20150330205 - MARINE DIVERTER SYSTEM WITH REAL TIME KICK OR LOSS DETECTION | 22 |
Don M. Hannegan | US | Fort Smith | 2015-11-19 / 20150330205 - MARINE DIVERTER SYSTEM WITH REAL TIME KICK OR LOSS DETECTION | 22 |
Don M. Hannegan | US | Forth Smith | 2011-12-29 / 20110315404 - Lubricating Seal for Use with a Tubular | 1 |
Clifford Hannel | US | Thousand Oaks | 2015-04-09 / 20150100693 - REAL WORLD TRAFFIC | 5 |
Clifford L. Hannel | US | Westlake Village | 2012-08-02 / 20120198232 - GENERALIZED POLICY SERVER | 2 |
Michael Hanneman | ZA | Nigel | 2014-04-03 / 20140093600 - PRODUCTION OF ZINC DUST | 2 |
Jeffrey Evan Hanneman | US | Kirkland | 2013-09-19 / 20130239835 - METHOD AND SYSTEM FOR ELECTRONICALLY SHAPING DETONATED CHARGES | 2 |
Jeffrey Evan Hanneman | US | Woodinville | 2015-10-22 / 20150300787 - Method and System for Electronically Shaping Detonated Charges | 1 |
John C. Hanneman | US | Shakopee | 2015-07-23 / 20150201964 - INTEGRATED UTERINE MANIPULATOR AND SENSOR AND METHOD | 2 |
Jeffrey E. Hanneman | US | Kirkland | 2012-09-13 / 20120233109 - USE OF ASSOCIATIVE MEMORY TO PREDICT MISSION OUTCOMES AND EVENTS | 4 |
Charles Keith Hanneman | US | Olathe | 2010-11-18 / 20100291893 - SYSTEM AND METHOD FOR ENHANCING SENSITIVITY OF NARROW INFORMATION BANDWIDTH RECEIVERS | 2 |
Edward Hanneman | CA | Verdun | 2008-10-02 / 20080241319 - Novel protein layering masses, processes for the manufacture thereof, and related products | 1 |
David Hanneman | US | Olathe | 2009-11-19 / 20090286579 - Intelligent Wireless Device Indicator | 1 |
Gregory Alan Hanneman | US | Pittsburgh | 2011-12-15 / 20110307245 - WORD ALIGNMENT METHOD AND SYSTEM FOR IMPROVED VOCABULARY COVERAGE IN STATISTICAL MACHINE TRANSLATION | 1 |
Nick Hanneman | US | Tres Pinos | 2009-05-07 / 20090113899 - Systems and Methods for Ultra Low Temperature Storage | 1 |
Raymond J. Hanneman, Jr. | US | New Berlin | 2012-01-19 / 20120014642 - Transparent Optical Switch | 1 |
Frank Hannemann | DE | Freiberg | 2015-09-10 / 20150252274 - ENTRAINED FLOW GASIFIER HAVING AN INTEGRATED INTERMEDIATE TEMPERATURE PLASMA | 19 |
Michael Hannemann | US | Toms River | 2016-01-07 / 20160001201 - CONSTRUCTION SITE WATER TREATMENT SYSTEM AND METHODS | 2 |
William Robert Hannemann | US | Ocean | 2016-01-07 / 20160001201 - CONSTRUCTION SITE WATER TREATMENT SYSTEM AND METHODS | 1 |
Ronny Hannemann | DE | Buckenhof | 2013-09-05 / 20130230182 - METHOD OF ADJUSTING A HEARING APPARATUS WITH THE AID OF THE SENSORY MEMORY | 4 |
William Robert Hannemann | US | Ocean Gate | 2015-01-22 / 20150021250 - APPARATUS, METHODS, AND SYSTEM FOR TREATMENT OF STORMWATER AND WASTE FLUIDS | 3 |
Frank Hannemann | DE | Freiberg | 2015-09-10 / 20150252274 - ENTRAINED FLOW GASIFIER HAVING AN INTEGRATED INTERMEDIATE TEMPERATURE PLASMA | 19 |
Thilo Hannemann | DE | Erlangen | 2016-03-17 / 20160074004 - POSITIONING OF AN EXAMINATION TABLE RELATIVE TO A MEDICAL IMAGING APPARATUS | 12 |
Frank Hannemann | DE | Buckendorf | 2012-07-05 / 20120167583 - Method for Starting a Burner | 3 |
Dirk Hannemann | DE | Hildesheim | 2012-02-09 / 20120035821 - Method and device for evaluating a condition of a chassis of a vehicle | 1 |
Stefan Hannemann | DE | Munich | 2013-04-18 / 20130092477 - PALLET STOPS FOR LIFT TRUCKS | 1 |
Stefan Hannemann | DE | Mannheim | 2014-06-05 / 20140155257 - CATALYST FROM FLAME-SPRAY PYROLYSIS AND CATALYST FOR AUTOTHERMAL PROPANE DEHYDROGENATION | 4 |
Thilo Hannemann | DE | Erlangen | 2016-03-17 / 20160074004 - POSITIONING OF AN EXAMINATION TABLE RELATIVE TO A MEDICAL IMAGING APPARATUS | 12 |
Jan Hannemann | DE | Rostock | 2013-10-24 / 20130282104 - IMPLANT AND METHOD FOR PRODUCING THE SAME | 1 |
Frank Hannemann | DE | Saarbruecken | / - | 1 |
Christopher Hannemann | US | Berkeley | 2016-04-07 / 20160099398 - THERMOELECTRIC GENERATORS FOR RECOVERING WASTE HEAT FROM ENGINE EXHAUST, AND METHODS OF MAKING AND USING SAME | 3 |
Frank Hannemann | DE | Hamburg | 2016-05-05 / 20160121590 - METHOD FOR ADHESIVE BONDING BY MEANS OF HEAT-ACTIVATABLE ADHESIVE COMPOUNDS | 7 |
Jens Hannemann | US | Lexington | 2010-04-22 / 20100098274 - METHOD AND SYSTEM FOR CREATING THREE-DIMENSIONAL SPATIAL AUDIO | 1 |
Gerardus Everardus Marie Hannen | NL | Eindhoven | 2010-10-14 / 20100262130 - APPARATUS, A METHOD AND A COMPUTER PROGRAM FOR APPLYING ENERGY TO AN OBJECT | 1 |
Reiner Hannen | DE | Kalkar-Wissel | 2015-12-24 / 20150367976 - OPENING APPARATUS AND METHOD FOR OPENING A GUSSETED TUBE WHICH IS FED IN, IN PARTICULAR FROM A TUBE SUPPLY, IN A STATE, IN WHICH IT IS FOLDED TOGETHER FLATLY | 5 |
Peter Hannen | DE | Recklinghausen | 2011-01-20 / 20110015434 - METHOD FOR PRODUCING DODECA-2,10-DIENE-1,12-DICARBOXYLIC ACID OR 1,12-DODECANE-DICARBOXYLIC ACID BY WAY OF RING-OPENING CROSS METATHESIS (ROCM) OF CYCLOOCTENE WITH ACRYLIC ACID | 2 |
Timothy J. Hannen | US | Linden | 2015-07-09 / 20150191575 - FIBER REINFORCED CELLULAR PVC | 2 |
Reiner Hannen | DE | Wissel | 2011-10-27 / 20110258973 - METHOD AND DEVICE FOR DRAWING TUBULAR FILM OR A FILM COVER OVER A STACK OF GOODS | 1 |
Matthew Hannen | US | San Diego | 2014-11-20 / 20140343617 - UNIPLANAR SCREW ASSEMBLY AND METHODS OF USE | 1 |
Matthew Hannen | US | Boston | 2009-02-26 / 20090054902 - TETHER TENSIONING INSTRUMENT | 1 |
Lynne Cheryl Hannen | US | West Chester | 2015-06-25 / 20150176219 - Sanitary Tissue Products Comprising a Surface Pattern | 2 |
Mark D. Hannen | US | Scottsdale | 2015-12-31 / 20150374146 - DEVICE WITH A NUMBER OF STATIONS FOR DISPLAYING A NUMBER OF CONTAINERS | 2 |
Mathew Hannen | US | Charlestown | 2015-02-05 / 20150039036 - LOW PROFILE SPINAL TETHERING DEVICES | 3 |
Gerardus Everardus Marie Hannen | NL | Echt | 2009-02-05 / 20090033891 - Lithographic apparatus and device manufacturing method | 1 |
Gerardus Everadus Marie Hannen | NL | Echt | 2010-12-16 / 20100315610 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Peter Hannen | DE | Herten | 2014-09-04 / 20140249331 - PROCESS FOR PREPARING KETONES FROM EPOXIDES | 7 |
Gert Hanner | SE | Hoganas-Mjohult | 2014-01-09 / 20140012196 - Dual Chamber Syringe With Retractable Needle | 3 |
Christian Thomas Hanner | SE | Solna | 2009-09-03 / 20090221298 - WIRELESS COMMUNICATION TERMINALS AND METHODS THAT DISPLAY RELATIVE DIRECTION AND DISTANCE THEREBETWEEN RESPONSIVE TO ACCELERATION DATA | 1 |
Roger Dale Hanner | US | Poplar Bluff | 2013-10-31 / 20130284538 - STABILIZED, COLLAPSIBLE PORTABLE SUPPORT PLATFORM | 1 |
James M. Hanner | US | Amado | 2015-08-20 / 20150232125 - PARKING ASSISTANCE SYSTEM | 1 |
Markus Hanner | AT | Pressbaum | 2015-09-10 / 20150250865 - MUTANT FRAGMENTS OF OspA AND METHODS AND USES RELATING THERETO | 17 |
Gert Hanner | SE | Mjöhult | 2010-12-23 / 20100320207 - RESEALABLE CONTAINER | 1 |
Markus Hanner | AT | Vienna | 2011-10-06 / 20110243978 - ENTEROCOCCUS ANTIGENS | 4 |
Brian D. Hanner | US | Campbell | 2013-08-29 / 20130226855 - GRAPH GENERATION METHOD FOR GRAPH-BASED SEARCH | 2 |
Markus Hanner | AT | Pressbaum | 2015-09-10 / 20150250865 - MUTANT FRAGMENTS OF OspA AND METHODS AND USES RELATING THERETO | 17 |
Gert Hanner | SE | Hoganas | 2011-03-03 / 20110047836 - IRON HOLDER | 1 |
Gert Hanner | SE | Mjöhult | 2014-06-05 / 20140150911 - Infusion Adapter for Drug Transfer Assembly | 2 |
Michael Hanner | CA | Kanata | 2010-06-17 / 20100153907 - Configurable Unified Modeling Language Building Blocks | 2 |
Kent Lee Hanners | US | St. Charles | 2015-12-17 / 20150359358 - PRODUCT MERCHANDISING SYSTEM | 1 |
Kent Hanners | US | St. Charles | 2010-10-07 / 20100252519 - GLIDE SYSTEM WITH ADJUSTABLE DIVIDERS AND MODULAR FLOOR MEMBERS | 1 |
Jennifer Hanners | US | Lubbock | 2014-07-10 / 20140191060 - Flow Limiting Drinking Straw | 1 |
Jennifer Robin Hanners | US | Lubbock | 2014-10-09 / 20140302471 - System and Method for Controlling Gaming Technology, Musical Instruments and Environmental Settings Via Detection of Neuromuscular Activity | 1 |
Karolina Elisabet Hannersjö | SE | Roberstsfors | 2011-04-14 / 20110083907 - POLYCRYSTALLINE DIAMOND | 1 |
Karolina Elisabet Hannersjö | SE | Roberstsfors | 2011-04-14 / 20110083907 - POLYCRYSTALLINE DIAMOND | 1 |
Karolina Hannersjö | SE | Robertsfors | 2016-03-10 / 20160068444 - COMPOSITE MATERIAL, ARTICLES COMPRISING SAME AND METHOD FOR MAKING SAME | 2 |
Femke Hannes | BE | Tervuren | 2015-09-03 / 20150247184 - HIGH-THROUGHPUT GENOTYPING BY SEQUENCING LOW AMOUNTS OF GENETIC MATERIAL | 1 |
Dirk Hannes | NL | Ag Noordwijk | 2011-02-10 / 20110033006 - METHOD FOR COMPENSATING SIGNAL DISTORTION IN AN EMITTING PAYLOAD | 1 |
Martin Hannes | US | Washington | 2014-10-30 / 20140325541 - System and Method to Integrate and Connect Friends Viewing Video Programming and Entertainment Services Contemporaneously on Different Televisions and Other Devices | 1 |
Ralf Hannes | DE | Dortmund | 2015-10-22 / 20150297252 - DEVICE FOR THE REMOVAL OF THROMBI | 18 |
Raymond Jozef Hannes | NL | Helmond | 2011-03-03 / 20110052524 - VERTEBROPLASTY METHOD USING AN ADDITION CURABLE POLYSILOXANE SYSTEM | 1 |
Benjamin Hannes | FR | Chaponost | 2016-03-10 / 20160066817 - SYSTEM AND DEVICE FOR MEASURING THE RATE OF FLOW OF AN EXHALED OR INHALED AIRFLOW | 1 |
Christian Hannes | DE | Wangen | 2013-05-02 / 20130105029 - Method and Apparatus for Weaving Pattern Formation in Woven Fabrics with Additional Weft Effects | 1 |
Ralf Hannes | DE | Dortmund | 2015-10-22 / 20150297252 - DEVICE FOR THE REMOVAL OF THROMBI | 18 |
Martin Roy Hannes | US | Washington | 2014-03-27 / 20140089446 - ADVANCED CLOUD COMPUTING DEVICE FOR THE CONTROL OF MEDIA, TELEVISION AND COMMUNICATIONS SERVICES | 1 |
Uwe Hannesen | FR | Bonneval | 2008-09-25 / 20080233448 - Coolant Reservoir Purge System for Fuel Cell Systems and Vehicles | 1 |
Ronald Hannesen | DE | Dusseldorf | 2010-12-16 / 20100315432 - METHOD FOR DETERMINING COMPOUND DATA OF WEATHER RADARS IN AN OVERLAPPING REGION OF THE MONITORING REGIONS OF AT LEAST TWO WEATHER RADARS | 2 |
Uwe Hannesen | CH | Schupfen | 2014-07-31 / 20140212777 - FUEL CELL SYSTEM COMPRISING AN EJECTOR FOR RECIRCULATING OFF-GAS FROM A STACK | 3 |
Uwe Hannesen | CH | Schuepfen | 2011-12-01 / 20110294028 - FUEL CELL SYSTEM COMPRISING A HEAT EXCHANGER | 1 |
Scott Jeffrey Hanneson | CA | Mississauga | 2014-10-09 / 20140299000 - CAPSULE MACHINE AND COMPONENTS | 1 |
Louis N. Hannett | US | Schenectady | 2011-03-17 / 20110062708 - GENERATOR CONTROL HAVING POWER GRID COMMUNICATIONS | 1 |
Barbara Hanney | US | Pennsburg | 2014-10-16 / 20140309227 - QUINOLINE CARBOXAMIDE AND QUINOLINE CARBONITRILE DERIVATIVES AS mGluR2-NEGATIVE ALLOSTERIC MODULATORS, COMPOSITIONS, AND THEIR USE | 5 |
Dave Hanney | US | Waterville | 2012-10-11 / 20120255892 - MODULAR FILTER ASSEMBLY | 1 |
Mike Hanney | US | St. Petersburg | 2011-06-30 / 20110157915 - PDT Magnifier Camera Illumination | 1 |
Michael Hanney | GB | Berkshire | 2009-12-03 / 20090297787 - Method/Apparatus for Forming a Coated Optical Lens | 1 |
Richard J. Hanney | US | Alameda | 2014-09-11 / 20140255277 - MULTI-WELL ROTARY SYNTHESIZER | 4 |
Joseph B. Hanni | US | Cherry Hill | 2013-06-13 / 20130146622 - NOZZLE ASSEMBLY FOR A DISPENSING DEVICE | 3 |
Joseph Hanni | US | Cherry Hill | 2011-03-10 / 20110056996 - Power Tool Including Moveable Remote Trigger | 1 |
Taneli Hanni | FI | Oulu | 2014-07-03 / 20140184452 - ELECTRONIC DEVICE WITH INTEGRATED ANTENNA | 1 |
Tobias Hanni | CH | Luzern | 2014-10-02 / 20140292115 - STATOR FOR A HIGH-TEMPERATURE ELECTRIC MOTOR AND ELECTRIC MOTOR | 2 |
Eeva Hanni | FI | Espoo | 2012-04-19 / 20120090800 - COMPOSITE INTERMEDIATE, METHOD FOR FORMING SAME, AND USE OF THE COMPOSITE INTERMEDIATE | 1 |
Ross Bernard Hannibal | US | Saratoga | 2013-04-04 / 20130085798 - SYSTEMS AND METHODS FOR IMPLEMENTING MEDICAL WORKFLOW | 1 |
Matthew Hannibal | US | San Francisco | 2010-03-04 / 20100057140 - BONE ANCHOR FOR RECEIVING A ROD FOR STABILIZATION AND MOTION PRESERVATION SPINAL IMPLANTATION SYSTEM AND METHOD | 13 |
Matthew Hannibal | US | Concord | 2008-12-11 / 20080306514 - MULTI-DIRECTIONAL DEFLECTION PROFILE FOR A DYNAMIC STABILIZATION AND MOTION PRESERVATION SPINAL IMPLANTATION SYSTEM AND METHOD | 1 |
Ross B. Hannibal | US | Saratoga | 2013-04-04 / 20130085778 - ELECTRONIC MEDICAL CHART | 2 |
Ross Hannibal | US | Saratoga | 2015-10-01 / 20150273239 - MULTI LEVEL MULTILEAF COLLIMATOR LEAF TIP SHAPE EFFECTS AND PENUMBRA OPTIMIZATION | 1 |
Steven C. Hannibal | US | Buffalo Grove | 2010-09-16 / 20100232612 - On-Site, Custom Fitted Hearing Equalizer | 1 |
Bernhard Hannich | DE | Hahnbach | 2012-07-26 / 20120186955 - Electric Switch | 2 |
Manuel Hannich | DE | Regensburg | 2015-01-29 / 20150028135 - Lever Device and a Fuel Injection Valve | 2 |
Manuel Hannich | DE | Lappersdorf | 2010-01-28 / 20100019066 - INJECTION VALVE | 1 |
Iddo Hanniel | IL | Modiin | 2012-07-19 / 20120182297 - DIRECT RENDERING OF CAD MODELS ON THE GPU | 1 |
Paul Hanniffy | GB | Birmingham | 2011-10-06 / 20110240170 - DISPENSING SYSTEM AND METHOD OF CONTROLLING THE SYSTEM | 1 |
Sean Bosco Hanniffy | GB | Colney | 2009-03-19 / 20090074808 - Secreted Streptococcus Pneumoniae Proteins | 1 |
Paul Hanniffy | US | Louisville | 2016-02-18 / 20160045063 - BLENDER RINSE ASSEMBLY | 3 |
Hans-Jürgen Hannig | DE | Bergisch Gladbach | 2012-01-19 / 20120011796 - PANEL AND FASTENING SYSTEM FOR SUCH PANEL | 3 |
Sophia Hannig | DE | Aachen | 2015-05-14 / 20150128772 - ULTRASOUND-ASSISTED METHOD, ULTRASONIC OSCILLATION SYSTEM SUITABLE FOR CARRING OUT THE METHOD, AND SONOTRODE | 1 |
Hans-Juergen Hannig | DE | Gladbach | 2009-05-21 / 20090126308 - PANEL AND PANEL FASTENING SYSTEM | 1 |
Detlev Hannig | DE | Winterbach | 2012-07-26 / 20120191243 - ROBOT ARRANGEMENT, IN PARTICULAR IN A PAINTING BOOTH | 1 |
Hans-Juergen Hannig | DE | Bergisch Gladbach | 2015-06-25 / 20150176289 - LOCKING SYSTEM FOR PANELS, AND PANEL WITH LOCKING SYSTEM | 10 |
Hans-Jürgen Hannig | DE | Bergish Gladbach | 2011-06-16 / 20110138722 - FLOOR PANEL MADE OF PLASTIC HAVING MECHANICAL LOCKING EDGES | 1 |
Georg Hannig | DE | Marktredwitz | 2010-07-01 / 20100162733 - Linear Compressor With Preloaded Spring Piston Rod, and Refrigerating Machine | 1 |
Albrecht Hannig | DE | Eislingen | 2016-01-07 / 20160001372 - MACHINING PROCESS OF PINION SHAFTS ON LATHES | 1 |
Frithjof Hannig | DE | Dusseldorf | 2014-07-31 / 20140209171 - SOLAR MODULE AND PROCESS FOR PRODUCTION THEREOF | 5 |
Frithjof Hannig | DE | Duesseldorf | 2011-03-31 / 20110073676 - PRODUCTION OF A SOLIDS-CONTAINING PUR SPRAY JET | 2 |
Hans-Jürgen Hannig | DE | Bergisch Gladbach | 2016-05-19 / 20160136913 - METHOD FOR PRODUCING A DECORATED WALL OR FLOOR PANEL | 12 |
Hans-Jürgen Hannig | DE | Bergisch Gladbach | 2016-05-19 / 20160136913 - METHOD FOR PRODUCING A DECORATED WALL OR FLOOR PANEL | 12 |
Hans-Juergen Hannig | DE | Bergisch Gladbach | 2015-06-25 / 20150176289 - LOCKING SYSTEM FOR PANELS, AND PANEL WITH LOCKING SYSTEM | 10 |
Raymond R. Hannigan | US | San Antonio | 2015-06-04 / 20150150730 - NEGATIVE PRESSURE TREATMENT SYSTEM WITH HEATING AND COOLING PROVISION | 3 |
Gregory Hannigan | CA | Tornoto | 2012-07-12 / 20120177627 - UPREGULATION OF INTEGRIN LINKED KINASE (ILK) TO PROVIDE CARDIOPROTECTIVE EFFECTS | 2 |
Andy Hannigan | GB | Thatcham | 2016-04-21 / 20160111778 - Multiple-Input Multiple-Output Antenna System and Apparatus | 1 |
Russell Hannigan | US | Sammamish | 2016-05-19 / 20160142613 - DEVICES, METHODS, AND SYSTEMS FOR VISUAL IMAGING ARRAYS | 2 |
Brian C. Hannigan | US | Southington | 2011-12-22 / 20110313929 - SYSTEM AND METHOD FOR PROVIDING CONTROLLED ACCESS TO A FUNDS DISPENSING DEVICE FROM EXTERNAL PROCESSORS | 2 |
Gregory Hannigan | CA | Toronto | 2009-08-13 / 20090203769 - Modulation of the integrin-linked kinase signaling pathway provides beneficial human cardiac hypertrophy and post myocardial infarction remodeling | 2 |
Nolan Chase Hannigan | US | Lakewood | 2013-09-19 / 20130244942 - IMPLANTABLE MATERIALS FOR BONE REPAIR | 1 |
Robyn Hannigan | US | Jonesboro | 2012-05-31 / 20120135537 - DETECTION OF A COMPONENT OF INTEREST WITH AN ULTRAVIOLET LASER AND METHOD OF USING THE SAME | 4 |
Brett T. Hannigan | US | Menlo Park | 2012-05-10 / 20120114169 - CONTENT IDENTIFICATION AND ELECTRONIC TICKETS, COUPONS AND CREDITS | 2 |
David Hannigan | US | Cumming | 2009-08-13 / 20090205051 - SYSTEMS AND METHODS FOR SECURING DATA IN ELECTRONIC COMMUNICATIONS | 1 |
Robyn Hannigan | US | Quincy | 2016-02-11 / 20160042932 - PELTIER-COOLED CRYOGENIC LASER ABLATION CELL | 1 |
Ken Eugene Hannigan | US | Tucson | 2016-02-04 / 20160034366 - MANAGING BACKUP OPERATIONS FROM A CLIENT SYSTEM TO A PRIMARY SERVER AND SECONDARY SERVER | 3 |
Russell J. Hannigan | US | Sammamish | 2015-09-24 / 20150271876 - SYSTEMS AND METHODS FOR WARMING PLANTS | 10 |
Kenneth E. Hannigan | US | Tucson | 2011-02-24 / 20110047537 - Updating client node of computing system | 1 |
Benjamin Hannigan | US | Pittsburgh | 2015-08-27 / 20150239485 - LIGHTWEIGHT COLLAPSIBLE STROLLER | 1 |
Frank Hannigan | IE | Cork | 2013-04-11 / 20130091564 - SYSTEMS AND METHODS FOR MITIGATING THE UNAUTHORIZED USE OF A DEVICE | 15 |
Brett T. Hannigan | US | Philadelphia | 2011-06-23 / 20110150268 - Perceptual Modeling of Media Signals for Data Hiding | 13 |
Russell J. Hannigan | US | Sammamish | 2015-09-24 / 20150271876 - SYSTEMS AND METHODS FOR WARMING PLANTS | 10 |
Morgane Hannigsberg | FR | Saint Jean De Maurienne | 2015-10-29 / 20150306372 - ASSEMBLY FOR MEDICAL USE FOR ADMINISTERING A PRODUCT TO A PATIENT | 1 |
Ari Hannikainen | FI | Lempaala | 2013-04-18 / 20130097280 - SYSTEM AND ASSOCIATED TERMINAL, METHOD AND COMPUTER PROGRAM PRODUCT FOR UPLOADING CONTENT | 3 |
Teemu Hannikainen | FI | Pirkkala | 2013-11-28 / 20130313400 - MOVABLE PROCESSING APPARATUS FOR MINERAL MATERIAL PROCESSING AND FRAME FOR PROCESSING APPARATUS | 1 |
Marko Hannikainen | FI | Tampere | 2009-09-24 / 20090240948 - METHODS AND ARRANGMENTS FOR REALISING BETTING WITH OFF-LINE TERMINALS | 1 |
Taru Talvikki Hannikainen | NL | Heerenveen | 2010-10-21 / 20100263544 - SINGLE SERVE BEVERAGE MACHINE | 1 |
Sari Hannila | US | New York | 2011-05-05 / 20110107443 - NOVEL PROTEIN TRANSDUCTION DOMAINS DERIVED FROM SECRETORY LEUKOCYTE PROTEASE INHIBITOR | 1 |
Timo Juha Hanninen | FI | Tampere | 2012-09-13 / 20120233402 - Apparatus and Method for a Removable Memory Module | 1 |
Rami Hanninen | FI | Espoo | 2015-06-25 / 20150178248 - DELAYED RENDERING OF CONTENT | 8 |
Samuli Hanninen | FI | Nummela | 2014-07-03 / 20140185499 - METHOD AND APPARATUS FOR AD-HOC CONTENT SHARING | 1 |
Jouni Hanninen | FI | Kiviniemi | 2010-11-04 / 20100279734 - Multiprotocol Antenna For Wireless Systems | 1 |
Antti Hanninen | FI | Hameenlinna | 2014-04-24 / 20140110141 - Hammering Apparatus | 1 |
Rami Hanninen | FI | Espoo | 2015-06-25 / 20150178248 - DELAYED RENDERING OF CONTENT | 8 |
John Hanninen | US | Orland Park | 2014-07-03 / 20140188749 - METHOD FOR TRACKING A SHIPPING CONTAINER IN A FREIGHT YARD | 2 |
Hannu Hanninen | FI | Helsinki | 2014-07-31 / 20140209267 - ARC MELTING AND TILT CASTING APPARATUS | 1 |
Walter Hanning | DE | Detmold | 2013-03-21 / 20130072072 - MINIATURE SPRING CLAMP | 3 |
Stephanie Hanning | DE | Havixbeck | 2008-09-25 / 20080233652 - Set of Calibration Standards | 1 |
Jeffrey L. Hanning | US | Clovis | 2016-03-31 / 20160093179 - Alarm System for Passageways | 2 |
Silke Hanning | DE | Dülmen | 2016-05-05 / 20160122583 - Coating Material Compositions And Coatings Produced Therefrom Combining High Scratch Resistance With Good Polishability And Good Optical Properties, And Use Thereof | 1 |
Hans-Jürgen Hanning | DE | Bergisch Gladbach | 2015-09-17 / 20150258716 - METHOD FOR PRODUCING A DECORATED WALL OR FLOOR PANEL | 1 |
Guenther Hanning | DE | Detmold | 2015-10-08 / 20150288124 - SELF-ADJUSTING CRIMPING TOOL | 7 |
Steven Michael Hanning | US | Ben Lomond | 2016-04-14 / 20160103810 - INTRA-SURGICAL DOCUMENTATION SYSTEM | 1 |
Guenter Hanning | DE | Detmold | 2009-06-25 / 20090158900 - Insulation stripping tool | 4 |
Anders Hanning | SE | Sollentuna | 2014-11-27 / 20140350868 - METHOD FOR SENSOR CALIBRATION | 3 |
Michael E. Hannington | US | Madison | 2011-06-09 / 20110132531 - Adhesive Articles with Improved Air Egress and Methods of Making the Same | 6 |
Michael Hannington | US | Madison | 2015-07-02 / 20150185375 - High Reflectivity Open Bead Method and Material | 7 |
Jonathan Paul Hannington | GB | Rhondda Cynon Taff | 2015-10-22 / 20150298421 - COMPOSITE MATERIALS AND STRUCTURES | 2 |
Jonathan Paul Hannington | US | Midland | 2015-02-19 / 20150049267 - Optical Device | 5 |
Jonathan Hannington | US | Midland | 2009-05-07 / 20090115957 - Liquid crystal materials | 1 |
James C. Hannis | US | Vista | 2016-04-14 / 20160104610 - IONIZATION PROBE ASSEMBLIES | 16 |
James C. Hannis | US | Vista | 2016-04-14 / 20160104610 - IONIZATION PROBE ASSEMBLIES | 16 |
Jason Michael Paul Hannis | GB | Gloucestershire | 2009-05-14 / 20090120152 - RING ROLLING FROM METAL BLANKS | 1 |
James C. Hannis | US | Carlsbad | 2016-04-28 / 20160116379 - APPARATUSES FOR STERILELY DELIVERING FLUID | 2 |
Zachary T. Hannis | US | Carlsbad | 2016-04-28 / 20160116379 - APPARATUSES FOR STERILELY DELIVERING FLUID | 1 |
Greg Hannis | US | Ft. Lauderdale | 2014-11-20 / 20140344816 - SYSTEM AND METHOD FOR RUNNING PHP INSTANCES | 1 |
Greg Hannis | US | Pompano Beach | 2015-02-12 / 20150047032 - SYSTEM AND METHOD FOR COMPUTER SECURITY | 1 |
Olof Hannius | SE | Trollhattan | 2014-12-25 / 20140377719 - METHOD FOR TRAINING A PERSON WHILE OPERATING A VEHICLE | 2 |
Ronald Eugene Hannold | US | Charlotte | 2012-01-19 / 20120013132 - LOW EMISSIONS HYBRID VEHICLE | 2 |
Gregory J. Hannon | US | Huntington | 2015-02-26 / 20150057164 - HIGH THROUGHPUT METHODS FOR FUNCTIONALLY DETERMINING RNA INTERFERENCE EFFICIENCY | 21 |
Trevor M. Hannon | US | Hayward | 2014-12-18 / 20140371709 - DEVICE AND METHODS FOR TRANSVASCULAR TUMOR EMBOLIZATION WITH INTEGRATED FLOW REGULATION | 4 |
Joe Hannon | US | Lisle | 2013-09-26 / 20130254830 - APPARATUS AND METHOD FOR ASSURING COMMUNICATIONS OF CORPORATE USERS | 1 |
Stephanie K. Hannon | US | Alpharetta | 2014-08-07 / 20140222897 - Account Administration for Hosted Services | 1 |
Karen Denyse Hannon | US | Portage | 2011-06-09 / 20110131699 - Baby Wear | 1 |
John Hannon | IE | County Dublin | 2008-12-18 / 20080312968 - Electronic Payment System for Providing Regular Payment Services for Pre-Paid Mobile Phones | 1 |
Sarah Jane Hannon | IE | Quin | 2015-05-07 / 20150128052 - SYSTEMS AND METHODS FOR PROTECTING VIRTUALIZED ASSETS | 1 |
Robert Logan Hannon | AU | Normanhurst | 2013-04-25 / 20130102562 - INHIBITION OF CATHEPSIN K ACTIVITY AND THE TREATMENT AND PREVENTION OF DISEASE | 2 |
David Hannon | IE | Co. Mayo | 2009-09-03 / 20090221992 - Fluid Drainage Catheter Having an External Flow Path | 1 |
Dominic Bryan Hannon | GB | Dorset | 2008-09-11 / 20080217160 - Sonochemistry | 1 |
James B. Hannon | US | Lake Lincolndale | 2015-11-26 / 20150336800 - WAFER SCALE EPITAXIAL GRAPHENE TRANSFER | 11 |
Shane Montgomery Hannon | US | Bethesda | 2016-04-28 / 20160118084 - APPARATUS AND METHOD FOR CALCULATING AND VIRTUALLY DISPLAYING FOOTBALL STATISTICS | 1 |
Timothy Hannon | US | Carmel | 2016-01-07 / 20160005139 - METHOD FOR OPTIMIZING BLOOD UTILIZATION | 2 |
James B. Hannon | US | Yorktown Heights | 2011-03-31 / 20110073834 - ACTIVATION OF GRAPHENE BUFFER LAYERS ON SILICON CARBIDE BY ULTRA LOW TEMPERATURE OXIDATION | 2 |
Meaghan Reilly Hannon | US | Bethesda | 2016-04-28 / 20160118084 - APPARATUS AND METHOD FOR CALCULATING AND VIRTUALLY DISPLAYING FOOTBALL STATISTICS | 1 |
Micheal N. Hannon | US | Chardon | 2011-04-28 / 20110099075 - VEHICLE ADVERTISING SYSTEM | 1 |
Daniel P. Hannon | US | Ontario | 2011-03-17 / 20110063397 - THERMAL PRINTER WITH REDUCED DONOR ADHESION | 2 |
Timothy Patrick Hannon | US | Campbell | 2011-03-03 / 20110051787 - ELECTRONIC DEVICE INSTRUCTIONS PROVIDED USING RADIO SIGNALS | 3 |
Devin Francis Hannon | US | Bethesda | 2016-04-28 / 20160118084 - APPARATUS AND METHOD FOR CALCULATING AND VIRTUALLY DISPLAYING FOOTBALL STATISTICS | 1 |
Stephanie K. Hannon | US | San Francisco | 2015-11-12 / 20150326676 - Account Administration for Hosted Services | 1 |
Gregory E. Hannon | US | Landenberg | 2013-06-20 / 20130153119 - Stitchless Seam System For Joining Laminates | 3 |
Laurie A. Hannon | US | Hillsboro | 2011-01-13 / 20110010700 - VIRTUALIZATION OF CONFIGURATION SETTINGS | 2 |
Doug Hannon | US | Odessa | 2011-12-01 / 20110289818 - Fishing line guide system | 1 |
Timothy Hannon | US | Campbell | 2010-09-16 / 20100232625 - DETECTING AND PROCESSING BUTTON PRESS EVENTS FOR PERFORMING ELECTRONIC DEVICE OPERATIONS | 2 |
Belinda Hannon | US | Austin | 2014-09-18 / 20140264386 - PERFORMANCE ENHANCEMENT IN PMOS AND NMOS TRANSISTORS ON THE BASIS OF SILICON/CARBON MATERIAL | 3 |
John D. Hannon | US | Austin | 2011-11-03 / 20110271178 - CLIPBOARD TRANSFER OF FORMATTED NATIVE TEXTUAL CONTENT FROM ONE COMPUTER SYSTEM TO ANOTHER DIFFERENT COMPUTER SYSTEM | 2 |
Gregory Hannon | US | Huntington | 2009-07-30 / 20090193531 - Methods and compositions for RNA Interference | 1 |
Thomas Hannon | US | Milford | 2011-09-22 / 20110226150 - SPLIT RAIL TROLLEY SYSTEM | 2 |
John R. Hannon | US | Quincy | 2009-05-07 / 20090114384 - METHOD FOR EXTRACTION OF HYDROCARBON FUELS OR CONTAMINANTS USING ELECTRICAL ENERGY AND CRITICAL FLUIDS | 1 |
James Bowler Hannon | US | Mahopac | 2009-05-07 / 20090117277 - SELECTIVE PLACEMENT OF CARBON NANOTUBES ON OXIDE SURFACES | 1 |
Jacob Hannon | US | Payson | 2009-01-08 / 20090008171 - Energy Storage in an Elastic Vessel | 3 |
Terry Hannon | US | Morgan Hills | 2008-10-23 / 20080261382 - WAFER DICING USING A FIBER MOPA | 1 |
Kevin Mark Hannon | US | West Lafayette | 2014-12-25 / 20140377225 - METHODS OF IMPROVING BONE HEALTH AND MUSCLE HEALTH | 2 |
Jeremy Patrick Hannon | US | Milwaukee | 2013-01-10 / 20130010928 - SYSTEM FOR WIRELESS COMMUNICATION WITH MULTIPLE ANTENNAS IN A MEDICAL IMAGING SYSTEM | 7 |
Mark S. Hannon | US | South Lyon | 2015-05-14 / 20150128887 - TECHNIQUES FOR DESIGNING CUSTOM CONTOURED ROCKER ARM PADS AND CUSTOM CONTOURED CAMSHAFT LOBES | 1 |
Patrick Hannon | DE | Ulm | 2015-09-10 / 20150255083 - SPEECH ENHANCEMENT | 1 |
Jim Hannon | US | 2013-02-14 / 20130041909 - METHOD AND SYSTEM FOR DYNAMIC IDENTITY VALIDATION | 1 | |
Marwan Hannon | US | San Francisco | 2016-02-11 / 20160041019 - FLUID CONTROL SYSTEM | 6 |
James B. Hannon | US | Mahopac | 2012-06-14 / 20120145998 - Local Bottom Gates for Graphene and Carbon Nanotube Devices | 10 |
David Hannon | IE | Ballina | 2015-12-03 / 20150343171 - CATHETER ASSEMBLY HAVING PROTECTIVE SLEEVE TIP | 10 |
Fay Hannon | US | Poquoson | 2015-09-10 / 20150257247 - INJECTOR DESIGN USING COMBINED FUNCTION, MULTIPLE CAVITIES FOR SIX DIMENSIONAL PHASE SPACE PRESERVATION OF PARTICLE BUNCHES | 1 |
James B. Hannon | US | Lake Lincolndale | 2015-11-26 / 20150336800 - WAFER SCALE EPITAXIAL GRAPHENE TRANSFER | 11 |
Jim Hannon | IE | Co. Dublin | 2011-07-28 / 20110184863 - SMART ON-LINE FILING SYSTEM | 1 |
Jim Hannon | IE | Dublin | 2012-10-18 / 20120266219 - METHOD AND SYSTEM FOR DYNAMIC IDENTITY VALIDATION | 1 |
James Hannon | US | Albuquerque | 2015-11-05 / 20150314086 - POWDER INHALER, SYSTEM AND METHODS | 1 |
William R. Hannon | US | Hillsboro | 2015-03-26 / 20150089249 - THREAD AWARE POWER MANAGEMENT | 1 |
John C. Hannon | US | Richmond | 2016-02-04 / 20160030955 - Two Component Airless Adhesive Spray Gun and Method of Use | 2 |
Vincent Hannon | US | Niskayuna | 2013-06-27 / 20130167191 - SECURITY POLICY FLOW DOWN SYSTEM | 1 |
Meaghan Hannon | US | Bethesda | 2013-07-18 / 20130185111 - SYSTEM AND METHOD FOR AUTOMATICALLY GENERATING AND POPULATING A SCHOOL CALENDAR UTILIZING A PREDETERMINED CLASS ROTATION SCHEDULING PATTERN | 2 |
John Hannon | US | Pennellville | 2013-06-06 / 20130145460 - Progammable Customized User Interface for Transport Refrigeration Units | 1 |
Michael J. Hannon | US | Seattle | 2014-08-07 / 20140219971 - ALGAL PRODUCED MALARIAL TRANSMISSION BLOCKING VACCINES | 1 |
John F. Hannon | US | Pennellville | 2013-06-06 / 20130144442 - Flexible and Scalable Modular Control System for Transport Refrigeration Units | 1 |
Rebecca Lynne Hannon | US | South Lyon | 2012-11-22 / 20120293054 - DISHWASHER RACK ASSEMBLY WITH SUPPORT FOR LARGE AND SMALL BOWLS | 3 |
Robert Hannon | US | Wappingers Falls | 2015-01-22 / 20150024548 - COMPUTER READABLE MEDIUM ENCODED WITH A PROGRAM FOR FABRICATING 3D INTEGRATED CIRCUIT DEVICE USING INTERFACE WAFER AS PERMANENT CARRIER | 26 |
James Bowler Hannon | US | Lincolndale | 2012-12-27 / 20120326126 - Graphene or Carbon Nanotube Devices with Localized Bottom Gates and Gate Dielectric | 1 |
Timothy Michael Hannon | US | Commerce Township | 2012-05-10 / 20120112899 - METHOD AND APPARATUS FOR DETERMINING TIRE CONDITION AND LOCATION | 1 |
Gregory J. Hannon | US | Cold Spring Harbor | 2015-07-16 / 20150197749 - Novel Structurally Designed shRNAs | 3 |
Mathew Hannon | US | Bedford | 2016-03-03 / 20160059354 - SYSTEM AND METHOD FOR LASER BEVELING AND/OR POLISHING | 4 |
Timothy P. Hannon | US | Mountain View | 2015-12-03 / 20150350323 - INTELLIGENT DISK SPACE MANAGER | 1 |
Brian Hannon | US | Valencia | 2013-10-17 / 20130270823 - Method for Enhancing Low Voltage Ride Through Capability on a Wind Turbine | 1 |
James Hannon | US | Santa Monica | 2012-06-14 / 20120151606 - SOFTWARE SYSTEM FOR DENYING REMOTE ACCESS TO COMPUTER CAMERAS | 1 |
Dale Hannon | US | Woodside | 2012-06-14 / 20120150662 - Centralized Deployment of Advertising Code | 1 |
David Hannon | IE | Ballina | 2015-12-03 / 20150343171 - CATHETER ASSEMBLY HAVING PROTECTIVE SLEEVE TIP | 10 |
Lauren Hannon | US | Arlington | 2011-10-06 / 20110245089 - LABORATORY CENTRAL CONTROL UNIT METHOD AND SYSTEM | 1 |
Trevor Michael Hannon | US | Hayward | 2013-07-18 / 20130180530 - ADJUSTABLE SUPPORT SYSTEM | 1 |
Martin Hannon | IE | County Cork | 2014-03-13 / 20140069474 - CHIMNEY FLUE FIRE PREVENTION SYSTEM | 1 |
Adam F. Hannon | US | Loganville | 2013-11-28 / 20130318483 - STANDARDIZED TOPOGRAPHICAL ARRANGEMENTS FOR TEMPLATE REGIONS THAT ORIENT SELF-ASSEMBLY | 1 |
Kenneth C. Hannon | US | Anaheim Hills | 2013-01-03 / 20130000227 - UNIVERSAL SECURITY PLATE FOR AUTOMATIC TELLER MACHINES | 1 |
Timothy Patrick Hannon | US | Mountain View | 2013-05-23 / 20130130629 - Electronic Device Testing Using Radio Signals | 2 |
James G. Hannoosh | US | Centerville | 2012-10-11 / 20120258427 - DENTAL ABUTMENT INCLUDING FILLET | 2 |
Nikolaus Hannoschoeck | CH | Grabs | 2009-01-15 / 20090016813 - Chemically anchorable fastening element | 1 |
Sarmad Hannosh | US | West Linn | 2013-01-10 / 20130010657 - VEHICLE CREW COMMUNICATIONS SYSTEM | 2 |
Kerstin Hannott | DE | Oberhausen | 2012-12-13 / 20120312103 - INSPECTION DEVICE AND METHOD FOR POSITIONING AN INSPECTION DEVICE | 1 |
Abdelali Hannoufa | CA | Saskatoon | 2010-04-08 / 20100088781 - ALTERING CAROTENOID PROFILES IN PLANTS | 1 |
Camile Hannoush | US | Springfield | 2014-04-17 / 20140102138 - JEWELRY ARTICLE | 1 |
Rami Hannoush | US | San Mateo | 2014-12-11 / 20140363439 - ANTI-LRP6 ANTIBODIES | 2 |
Ewald Hannppel | DE | Uttenreuth | 2008-09-04 / 20080214456 - Lkktet and/or Lkktnt Peptide Compositions and Methods | 1 |
Krister Hannson | SE | Trelleborg | 2009-08-20 / 20090208705 - PROCESS FOR MANUAFACTURE OF SURFACE ELEMENTS | 1 |
Hans Hannu | SE | Lulea | 2015-02-26 / 20150055464 - Methods and Nodes in a Communication System | 24 |
Jouko Hannukainen | FI | Lahti | 2011-07-21 / 20110177317 - COATING FOR WOOD BOARD AND WOOD BOARD | 1 |
Jaakko Hannukainen | FI | Tampere | 2013-10-24 / 20130282269 - METHOD AND APPARATUS FOR PROVIDING A CALCULATED ROUTE USING WAYPOINTS ASSOCIATED WITH A TRAVELED ROUTE | 1 |
Miska Matias Hannuksela | FI | Ruutana | 2013-09-12 / 20130235152 - Video Coding and Decoding | 24 |
Miska Matias Hannuksela | FI | Tampere | 2015-10-29 / 20150312580 - APPARATUS, A METHOD AND A COMPUTER PROGRAM FOR VIDEO CODING AND DECODING | 40 |
Jari Hannuksela | FI | Ii | 2012-08-23 / 20120213422 - FACE RECOGNITION IN DIGITAL IMAGES | 2 |
Miska M. Hannuksela | FI | Ruutana | 2013-02-14 / 20130042100 - METHOD AND APPARATUS FOR FORCED PLAYBACK IN HTTP STREAMING | 5 |
Miska Hannuksela | FI | Tampere | 2016-03-24 / 20160086318 - METHOD AND APPARATUS FOR FUSING DISTANCE DATA FROM A DISTANCE SENSING CAMERA WITH AN IMAGE | 9 |
Miksa Hannuksela | FI | Tampere | 2014-01-02 / 20140003489 - METHOD AND APPARATUS FOR VIDEO CODING | 1 |
Miska Matias Hannuksela | FI | Tampere | 2015-10-29 / 20150312580 - APPARATUS, A METHOD AND A COMPUTER PROGRAM FOR VIDEO CODING AND DECODING | 40 |
Miska Hannuksela | FI | Ruutana | 2015-08-27 / 20150245063 - METHOD AND APPARATUS FOR VIDEO CODING | 49 |
Miska Hannuksela | FI | Tampere | 2016-03-24 / 20160086318 - METHOD AND APPARATUS FOR FUSING DISTANCE DATA FROM A DISTANCE SENSING CAMERA WITH AN IMAGE | 9 |
Miska Hannuksela | US | 2013-05-02 / 20130111028 - METHOD AND APPARATUS FOR SELECTING AN ACCESS METHOD FOR DELIVERY OF MEDIA | 1 | |
Kevin E. Hannula | US | Cadillac | 2008-09-11 / 20080216290 - HINGE ASSEMBLY | 1 |
Antti Hannula | FI | Helsinki | 2013-08-15 / 20130212559 - Method and System for Developing Applications for Portable Communication Devices | 2 |
Scott Victor Hannula | US | Westminster | 2014-02-20 / 20140047840 - STEAM FLOW CONTROL SYSTEM | 5 |
Daniel Hannula | US | Abbotsford | 2016-03-10 / 20160066715 - BED FOUNDATION COVER AND METHOD OF FABRICATION | 1 |
Scott Victor Hannula | US | Rexford | 2012-11-15 / 20120285174 - Systems and Methods for Optimized Gas Turbine Shutdown | 3 |
Don Hannula | US | San Luis Obispo | 2014-09-18 / 20140272924 - TRANSPLANTATION AND GROWTH OF HUMAN FETAL ORGANS IN NON-HUMAN ANIMAL HOSTS | 5 |
Simo-Pekka Hannula | FI | Helsinki | 2013-06-06 / 20130143037 - THERMALLY SPRAYED COMPLETELY AMORPHIC OXIDE COATING | 1 |
Scott V. Hannula | US | Simpsonville | 2009-10-08 / 20090252598 - Gas turbine inlet temperature suppression during under frequency events and related method | 1 |
Susanna Hannula | FI | Turku | 2009-10-08 / 20090253318 - MARINE VESSEL | 2 |
Don L. Hannula | US | San Louis Obispo | 2009-07-02 / 20090171177 - System And Method For Attaching A Sensor To A Patient's Skin | 1 |
Henri Hannula | FI | Helsinki | 2015-06-11 / 20150157874 - Transcranial magnetic stimulation induction coil device with attachment portion for receiving tracking device | 7 |
Mikko Hannula | FI | Espoo | 2009-08-06 / 20090195995 - COMMUNICATIONS DEVICE | 1 |
Manne Hannula | FI | Kempele | 2010-06-17 / 20100153891 - METHOD, DEVICE AND PROGRAM FOR BROWSING INFORMATION ON A DISPLAY | 3 |
Donald Lee Hannula | US | San Luis Obispo | 2011-05-19 / 20110118833 - Attachment device and method | 3 |
Gregory Hannum | US | La Jolla | 2015-09-17 / 20150259742 - METHODS FOR PREDICTING AGE AND IDENTIFYING AGENTS THAT INDUCE OR INHIBIT PREMATURE AGING | 1 |
Susan Faye Hannum | US | Cary | 2009-03-12 / 20090070713 - Computer-Implemented Systems And Methods For Portlet Management | 1 |
Alan H. Hannum | US | Santa Rosa | 2010-03-11 / 20100059563 - BICYCLE RACK FOR MOTORCYCLES | 1 |
Mark C. Hannum | US | Hudson | 2014-09-18 / 20140272737 - Staged Combustion Method and Apparatus | 4 |
Sandi Hannum | US | Philadelphia | 2010-04-29 / 20100107201 - MEDIA CONTENT MODIFICATION AND ACCESS SYSTEM FOR INTERACTIVE ACCESS OF MEDIA CONTENT ACROSS DISPARATE NETWORK PLATFORMS | 1 |
Robert Joseph Hannum | US | Mountain View | 2012-08-09 / 20120202669 - Method of Folding Sheet Materials Via Angled Torsional Strips | 1 |
Mark C. Hannum | US | Aurora | 2011-02-03 / 20110027731 - Combustion Method and Apparatus | 3 |
Robert W. Hannum | US | Hockessin | 2012-12-06 / 20120308934 - METHOD FOR PREPARING A COMPOSITE PRINTING FORM | 3 |
Gregory Hannum | US | San Diego | 2015-04-09 / 20150100244 - METHODS AND PROCESSES FOR NON-INVASIVE ASSESSMENT OF GENETIC VARIATIONS | 2 |
Andrew Hannum | US | Littleton | 2013-12-12 / 20130330831 - SYSTEM FOR WATER AND FOOD SAFETY TESTING | 1 |
Brian Hannum | US | Greenville | 2015-10-29 / 20150310865 - VEHICLE VOICE RECOGNITION SYSTEMS AND METHODS | 1 |
Mikael Hannus | SE | Stockholm | 2013-05-23 / 20130131326 - PROCESS FOR PRODUCTION OF PRECIPITATED LIGNIN FROM BLACK LIQUOR AND PRECIPITATED LIGNIN PRODUCED BY THE PROCESS | 1 |
Henrik Hannus | NO | Hovik | 2013-02-07 / 20130032075 - FLOATING SUPPORT | 1 |
Michael Hannus | DE | Dresden | 2015-05-14 / 20150132848 - HIGH COMPLEXITY siRNA POOLS | 4 |
Stefan Hannus | DE | Munich | 2012-01-26 / 20120021942 - USE OF FCCS FOR THE ANALYSIS OF INTERACTION PARAMETERS IN AN IN VIVO-LIKE ENVIRONMENT | 2 |
Masaki Hano | JP | Isesaki | 2013-03-07 / 20130057193 - Synchronous Motor Drive System and Synchronous Motor | 1 |
Yoshifumi Hano | JP | Suwa | 2014-10-02 / 20140296721 - HEALTH MANAGEMENT DEVICE | 3 |
Masami Hano | JP | Abiko-Shi | 2015-11-26 / 20150338790 - IMAGE FORMING APPARATUS | 14 |
Mitsutaka Hano | JP | Tokyo | 2015-01-29 / 20150028925 - DRIVE CIRCUIT FOR SEMICONDUCTOR DEVICE | 3 |
Masatoshi Hano | JP | Osaka | 2012-08-16 / 20120208051 - ALKALINE SECONDARY BATTERY | 7 |
Masaki Hano | JP | Isesaki-Shi | 2015-09-03 / 20150249410 - Control Device and Control Method for Brushless Motor | 7 |
Teruyuki Hano | JP | Kariya-City | 2009-09-17 / 20090232665 - Ejector | 2 |
Yoshifumi Hano | JP | Chino | 2009-12-03 / 20090295877 - ELECTROSTATIC ACTUATOR, DROPLET DISCHARGE HEAD, METHOD FOR DRIVING DROPLET DISCHARGE HEAD, AND METHOD FOR MANUFACTURING ELECTROSTATIC ACTUATOR | 1 |
Yoshiaki Hano | JP | Fukuoka-Shi | 2011-05-05 / 20110100812 - ELECTRODE MODULE | 1 |
Yoshifumi Hano | JP | Chino-Shi | 2010-10-07 / 20100253746 - ELECTROSTATIC ACTUATOR, LIQUID DROPLET DISCHARGING HEAD, METHODS FOR MANUFACTURING THEM, AND LIQUID DROPLET DISCHARGING APPARATUS | 2 |
Masami Hano | JP | Abiko-Shi | 2015-11-26 / 20150338790 - IMAGE FORMING APPARATUS | 14 |
Katsunobu Hano | JP | Chiba | 2009-11-19 / 20090287342 - Molding Machine Management System, Molding Machine, Management Apparatus, and Molding Machine Management Method | 1 |
Kenichi Hano | JP | Minamisoma | 2014-02-27 / 20140055207 - SURFACE ACOUSTIC WAVE RESONATOR, SURFACE ACOUSTIC WAVE OSCILLATOR, AND ELECTRONIC APPARATUS | 2 |
Jeffrey W. Hanoch | US | Broken Arrow | 2016-02-18 / 20160049143 - MARINE MULTIBEAM SONAR DEVICE | 5 |
Francis E. Hanofer, Jr. | US | Sellersville | 2009-11-19 / 20090285714 - Implantable medical Devices Composed of a Radiopaque Alloy and Method of Making the Alloy | 1 |
Jack I. Hanoka | US | Brookline | 2015-07-02 / 20150184876 - METHODS AND SYSTEMS FOR DESICCANT AIR CONDITIONING | 18 |
Chaim Hanoka | US | 2012-07-26 / 20120186281 - METHODS AND SYSTEMS FOR DESICCANT AIR CONDITIONING USING FLUIDS HEATED OR COOLED BY A SOLAR THERMAL SYSTEM | 12 | |
Jack I. Hanoka | US | Brookline | 2015-07-02 / 20150184876 - METHODS AND SYSTEMS FOR DESICCANT AIR CONDITIONING | 18 |
Chaim Hanoka | US | 2012-07-26 / 20120186281 - METHODS AND SYSTEMS FOR DESICCANT AIR CONDITIONING USING FLUIDS HEATED OR COOLED BY A SOLAR THERMAL SYSTEM | 12 | |
Robert J. Hanold | US | Tucson | 2016-04-21 / 20160111818 - LONGITUDINAL, TOLERANCE-MITIGATING CAM-LOCK FASTENING SYSTEM | 1 |
Terrance Douglas Hanold | US | Seattle | 2014-03-27 / 20140088979 - PROVIDING SYSTEM RESOURCES WITH SECURE CONTAINMENT UNITS | 1 |
Brian Hanold | US | Stoughton | 2015-10-22 / 20150298516 - PUMP HEAD AND VALVE STEM ASSEMBLY | 1 |
Karl A. Hanold | US | Huntington Beach | 2015-09-24 / 20150268147 - HAND-HELD TRACE PARTICLE SAMPLING SYSTEM AND METHOD OF OPERATING THE SAME | 2 |
Terrance D. Hanold | US | Seattle | 2013-04-04 / 20130085892 - ELECTRONIC MARKETPLACE FOR HOSTED SERVICE IMAGES | 1 |
Emmanuel Jules Hanon | BE | Rixensart | 2015-12-17 / 20150359863 - VACCINE COMPRISING AN OIL IN WATER EMULSION | 18 |
Ilan Hanoon | US | Glenside | 2008-10-23 / 20080258746 - Probes for a Wafer Test Apparatus | 1 |
Dimitris Hanos | GR | Patras | 2009-01-15 / 20090019151 - METHOD FOR MEDIA DISCOVERY | 1 |
Corinne Hanotin | FR | La Celle Saint Cloud | 2009-08-06 / 20090197917 - USE OF RIMONABANT FOR THE PREPARATION OF MEDICAMENTS USEFUL IN THE PREVENTION AND TREATMENT OF TYPE 2 DIABETES | 1 |
Corinne Hanotin | FR | Paris | 2016-05-19 / 20160137746 - METHODS FOR TREATING HIGH CARDIOVASCULAR RISK PATIENTS WITH HYPERCHOLESTEROLEMIA | 9 |
Corinne Hanotin | FR | Paris | 2016-05-19 / 20160137746 - METHODS FOR TREATING HIGH CARDIOVASCULAR RISK PATIENTS WITH HYPERCHOLESTEROLEMIA | 9 |
Allen Hanouka | GB | London | 2009-02-12 / 20090042870 - Antimicrobial Composition | 1 |
Abdul Rahman Hanoun | DE | Heilsbronn | 2014-12-04 / 20140354353 - DEVICE HAVING A DELTA-SIGMA MODULATOR AND A SWITCHING AMPLIFIER CONNECTED THERETO | 1 |
Reed Hanoun | CA | Mississauga | 2013-01-10 / 20130012359 - Sensor for Fitness Equipment | 4 |
Ibrahim Hanouneh | US | Cleveland Hts. | 2015-05-21 / 20150140676 - METHOD FOR DIAGNOSING ACUTE ALCOHOLIC HEPATITIS | 2 |
Yegor F. Hanov | US | Overland Park | 2010-07-01 / 20100169771 - User Interface for Managing Patient Care Plans | 5 |
Steven Michael Hanov | CA | Waterloo | 2016-04-21 / 20160113039 - COMMUNICATION STATIONS AND METHODS FOR TRANSMITTING ON A RANDOM ACCESS CHANNEL | 12 |
Yegor Faridovich Hanov | US | Fairway | 2009-05-28 / 20090138814 - USER INTERFACE FOR GENERATING AND MANAGING MEDICATION TAPERS | 1 |
Steve Michael Hanov | CA | Waterloo | 2008-12-18 / 20080310448 - APPARATUS, AND ASSOCIATED METHOD, FOR SELECTING AND NEGOTIATING FRAME SIZE OF COMMUNICATION DATA COMMUNICATED IN A RADIO COMMUNICATION SYSTEM | 3 |
Rudolf Hanov | DE | Weilheim | 2012-02-23 / 20120044035 - WINDING AND METHOD FOR PRODUCING A WINDING | 5 |
Steve Hanov | CA | Waterloo | 2010-03-11 / 20100061330 - METHOD FOR RECEIVING AND MANAGING A DOWNLINK RADIO LINK CONTROL DATA BLOCK IN AN EGPRS MOBILE ELECTRONIC COMMUNICATION DEVICE | 1 |
Yegor Faridovich Hanov | US | Overland Park | 2013-10-10 / 20130268286 - PROVIDING PROTOCOL VARIANCES FROM STANDARD PROTOCOLS | 5 |
Steven Michael Hanov | CA | Waterloo | 2016-04-21 / 20160113039 - COMMUNICATION STATIONS AND METHODS FOR TRANSMITTING ON A RANDOM ACCESS CHANNEL | 12 |
Yaron Hanover | IL | Tel Aviv | / - | 1 |
Frederick Hanover | US | Columbus | 2014-01-30 / 20140031000 - METHOD FOR EMERGENCY SIGNALING VIA MOBILE TELECOMMUNICATIONS DEVICE | 2 |
Keith Hanover | US | Kenwood | 2008-10-16 / 20080253916 - Methods of Making Stents | 1 |
Barry K. Hanover | US | Salt Lake City | 2008-12-25 / 20080319318 - Breast scanning system | 1 |
William Lawrence Hanover | US | River Heights | 2015-12-10 / 20150353376 - PORTABLE WATER PURIFICATION AND STORAGE RESERVOIR APPARATUSES | 1 |
Barry K. Hanover | US | Park City | 2015-04-23 / 20150112132 - ELONGATE MEDICAL INSTRUMENT WITH SHEATH | 1 |
Matthew Loukas Hanover | US | Denver | 2016-02-04 / 20160037590 - SYSTEM AND METHOD FOR USING ELECTROMAGNETIC ENERGY IN A PROPULSION SYSTEM | 2 |
Vincent Hanquez | GB | Cambridge | 2013-04-18 / 20130097602 - SYSTEMS AND METHODS FOR FACILITATING VIRTUALIZATION OF A HETEROGENEOUS PROCESSOR POOL | 5 |
Michael Hanquez | FR | Creteil | 2016-04-21 / 20160111935 - ELECTRICAL MACHINE PROVIDED WITH A DAMPER IN ORDER TO RESIST VIBRATORY STRESSES MECHANICALLY, AND CORRESPONDING DAMPER | 1 |
Vincent Robert Hanquez | GB | Bar Hill | 2013-08-22 / 20130219135 - DYNAMIC TIME REVERSAL OF A TREE OF IMAGES OF A VIRTUAL HARD DISK | 1 |
Mattias Hanqvist | SE | Stockholm | 2016-04-28 / 20160117555 - APPARATUS AND METHOD FOR ROBUST EYE/GAZE TRACKING | 3 |
Mattias Hanqvist | SE | Linkoping | 2010-03-04 / 20100052885 - OBJECT DETECTION SYSTEM | 1 |
Terrence M. Hanrahan | US | Mission Viejo | 2011-09-22 / 20110225739 - Dual layer mattress for truck bed | 2 |
Jeffrey E. Hanrahan | US | Burlington | 2015-03-12 / 20150072504 - HIGH LINEARITY SOI WAFER FOR LOW-DISTORTION CIRCUIT APPLICATIONS | 1 |
Daniel Hanrahan | US | Hinsdale | 2014-09-25 / 20140283988 - Method and Apparatus for Superposed Application of Shipping Labels over Packing Slips | 2 |
John Hanrahan | CA | Montreal West | 2011-01-13 / 20110009351 - SCREENING ASSAY TO IDENTIFY CORRECTORS OF PROTEIN TRAFFICKING DEFECTS | 1 |
Patrick Hanrahan | US | Portola Valley | 2015-08-27 / 20150242446 - COMPUTER SYSTEMS AND METHODS FOR THE QUERY AND VISUALIZATION OF MULTIDIMENSIONAL DATABASES | 8 |
Michael J. Hanrahan | US | Danbury | 2012-01-12 / 20120008207 - LIGHT POLARIZER | 1 |
Michael Hanrahan | CA | Port Coquitlam | 2012-03-08 / 20120056711 - NETWORK-ENABLED VALVE MANAGEMENT SYSTEM | 1 |
Patrick Hanrahan | US | Portala Valley | 2016-04-28 / 20160117370 - COMPUTER SYSTEMS AND METHODS FOR THE QUERY AND VISUALIZATION OF MULTIDIMENSIONAL DATABASES | 1 |
Paul R. Hanrahan | US | Farmington | 2016-04-07 / 20160097281 - GAS TURBINE ENGINE AIRFOIL MISTUNING | 8 |
Casey Hanrahan | US | Fullerton | 2014-10-23 / 20140311215 - SOBRIETY MONITORING SYSTEM | 1 |
Benjamin Vincent Hanrahan | US | Blue Ridge | 2012-05-10 / 20120117484 - System And Method For Providing Mixed-Initiative Curation Of Information Within A Shared Repository | 2 |
Stephen M. Hanrahan | US | Berkeley | 2015-09-24 / 20150268359 - Novel Lanthanide Doped Barium Mixed Halide Scintillators | 3 |
William D. Hanrahan | US | Charlotte | 2011-01-06 / 20110000616 - ANTIMICROBIAL MELAMINE RESIN METHOD | 2 |
John J. Hanrahan | US | Laguna Hills | 2009-02-05 / 20090037505 - INTERPOLATING CUBIC SPLINE FILTER AND METHOD | 2 |
John P. Hanrahan | US | West Roxbury | 2014-11-13 / 20140336159 - METHODS FOR TREATING AND DIAGNOSING RESPIRATORY TRACT INFECTIONS | 3 |
Robert M. Hanrahan | US | Montvale | 2016-03-24 / 20160086482 - INDUCTIVE SECURITY SENSOR NOT SUSCEPTIBLE TO MAGNETIC TAMPERING | 1 |
Brendan Hanrahan | US | Liburn | 2014-10-09 / 20140299967 - ELECTRONIC DEVICE STRUCTURE AND METHOD OF MAKING ELECTRONIC DEVICES AND INTEGRATED CIRCUITS USING GRAYSCALE TECHNOLOGY AND MULTILAYER THIN-FILM COMPOSITES | 1 |
Timothy Hanrahan | US | Frankfort | 2014-09-25 / 20140283988 - Method and Apparatus for Superposed Application of Shipping Labels over Packing Slips | 2 |
Richard K. Hanrahan | US | Englewood | 2015-01-15 / 20150018211 - COMPOSITION AND SYSTEM FOR TURF MAINTENANCE | 4 |
Benjamin Vincent Hanrahan | FR | Grenoble | 2016-04-14 / 20160105559 - PRESCRIPTIVE ANALYTICS FOR CUSTOMER SATISFACTION BASED ON AGENT PERCEPTION | 3 |
Kevin Hanrahan | US | Santa Barbara | 2016-02-18 / 20160046021 - INTERFACING WITH A MOBILE TELEPRESENCE ROBOT | 23 |
Victoria A. Hanrahan | US | Newburgh | 2014-11-13 / 20140337187 - METHOD AND SYSTEM FOR ENTERPRISE-WIDE MIGRATION | 1 |
Michael R. Hanrahan | US | Bedford | 2014-09-18 / 20140262115 - RADIAL PATTERN ASSEMBLY | 3 |
Linda A. Hanrahan | US | South Easton | 2014-06-05 / 20140150813 - Fluffy Floss Kit | 1 |
James R. Hanrahan | US | Newark | 2015-06-25 / 20150176749 - Thermally Insulative Expanded Polytetrafluoroethylene Articles | 1 |
Richard Hanrahan | US | Englewood | 2016-03-17 / 20160073637 - METHOD OF IMPROVING GRASS QUALITY | 2 |
Patrick Eric Hanrahan | GB | Oxfordshire | 2010-11-11 / 20100286112 - COMPOUNDS FOR THE TREATMENT OF METABOLIC DISORDERS | 2 |
Patrick M. Hanrahan | US | Portola Valley | 2015-11-12 / 20150326848 - VARIABLE IMAGING ARRANGEMENTS AND METHODS THEREFOR | 16 |
David Hanrahan | US | San Jose | 2009-08-27 / 20090215436 - Integrated Wireless Mobile Media System | 1 |
Kevin P. Hanrahan | US | Santa Barbara | 2011-09-01 / 20110213210 - PORTABLE TELEPRESENCE APPARATUS | 3 |
Patrick M. Hanrahan | US | Portola Valley | 2015-11-12 / 20150326848 - VARIABLE IMAGING ARRANGEMENTS AND METHODS THEREFOR | 16 |
Patrick Hanrahan | US | Portola Valley | 2015-08-27 / 20150242446 - COMPUTER SYSTEMS AND METHODS FOR THE QUERY AND VISUALIZATION OF MULTIDIMENSIONAL DATABASES | 8 |
Kevin Hanrahan | US | Santa Barbara | 2016-02-18 / 20160046021 - INTERFACING WITH A MOBILE TELEPRESENCE ROBOT | 23 |
John W. Hanrahan | CA | Montreal West | 2010-11-04 / 20100280004 - SMALL MOLECULE CORRECTORS OF DELTAF508 CFTR TRAFFICKING | 1 |
Kevin Patrick Hanrahan | US | Santa Barbara | 2014-07-10 / 20140193190 - TOLERANCE RING WITH EDGE BUMP DIFFERENCE | 2 |
Niall Hanrahan | IE | Corrandulla | 2008-10-16 / 20080253370 - MAINTENANCE OF BANDWIDTH ALLOCATION FOR TRAFFIC INCLUDING MULTICASTS | 1 |
William J. Hanrahan | US | Hoffman Estates | 2009-01-01 / 20090000428 - Photo-Multiplier Tube Removal Tool | 1 |
John Paul Hanrahan | IE | County Tipperary | 2009-01-29 / 20090029146 - Mesoporous Particles | 1 |
Mark G. Hanrahan | US | Milford | 2011-09-22 / 20110230096 - HIGH BANDWIDTH CONNECTOR | 1 |
Christopher J. Hanrahan | US | Salt Lake City | 2009-12-17 / 20090312626 - ARTICLES AND METHODS FOR IMPROVING MRI IMAGING | 1 |
Gary Vincent Hanrahan | US | Louisville | 2015-04-30 / 20150116877 - POWER DISTRIBUTION SYSTEMS AND METHODS OF TESTING A POWER DISTRIBUTION SYSTEM | 1 |
Paul R. Hanrahan | US | Farmington | 2016-04-07 / 20160097281 - GAS TURBINE ENGINE AIRFOIL MISTUNING | 8 |
John Hanrahan | US | West Roxbury | 2014-05-15 / 20140134251 - PHARMACEUTICAL FORMULATIONS AND METHODS FOR TREATING RESPIRATORY TRACT INFECTIONS | 3 |
Terrence Michael Hanrahan | US | Mission Viejo | 2014-06-12 / 20140162512 - FOREARM BOAT | 1 |
Linda Louise Hanrahan | US | Concord | 2013-05-16 / 20130122480 - SYSTEM AND METHOD OF APPLYING INTERMITENT REINFORCEMENT TO STUDENT DURING INSTRUCTIONAL ACTIVITY | 1 |
John Hanrahan | IE | Cork | 2010-10-28 / 20100272996 - METHOD FOR SYNTHESISING MICROPARTICLES | 1 |
Tobias Hanrath | US | Ithaca | 2015-07-02 / 20150183188 - GROUP IV NANOWIRE STRUCTURES, METHODS AND APPLICATIONS | 2 |
Franz H. Hanrath | US | Mobile | 2015-06-25 / 20150175535 - METHOD OF PRODUCTION OF A METHIONINE SALT | 2 |
Tobias Hanrath | US | Austin | 2011-05-26 / 20110121257 - Growth of Single Crystal Nanowires | 2 |
Brent Hanratty | US | Westlake Village | 2011-04-28 / 20110094181 - Wall Anchor | 1 |
Derrick Joseph Hanratty | US | Lake Stevens | 2014-12-04 / 20140355282 - AIRCRAFT PASSAGEWAY STORAGE UNITS | 1 |
Michael P. Hanratty | US | Remsenburg | 2012-02-23 / 20120043098 - Hydro-Pneumatic Extinguisher | 2 |
Peter C. Hanratty | US | Speonk | 2012-02-23 / 20120043098 - Hydro-Pneumatic Extinguisher | 2 |
Peter J. Hanratty | US | Herndon | 2015-07-30 / 20150212501 - REAL TIME OPTIMIZATION OF BATCH PROCESSES | 1 |
Herbert Hanrieder | DE | Hohenkammer | 2016-03-17 / 20160074965 - TEMPERATURE REGULATION FOR A DEVICE FOR THE ADDITIVE MANUFACTURING OF COMPONENTS AND CORRESPONDING PRODUCTION METHOD | 23 |
Benoît Hanriot | FR | Ludres | 2012-02-02 / 20120025586 - Hinge Mechanism and Vehicle Seat Comprising Such a Mechanism | 1 |
Benoît Hanriot | FR | Caligny | 2011-07-14 / 20110169312 - Hinge Device Having a Reinforced Collar | 1 |
Aline Hanrot | FR | Clidry | 2009-07-09 / 20090176115 - WATER-SOLUBLE ADHESIVE | 1 |
Mat Hans | US | Hoffman Estates | 2009-05-28 / 20090138478 - Method and Apparatus to Facilitate Participation in a Networked Activity | 1 |
Keith Thomas Hans | US | Princeton | 2015-12-31 / 20150374017 - SPRAY-DRIED COMPOSITIONS CAPABLE OF RETAINING VOLATILE COMPOUNDS AND METHODS OF PRODUCING THE SAME | 4 |
Jeremy Hans | US | Longmont | 2013-05-02 / 20130109656 - MITOTIC KINESIN INHIBITORS AND METHODS OF USE THEREOF | 1 |
Eric David Hans | US | Mission Viejo | 2014-04-17 / 20140102005 - AUTOMATICALLY SEALING PANEL SYSTEM | 5 |
Alexander Hans | DE | Braunschweig | 2013-10-24 / 20130282635 - Method For The Computer-Assisted Modeling Of A Technical System | 1 |
Martin Hans | US | Cupertino | 2016-01-07 / 20160007164 - Methods and Apparatus for Resolving Wireless Signal Components | 3 |
Derek M. Hans | US | Seattle | 2011-05-26 / 20110125733 - QUICK ACCESS UTILITY | 1 |
Derek Matthias Hans | US | Seattle | 2012-06-21 / 20120159355 - OPTIMIZED JOINT DOCUMENT REVIEW | 3 |
Peter Hans | US | Chevy Chase | 2014-12-25 / 20140379598 - METHOD AND SYSTEM FOR REGULATING CONTENT POSTED ON SOCIAL MEDIA SITES | 1 |
Felix Hans | DE | Freiburg | 2014-12-25 / 20140377324 - Pharmaceutical Composition Comprising miRNA-100 And Its Use In The Modulation of Blood Vessel Growth and Endothelial Inflammation | 2 |
Christian Hans | BE | Hergenrath | 2015-09-24 / 20150267573 - APPLIED-IGNITION INTERNAL COMBUSTION ENGINE WITH VARIABLE VALVE DRIVE | 1 |
Michael Hans | DE | Schopfheim | 2013-07-25 / 20130189731 - MODIFIED TRANSKETOLASE AND USE THEREOF | 3 |
Torsten Hans | DE | Tuebingen | 2009-04-16 / 20090100379 - METHOD AND AN APPARATUS FOR CONTROLLING A SIMULATED MOVING OBJECT | 1 |
Stephan Hans | DE | Osnabrueck | 2015-05-21 / 20150140614 - VARIANTS OF THE PROMOTER OF THE GAP GENE CODING FOR GLYCERALDEHYDE-3-PHOSPHATE DEHYDROGENASE | 5 |
Sebastian Jurgen Hans | DE | Berlin | 2010-04-01 / 20100082831 - LOADABLE AND MODULAR CONDITIONAL ACCESS APPLICATION | 1 |
Martin Hans | DE | Braunschweig | 2015-12-03 / 20150351135 - Techniques for Exchanging Beamforming Information for a Dual Connection to User Equipment | 3 |
Martin Hans | DE | Bad Salzdefurth | 2010-04-01 / 20100081451 - Methods and apparatus for resolving wireless signal components | 1 |
Derek Hans | US | Seattle | 2012-06-28 / 20120166985 - TECHNIQUES TO CUSTOMIZE A USER INTERFACE FOR DIFFERENT DISPLAYS | 1 |
Dominik Hans | DE | Muggensturm | 2010-08-05 / 20100193321 - CLUTCH ACTUATION SYSTEM | 1 |
Stephan Hans | DE | Osnabruck | 2012-10-04 / 20120252075 - Microorganism and Method for the Fermentative Production of an Organic-Chemical Compound | 2 |
Joachim Hans | DE | Dortmund | 2011-06-23 / 20110151033 - ORTHOSIPHON STAMINEUS EXTRACTS FOR USE AS A COGNITION ENHANCER | 2 |
Andreas Hans | DE | Niedernberg | 2011-09-22 / 20110226088 - STEERING WHEEL FOR A MOTOR VEHICLE | 2 |
Alexander Hans | DE | Munchen | 2011-03-10 / 20110059427 - Method for the computer-assisted learning of a control and/or a feedback control of a technical system | 2 |
Sebastian Hans | DE | Berlin | 2013-10-31 / 20130290714 - METHOD AND SYSTEM FOR ACTIVATION | 1 |
Marc Hans | DE | Wesel | 2015-04-23 / 20150111980 - POLYISOCYANATE ADDUCTS AS ADDITIVES FOR RADIATION-CURABLE COATING MATERIAL COMPOSITIONS | 5 |
Michael Hans | CA | Ottawa | 2014-08-28 / 20140238257 - METHOD OF MOUNTING AND REGISTERING A PRINTING PLATE ON A PLATE CYLINDER OF A MULTI-COLOR OFFSET PRINTING PRESS | 1 |
Schmidt Peter Hans | DK | Holte | 2013-12-26 / 20130344571 - Microbial Bioreaction Process | 1 |
Chetan Hans | US | Upper Arlington | 2014-09-11 / 20140256655 - PRODUCTS AND METHODS FOR AORTIC ABDOMINAL ANEURYSM | 1 |
Martin Hans | DE | Salzdefurth | 2012-05-17 / 20120120938 - METHODS AND APPARATUS FOR RESOLVING WIRELESS SIGNAL COMPONENTS | 1 |
Nuebert Hans | US | Anaheim | 2016-02-04 / 20160033063 - COMPOSITE TO METAL END FITTING JOINT | 1 |
Jeremy J. Hans | US | Saint Louis | 2011-11-10 / 20110275608 - PIPERIDINE-CONTAINING COMPOUNDS AND USE THEREOF | 1 |
Martin Hans | DE | Hildesheim | 2015-12-17 / 20150365505 - METHOD FOR OPERATING A MOBILE WIRELESS NETWORK | 23 |
Tobias Hans | DE | Stuttgart | 2012-09-13 / 20120229083 - HANDHELD TOOL BATTERY CHARGING MEANS | 1 |
Tschaggelar Hans | CH | Tscheppach | 2009-06-25 / 20090158535 - GUIDING DEVICE FOR STRANDS | 1 |
Boeni Hans | CH | Werdenberg | 2011-07-07 / 20110162860 - CONTROL METHOD FOR A HAND-OPERATED POWER TOOL AND POWER TOOL | 1 |
Marcus Hans | NL | Den Haag | 2013-06-06 / 20130144034 - METHOD FOR THE PRODUCTION OF A COMPOUND OF INTEREST | 6 |
Benjamin Hans | DE | Kosching | 2015-12-31 / 20150377271 - METHOD OF CONNECTING A PRESS-IN BOLT WITH A METAL SHEET, AND COVER ELEMENT FOR CARRYING OUT THE METHOD | 1 |
Sandeep Hans | IL | Haifa | 2013-01-03 / 20130006692 - SYSTEMS AND METHODS FOR REAL TIME TRANSFORMATION OF RETAIL BANK BRANCH OPERATIONS | 3 |
Andreas Hans | DE | Aschaffenburg | 2016-01-07 / 20160001807 - Motor Vehicle Steering Wheel | 3 |
Jeremy Hans | US | Boulder | 2015-07-30 / 20150210658 - MITOTIC KINESIN INHIBITORS AND METHODS OF USE THEREOF | 8 |
Chetan P. Hans | US | Upper Arlington | 2011-02-03 / 20110028420 - Regression of Established Atherosclerotic Plaques, and Treating Sudden-Onset Asthma Attacks, using PARP Inhibitors | 1 |
Cheng Hans | US | Haslett | 2010-11-18 / 20100291142 - Bacterial Artificial Chromosome Containing Feline Herpes Virus Type 1 Genome and Uses Thereof | 1 |
Joachim Hans | US | 2015-05-14 / 20150133552 - POLYHYDROXYLATED PENTACYCLIC TRITERPENE ACIDS AS HMG-COA REDUCTASE INHIBITORS | 1 | |
Sebastian J. Hans | DE | Berlin | 2016-03-24 / 20160088464 - Managing Selection and Triggering of Applications on a Card Computing Device | 4 |
Meredith Hans | US | Abington | 2010-05-13 / 20100119492 - Method and device for activating stem cells | 1 |
Hauke Hans | DE | Schweinfurt | 2015-05-14 / 20150130449 - ROTATIONAL ANGLE SENSOR | 1 |
Joachim Hans | DE | Holzminden | 2015-12-31 / 20150374021 - REDUCED SODIUM FOOD PRODUCTS | 3 |
Paul Hans | US | Medina | 2008-12-18 / 20080311206 - Anti-Chafing Compositions Comprising Boron Nitride | 1 |
Eric Hans | US | Mission Viejo | 2010-01-21 / 20100012280 - FOLDING DOOR ASSEMBLY | 1 |
Liu Hans | US | Rosemead | 2009-12-31 / 20090325657 - CUSTOMIZABLE UNIVERSAL PROTECTIVE CASE FOR A PORTABLE ELECTRONIC DEVICE | 1 |
Leo P. Hans | US | Seffner | 2016-03-24 / 20160085938 - MULTI-PATH ELECTRONIC PRESCRIPTION PROCESSING SYSTEM | 2 |
Timothy Hans | US | Noblesville | 2014-04-10 / 20140097797 - ENERGY STORAGE SYSTEM | 1 |
Mathieu C. Hans | US | Hoffman Estates | 2012-10-04 / 20120252571 - METHOD AND SYSTEM FOR VIRTUAL MOBILE GAME SESSION DISCOVERY AND PLAYER MATCHING | 4 |
Martin Hans | DE | Hildesheim Dex | 2011-12-01 / 20110292872 - Method for Operating a Mobile Wireless Network | 1 |
Karl Hans | DE | Lueneburg | 2015-11-12 / 20150325400 - Two-Part high voltage vacuum feed through for an electron tube | 1 |
Kristy Hans | US | Brighton | 2014-04-24 / 20140113909 - Combination Therapy | 1 |
Jeremy Hans | US | Boulder | 2015-07-30 / 20150210658 - MITOTIC KINESIN INHIBITORS AND METHODS OF USE THEREOF | 8 |
Madhsudan Hans | US | San Ramon | 2011-12-15 / 20110307659 - Hardware-Accelerated Lossless Data Compression | 2 |
Martin Hans | DE | Bad Salzdetfurth | 2015-08-06 / 20150223092 - MEASUREMENT TRIGGERS FOR CUSTOMER CARE IN A WIRELESS NETWORK | 35 |
Selma Hansal | AT | Leobersdorf | 2014-12-04 / 20140353161 - METHOD OF MANUFACTURE A SLIDING BEARING | 1 |
Rakesh Hansalia | US | Milpitas | 2012-12-06 / 20120311355 - MANAGING POWER ALLOCATION TO ETHERNET PORTS IN THE ABSENCE OF MUTUALLY EXCLUSIVE DETECTION AND POWERING CYCLES IN HARDWARE | 4 |
Phil Hansard | US | Cumming | 2009-08-27 / 20090215590 - PORTABLE ATTACHMENT DEVICE AND METHOD FOR COMFORT AND SUPPORT | 1 |
Daniel Christopher Hansberger | US | Edmond | 2012-10-25 / 20120271816 - SYSTEM AND METHOD FOR QUANTIFYING VEHICLE MAINTENANCE COSTS AND FREQUENCY BASED ON STATISTICAL REPAIR DATA | 1 |
David R. Hansberry | US | Haddonfield | 2013-07-11 / 20130178587 - Antibody Immobilization Using Poly(ethylene glycol) Crosslinking | 1 |
Ernest V. Hansberry | US | Evergreen | 2009-04-16 / 20090095419 - Method and Apparatus for Stripping a Contact-Limiting Element from a Pipette Probe | 6 |
Michael H. Hansberry | US | Minneapolis | 2015-09-17 / 20150262517 - HYBRID SELF ILLUMINATED AND ACTIVELY BACK LIT SIGNAGE FOR PRINTED GRAPHICS | 2 |
Mitchell Hansberry | US | Southborough | 2015-05-07 / 20150126411 - SYSTEMS AND METHOD FOR HIGH-THROUGHPUT TESTING | 1 |
Ernest V. Hansberry | US | Blacksburg | 2016-05-05 / 20160122808 - METHOD FOR DETECTING THE PRESENCE OF A NUCLEIC ACID IN A SAMPLE | 1 |
Ernest V. Hansberry (deceased) | US | Blacksburg | 2014-09-04 / 20140248619 - METHOD FOR DETECTING THE PRESENCE OF A NUCLEIC ACID IN A SAMPLE | 2 |
Phillip Michael Hansbro | AU | Newcastle | 2010-06-10 / 20100143415 - Streptococcus Pneumoniae Antigens | 1 |
Jason Dwayne Hansbro | US | Virginia Beach | 2012-05-17 / 20120122358 - LIFE VEST WITH LOCATOR AND HYDRATION PACK | 1 |
Jeffrey M. Hansbro | US | North Wales | 2014-01-09 / 20140007534 - WALL STRUCTURE WITH ENHANCED CLADDING SUPPORT | 1 |
Kathryn Louise Hansbro | US | Bala Cynwyd | 2013-11-21 / 20130310726 - Home Medical Device Systems and Methods for Therapy Prescription and Tracking, Servicing and Inventory | 1 |
Jeffrey M. Hansbro | US | Evanston | 2009-12-31 / 20090320397 - CONTINUOUSLY INSULATED WALL ASSEMBLY | 1 |
Philip Hansbro | AU | The Hill | 2010-01-28 / 20100021504 - TREATMENT AND PREVENTION OF ALLERGIC AIRWAYS DISEASES | 1 |
Philip Michael Hansbro | AU | Newcastle | 2010-11-04 / 20100278740 - STREPTOCOCCUS PNEUMONIAE PROTEINS AND NUCLEIC ACID MOLECULES | 3 |
Jason Hansbro | US | Sylacauga | 2012-11-08 / 20120282832 - LIFE JACKET | 1 |
Philip Hansbro | AU | New South Wales | 2011-04-21 / 20110091506 - VACCINE COMPOSITIONS | 1 |
Dirk Hansch | BE | Hauset | 2009-09-17 / 20090230101 - METHOD OF SOLDERING METALLIC JOIN PARTNERS AND AN APPRATUS FOR THIS PURPOSE | 2 |
Wolfgang Hansch | DE | Schwegenheim | 2009-01-15 / 20090018240 - Use of Water-Soluble or Water-Dispersible Polymers as Additives in Mineral Building Materials | 2 |
Manuel Hansch | DE | Hamburg | 2013-05-02 / 20130109554 - METHOD AND APPARATUS FOR SEPARATING AND INSERTING OBJECTS INTO A MATERIAL ROD OF THE TOBACCO PROCESSING INDUSTRY | 1 |
Walter Hansch | DE | Mitterfels | 2013-06-06 / 20130139570 - SEMICONDUCTOR GAS SENSOR AND METHOD FOR MEASURING A RESIDUAL GAS PROPORTION WITH A SEMICONDUCTOR GAS SENSOR | 1 |
Oliver Hansch | US | Summit | 2011-02-24 / 20110047060 - APPARATUSES, METHODS AND SYSTEMS FOR A MARGINAL CONTRIBUTION TO PERFORMANCE PLATFORM | 1 |
Ralf Hansch | DE | Bad Neuenahr | 2009-08-06 / 20090193975 - DEVICE FOR GAS SEPARATION AND METHOD FOR PRODUCING SUCH A SYSTEM | 1 |
Egon Hansch | CH | Wetzikon | 2009-02-19 / 20090044899 - APPARATUS AND METHOD FOR AFFIXING A SUPPLEMENTARY PRODUCT TO A PRINTED PRODUCT | 2 |
Markus Hansch | DE | Speyer | 2016-05-12 / 20160130514 - USE OF NITROGEN COMPOUNDS QUATERNISED WITH ALKYLENE OXIDE AND HYDROCARBYL-SUBSTITUTED POLYCARBOXYLIC ACID AS ADDITIVES IN FUELS AND LUBRICANTS | 26 |
Stefan Hansch | DE | Aalen | 2009-05-14 / 20090124474 - Multi-spindle machining center | 2 |
Markus Hansch | DE | Lorrach | 2011-02-17 / 20110038815 - DIMERIC BENZYLIDENE MALONATES | 1 |
Markus Hansch | DE | Speyer | 2016-05-12 / 20160130514 - USE OF NITROGEN COMPOUNDS QUATERNISED WITH ALKYLENE OXIDE AND HYDROCARBYL-SUBSTITUTED POLYCARBOXYLIC ACID AS ADDITIVES IN FUELS AND LUBRICANTS | 26 |
Theodor W. Hansch | DE | Munchen | 2015-02-19 / 20150048824 - SIMPLE MICROWAVE FIELD IMAGING DEVICE | 1 |
Thomas P. Hanschen | US | Mendota Heights | 2016-01-28 / 20160023393 - METHOD OF MAKING POLYMERIC MULTILAYER FILMS | 19 |
Thomas P. Hanschen | US | Mendota Heights | 2016-01-28 / 20160023393 - METHOD OF MAKING POLYMERIC MULTILAYER FILMS | 19 |
Michael Hanschke | US | Mason | 2011-06-09 / 20110136421 - CLEANING APPARATUS AND METHOD | 2 |
Jonas Hanschke | DE | Tuebingen | 2015-08-27 / 20150244012 - METHOD FOR SUPPLYING AIR TO A FUEL CELL | 1 |
Clemens Hanschke | DE | Berlin | 2016-04-21 / 20160109365 - TURBIDIMETER | 4 |
Christian Hanschke | DE | Achim | 2015-05-21 / 20150136751 - HEATED FLOOR PANEL FOR AN AIRCRAFT AND AIRCRAFT HAVING A HEATED FLOOR PANEL | 1 |
Florian Hanschmann | CA | Toronto | 2015-10-01 / 20150273629 - LASER METAL DEPOSITION CLADDING OF WELD SEAMS IN AUTOMOTIVE PARTS | 2 |
Lars Hanschmann | DE | Halle | 2012-12-13 / 20120313829 - ANTENNA WITH ANGLED CORE APPARATUS AND METHOD | 1 |
Florian Hanschmann | AU | Perchtoldsdorf | 2015-10-01 / 20150273629 - LASER METAL DEPOSITION CLADDING OF WELD SEAMS IN AUTOMOTIVE PARTS | 2 |
Angelika Hanschmann | DE | Frankfurt Am Main | 2010-09-16 / 20100234358 - NERAMEXANE FOR THE TREATMENT OF NYSTAGMUS | 2 |
Kelli Hanschmidt | EE | Tartu | 2014-07-03 / 20140186623 - A METHOD OF PREPARING METAL OXIDE MICROTUBES | 1 |
Sophie Hanscom | US | New York | 2014-06-26 / 20140178420 - Treatment of Inflammation, Autoimmune, and Neurodegenerative Disorders with Immunosuppressive Tat Derivative Polypeptides | 1 |
Jeffrey C. Hanscom | US | Poughkeepsie | 2012-12-06 / 20120311213 - AVOIDING NON-POSTED REQUEST DEADLOCKS IN DEVICES | 9 |
Jeffrey C. Hanscom | US | Poughkeepsie | 2012-12-06 / 20120311213 - AVOIDING NON-POSTED REQUEST DEADLOCKS IN DEVICES | 9 |
Paul Hanscom | US | Bakersfield | 2008-09-11 / 20080217898 - TRAILER STABILIZING DEVICE AND METHOD OF USING SAME | 1 |
Eric Hanscom | US | Carlsbad | 2015-12-17 / 20150360751 - Paddle retainer for stand-up paddleboards. | 1 |
Eric Hanse | FR | Orches | 2011-09-22 / 20110226438 - REUSABLE CASTING MEMBER | 1 |
John K. Hanse | US | Allegan | 2013-02-21 / 20130042691 - VIBRATION TABLE WITH CIRCULAR MOUNTING SURFACE | 2 |
Michel Hanse | BE | Nivelles | 2010-06-17 / 20100147698 - METHOD FOR OBTAINING SODIUM CARBONATE CRYSTALS | 3 |
Gary H. Hanse | US | Ham Lake | 2012-02-09 / 20120035699 - METHOD AND APPARATUS FOR FIXATING AN IMPLANTABLE MEDICAL DEVICE | 1 |
Mathias Hansel | DE | Rümmingen | 2009-01-22 / 20090019780 - DEVICE FOR DISPLACEABLY HOLDING A GLASS PANE IN A SLIDING RAIL | 1 |
Mathias Hansel | DE | Rummingen | 2013-09-12 / 20130233487 - BONDING TOOL FOR ATTACHING PREPARED ADHESIVE TO BONDING PART | 9 |
Jeffrey Z. Hansel | US | Hillsborough | 2011-10-06 / 20110239447 - Recessed fitting installation tool | 1 |
David Hansel | US | Chalmette | 2015-01-08 / 20150007472 - WALL VASE PICTURE HANGER | 1 |
Mathias Hansel | DE | Rummingen | 2013-09-12 / 20130233487 - BONDING TOOL FOR ATTACHING PREPARED ADHESIVE TO BONDING PART | 9 |
Lyle Edward Hansel | US | Hobart | 2008-10-23 / 20080256994 - Multi-Point Door Lock and Offset Extension Bolt Assembly | 1 |
Jeff Hansel | US | Lake Worth | 2009-07-02 / 20090171775 - PROMOTIONAL INCENTIVE VOUCHER AND METHOD FOR PROVIDING A SELECTABLE GIFT CARD TO A RECIPIENT | 1 |
William Hansel | US | Baton Rouge | 2016-04-21 / 20160106853 - CURCUMIN CONJUGATES FOR TREATING AND PREVENTING CANCERS | 5 |
Volker Hansel | DE | Dresden | 2010-02-04 / 20100029022 - METHOD FOR IMPROVED UTILIZATION OF SEMICONDUCTOR MATERIAL | 1 |
Jeff Hansel | US | Boynton Beach | 2014-09-18 / 20140263131 - VENTILATED SHELF COVER WITH SPRING CLASP | 2 |
Dietmar Hansel | DE | Ottweiler | 2014-03-27 / 20140087161 - APPARATUS FOR MANUFACTURING AN ADHESIVE-FREE GAS BARRIER FILM HAVING A CERAMIC BARRIER LAYER | 1 |
Adam Hansel | US | Sacramento | 2012-04-12 / 20120087647 - IN-MAGAZINE IMAGING DEVICE ENCLOSURE | 1 |
Jan-Gerd Hansel | DE | Gladbach | 2010-01-21 / 20100015873 - Phthalate-free isocyanurate preparations | 2 |
Monica B. Hansel | US | Melbourne | 2015-07-09 / 20150192039 - AUXILLARY STEAM GENERATION ARRANGEMENT FOR A COMBINED CYCLE POWER PLANT | 5 |
Douglas Hansel | US | Lewis Center | 2010-06-10 / 20100139508 - Garlic Press | 1 |
Steven J. Hansel | US | Parker | 2016-03-17 / 20160079834 - Low-maintenance cogless electric generator featuring magnetic levitation | 1 |
Thomas J. Hansel | US | Fort Wayne | 2016-03-03 / 20160059051 - Air Purification Respirator Voice Amplifier | 1 |
Alfred Hansel | DE | Jena | 2013-12-26 / 20130344479 - METHOD FOR EARLY DIAGNOSIS OF CARCINOMAS OF THE ANOGENITAL TRACT | 2 |
Jan-Gerd Hansel | DE | Bergisch Gladbach | 2016-02-18 / 20160046778 - LOW-SCORCH FLAME-RETARDANT POLYURETHANE FOAMS | 16 |
Bruce W. Hansel | US | Rochester | 2011-09-01 / 20110213781 - FILTERED REMOTE JOURNAL | 1 |
Eduardo Puggina Hansel | BR | Sao Paulo | 2016-05-19 / 20160140557 - E-COMMERCE BASED PAYMENT SYSTEM WITH AUTHENTICATION OF ELECTRONIC INVOICES | 1 |
Douglas R. Hansell | US | Easton | 2015-04-02 / 20150093738 - Administration And Monitoring Of Nitric Oxide In Ex Vivo Fluids | 2 |
Jerritt Hansell | US | Boulder | 2014-12-11 / 20140361907 - SYSTEM AND METHOD FOR INFERRING SCHEMATIC RELATIONSHIPS BETWEEN LOAD POINTS AND SERVICE TRANSFORMERS | 4 |
Chad Ryan Hansell | US | Lafayette | 2009-05-21 / 20090132946 - FLUID PROCESS SYSTEM SELECTION | 1 |
Matt Hansell | US | Schwenskville | 2014-10-02 / 20140296925 - Orthopedic Anchor Assembly | 1 |
Liz Hansell | GB | Bingley | 2016-03-03 / 20160065155 - CONTEXTUAL VOLUME CONTROL | 1 |
Matthew Hansell | US | Schwenksville | 2016-04-14 / 20160100868 - SECURING FASTENERS | 8 |
Eric Hansell | US | New Milford | 2012-08-02 / 20120196202 - MANIFOLD ASSEMBLY FOR CONTROLLING GAS FLOW AND FLOW DISTRIBUTION IN A FUEL CELL STACK | 1 |
Douglas M. Hansell | US | Newton | 2009-03-19 / 20090076850 - System for electronic prescriptions | 1 |
Matthew Hansell | US | Schwenskville | 2016-02-25 / 20160051370 - Expandable Vertebral Prosthesis | 3 |
Noah Hansell | US | King Of Prussia | 2016-02-25 / 20160051379 - INSERTION TOOL ASSEMBLY | 51 |
Jerritt Harold Hansell | US | Boulder | 2016-05-05 / 20160127242 - SYSTEM AND METHODS FOR ASSIGNING SLOTS AND RESOLVING SLOT CONFLICTS IN AN ELECTRICAL DISTRIBUTION GRID | 2 |
Jerritt H. Hansell | US | Boulder | 2014-12-18 / 20140368189 - SYSTEM AND METHOD FOR DETECTING AND LOCALIZING NON-TECHNICAL LOSSES IN AN ELECTRICAL POWER DISTRIBUTION GRID | 2 |
Noah Hansell | US | King Of Prussia | 2016-02-25 / 20160051379 - INSERTION TOOL ASSEMBLY | 51 |
Matthew Hansell | US | Schwenksville | 2016-04-14 / 20160100868 - SECURING FASTENERS | 8 |
Travis A. Hanselman | US | Winterset | 2015-04-09 / 20150097941 - APPARATUS FOR HIGH-THROUGHPUT POLLEN EXTRACTION AND COUNTING | 1 |
Brian Hanselman | US | Milford | 2015-10-01 / 20150276052 - TRANSMISSION WITH COMMANDED GEAR SHIFT MONITORING LOGIC | 2 |
Marcel Hanselman | NL | Beltrum | 2016-05-12 / 20160130093 - GRIPPING ELEMENT SUITABLE FOR USE WITH AN ACCUMULATOR TABLE, ACCUMULATOR TABLE PROVIDED WITH SUCH A GRIPPING ELEMENT AND CONVEYOR SYSTEM COMPRISING SUCH AN ACCUMULATOR TABLE | 1 |
Travis A. Hanselman | US | Johnston | 2014-12-25 / 20140376782 - IMMATURE EAR PHOTOMETRY IN MAIZE | 7 |
David Hanselman | US | Miamisburg | 2009-07-23 / 20090184135 - APPARATUS AND METHOD FOR CUSTOM COSMETIC DISPENSING | 1 |
Dave Hanselman | US | Miamisburg | 2012-06-21 / 20120152406 - APPARATUS AND METHOD FOR CUSTOM COSMETIC DISPENSING | 1 |
Andreas Hanselmann | DE | Schwabisch Hall | 2008-09-04 / 20080211595 - CIRCUIT ARRANGEMENT FOR FREQUENCY MODULATION | 1 |
Doris Hanselmann | DE | Wuerzburg | 2012-05-24 / 20120128978 - METHOD FOR ENCAPSULATING LIQUID OR PASTY SUBSTANCES IN A CROSS-LINKED ENCAPSULATION MATERIAL | 1 |
Michael Hanselmann | DE | Korntal | 2015-07-02 / 20150186332 - Method and device for providing a sparse gaussian process model for calculation in an engine control unit | 10 |
Roger Hanselmann | US | Branford | 2016-02-18 / 20160046603 - Crystalline Forms of D-Glucitol, 1-Deoxy-1-(Methylamino)-, 1-(6-Amino-3,5-Difluoropyridine-2-Yl)-8-Chloro-6-Fluoro-1,4-Dihydro-7-(3-- Hydroxyazetidin-1-Yl)-4-Oxo-3-Quinolinecarboxylate | 13 |
Lutz Hanselmann | DE | Mainz | 2009-08-06 / 20090194499 - Boom of a Laying Mechanism and Method of Moving the Boom into a Vehicle Transport Position | 1 |
Peter J. Hanselmann | AU | Pennant Hills | 2013-06-06 / 20130145359 - Method and System for Minimizing Disruption During In-Service Software Upgrade | 1 |
Markus Hanselmann | DE | Lauffen A. N. | 2011-09-29 / 20110236613 - Plastic Hollow Part and Method for the Manufacture Thereof | 3 |
Herbert Hanselmann | DE | Pederborn | 2011-03-24 / 20110072415 - PROCESS OF AUTOMATIC MATCHING OF SOFTWARE | 1 |
Hansjakob Hanselmann | CH | Oberschan | 2010-07-29 / 20100186516 - VACUUM MEASURING CELL DEVICE HAVING A HEATER | 1 |
Barbara Hanselmann | CH | Oberschan | 2008-11-27 / 20080292812 - Vacuum Treatment Installation and Vacuum Treatment Method | 1 |
William Hanselmann | CH | Chur | 2010-12-23 / 20100323067 - TEMPERATURE RESISTANT CHOCOLATE COMPOSITION AND METHOD | 1 |
Michael Hanselmann | DE | Korntal | 2015-07-02 / 20150186332 - Method and device for providing a sparse gaussian process model for calculation in an engine control unit | 10 |
Paul Hanselmann | CH | Brig-Glis | 2015-05-07 / 20150126775 - PROCESS FOR THE PRODUCTION OF CARNITINE FROM BETA-LACTONES | 8 |
Markus Hanselmann | DE | Lauffen A.n. | 2011-07-14 / 20110167776 - Filter Unit for Filtering Gaseous Fluids | 1 |
William J. Hanselmann | US | Sleepy Hollow | 2012-05-17 / 20120124197 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR PROVIDING NETWORK DATA FLOW ANALYSIS AND ROUTING | 1 |
Werner Hanselmann | CH | Sirnach | 2009-08-27 / 20090211724 - DIECASTING METHOD AND DEVICE | 1 |
Sonja Hanselmann | CH | Lachen | 2015-02-05 / 20150034975 - OPTOELECTRONIC MODULES THAT HAVE SHIELDING TO REDUCE LIGHT LEAKAGE OR STRAY LIGHT, AND FABRICATION METHODS FOR SUCH MODULES | 1 |
Roger Hanselmann | US | Branford | 2016-02-18 / 20160046603 - Crystalline Forms of D-Glucitol, 1-Deoxy-1-(Methylamino)-, 1-(6-Amino-3,5-Difluoropyridine-2-Yl)-8-Chloro-6-Fluoro-1,4-Dihydro-7-(3-- Hydroxyazetidin-1-Yl)-4-Oxo-3-Quinolinecarboxylate | 13 |
Rudolf Hanselmann | AU | Smithfield New South Wales | 2010-08-19 / 20100209080 - AIRFLOW SYSTEM AND APPARATUS AND METHOD FOR AIRFLOW SYSTEM | 1 |
Timo Hanselmann | DE | Schrozberg | 2012-05-24 / 20120125409 - FASTENING OF PANEL-TYPE ELEMENTS | 1 |
Herbert Hanselmann | DE | Paderborn | 2009-06-04 / 20090144704 - Comparison of Interfaces Between Software Components | 1 |
Paul Hanselmann | CH | Brig-Glis | 2015-05-07 / 20150126775 - PROCESS FOR THE PRODUCTION OF CARNITINE FROM BETA-LACTONES | 8 |
Lee Hansen | US | Saratoga Springs | 2016-04-07 / 20160097748 - AUTONOMOUS AMBIENT AIR SAMPLING SYSTEM FOR MONITORING SEMI-VOLATILE/NON-VOLATILE ORGANIC COMPOUNDS | 1 |
Per Bang Hansen | US | Rumson | 2016-05-19 / 20160142172 - RING NETWORK INCLUDING AT LEAST ONE SUBTENDING RING ORIGINATING AND TERMINATING AT A CENTRAL-OFFICE NODE | 4 |
Eric Christian Hansen | US | Ridgewood | 2014-04-17 / 20140107117 - Thienyl- and Furanyl-Isoquinolinones and Methods for Using Them | 2 |
Richard L. Hansen | US | Pennington | 2011-10-20 / 20110255756 - METHOD AND APPARATUS FOR SCREENING CHEMICAL COMPOUNDS | 2 |
Karl Hansen | US | Atlantic Highlands | 2008-09-18 / 20080227786 - Novel Crystalline Salts of a Dipeptidyl Peptidase-IV Inhibitor | 1 |
Peggy Hansen | US | Mahwah | 2011-01-27 / 20110021754 - BIOPOLYMERIC MEMBRANES | 1 |
Tony Lee Hansen | US | South Amboy | 2010-06-17 / 20100154055 - Prefix Domain Matching for Anti-Phishing Pattern Matching | 1 |
Gregory Hansen | US | Twp. Of Washington | 2013-05-09 / 20130117646 - SYSTEM AND METHOD FOR DELIVERING AND ACTIVATING A VIRTUAL GIFT CARD | 7 |
Robert Hansen | US | Succasunna | 2009-07-02 / 20090166096 - Weighing indicator and housing | 1 |
Eric Hansen | US | Ridgewood | 2009-01-22 / 20090023903 - PROCESS FOR THE PREPARATION OF TRIFLUOROALKYL-PHENYL AND HETEROCYCLIC SULFONAMIDES | 1 |
Stephen F. Hansen | US | Ridgewood | 2009-01-15 / 20090017430 - Virtual surgical training tool | 1 |
Jurgen Hansen | DE | Dusseldorf | 2008-11-13 / 20080277079 - ADAPTER RAIL | 1 |
Amy E. Hansen | US | San Francisco | 2014-06-12 / 20140160313 - DETERMINING A FINAL EXPOSURE SETTING AUTOMATICALLY FOR A SOLID STATE CAMERA WITHOUT A SEPARATE LIGHT METERING CIRCUIT | 1 |
Michael W. Hansen | US | Herriman | 2016-05-05 / 20160124621 - COMPUTER-BASED APPARATUS AND METHOD FOR OPERATING ON DATA | 1 |
Scott A. Hansen | US | Holland | 2015-12-31 / 20150375675 - ILLUMINATED VEHICLE INTERIOR COMPONENT | 12 |
Jan Hansen | DE | Renninger | 2008-12-25 / 20080317141 - Method for Calculating Functions of the Channel Matrices in Linear Mimo-Ofdm Data Transmission | 1 |
Larry Drake Hansen | US | Sandy | 2014-10-23 / 20140315663 - APPROACH TO PROVIDING SAFETY BARRIER BACKSTOP SYSTEMS FOR SPECTATORS OF BASEBALL GAMES | 1 |
Ian M. Hansen | US | Springville | 2016-04-21 / 20160112291 - GEOGRAPHIC SEGMENTATION SYSTEMS AND METHODS | 2 |
Michael Hansen | DK | Strand Esb | / - | 1 |
Michael Hansen | US | Apex | 2013-12-26 / 20130346090 - Systems, Methods and Computer Program Products for Providing Disease and/or Condition Specific Adaptive Mobile Health Content, Applications and/or Solutions | 3 |
Michael Hansen | DE | Cologne | 2012-04-05 / 20120080262 - Method for Producing a Lightweight, Sound Insulating Covering for Motor Vehicles and Corresponding Covering | 2 |
Michael Hansen | DK | Gilleleje | 2015-12-17 / 20150359656 - OSTOMY APPLIANCE | 14 |
Eric Andrew Hansen | US | Draper | 2015-07-23 / 20150208107 - CONSUMPTION LIKELIHOOD OF LINEAR CONTENT STREAMS | 2 |
Michael Svendsmark Hansen | DK | Frederiksberg | 2008-10-30 / 20080266545 - Sensor board | 1 |
Grazyna Hansen | DK | Frederiksberg | 2011-08-04 / 20110190678 - DRESSING PRODUCT | 4 |
Bjarne Gram Hansen | DK | Frederiksberg | 2010-01-14 / 20100011462 - FLAVIN MONOOXYGENASES AND TRANSCRIPTION FACTORS INVOLVED IN GLUCOSINOLATE BIOSYNTHESIS | 1 |
Lars Hansen | DK | Frederiksberg | 2009-02-12 / 20090042781 - Methods for Treating Diabetes | 1 |
Stefan Hansen | DK | Frederiksberg | 2008-12-11 / 20080306242 - INTERMEDIATES FOR LHRH ANTAGONIST SYNTHESIS, PROCESS FOR THE PRODUCTION, AND PROCESS FOR LHRH ANTAGONIST PRODUCTION | 1 |
Marie Bendix Hansen | DK | Frederiksberg | 2016-02-11 / 20160038898 - SYSTEM AND METHOD FOR PRODUCING BEADS | 4 |
Niels-Henrik Lai Hansen | DK | Frederiksberg | 2013-05-09 / 20130115899 - PEAK SUPPRESSION ON MULTICARRIER | 4 |
Rune Hansen | NO | Ranheim | 2014-06-05 / 20140150556 - Measurement and Imaging of Scatterers with Memory of Scatterer Parameters Using at Least Two-Frequency Elastic Wave Pulse Complexes | 1 |
Dan Hansen | US | Springfield | 2014-05-29 / 20140147560 - BREWER WITH COMPACTING FORCE ACTIVATION | 1 |
William Hansen | US | Coon Valley | 2014-10-09 / 20140303805 - SYSTEM AND METHOD FOR CONTROLLING A SYSTEM THAT INCLUDES FIXED SPEED AND VARIABLE SPEED COMPRESSORS | 1 |
Anders Helbo Hansen | DK | Frederiksberg | 2014-02-20 / 20140048228 - PROCESS FOR PRODUCTION OF SULPHURIC ACID | 2 |
Bo Hansen | DK | Copenhagen | 2016-04-21 / 20160106391 - Three Dimensional (3D) Vector Flow Imaging with BiPlane Phased Array Transducer | 2 |
Ulrich Hansen | DE | Stolberg | 2014-05-15 / 20140132352 - High Freqency Power Multiplier Solution | 1 |
Jorgen Hansen | DK | Glostrup | 2009-07-30 / 20090188143 - LABEL WITH A FORMABLE CUP | 1 |
Dale Scott Hansen | US | Fort Wayne | 2013-01-03 / 20130005540 - COMPONENT FOR WEIGHT-BEARING HEALTH EQUIPMENT SYSTEM AND METHOD OF USE | 1 |
Olaf Hansen | DE | Langenfeld | 2009-01-08 / 20090012144 - Optically active 2,5-bisaryl-delta¹ -pyrrolines and their use as pest control agents | 1 |
Christopher J. Hansen | US | Lowell | 2014-10-23 / 20140314954 - MULTINOZZLE DEPOSITION SYSTEM FOR DIRECT WRITE APPLICATIONS | 1 |
Kathy Hansen | NO | Tonder | 2014-10-23 / 20140311308 - Method and device for producing an energy-absorbing profile for a motor vehicle | 1 |
Thomas A. Hansen | US | Arlington | 2014-10-23 / 20140312076 - TELESCOPING DIP TUBE ASSEMBLY AND METHOD OF USE | 1 |
Tore Hansen | NO | Oslo | 2011-02-10 / 20110034654 - POLYMER ORGANOCATALYST AND PREPARATION PROCESS | 1 |
Finn Knut Hansen | NO | Strommen | 2011-02-10 / 20110034654 - POLYMER ORGANOCATALYST AND PREPARATION PROCESS | 2 |
Gunnar Hansen | NO | Vestfold | 2010-09-30 / 20100249589 - SYSTEM AND METHOD FOR FUNCTIONAL ULTRASOUND IMAGING | 1 |
Benedict J.m. Hansen | NO | Oslo | 2010-09-02 / 20100222183 - TRAINING APPARATUS | 1 |
Trond Andreas Hansen | NO | Drammen | 2010-08-26 / 20100213500 - INFRARED SOURCE | 2 |
Rolf Steen Hansen | NO | Stathelle | 2010-06-03 / 20100133096 - Use of Austenitic Stainless Steel as Construction Material in a Device or Structural Component Which is Exposed to an Oxygen and/or Hydrogen and/or Hydrofluoric Acid Environment | 1 |
Brikt Rathour Hansen | NO | Stavanger | 2010-04-22 / 20100095757 - MEASUREMENTS OF ROCK PARAMETERS | 1 |
Rune Hansen | NO | Trondheim | 2012-04-19 / 20120095699 - Method for Imaging of Nonlinear Interaction Scattering | 4 |
Tom Roger Hansen | NO | Bergen | 2010-01-28 / 20100019770 - ELECTROMAGNETIC GEOLOGICAL METROLOGY SYSTEM | 1 |
Kai Hansen | NO | Oslo | 2010-01-21 / 20100016994 - Network Supervision With Control Systems | 1 |
Rolf Kahrs Hansen | NO | Bergen | 2009-10-08 / 20090250839 - Method of preparing an object for submerged sonar inspection | 2 |
Trond Werner Hansen | NO | Horten | 2009-04-23 / 20090106698 - Method and device for providing easy access to pre-selected data resources | 1 |
Pal Francis Hansen | NO | Vesteroy | 2008-12-25 / 20080314497 - Vacuum bag frame assembly for the manufacturing of fibre-reinforced composite panels | 1 |
Benedict J. M. Hansen | NO | Oslo | 2008-10-30 / 20080269025 - Indoor Exercise Cycle With Tilt Function | 1 |
Arne Hansen | NO | Sandvika | 2008-10-09 / 20080244882 - Connecting Device | 1 |
Eric Matthew Hansen | US | Santa Cruz | 2013-05-02 / 20130106806 - Bounding Box Based Control Method for Electronic Paper Devices | 1 |
Carl C. Hansen | US | Aloha | 2014-05-22 / 20140142937 - GESTURE-AUGMENTED SPEECH RECOGNITION | 1 |
Matthew D. Hansen | US | Rockford | 2013-05-02 / 20130105343 - PACKAGE ASSEMBLY FOR WIPER BLADE | 1 |
Keith Hansen | US | Longmont | 2014-10-30 / 20140318030 - COVERED GUTTER WITH BI-DIRECTIONAL VERTICAL PLATE | 1 |
Marc Hansen | US | Pleasant Grove | 2013-08-29 / 20130225610 - ANTIMETASTATIC COMPOUNDS | 3 |
Jason L. Hansen | US | Verona | 2014-10-30 / 20140324473 - System and Method for Providing Patient Record Synchronization in a Healthcare Setting | 1 |
Marco Hansen | DE | Groven | 2015-11-19 / 20150330361 - METHOD FOR ADJUSTING THE AZIMUTH OF A WIND POWER PLANT, AZIMUTH ADJUSTMENT SYSTEM AND WIND POWER PLANT | 2 |
Birthe Lykkegaard Hansen | DK | Vaerloese | 2016-04-14 / 20160101163 - Stabilised Compositions of Factor VII Polypeptides | 6 |
Birthe Lykkegaard Hansen | DK | Vaerløse | 2010-02-04 / 20100029569 - Pharmaceutical Formulation | 1 |
Birthe Lykkegaard Hansen | DK | Vaerlose | 2012-01-05 / 20120004176 - Liquid Composition of Factor VII Polypeptides | 3 |
David Hansen | US | Beaverton | 2009-01-29 / 20090031100 - MEMORY REALLOCATION IN A COMPUTING ENVIRONMENT | 1 |
David Hansen | US | Orland Park | 2015-12-10 / 20150352749 - METHOD AND APPARATUS FOR ROTARY MOLDING | 9 |
David Hansen | US | Garnerville | 2010-03-04 / 20100051308 - COVERED IN-FLOOR RECEPTACLE BOX | 1 |
David Hansen | US | Palo Alto | 2012-11-22 / 20120292585 - CONTINUOUS PLANE OF THIN-FILM MATERIALS FOR A TWO-TERMINAL CROSS-POINT MEMORY | 9 |
Lars Hendrik Hansen | DK | Roskilde | 2013-07-11 / 20130177679 - Method for Adjusting a Water Temperature and a Pasteurization Tunnel | 1 |
Terkel Hansen | NO | Tromso | 2013-02-07 / 20130035296 - THERAPEUTIC PEPTIDES | 1 |
Palle M. Hansen | DK | Bjaeverskov | 2015-11-19 / 20150328022 - MEDICAL DEVICE HAVING MAGNETICALLY EXPANDABLE FRAME | 14 |
Christian Hansen | US | Jacksonville | 2008-11-06 / 20080271454 - Steam powered engine | 1 |
Christian Hansen | DK | Vedbaek | 2013-03-21 / 20130071496 - CONTROLLED RELEASE COMPOSITION CONTAINING A STRONTIUM SALT | 9 |
Palle Munk Hansen | DK | Bjaeverskov | 2016-03-31 / 20160089515 - WIRE GUIDE FOR TRAVERSING BODY PASSAGES | 7 |
Palle Hansen | DK | Bjaeverskov | 2016-02-11 / 20160038271 - LOW RADIAL FORCE FILTER | 5 |
Stewart Hansen | US | Missoula | 2013-08-08 / 20130199119 - Board and Batten Siding System | 1 |
Hans Christian Hansen | DK | Logum Kloster | 2010-03-18 / 20100064548 - METHOD OF PRODUCING FOOTWEAR | 1 |
Glade Hansen | US | Queen Creek | 2014-11-13 / 20140331884 - 40MM DOOR-BREACHING GRENADE | 1 |
John E. Hansen | US | Brush | 2015-07-09 / 20150192185 - Shock Absorber Protector | 2 |
David Hansen | US | Orland Park | 2015-12-10 / 20150352749 - METHOD AND APPARATUS FOR ROTARY MOLDING | 9 |
Ronald S. Hansen | US | Leonard | 2014-11-20 / 20140338991 - CONVERSION SYSTEM FOR A WHEELED VEHICLE | 1 |
Timothy D. Hansen | US | Saint Charles | 2010-07-01 / 20100169960 - Job Search and Coaching System & Process | 1 |
Mark D. Hansen | US | Buffalo Grove | 2015-07-02 / 20150188975 - DISTRIBUTING METADATA TO PEER DEVICES | 5 |
Jim Hansen | US | Bensenville | 2010-12-16 / 20100317529 - 1-Aminocyclopropane Carboxylic Acid as a Fruit Thinner | 1 |
Mark Hansen | US | Buffalo Grove | 2012-07-26 / 20120191290 - Method and System for Providing Environmentally-Optimized Navigation Routes | 4 |
Mitch Hansen | US | Fox River Grove | 2009-07-02 / 20090172079 - METHOD, COMPUTER SYSTEM, AND COMPUTER READABLE MEDIUM FOR SENDING MESSAGES FROM A MOBILE DEVICE VIA A HOST SYSTEM TRANSPARENTLY | 1 |
Mike Hansen | US | Village Of Lakewood | 2012-09-06 / 20120223676 - Carrying Case | 4 |
Andrew H. Hansen | US | Round Lake | 2010-10-21 / 20100263233 - Rocker shoes for prescribed ankle motion | 4 |
Donald J. Hansen | US | Morris | 2010-07-01 / 20100169053 - Method for creating weldment inspection documents | 1 |
Allen R. Hansen | US | Winnebago | 2009-05-21 / 20090126816 - LIGHTWEIGHT HIGH PRESSURE REPAIRABLE PISTON TIE ROD COMPOSITE ACCUMULATOR | 2 |
Timothy S. Hansen | US | Lagrange | 2014-04-17 / 20140106052 - STABILIZED WHOLE GRAIN FLOUR AND METHOD OF MAKING | 3 |
Olaf Hansen | DE | Leichlingen | 2009-01-15 / 20090017084 - Dermally applicable liquid formulations for controlling parasitic insects on animals | 1 |
Jens Hansen | DE | Kirchheim | 2009-04-30 / 20090113561 - GENE TRAP CASSETTES FOR RANDOM AND TARGETED CONDITIONAL GENE INACTIVATION | 1 |
Kristoffer Hansen | DK | Maaloev | 2015-01-15 / 20150018790 - OSTOMY WAFER | 4 |
Kurt L. Hansen | US | Parker | 2014-11-20 / 20140344089 - MONEY TRANSFER SYSTEM AND METHOD | 1 |
Jens Hansen | DK | Virum | 2015-11-26 / 20150335575 - PHARMACEUTICAL SPRAY COMPOSITION COMPRISING A VITAMIN D ANALOGUE AND A CORTICOSTEROID | 3 |
Erling Lennart Hansen | DK | Virum | 2014-11-27 / 20140350142 - AQUEOUS BINDER COMPOSITION | 6 |
Anita Joan Hansen | DK | Virum | 2009-05-07 / 20090118682 - Reservoir Device With Inspection Aid For Detection Of Drug Condition | 1 |
Michael Hansen | US | Everett | 2015-10-29 / 20150308835 - SYSTEMS, METHODS, AND APPARATUS TO DETERMINE PHYSICAL LOCATION AND ROUTING WITHIN A FIELD OF LOW POWER BEACONS | 4 |
Bjørn Rafael Hansen | NO | Lillehammer | 2012-11-08 / 20120279730 - FIRE EXTINGUISHING ASSEMBLY FOR TRANSFORMING A LIQUID TO A LIQUID MIST | 1 |
Michael Ejstrup Hansen | DK | Morud | 2014-05-22 / 20140142514 - MEDICAL DELIVERY SYSTEM COMPRISING LOCKING RING WITH L-SHAPED GROOVES | 8 |
Michael Ejstrup Hansen | DK | Morund | 2010-06-17 / 20100152657 - Medical Delivery System Comprising a Coding Mechanism | 1 |
Michael Ejstrup Hansen | DK | Veflinge | 2012-06-21 / 20120157932 - INJECTION DEVICE | 4 |
Axel Kornerup Hansen | DK | Roskilde | 2011-05-12 / 20110111023 - PREVENTION OF TYPE 1 DIABETES BY ADMINISTRATION OF GLIADIN | 1 |
Lars Kresten Hansen | DK | Roskilde | 2011-09-29 / 20110232333 - PROCESS AND APPARATUS FOR MAKING MINERAL FIBRES | 3 |
Kim Heegaard Hansen | DK | Roskilde | 2016-03-31 / 20160094923 - SOUND ENRICHMENT FOR THE RELIEF OF TINNITUS | 2 |
Rene Skov Hansen | DK | Roskilde | 2010-11-04 / 20100277714 - COHERENT LIDAR SYSTEM BASED ON A SEMICONDUCTOR LASER AND AMPLIFIER | 1 |
Morten Hartvig Hansen | DK | Roskilde | 2009-11-26 / 20090290982 - VARIABLE TRAILING EDGE SECTION GEOMETRY FOR WIND TURBINE BLADE | 2 |
Lars H. Hansen | DK | Roskilde | 2009-05-07 / 20090117237 - METHOD FOR ADJUSTING A WATER TEMPERATURE AND A PASTEURIZATION TUNNEL | 1 |
Marc Skov Hansen | DK | Roskilde | 2010-08-12 / 20100203232 - METHOD OF MANUFACTURING COATED NEEDLE ELECTRODES | 1 |
Lars Elmekilde Hansen | DK | Roskilde | 2015-10-01 / 20150274578 - PROCESS AND APPARATUS FOR FORMING MAN-MADE VITEROUS FIBRES | 12 |
Lucas Paul Hansen | US | Seattle | 2013-11-07 / 20130297778 - SYSTEM FOR CENTRALIZED ANALYTICS TRACKING VIA SERVER-SIDE SESSIONIZATION | 5 |
Richard W. Hansen | US | Seattle | 2013-06-27 / 20130166594 - Advertisement, Feature and Data Provisioning Based on Dialed Numbers and Other Addresses | 1 |
Matthew Hansen | US | River Forest | 2016-05-12 / 20160132888 - SYSTEM AND METHOD FOR INVESTIGATING FRAUDULENT ACTIVITY | 3 |
Scott G. Hansen | US | Portland | 2016-04-28 / 20160114027 - RECOMBINANT HCMV AND RHCMV VECTORS AND USES THEREOF | 4 |
John Olaf Hansen | ZA | Johannesburg | 2009-05-21 / 20090127506 - HIGH CRYSTALLINE QUALITY SYNTHETIC DIAMOND | 1 |
John Olaf Hansen | ZA | Rouxville | 2009-10-15 / 20090258229 - METHOD OF IMPROVING THE CRYSTALLINE PERFECTION OF DIAMOND CRYSTALS | 1 |
John Olaf Hansen | ZA | Paulshof | 2010-02-04 / 20100028246 - SYNTHESIS OF DIAMOND | 1 |
Frederick R. Hansen | NO | Nesttun | 2014-12-04 / 20140357478 - NOVEL OLEFIN METATHESIS CATALYSTS | 1 |
Christopher J. Hansen | US | Sunnyvale | 2012-06-07 / 20120140758 - SIGNALING FORMAT FOR WIRELESS COMMUNICATIONS | 43 |
John Alexander Hansen | US | Kansas City | 2012-11-08 / 20120281424 - ILLUMINATION LAMP WITH DUAL BEAM FUNCTIONS | 1 |
Daniel Hansen | US | Springfield | 2015-01-22 / 20150020691 - MILK HEATING AND DISPENSING AND FLAVOR DISPENSING SYSTEM, METHOD, AND APPARATUS | 2 |
Nathaniel S. Hansen | US | Ithaca | 2014-11-13 / 20140332733 - PURE METAL AND CERAMIC NANOFIBERS | 2 |
Neils Richard Stewart Hansen | GB | Poole | 2015-05-07 / 20150122649 - SUPPORT FOR ELECTRODE STACK & PROVISION FOR VENTING OF A GAS SENSOR USING AN INTERNALLY MOUNTED TABLE | 2 |
Curtis J. Hansen | US | Opelika | 2009-08-20 / 20090210972 - CHESTNUT PLANT NAMED 'AU BUCK II' | 8 |
Jennifer Hansen | CA | Toronto | 2012-11-08 / 20120283122 - ANALYTE QUANTIFICATION MULTIPLEX MICROARRAYS COMBINING INTERNAL AND EXTERNAL CALIBRATION | 1 |
Espen Fridtjof Hansen | NO | Lommedalen | 2014-12-18 / 20140371423 - METHOD FOR PURIFICATION OF MICAFUNGIN | 1 |
Leah Hansen | US | Jacksonville | 2015-12-31 / 20150378057 - MEDICAL DEVICES HAVING HOMOGENEOUS CHARGE DENSITY AND METHODS FOR MAKING SAME | 4 |
Lasse Hansen | DK | Brondby Strand | 2011-10-20 / 20110256020 - Method and an Apparatus for Sterilizing Packaging Material | 3 |
Lasse Hansen | DK | Broendby Strand | 2009-02-05 / 20090036867 - Medication Delivery Device Applying A Collapsible Reservoir | 1 |
Lasse Hansen | DK | Brondby Strant | 2008-12-18 / 20080311321 - Multilayer Film With Septum Layer | 1 |
Melf Hansen | DE | Gondelsheim | 2016-03-31 / 20160088930 - ADJUSTABLE GAS-PRESSURE SPRING, HEIGHT-ADJUSTABLE PILLAR HAVING A GAS-PRESSURE SPRING AND FURNITURE PIECE HAVING A HEIGHT-ADJUSTABLE PILLAR | 10 |
Helbo Anders Hansen | DK | Frederiksberg | 2013-12-26 / 20130343147 - MIXING DEVICE | 1 |
Matthew P. Hansen | US | Verona | 2013-12-26 / 20130342866 - MOBILE DEVICE DIRECTED MULTIFUNCTION DEVICE SCANNING TO CLOUD STORAGE | 1 |
Søren Hansen | DK | Helsingor | 2014-01-02 / 20140005619 - Activity Ostomy Bag | 1 |
Martin Wayne Hansen | US | Puyallup | 2014-12-25 / 20140374013 - Fabrication of Stiffened Composite Panels | 1 |
Lucas P. Hansen | US | Seattle | 2014-12-25 / 20140379498 - DYNAMIC CATEGORIZATIONS FOR ELECTRONIC ADVERTISING | 1 |
Sven Hansen | DE | Hamburg | 2015-01-22 / 20150024132 - METHOD OF PRODUCING A SELF-ADHESIVE TAPE HAVING A LAYER OF PHOTOINITIATOR-FREE PRESSURE-SENSITIVE ACRYLATE HOTMELT ADHESIVE | 10 |
Karsten Hansen | DE | Hamburg | 2010-06-03 / 20100133442 - X-RAY DETECTOR MODULE WITH A COLLIMATOR | 1 |
Ingo Hansen | DE | Hamburg | 2009-12-24 / 20090314952 - ION SOURCE FOR GENERATING NEGATIVELY CHARGED IONS | 1 |
Bjarne Hansen | FR | Pontvallain | 2010-09-16 / 20100229622 - PRESS BRAKE FOR BENDING SHEETS | 3 |
Clifford L. Hansen | US | Rochester | 2013-03-21 / 20130073863 - PERSONAL IDENTIFICATION NUMBER SECURITY ENHANCEMENT | 2 |
Katherine Sarah Hansen | US | Cary | 2015-10-08 / 20150283392 - UP AND DOWN CONVERSION SYSTEMS FOR PRODUCTION OF EMITTED LIGHT FROM VARIOUS ENERGY SOURCES INCLUDING RADIO FREQUENCY, MICROWAVE ENERGY AND MAGNETIC INDUCTION SOURCES FOR UPCONVERSION | 2 |
Zach Hansen | US | Atlanta | 2014-01-30 / 20140027358 - Water Treatment System | 1 |
Steve Hansen | US | Phoenix | 2012-05-03 / 20120109607 - Method, Program Product and Apparatus for Predicting Line Width Roughness and Resist Pattern Failure and the Use Thereof in a Lithography Simulation Process | 2 |
Steven George Hansen | US | Phoenix | 2016-04-21 / 20160110488 - SOURCE MASK OPTIMIZATION TO REDUCE STOCHASTIC EFFECTS | 8 |
Geneviève Hansen | US | Del Mar | 2011-09-08 / 20110217304 - MONOCLONAL ANTIBODIES DIRECTED TO CD52 | 3 |
Andrew Hansen | US | Bullhead City | 2012-12-06 / 20120308441 - Method and Apparatus for Production of Electrical Energy and Liquid Hydrocarbons from Oil Sands/Bitumen, Biomass and Waste Products by Means of Thermal Anaerobic Gasification Gas Up-Grading | 2 |
Alisha Hansen | US | Sedona | 2010-09-30 / 20100248381 - Methods for Detecting Urushiol-Bearing Plants | 1 |
Kevin Hansen | US | Tucson | 2010-07-08 / 20100170489 - Crossbow Stock Having Lower Floating Rail | 4 |
Adam J. Hansen | US | Tucson | 2010-01-21 / 20100012152 - SYSTEMS AND METHODS FOR TROCAR CLEANING | 1 |
Joni G. Hansen | US | Phoenix | 2009-04-09 / 20090093072 - ELECTRONIC ASSEMBLIES WITH HOT SPOT COOLING AND METHODS RELATING THERETO | 1 |
Yngvar Hansen | NO | Kristiansand | 2015-01-15 / 20150015394 - SYSTEM AND METHOD FOR ALERTING AND TRACKING WITH IMPROVED CONFIDENTIALITY | 1 |
Peder Bent Hansen | SE | Malmo | 2016-02-11 / 20160039483 - VACUUM WALL CRAWLER | 2 |
Peder Bent Hansen | DK | Malmö | 2009-04-23 / 20090102096 - Moulding device | 1 |
Peder Bent Hansen | DK | Malmö | 2009-04-23 / 20090102096 - Moulding device | 1 |
Carl Lars Genoble Hansen | CA | Vancouver | 2015-01-15 / 20150018226 - Microfluidic Cell Trap and Assay Apparatus for High-Throughput Analysis | 1 |
Andrew Hansen | US | Apple Valley | 2015-03-26 / 20150084307 - Mobile Manual Standing Wheelchair | 3 |
Jon Hansen | US | Westbrook | 2014-01-09 / 20140011675 - AGRICULTURAL COMPOSITIONS AND APPLICATIONS UTILIZING MINERAL COMPOUNDS | 1 |
Kris J. Hansen | US | Afton | 2014-02-13 / 20140046293 - Method Of Drug Delivery For PTH, PTHrP And Related Peptides | 3 |
Thomas Hansen | DE | Büren | 2009-12-10 / 20090306835 - Agricultural vehicle and method of operation therefor | 1 |
Jörg Hansen | DE | Lohfelden | 2009-12-17 / 20090308622 - Fire Protection System for One or More Supply Lines | 1 |
Matthew Hansen | US | Burnsville | 2013-08-08 / 20130204469 - SYSTEM AND METHOD FOR REAL-TIME AIRCRAFT PERFORMANCE MONITORING | 1 |
Klaus Hansen | DE | Grevenbroich-Munchrath | 2014-02-20 / 20140051768 - METHOD OF TREATING DISEASES THAT RESPOND TO THERAPY BY DOPAMINE OR DOPAMINE AGONISTS | 1 |
Lars Hansen | DE | Munchen | 2014-08-21 / 20140232571 - METHOD AND SYSTEM OF A VEHICLE FOR SENDING AN EMERGENCY CALL SIGNAL | 3 |
Thomas Hansen | DE | Bueren | 2013-12-05 / 20130325261 - METHOD FOR ADJUSTING TIRE PRESSURE | 1 |
Yves Hansen | DE | Hannover | 2015-12-03 / 20150345675 - Elastic Seal Element for a Fluid Passage | 2 |
Jeffrey Hansen | US | Renton | 2014-11-20 / 20140339002 - APPARATUS FOR FORMING A VACUUM ADHESION | 2 |
Nicholas B. Hansen | US | Fayetteville | 2014-12-25 / 20140373499 - DOOR PROP IN A LAWN MOWER | 2 |
Justin B. Hansen | US | Olathe | 2014-02-27 / 20140055803 - Dynamic Variable-Content Publishing | 2 |
Genevieve Hansen | US | Rancho Santa Fe | 2011-05-26 / 20110123440 - Altered Antibody FC Regions and Uses Thereof | 1 |
Anthony D.a. Hansen | US | Berkeley | 2012-09-13 / 20120229798 - METHOD FOR AUTOMATIC PERFORMANCE DIAGNOSIS AND CALIBRATION OF A PHOTOMETRIC PARTICLE ANALYZER | 3 |
Charles Hansen | US | West Babylon | 2011-05-26 / 20110120823 - Retracta Belt Brake System | 1 |
Russ Hansen | US | Mount Carroll | 2014-02-27 / 20140053911 - COLD WATER DELIVERY SYSTEM | 1 |
Stanley E. Hansen | US | Mchenry | 2014-08-28 / 20140242634 - Methods and Compositions for Hematoxylin and Eosin Staining | 2 |
Michael Hansen | US | Atwater | 2011-05-26 / 20110121982 - METHODS AND APPARATUSES FOR INDICATING THE LOCATION OF WATER FLOWING IN A FIELD | 1 |
Timothy Roy Hansen | US | Spring Grove | 2012-02-09 / 20120031203 - DEVICE FOR AUTOMATICALLY ADJUSTING THE BACTERIAL INOCULUM LEVEL OF A SAMPLE | 2 |
Christian Michael Hansen | US | Simpsonville | 2015-04-16 / 20150101349 - LOCKING SPACER ASSEMBLY | 5 |
Nicholas Hansen | US | Columbia | 2010-10-28 / 20100269474 - BAFFLE BASED BATTERY HOUSING | 3 |
Erich K. Hansen | US | Aiken | 2010-06-10 / 20100139320 - Hollow porous-wall glass microspheres and composition and process for controlling pore size and pore volume | 1 |
Christian M. Hansen | US | Simpsonville | 2010-10-21 / 20100266399 - Gas turbine engine | 1 |
Frederick S. Hansen | US | Cheraw | 2010-09-09 / 20100223793 - UTILITY KNIFE | 2 |
Dennis Carl Hansen | US | Anderson | 2016-03-24 / 20160084561 - ICE MAKER FOR A REFRIGERATION APPLIANCE | 5 |
Derek L. Hansen | US | Laurel | 2016-04-28 / 20160114625 - WHEEL ASSEMBLY SEAL | 2 |
Torben Hansen | DK | Copenhagen S | 2010-04-22 / 20100096100 - MACHINE FOR PRODUCING FLASKLESS MOLDS | 1 |
Bernd Hansen | DE | Sulzbach-Laufer | 2009-09-17 / 20090230080 - Dispensing closure with a plug-through sleeve for fixing it to a container | 1 |
Jens Peter Hansen | DK | Aalborg | 2015-10-15 / 20150292379 - CLEANING SYSTEM AND METHOD FOR REDUCTION OF SOX IN EXHAUST GASES | 5 |
Jens Peter Hansen | DK | Kopenhamn O | 2008-09-25 / 20080233044 - Method and Apparatus For Hydration of a Particulate or Pulverulent Material Containing Cao, Hydrated Product, and Use of Hydrated Product | 1 |
Stuart C. Hansen | US | Palo Alto | 2013-08-01 / 20130193318 - ION SOURCE FOR MASS SPECTROMETERS | 2 |
Wiebke Hansen | DE | Braunschweig | 2009-11-12 / 20090280092 - G-Protein Coupled Receptor 83 As a Molecular Switch for the Induction of Regulatory (immunosuppressive) T-cells | 1 |
Richard G. Hansen | US | Mahtomed | 2011-06-02 / 20110130518 - REACTIVE LIQUID MODIFIERS | 1 |
Dennis W. Hansen | DK | Solred Strand | 2012-11-15 / 20120288131 - HEADPHONE WITH A HEADBAND | 1 |
Mark C. Hansen | US | Kokomo | 2012-12-20 / 20120319701 - System and Method of Occupant Detection with a Resonant Frequency | 11 |
John Hansen | US | Fullerton | 2011-06-09 / 20110131896 - REINFORCING BRACE FRAME | 1 |
Marcia K. Hansen | US | Fairport | 2011-06-09 / 20110133093 - DIGITAL RADIOGRAPHIC DETECTOR WITH BONDED PHOSPHOR LAYER | 2 |
Dennis W. Hansen | DK | Solrod Strand | 2013-08-15 / 20130208940 - HEADSET WITH PIVOTAL PARTS | 3 |
Joergen Hansen | DK | Frederiksberg | 2015-08-20 / 20150232889 - Method of Producing a Low Molecular Weight Organic Compound in a Cell | 4 |
Wayne M. Hansen | US | Poynette | 2013-11-14 / 20130299490 - REUSABLE BIN | 2 |
Anker J. Hansen | DK | Charlottenlund | 2015-02-12 / 20150044231 - Therapeutic Antibodies | 1 |
Palle M. Hansen | DK | Bjaeverskov | 2015-11-19 / 20150328022 - MEDICAL DEVICE HAVING MAGNETICALLY EXPANDABLE FRAME | 14 |
Jens J. Hansen | DK | Jyllinge | 2016-05-05 / 20160120954 - Pharmaceutical Composition Suitable for Treatment of Haemophilia | 4 |
Eric J. Hansen | US | Grand Rapids | 2014-03-20 / 20140076368 - CLEANING PAD AND STEAM APPLIANCE | 3 |
Marc David Hansen | US | Morgan Hill | 2015-02-12 / 20150046862 - MODIFYING BINNING OPERATIONS | 1 |
Nicholas Eric Hansen | US | Lawrence Park | 2013-12-26 / 20130340430 - SYSTEMS AND METHODS FOR A HYDRAULICALLY ACTUATED ENGINE VALVE | 1 |
Nicholas Eric Hansen | US | Erie | 2016-05-19 / 20160138526 - METHOD AND SYSTEMS FOR EXHAUST GAS RECIRCULATION VALVE DIAGNOSIS BASED ON CRANKCASE PRESSURE | 2 |
Lisa Hansen | US | Puyallup | 2015-02-19 / 20150047196 - METHOD OF WHEEL AND HUBCAP ADORNMENT | 1 |
Albert Hansen | US | Puyallup | 2015-02-19 / 20150047196 - METHOD OF WHEEL AND HUBCAP ADORNMENT | 1 |
Ole Hansen | DE | Hamminkeln | 2009-12-03 / 20090297337 - Geared Turbine Machine For A Machine Train, Machine Train With And Gear For Geared Turbine Machine | 1 |
Guido Hansen | DE | Luebeck | 2011-06-23 / 20110150884 - CRYSTALLINE COMPOSITION OF GM-CSF/GM-CSFR | 1 |
Niels Hansen | DK | Frederiksberg | 2011-06-23 / 20110151813 - MULTI CARRIER LEAKAGE TUNING BY ERROR POWER DETECTION | 1 |
Gregory A. T. Hansen | US | San Antonio | 2015-02-26 / 20150054497 - System and Method for Measuring Rotation Speed and Direction of Tappets (Lifters) of an Engine Valve Train | 1 |
Steven M. Hansen | US | Wilmington | 2015-06-11 / 20150158986 - POLYMERIC INTERLAYER SHEETS AND LIGHT WEIGHT LAMINATES PRODUCED THEREFROM | 3 |
Richard D. Hansen | US | Mustang | 2011-06-30 / 20110159042 - PYTHIUM IMMUNOTHERAPY | 1 |
Karl B. Hansen | US | Cohasset | 2011-06-30 / 20110160463 - PROCESS FOR MAKING SUBSTITUTED 2-AMINO-THIAZOLONES | 1 |
Wes Hansen | CA | Calgary | 2011-06-30 / 20110155463 - System and apparatus for directing a survey of a well | 2 |
Eric A. Hansen | US | Big Lake | 2014-05-15 / 20140133909 - ECCENTRIC WEIGHT SHAFT FOR VIBRATORY COMPACTOR | 4 |
Sebastian Hansen | DE | Besigheim | 2009-12-03 / 20090293282 - Water Supply for a Hand-Held Power Tool | 1 |
Tamara Jewell Hansen | US | Medina | 2015-02-26 / 20150057810 - BUILDING ENERGY ANALYSIS AND MANAGEMENT SYSTEM | 1 |
Robert C. Hansen | US | Edicott | 2015-02-26 / 20150058820 - AUTOMATED CODE COVERAGE MEASUREMENT AND TRACKING PER USER STORY AND REQUIREMENT | 1 |
Thomas Hansen | DE | Büren | 2009-12-10 / 20090306835 - Agricultural vehicle and method of operation therefor | 1 |
Martin Hansen | DE | Oldenburg | 2009-12-10 / 20090304215 - HEARING AID AND A METHOD FOR ENHANCING SPEECH INTELLIGIBILITY | 1 |
Niels Hansen | DK | Leijre | 2011-07-07 / 20110165827 - Carcass Cleaning Method, Device and Machine | 1 |
Bjørn R. Hansen | NO | Lillehammer | 2014-06-12 / 20140158383 - METHOD AND NOZZLE FOR FIREFIGHTING | 2 |
Finn Hansen | NO | Strommen | 2016-03-17 / 20160075800 - PROCESS FOR THE PREPARATION OF MONODISPERSE POLYMER PARTICLES | 3 |
Frederick Rosberg Hansen | NO | Nesttun | 2013-01-24 / 20130023665 - NOVEL OLEFIN METATHESIS CATALYSTS | 1 |
Lars Stenfeldt Hansen | DK | Charlottenlund | 2009-04-23 / 20090103513 - Communications terminal, a system and a method for internet/network telephony | 1 |
Jens Henrik Hansen | DK | Charlottenlund | 2009-12-03 / 20090294122 - FLOW SIMULATION IN A WELL OR PIPE | 1 |
Jens Bo Rode Hansen | DK | Charlottenlund | 2015-11-05 / 20150315595 - Compositions and Methods for Modulation of ATXN3 Expression | 5 |
Anker Jon Hansen | DK | Charlottenlund | 2013-11-07 / 20130295116 - THERAPEUTIC ANTIBODIES | 4 |
Jörg Hansen | DE | Lohfelden | 2009-12-17 / 20090308622 - Fire Protection System for One or More Supply Lines | 1 |
Ronald L. Hansen | US | Tremonton | 2012-08-16 / 20120208134 - DEVICES INCORPORATING NON-LETHAL PAYLOADS AND METHODS OF PRODUCING A FLASH | 2 |
Henrik C. Hansen | CA | Calgary | 2016-05-19 / 20160137613 - NOVEL ANTI-INFLAMMATORY AGENTS | 10 |
Don Lee Hansen | US | Renton | 2015-03-12 / 20150068889 - SYSTEM AND METHOD FOR GENERATING HYDROGEN AND OXYGEN GASES | 1 |
Mikkel Hansen | DK | Kobenhavn | 2015-03-12 / 20150068461 - FOOD RETAINER FOR A DOMESTIC ANIMAL | 1 |
Lutz Hansen | DE | March | 2010-02-11 / 20100034749 - Use of a Cationic Collodal Preparation for the Diagnosis and Treatment of Ocular Diseases | 1 |
Tue Hansen | DK | Copenhagen S | 2015-03-12 / 20150072926 - COMPOSITIONS OF GLP-1 PEPTIDES AND PREPARATION THEREOF | 1 |
Peter J. Hansen | US | Fishers | 2014-10-16 / 20140306017 - DURABLE RFID TAG | 2 |
Ulli Hansen | DE | Berlin | 2015-06-25 / 20150179828 - ARRANGEMENT WITH A COMPONENT ON A CARRIER SUBSTRATE, AN ARRANGEMENT AND A SEMI-FINISHED PRODUCT | 5 |
Jon Milton Hansen | US | Ellicot City | 2011-07-21 / 20110177031 - Eicosapentaenoic Acid-Producing Microorganisms, Fatty Acid Compositions, and Methods of Making and Uses Thereof | 1 |
Stacey J. Hansen | US | Renton | 2016-04-07 / 20160096869 - CHLOROTOXIN CONJUGATES AND METHODS OF USE THEREOF | 2 |
Jesper Lind Hansen | DK | Rodovre | 2010-01-21 / 20100013534 - CONTROLLED POWER CONVERTER | 1 |
Henrik Frydenlund Hansen | DK | Rodovre | 2016-02-04 / 20160032289 - Oral Delivery of Therapeutically Effective LNA Oligonucleotides | 11 |
Henrik F. Hansen | DK | Rodovre | 2014-10-02 / 20140294897 - POTENT LNA OLIGONUCLEOTIDES FOR THE INHIBITION OF HIF-1A EXPRESSION | 3 |
Dennis Brian Hansen | DK | Rodovre | 2010-09-09 / 20100228006 - Process for purifying lipopeptides | 1 |
Brenda Kay Hansen | US | Salt Lake City | 2013-05-02 / 20130110588 - Application usage and process monitoring in an enterprise environment | 1 |
Brett A. Hansen | US | Mapleton | 2015-09-10 / 20150251331 - Liquid Pressurization Pump and Systems With Data Storage | 2 |
Charles D. Hansen | US | Salt Lake City | 2014-04-17 / 20140104273 - INTERACTIVE EXTRACTION OF NEURAL STRUCTURES WITH USER-GUIDED MORPHOLOGICAL DIFFUSION | 1 |
Sheldon Hansen | US | Riverton | 2013-01-03 / 20130001232 - DUTCH OVEN HANDLE ASSEMBLY | 1 |
Brett A. Hansen | US | Grantham | 2013-10-10 / 20130264317 - Systems, Methods, and Devices for Transmitting Information to Thermal Processing Systems | 1 |
Douglas W. Hansen | CA | Barrie | 2013-06-27 / 20130163788 - POWER SUPPLY CIRCUITS FOR AUDIO AMPLIFIERS | 1 |
Jason Hansen | US | Elkhorn | 2014-11-27 / 20140345555 - PUSH BUTTON STARTING SYSTEM MODULE FOR OUTDOOR POWER EQUIPMENT | 2 |
Valerie Hansen | US | Racine | 2015-11-19 / 20150332300 - AUTOMATED SYSTEM FOR ADAPTING MARKET DATA AND EVALUATING THE MARKET VALUE OF ITEMS | 10 |
Matthew S. Hansen | US | Madison | 2011-03-17 / 20110065143 - Multiphoton Scanning Flow Cytometer for Multicellular Aggregates | 1 |
Lacey Leigh Hansen | US | Neenah | 2010-12-23 / 20100319863 - Tissue Sheets Having Good Strength and Bulk | 2 |
William J. Hansen | US | Pewaukee | 2014-07-17 / 20140199057 - Warming System for Dialysis Fluid | 9 |
Mark R. Hansen | US | Wauwatosa | 2013-01-24 / 20130021600 - IMAGING AN IMPRINTED SUBSTRATE ON A PRINTING PRESS | 4 |
Joseph Hansen | US | Williams Bay | 2010-07-01 / 20100169660 - PUBLIC KEY INFRASTRUCTURE-BASED FIRST INSERTED SUBSCRIBER IDENTITY MODULE SUBSIDY LOCK | 1 |
Jeffrey Hansen | US | Plymouth | 2009-11-05 / 20090272309 - WATERCRAFT ATTACHMENT DEVICE | 1 |
Jason L. Hansen | US | Madison | 2009-10-08 / 20090254571 - SYSTEM AND METHOD OF SYNCHRONIZING DATA SETS ACROSS DISTRIBUTED SYSTEMS | 1 |
Christian P. Hansen | US | Eagle | 2012-03-15 / 20120064413 - BATTERY GRID | 3 |
James G. R. Hansen | US | Oak Ridge | 2011-08-04 / 20110186218 - COMPOSITE TREATMENT OF CERAMIC TILE ARMOR | 1 |
Wayne Hansen | US | Poynette | 2014-03-06 / 20140061355 - CONTINUOUS FEED MATERIAL DISPENSER WITH ADJUSTABLE BRAKE | 5 |
Brent R. Hansen | US | New Richmond | 2016-05-12 / 20160130485 - METHOD FOR PREPARING STRUCTURED LAMINATING ADHESIVE ARTICLES | 11 |
David E. Hansen | US | Howards Grove | 2015-08-27 / 20150240462 - SYSTEM AND METHOD TO POSITION AND RETAIN A SENSOR IN A FAUCET SPOUT | 4 |
Steven W. Hansen | US | Marinette | 2008-09-18 / 20080223659 - Hydraulic assist for the servicing of on-board fire suppression systems | 1 |
Lawrence R. Hansen | US | Green Bay | 2012-07-05 / 20120167735 - Sheet Material Dispenser | 3 |
William Hansen | US | Lakeville | 2015-03-26 / 20150087883 - TREATMENT THEATER FOR PROTON THERAPY | 1 |
Ocke-Philipp Hansen | DE | Hamburg | 2014-04-24 / 20140112788 - METHOD AND CLEANING PLATE FOR CLEANING A BRAKE OF A WIND TURBINE | 1 |
Robert John Hansen | US | Waterford | 2015-04-02 / 20150091874 - BUTTON SELECTION TRACE LIGHT INDICATOR | 1 |
Hans J. Hansen | US | Picayune | 2016-05-12 / 20160130333 - Chimeric and Humanized Anti-Histone Antibodies | 97 |
Joseph M. Hansen | US | Williams Bay | 2015-04-02 / 20150096001 - Systems and Methods for Credential Management Between Electronic Devices | 1 |
Jon Milton Hansen | US | West Friendship | 2015-08-13 / 20150223492 - EICOSAPENTAENOIC ACID-PRODUCING MICROORGANISMS, FATTY ACID COMPOSITIONS, AND METHODS OF MAKING AND USES THEREOF | 6 |
Nathaniel S. Hansen | US | Portland | 2015-09-03 / 20150247263 - CARBONACEOUS METAL/CERAMIC NANOFIBERS | 6 |
Thomas H. Hansen | DK | Vaerloese | 2009-05-07 / 20090116654 - ON-THE-FLY INTRODUCTION OF INTER-CHANNEL DELAY IN A PULSE-WIDTH-MODULATION AMPLIFIER | 1 |
William J. Hansen | US | Pewaukee | 2014-07-17 / 20140199057 - Warming System for Dialysis Fluid | 9 |
Thomas T. Hansen | US | Mitchell | 2013-03-07 / 20130055556 - HIGHLY COUPLED INDUCTOR | 2 |
Colt Jamison Hansen | US | La Pine | 2011-08-18 / 20110197505 - INDOOR PLANT HARVESTING SYSTEM | 1 |
Kristian Hansen | DK | Rodovre | 2013-05-23 / 20130129117 - AUDIO AMPLIFICATION CIRCUIT | 1 |
Liselotte Hansen | DK | Herlev | 2011-08-18 / 20110201639 - STABILIZED TACROLIMUS COMPOSITION | 1 |
Wolfgang Hansen | DE | Esslingen | 2015-06-04 / 20150152539 - METHOD FOR COATING A SURFACE WITH A SPRAY MATERIAL AND FUNCTIONAL LAYER ACHIEVABLE WITH THIS METHOD | 2 |
Uwe Hansen | DE | Tubingen | 2011-08-25 / 20110203369 - Sensor system | 1 |
Soren Hansen | DK | Arhus | 2009-08-27 / 20090214369 - ECCENTRIC SCREW PUMP | 1 |
Norman Hansen | US | Highland Beach | 2011-09-29 / 20110232649 - ADJUSTABLE NASAL PRONG AND HEADGEAR ASSEMBLY | 4 |
Richard L. Hansen | US | Parrish | 2010-12-09 / 20100307178 - MODULAR HEATING, VENTILATING, AIR CONDITIONING, AND REFRIGERATION SYSTEMS AND METHODS | 3 |
Loren F. Hansen | US | Lincoln | 2014-05-15 / 20140131465 - Methods and Apparatus for Applying Product | 2 |
Joseph A. Hansen | US | Coral Springs | 2009-12-17 / 20090310768 - SOCIAL NETWORK BASED CALL MANAGEMENT | 2 |
Gorman P. Erik Hansen | US | Lighthouse Point | 2009-09-03 / 20090218411 - WATER SHEET SHOWERHEAD | 1 |
Daniel D. Hansen | US | Pensacola | 2009-01-22 / 20090020073 - Deer conditioning system | 1 |
Kevin M. Hansen | US | Lawrence | 2011-08-25 / 20110205697 - NAVIGATION DEVICE WITH IMPROVED INTERFACE AND MOUNTING FEATURES | 1 |
Curtis Jay Hansen | US | Opelika | 2011-09-22 / 20110231967 - KIWI PLANT NAMED 'AU GOLDEN DRAGON' | 3 |
Michael Hansen | US | Lehi | 2012-11-29 / 20120297661 - MOUSETRAP AND METHOD | 1 |
Nathan T. Hansen | US | Waterford | 2016-04-14 / 20160102766 - Balanced Double Seated Globe Valve With Flexible Plub | 1 |
Peter Hansen | US | Cypress | 2016-03-10 / 20160073543 - ZONEABLE POWER REGULATION | 14 |
Peter Hansen | SE | Lund | 2012-05-03 / 20120108610 - 2-PYRAZINONE DERIVATIVES FOR THE TREATMENT OF DISEASE OR CONDITION IN WHICH INHIBITION OF NEUTROPHIL ELASTASE ACTIVITY IS BENEFICIAL | 7 |
Peter Hansen | US | Stamford | 2012-08-02 / 20120197778 - CONTROLLING AN ORDER SLICER FOR TRADING A FINANCIAL INSTRUMENT | 3 |
Peter Hansen | US | Santa Barbara | 2016-01-28 / 20160022950 - PERSONAL USE OXYGEN CONCENTRATOR WITH INTEGRATED FIRE SAFETY | 12 |
Peter Hansen | US | Houston | 2008-12-11 / 20080306634 - Method of controlling temperature of a computer system | 1 |
Jay Joseph Hansen | US | Isanti | 2016-04-14 / 20160102994 - SYSTEMS AND METHODS FOR ATTITUDE FAULT DETECTION BASED ON AIR DATA AND AIRCRAFT CONTROL SETTINGS | 1 |
Sven Hansen | DE | Garching | 2015-10-15 / 20150294890 - CHUCK, IN PARTICULAR FOR USE IN A MASK ALIGNER | 2 |
Kristen J. Hansen | US | Afton | 2014-03-06 / 20140066843 - MICRONEEDLE DEVICES AND METHODS | 4 |
Matthew Hansen | US | Schwenksvilie | 2011-09-01 / 20110213421 - Bone Fixation Plate | 1 |
Audun F. Hansen | NO | Oslo | 2013-11-14 / 20130304875 - DATA SEGMENTATION, REQUEST AND TRANSFER METHOD | 2 |
Eric J. Hansen | US | Ada | 2015-07-23 / 20150203794 - ENZYME CLEANING COMPOSITION AND METHOD OF USE | 15 |
David Eugene Hansen | US | Howards Grove | 2011-09-08 / 20110214769 - SELECTABLE FLUID VALVE ASSEMBLY | 1 |
Patrick A. Hansen | US | Tea | 2015-04-30 / 20150114610 - AMMONIA HEAT EXCHANGER UNIT AND SYSTEM | 1 |
David J. Hansen | US | Stillwater | 2011-09-08 / 20110218383 - PORTABLE CONTROLLER AND POWER SOURCE FOR MECHANICAL CIRCULATION SUPPORT SYSTEMS | 1 |
Robert S. Hansen | US | Austin | 2013-06-20 / 20130160084 - SECURE OPERATING SYSTEM/WEB SERVER SYSTEMS AND METHODS | 1 |
Ib Hansen | DK | Herlev | 2009-10-08 / 20090254041 - Assembly Comprising Skin-Mountable Device and Packaging Therefore | 2 |
Steen Hansen | DK | Slagelse | 2009-12-17 / 20090308828 - OVERHEAD CONVEYOR | 2 |
Ryan R. Hansen | US | Denver | 2011-09-15 / 20110223627 - MICROFLUIDIC FLOW ASSAY FOR MEASURING HEMOSTATIC PHENOTYPES | 1 |
Jens Bo Hansen | DK | Charlottenlund | 2011-09-15 / 20110224281 - RNA ANTAGONISTS TARGETING HSP70-2 | 1 |
Bernd Hansen | DE | Sulzbach-Laufen | 2015-09-24 / 20150266333 - METHOD FOR PRODUCING AN APPLICATOR, AND APPLICATOR | 18 |
Genevieve Hansen | US | San Diego | 2014-07-17 / 20140199293 - HUMANIZED ANTIBODY COMPOSITIONS AND METHODS FOR BINDING LYSOPHOSPHATIDIC ACID | 14 |
Eric K. Hansen | US | North Branford | 2015-05-07 / 20150125661 - CORROSION MITIGATION FOR GEARBOX | 2 |
Katarina Hansen | DK | Kerteminde | 2015-05-07 / 20150122795 - Medical Device for Preparing Thermoplastic Material | 1 |
Arthur M. Hansen | US | East Hanover | 2011-09-22 / 20110226195 - Wall Construction for a Boiler Arrangement | 1 |
Eva Holm Hansen | DK | Vaerloese | 2011-03-03 / 20110053213 - Polypeptides Having Ferulic Acid Esterase Activity and Polynucleotides Encoding Same | 1 |
Eva H. Hansen | DK | Vaerloese | 2011-04-21 / 20110091950 - POLYPEPTIDES HAVING ALPHA-GLUCURONIDASE ACTIVITY AND POLYNUCLEOTIDES ENCODING SAME | 1 |
Carsten Horslev Hansen | DK | Vaerloese | 2016-03-17 / 20160076012 - Detergent Compositions | 2 |
Carsten Hoerslev Hansen | DK | Vaerloese | 2016-02-25 / 20160053240 - Polypeptides Having Lipase Activity and Polynucleotides Encoding Same | 6 |
Todd Hansen | US | Norfolk | 2011-09-22 / 20110230442 - NA CHANNELS, DISEASE, AND RELATED ASSAYS AND COMPOSITIONS | 1 |
Bryan Hansen | US | Hamilton | 2011-09-22 / 20110229928 - DEVICE AND METHOD FOR MICROWAVE ASSISTED CRYO-SAMPLE PROCESSING | 1 |
Charles M. Hansen | DK | Horsholm | 2011-09-22 / 20110229975 - Hybridization Compositions and Methods | 1 |
Brian M. Hansen | US | Lynnwood | 2016-04-28 / 20160115003 - LIFTING APPARATUS AND METHOD OF LIFTING | 1 |
David Alan Hansen | US | Seattle | 2016-03-17 / 20160080328 - PROXY SSL HANDOFF VIA MID-STREAM RENEGOTIATION | 2 |
Cody R. Hansen | US | Shelby Township | 2015-11-05 / 20150319551 - UPDATING A VEHICLE HEAD UNIT WITH CONTENT FROM A WIRELESS DEVICE | 14 |
Christian B. Hansen | DK | Aabenraa | 2008-12-18 / 20080308169 - Fluid Line and Method for Manufacturing a Fluid Line | 1 |
Hilke Hansen | DE | Berlin | 2012-10-11 / 20120258457 - Methods for Detection of a Single- or Double-Stranded Nucleic Acid Molecule | 2 |
Nicholas John Hansen | US | Jackson | 2014-01-02 / 20140000737 - ZONAL HYDRAULIC SYSTEMS AND METHODS | 1 |
Kurt S. Hansen | US | Chesterfield | 2016-03-10 / 20160072633 - SPORTS TIMING SYSTEM (STS) INTEGRATED COMMUNICATION SYSTEM AND METHOD | 26 |
Vidar Wendel Hansen | NO | Oslo | 2015-06-25 / 20150174235 - VACCINE | 2 |
David Hansen | US | Sunnyvale | 2015-04-23 / 20150111508 - ANTENNA DETECTION WITH NON-VOLATILE MEMORY POWERED BY DC OVER COAXIAL CABLE | 2 |
Andreas Hansen | DE | Leverkusen | 2011-01-13 / 20110006581 - STRUCTURE FOR A VEHICLE SEAT | 3 |
Heinz Hansen | DE | Weyhe | 2015-02-19 / 20150048207 - LEADING EDGE STRUCTURE | 4 |
Peter Hansen | US | Cypress | 2016-03-10 / 20160073543 - ZONEABLE POWER REGULATION | 14 |
Mark Y. Hansen | US | Flagstaff | 2013-08-08 / 20130204347 - LATTICE | 5 |
Xiaohong Hansen | US | Rosemead | 2011-10-06 / 20110246269 - GMPS/TMS search engine and method thereof | 1 |
Daniel Hansen | US | Los Angeles | 2012-06-21 / 20120152439 - SURFACE REPAIR PATCH | 2 |
Wayne R. Hansen | US | Centerville | 2015-05-21 / 20150139406 - X-RAY HOUSING HAVING INTEGRATED OIL-TO-AIR HEAT EXCHANGER | 1 |
Roger Hansen | NO | Ranheim | 2013-06-20 / 20130158136 - APPARATUS AND METHOD FOR CONDUCTING A FISCHER-TROPSCH SYNTHESIS REACTION | 1 |
David A. Hansen | US | Seattle | 2015-05-21 / 20150142948 - EXTENDING POLICY RULESETS WITH SCRIPTING | 1 |
Gary L. Hansen | US | Eden Prairie | 2013-01-10 / 20130010828 - Constructions for Zero-Heat-Flux, Deep Tissue Temperature Measurement Devices | 12 |
Jesper Allan Hansen | DK | Broager | 2015-12-03 / 20150342758 - AID DEVICE INCLUDING A TOOL AND AN ATTACHING OF THE TOOL | 4 |
Frode Hansen | NO | Hammerfest | 2011-10-13 / 20110247697 - METHOD OF PROCESSING FLUID FROM A WELL | 1 |
Steven C. Hansen | US | Urbana | 2015-02-12 / 20150041713 - INTERMETALLIC COMPOUNDS FOR RELEASING MERCURY | 2 |
Matthew Jay Hansen | US | Midland | 2010-05-27 / 20100129635 - FACED INSULATION AND METHOD OF MAKING SAME | 1 |
Carl S. Hansen | US | Garland | 2010-06-03 / 20100133176 - ANAEROBIC REACTOR WITH AUGER IN THE EFFLUENT LINE | 1 |
Robert C. Hansen | US | Crystal Falls | 2015-02-12 / 20150046275 - SYSTEM AND METHOD FOR PROGRAMMING POINT OF SALE DEVICES | 3 |
Ryan John Hansen | US | Riverton | 2015-10-22 / 20150297681 - Pegylated Insulin Lispro Compounds | 3 |
Nathan D. Hansen | US | Hyde Park | 2013-11-14 / 20130304070 - RECIPROCATING SURGICAL INSTRUMENT | 4 |
David Dean Hansen | US | Laydon | 2010-12-16 / 20100313783 - PROTECTION SYSTEM FOR USE WITH AIRBAG INFLATORS AND INITIATORS | 1 |
William J. Hansen | US | Oscoda | 2013-04-18 / 20130091782 - INSULATION SYSTEM, AND METHODS OF CONSTRUCTING AND UTILIZING SAME | 2 |
Eric Hansen | US | Lake Orion | 2013-12-05 / 20130318875 - RESIDENTIAL WINDOW POWER ACTUATOR | 4 |
Eric R. Hansen | US | Lake Orion | 2016-03-03 / 20160060942 - DOOR CABLE PULLEY SYSTEM | 5 |
Cody R. Hansen | US | Shelby Township | 2015-11-05 / 20150319551 - UPDATING A VEHICLE HEAD UNIT WITH CONTENT FROM A WIRELESS DEVICE | 14 |
Victor D. Hansen | US | Rockford | 2016-04-14 / 20160101907 - TAMPER-EVIDENT TAB THERMOFORMED PACKAGING | 11 |
Andrew S. Hansen | US | Bountiful | 2015-10-08 / 20150283467 - VIDEO GAMES INCLUDING REAL-LIFE ATTRIBUTES AND/OR FANTASY SETTINGS | 18 |
Ryan H. Hansen | US | Walker | 2010-12-02 / 20100301019 - Pipe Fabrication Equipment | 1 |
James Hansen | US | Coopersville | 2016-03-31 / 20160088965 - Hanger | 3 |
R. Anthony Hansen | US | Redford | 2014-07-10 / 20140195080 - HYBRID POWERTRAIN INPUT TORQUE MANAGEMENT | 11 |
Daniel G. Hansen | US | Holt | 2010-12-09 / 20100311318 - FAN FOR USE IN AGRICULTURE | 2 |
Andrew Hansen | US | Bountiful | 2009-08-13 / 20090203447 - VIDEO GAMES INCLUDING REAL-LIFE ATTRIBUTES AND/OR FANTASY TEAM SETTINGS | 1 |
Bjarne Hansen | CA | Victoria | 2012-12-27 / 20120329082 - Devices, Systems and Methods For Evaluation of Hemostasis | 2 |
Robert Hansen | US | North Muskegon | 2016-03-31 / 20160090693 - MULTILAYER BELT FOR CREPING AND STRUCTURING IN A TISSUE MAKING PROCESS | 7 |
Christopher Hansen | US | Lansing | 2010-08-12 / 20100203485 - Method for teaching multiplication and factorization | 1 |
Monty Hansen | US | Sterling Heights | 2012-10-04 / 20120247171 - Hot Stamping Die Apparatus | 2 |
Lawrence Jay Hansen | US | Pleasant Ridge | / - | 2 |
Scott A. Hansen | US | Muskegon | 2012-11-01 / 20120272766 - PIVOT ARM ASSEMBLY FOR SEMICONDUCTOR WAFER HANDLING ROBOTS AND METHOD FOR MAKING THE SAME | 2 |
Ty A. Hansen | US | Beaverton | 2008-10-30 / 20080263942 - Method and tooling for slitting a thermoformed container and container formed thereby | 1 |
Shawn Hansen | US | Kalamazoo | 2008-10-16 / 20080254666 - ELECTRICAL CONNECTOR AND ASSOCIATED METHODS | 1 |
Bradley K. Hansen | US | Holladay | 2009-06-11 / 20090145846 - FLUIDIZED BED APPARATUS AND METHOD FOR REMOVING SOLUBLE AND PARTICULATE MATTER FROM A LIQUID | 1 |
William Dredge Hansen | US | North Ogden | 2009-05-14 / 20090122444 - METHOD OF RELIABLE USAGE OF DYNAMIC FLY-HEIGHT CONTROL AT ENVIRONMENTAL EXTREMES | 1 |
Meredith Hansen | US | Omaha | 2011-10-20 / 20110252604 - Length-adjustable elastic strap | 1 |
George Clayton Hansen | US | Midway | 2016-02-11 / 20160044834 - LIGHTNING STRIKE AND ELECTROMAGNETIC PROTECTION SYSTEM | 8 |
Andrew S. Hansen | US | Salt Lake City | 2008-11-13 / 20080280685 - VIDEO GAMES INCLUDING REAL-LIFE ATTRIBUTES AND/OR FANSTASY SETTINGS | 1 |
Conly L. Hansen | US | North Logan | 2010-06-03 / 20100133176 - ANAEROBIC REACTOR WITH AUGER IN THE EFFLUENT LINE | 1 |
Lee D. Hansen | US | Saratoga Springs | 2014-12-11 / 20140362888 - APPARATUS AND METHOD FOR DETERMINING DENATURATION THERMOGRAMS OF BLOOD PLASMA OR SERUM | 4 |
Devon C. Hansen | US | West Jordan | 2010-04-22 / 20100098582 - Footwear decontaminating device and method | 1 |
Lauren Hansen | US | Midway | 2013-05-30 / 20130134364 - ELECTRICALLY CONDUCTIVE NANOCOMPOSITE MATERIAL | 2 |
Ned R. Hansen | US | Hyde Park | 2012-08-23 / 20120211990 - ENERGY CONVERSION SYSTEMS AND METHODS | 3 |
Marc Hansen | US | Hamilton | 2015-10-29 / 20150309262 - VISION ENHANCEMENT ILLUMINATORS | 2 |
Brandon Hansen | US | Highland | 2015-04-09 / 20150100720 - APPARATUS, SYSTEM, AND METHOD FOR DATA BLOCK USAGE INFORMATION SYNCHRONIZATION FOR A NON-VOLATILE STORAGE VOLUME | 2 |
Stig Hansen | US | Kensington | 2015-01-15 / 20150018351 - PYRIDINONYL PDK1 INHIBITORS | 4 |
Xiaohong Hansen | US | El Monte | 2011-04-07 / 20110082851 - GMPS/TMS search engine and method thereof | 1 |
Erik Beck Hansen | US | San Jose | 2011-03-31 / 20110078017 - SYSTEMS AND METHODS FOR RATING AN ORIGINATOR OF AN ONLINE PUBLICATION | 2 |
Paul C. Hansen | US | Palo Alto | 2011-03-03 / 20110055984 - Tip-enhanced resonant apertures | 1 |
Connie J. Hansen | US | San Diego | 2015-08-20 / 20150232821 - Thermostable Type-A DNA Polymerase Mutant with Increased Resistance to Inhibitors in Blood | 3 |
William W. Hansen | US | Lakewood | 2013-07-04 / 20130168901 - METHODS FOR MAKING PHOTOSTRUCTURED ACOUSTIC DEVICES | 4 |
Monica Hansen | US | Santa Barbara | 2014-07-24 / 20140203320 - COMPOSITE HIGH REFLECTIVITY LAYER | 9 |
Timothy E. Hansen | US | Folsom | 2014-03-13 / 20140075352 - METHODS AND APPARATUS FOR IMPROVING USER EXPERIENCE | 4 |
Amy E. Hansen | US | San Jose | 2012-01-19 / 20120013762 - DETERMINING A FINAL EXPOSURE SETTING AUTOMATICALLY FOR A SOLID STATE CAMERA WITHOUT A SEPARATE LIGHT METERING CIRCUIT | 2 |
Kristoffer Riemann Hansen | DK | Broager | 2011-05-19 / 20110114357 - PROTECTIVE COVER ARRANGEMENT FOR AN ELECTRICAL UNIT | 7 |
Kerrin Hansen | DE | Gilching | 2011-10-20 / 20110257207 - RAF INHIBITORS | 1 |
Timothy Hansen | US | Folsom | 2010-12-16 / 20100317327 - METHOD AND SYSTEM FOR DETERMINING AND DISPLAYING A TEXT TITLE | 1 |
Jared Hansen | US | Oakland | 2016-03-24 / 20160088178 - SYSTEM FOR VIDEO-BASED SCANNING AND ANALYSIS | 3 |
Greggory R. Hansen | US | Lafayette | 2010-12-02 / 20100302501 - EYE AND EAR PROTECTION DEVICE | 1 |
Ronald Hansen | US | San Jose | 2010-11-25 / 20100295870 - MULTI-SOURCE MEDICAL IMAGING SYSTEM | 1 |
Christopher Hansen | US | Grass Valley | 2010-10-07 / 20100253244 - Fluorescent Lamp Dimming Controller Apparatus and System | 1 |
Sven Michael Hansen | DE | Leverkusen | 2010-01-14 / 20100010257 - SEPARATION OF AMMONIUM CHLORIDE FROM THE GAS PHASE OF AN ISOCYANATE PRODUCTION PROCESS | 1 |
Rhonda Hansen | US | Emeryville | 2012-12-06 / 20120308569 - GENE PRODUCTS DIFFERENTIALLY EXPRESSED IN CANCEROUS CELLS | 2 |
Teresa Hansen | US | Danville | 2013-08-29 / 20130224195 - SUBSTITUTED BENZAZOLES AND METHODS OF THEIR USE AS INHIBITORS OF RAF KINASE | 3 |
Genevieve Hansen | US | Rancho Santo Fe | 2010-04-29 / 20100104564 - Altered Antibody Fc Regions and Uses Thereof | 1 |
Anthony D. A. Hansen | US | Berkeley | 2016-03-10 / 20160069787 - METHOD FOR AUTOMATIC PERFORMANCE DIAGNOSIS AND CALIBRATION OF A PHOTOMETRIC PARTICLE ANALYZER | 2 |
John P. Hansen | US | Roseville | 2010-01-07 / 20100003641 - Dental crown system | 1 |
Keith John Hansen | US | San Jose | 2012-05-17 / 20120123737 - FAULT DETECTION APPARATUSES AND METHODS FOR FAULT DETECTION OF SEMICONDUCTOR PROCESSING TOOLS | 2 |
Jaron C. Hansen | US | Springville | 2013-05-23 / 20130130346 - Human Waste Treatment System and Method | 3 |
John Phillip Hansen | US | Austin | 2015-12-10 / 20150358684 - SYSTEMS AND METHODS FOR SCHEDULING INTERACTIVE MEDIA AND EVENTS | 11 |
William Hansen | US | San Francisco | 2011-07-21 / 20110178071 - Antiviral Treatments | 2 |
Stuart Carl Hansen | US | Palo Alto | 2009-06-04 / 20090140141 - Method and Apparatus for Trapping Ions | 1 |
Stewart K. Hansen | US | San Francisco | 2008-11-20 / 20080282629 - Simulated log siding | 1 |
Stig Hansen | US | El Cerrito | 2008-09-11 / 20080220536 - Methods for Identifying Compounds that Modulate Enzymatic Activities by Employing Covalently Bonded Target-Extender Complexes with Ligand Candidates | 1 |
Douglas Grant Hansen | US | Pleasant Hill | 2008-09-11 / 20080220889 - Golf practice putting aid | 1 |
Lorin K. Hansen | US | Fremont | 2008-08-21 / 20080197747 - Integrated Thermoelectric/ Thermionic Energy Converter | 1 |
Roger Hansen | US | San Francisco | 2012-03-22 / 20120072776 - FAULT ISOLATION USING CODE PATHS | 4 |
Geneviève Hansen | US | Del Mar | 2014-11-20 / 20140341912 - MONOCLONAL ANTIBODIES DIRECTED TO CD52 | 7 |
Stuart Hansen | US | Palo Alto | 2010-12-30 / 20100327155 - Micro-plasma Illumination Device and Method | 1 |
Malcolm Hansen | US | San Francisco | 2010-12-30 / 20100332350 - SYSTEMS AND METHODS FOR BUILDING A PRODUCT | 1 |
Alexa Hansen | US | Danville | / - | 1 |
Erik Hansen | US | San Jose | 2016-02-25 / 20160055463 - INVOICING SYSTEM | 2 |
Christopher R. Hansen | US | Grass Valley | 2010-09-30 / 20100246585 - Multiple Channel Digital Subscriber Line Framer/Deframer System and Method | 2 |
Wendy R. Hansen | US | Berkeley | 2010-08-12 / 20100204830 - SELF-CLEANING ADHESIVE STRUCTURE AND METHODS | 1 |
Derek Hansen | US | San Francisco | 2011-05-19 / 20110118248 - Heteroaryl sulfonamides and CCR2/CCR9 | 2 |
John P. Hansen | US | Loomis | 2016-01-28 / 20160022378 - PEDODONTIC/VETERINARY DENTAL CROWN SYSTEM | 2 |
Tim E. Hansen | US | Folsom | 2009-12-31 / 20090326509 - CONTEXT AWARE MEDICAL MONITORING AND DOSAGE DELIVERY DEVICE | 1 |
George A. Hansen | US | Danville | 2009-11-05 / 20090276346 - SYSTEM AND METHOD FOR CLASSIFYING A FINANCIAL TRANSACTION AS A RECURRING FINANCIAL TRANSACTION | 3 |
Kyle L. Hansen | US | Orem | 2010-07-08 / 20100170492 - SEMI-AUTOMATIC FIRING COMPRESSED-GAS GUN | 1 |
Dana Allen Hansen | US | Torrance | 2012-08-16 / 20120205997 - Self-contained & propelled magnetic alternator & wheel DirectDrive vertical units. aka:MAW-DirectDrives vertical model | 4 |
Ralf Hansen | DE | Osnabrueck | 2008-10-23 / 20080258328 - Process for Producing Cylindrical Mouldings Based on Cellular Polyurethane Elastomers | 1 |
Morten Hansen | US | Valencia | 2014-11-06 / 20140330354 - MULTI-BRANCH STIMULATION ELECTRODE FOR SUBCUTANEOUS FIELD STIMULATION | 3 |
Connie Jo Hansen | US | San Diego | 2015-05-21 / 20150139977 - XYLANASES, NUCLEIC ACIDS ENCODING THEM AND METHODS FOR MAKING AND USING THEM | 5 |
Kirk Hansen | US | San Mateo | 2015-01-29 / 20150031881 - PYRAZOLO PYRIMIDINE DERIVATIVES AND METHODS OF USE THEREOF | 3 |
Sean T. Hansen | US | Palo Altos | 2009-06-25 / 20090160289 - Curved capacitive membrane ultrasound transducer array | 1 |
Yvonne K. Hansen | US | Santa Monica | 2009-06-25 / 20090164392 - Generic value bearing item labels | 1 |
Hans J. Hansen | US | Pleasanton | 2009-03-05 / 20090059215 - Systems and Method for Simultaneously Inspecting a Specimen with Two Distinct Channels | 2 |
Allen Hansen | US | Anaheim | 2009-02-19 / 20090044548 - TWO-STAGE VAPOR CYCLE COMPRESSOR | 2 |
Manfred Hansen | DE | Jork | 2009-07-02 / 20090166346 - Method and Device for Producing a Bond of Components | 1 |
Carol J. Hansen | US | San Jose | 2009-02-12 / 20090043636 - SOFT SKILLS JOB MATCHING SYSTEM AND METHOD | 1 |
William E. Hansen | US | Sacramento | 2009-01-08 / 20090007540 - PINTLE-CONTROLLED PROPULSION SYSTEM WITH EXTERNAL DYNAMIC SEAL | 1 |
James D. Hansen | US | Diamond Bar | 2008-12-11 / 20080306168 - Dental Compositions with a Water Scavenger | 1 |
Bradley C. Hansen | US | La Jolla | 2015-06-11 / 20150157413 - INTEGRATED SURGICAL CUTTING SYSTEM | 3 |
Amy E. Hansen | US | San Luis Obispo | 2008-10-23 / 20080260373 - DETERMINING A FINAL EXPOSURE SETTING AUTOMATICALLY FOR A SOLID STATE CAMERA WITHOUT A SEPARATE LIGHT METERING CIRCUIT | 1 |
David L. Hansen | US | Ivins | 2015-04-16 / 20150105498 - BULK MATERIAL COVER COMPOSITIONS | 4 |
Lisa Hansen | US | Rancho Palos Verdes | 2008-10-09 / 20080245829 - BACKPACK MEAL CONTAINER SYSTEMS AND METHODS | 1 |
Rhonda Hansen | US | Richmond | 2008-10-02 / 20080241067 - Methods of Modulating Metastasis and Skeletal Related Events Resulting From Metastases | 1 |
Daniel A. Hansen | US | Huntington Beach | 2008-09-25 / 20080230654 - HYDROGEN FUELED BLENDED WING BODY RING TANK | 1 |
Thomas C. Hansen | US | Rohnert Park | 2008-09-11 / 20080217308 - Tangential Manufacturing System | 1 |
Christopher J. Hansen | US | Los Altos | 2015-07-23 / 20150207578 - MULTICHANNEL WIRELESS LOCAL AREA NETWORK | 34 |
Steve Hansen | US | Draper | 2009-08-06 / 20090193961 - Apparatus, System, and Method for Filamentary Composite Lattice Structure Manufacturing | 1 |
Ketil Andre Hansen | NO | Tonsberg | 2011-10-27 / 20110262381 - FORMULATION FOR TREATMENT OF VAGINAL DRYNESS | 1 |
Jonathan Hansen | US | Jacksonville | 2016-05-19 / 20160135677 - MEANS AND METHOD FOR DEMONSTRATING THE EFFECTS OF LOW CYLINDER ASTIGMATISM CORRECTION | 6 |
Nils-Peter Hansen | DE | Kiel | 2009-08-27 / 20090216858 - FLOOR CONVEYOR, WITH REMOTE MAINTENANCE IN PARTICULAR | 1 |
George P. Hansen | US | Austin | 2009-05-07 / 20090118147 - LOW VOLATILE ORGANIC CONTENT LUBRICANT | 1 |
George Phillip Hansen | US | Austin | 2011-12-08 / 20110301317 - NOVEL POLYUREASULFONE POLYMER | 2 |
James E. Hansen | US | Austin | 2011-03-03 / 20110054613 - SPINAL IMPLANT AND METHOD | 1 |
Eric Hansen | US | Dallas | 2010-11-18 / 20100289121 - Chip-Level Access Control via Radioisotope Doping | 1 |
Peter A. Hansen | US | Cypress | 2009-07-23 / 20090187783 - Adjusting Cap Settings of Electronic Devices According to Measured Workloads | 2 |
Brian Wayne Hansen | US | Round Rock | 2009-01-29 / 20090028311 - CHANGING A STATE OF A REMOTE SWITCH BOX WHICH CONNECTS A PHONE TO A NETWORK | 1 |
Nels A. Hansen | US | Spring | 2010-09-30 / 20100243329 - VACUUM FEED SUPPLY SYSTEM FOR DRILLING FLUID ADDITIVES | 2 |
Brian W. Hansen | US | Round Rock | 2010-06-17 / 20100149558 - USER DEFINED ASSOCIATIONS OF COLORS TO CARTRIDGES FOR COLOR PRINTERS | 1 |
Tara Hansen | US | League City | 2010-03-04 / 20100056678 - Polymer composite materials and methods for producing the same | 2 |
John Phillip Hansen | US | Austin | 2015-12-10 / 20150358684 - SYSTEMS AND METHODS FOR SCHEDULING INTERACTIVE MEDIA AND EVENTS | 11 |
Eric Hansen | US | Arlington | 2016-02-18 / 20160045326 - INTERBODY SPACER SYSTEM | 3 |
Victoria May Hansen | US | Cohoes | 2015-10-08 / 20150285094 - CLEANING SOLUTION AND METHODS OF CLEANING A TURBINE ENGINE | 2 |
Henrik C. Hansen | CA | Calgary | 2016-05-19 / 20160137613 - NOVEL ANTI-INFLAMMATORY AGENTS | 10 |
Carl Lars Genghis Hansen | CA | Vancouver | 2014-07-31 / 20140208832 - Methods and Apparatus for Flow-Controlled Wetting | 3 |
John W. Hansen | CA | Maple | 2009-06-11 / 20090149737 - Microcontroller System for Identifying RF Coils in the Bore of a Magnetic Resonance Imaging System | 1 |
Garret William Hansen | CA | Lacombe | 2010-07-15 / 20100175889 - Detonator for Material-Dispensing Wellbore Tools | 1 |
Christian Hansen | DK | Vedbaek | 2013-03-21 / 20130071496 - CONTROLLED RELEASE COMPOSITION CONTAINING A STRONTIUM SALT | 9 |
Johanna Hansen | CA | Kingston | 2010-04-01 / 20100078139 - Method of Correcting Gypsum Crystal Water Effect on Infrared Moisture Measurement | 1 |
Jeremy Hansen | CA | Calgary | 2012-12-20 / 20120319941 - INTERACTIVE INPUT SYSTEM AND METHOD OF OPERATING THE SAME | 3 |
Carl L. Hansen | CA | Vancouver | 2012-03-15 / 20120061305 - MICROFLUIDIC SIEVE VALVES | 3 |
Craig Hansen | CA | Vancouver | 2008-10-02 / 20080235918 - Fastener | 1 |
Kristina K. Hansen | CA | Calgary | 2008-09-18 / 20080227688 - Inhibition of viral replication | 1 |
James Edward Hansen | US | Franklin | 2015-06-11 / 20150160268 - BI-DIRECTIONAL DIRECT CURRENT SENSING CIRCUIT AND CURRENT SENSING ASSEMBLY INCLUDING THE SAME | 1 |
Eric Anthony Hansen | US | Atlanta | 2015-10-29 / 20150312400 - DETECTING AND REPORTING A VEHICLE OF INTEREST | 2 |
Shawnda Hansen | US | Glen Ellen | 2011-11-03 / 20110266107 - METHOD AND APPARATUS FOR THE TRANSPORT OF BOTTLED LIQUIDS | 1 |
Miriam Hansen | DE | Munchen | 2012-05-03 / 20120107245 - DENTAL COMPOSITION FOR DETECTION OF CARIOUS TISSUE, DETECTION METHOD | 5 |
Lowell Dean Hansen | US | Brandon | 2012-02-23 / 20120042774 - DISPLACEMENT ASSEMBLY FOR A FLUID DEVICE | 2 |
Christopher Louis Hansen | US | Loveland | 2011-11-03 / 20110268490 - Package For Multiple Personal Care Compositions | 1 |
Gary L. Hansen | US | Minnetonka | 2011-11-03 / 20110270180 - Heat exchanger for high flow rate infusion | 1 |
Poul Erik Hansen | DK | Kolding | 2015-06-25 / 20150174835 - METHOD AND MOULDING SYSTEM FOR MANUFACTURING A FIBRE-REINFORCED POLYMER OBJECT VIA A FEEDBACK SYSTEM FOR CONTROLLING RESIN FLOW RATE | 1 |
Steven George Hansen | US | Phoenix | 2016-04-21 / 20160110488 - SOURCE MASK OPTIMIZATION TO REDUCE STOCHASTIC EFFECTS | 8 |
Sven Hansen | DE | Hamburg | 2015-01-22 / 20150024132 - METHOD OF PRODUCING A SELF-ADHESIVE TAPE HAVING A LAYER OF PHOTOINITIATOR-FREE PRESSURE-SENSITIVE ACRYLATE HOTMELT ADHESIVE | 10 |
William G. Hansen | US | Coon Valley | 2016-04-28 / 20160116313 - Methods and systems to measure fluid flow | 2 |
Steven D. Hansen | US | Concord | 2014-11-06 / 20140328461 - ORTHOVOLTAGE RADIOTHERAPY | 26 |
Scott C. Hansen | US | Woodcliff Lake | 2014-04-17 / 20140108248 - Method for Facilitating Payment of a Computerized Transaction | 3 |
Povl Hansen | DK | Hobro | 2009-07-30 / 20090189773 - Ignition-source detecting system and associated methods | 1 |
Douglas P. Hansen | US | Spanish Fork | 2012-01-12 / 20120008205 - MULTILAYER WIRE-GRID POLARIZER WITH OFF-SET WIRE-GRID DIELECTRIC GRID | 15 |
Michael W. Hansen | US | Boca Raton | 2011-11-17 / 20110279124 - FLOAT CURRENT MONITOR | 1 |
Sebastian Hansen | DE | Sasbach | 2015-10-08 / 20150289418 - ELECTRICAL MACHINE HAVING A SHIELDING PLATE | 4 |
Davin Hansen | US | Vista | 2011-11-17 / 20110280731 - Blade Retainer Clip | 1 |
Jennifer Hansen | CA | Scarborough | 2011-11-17 / 20110281028 - Method for double-dip substrate spin optimization of coated micro array supports | 1 |
Patrick Hansen | US | Denver | 2011-11-17 / 20110283173 - METHODS AND SYSTEMS FOR DESIGNING PHOTO BOOKS | 1 |
Michael Svendsmark Hansen | DK | Frederiksberg C | 2016-04-21 / 20160106927 - Drug Delivery Device and System with Pre-Set Dose Feature | 1 |
Charles Hansen | US | Irving | 2016-04-21 / 20160107345 - PLASTIC INJECTION MOLDING AND PROCESS | 1 |
Staffan Hansen | SE | Lund | 2016-05-12 / 20160131769 - SEMICONDUCTOR SCINTILLATION DETECTOR | 1 |
Victor D. Hansen | US | Rockford | 2016-04-14 / 20160101907 - TAMPER-EVIDENT TAB THERMOFORMED PACKAGING | 11 |
Monica Hansen | US | Santa Barbara | 2014-07-24 / 20140203320 - COMPOSITE HIGH REFLECTIVITY LAYER | 9 |
Randy L. Hansen | US | Bonduel | 2015-07-16 / 20150196094 - Footwear Articles with Extension Apparatuses and Methods of Using the Same | 1 |
Torben Hansen | DK | Copenhagen | 2011-12-01 / 20110290981 - Lining Plate for Lining of Moulding Chambers of Moulding Machines | 1 |
Mogens Hansen | DK | Vanloese | 2011-12-01 / 20110290981 - Lining Plate for Lining of Moulding Chambers of Moulding Machines | 1 |
Erik Jordan Hansen | US | Westmont | 2013-08-29 / 20130221136 - UNIT FUEL INJECTOR HAVING A CAVITY IN A SPRING CAGE AND AN INJECTION PASSAGE OFFSET FROM THE CAVITY AXIS | 3 |
Michael Hansen | US | Rockville | 2016-05-12 / 20160131724 - MRI SCANNER BORE COVERINGS | 1 |
Thomas K. Hansen | DK | Herlev | 2016-04-21 / 20160108102 - Novel GLP-1 Derivatives | 1 |
Hal J. Hansen | US | New Jersey | 2015-07-23 / 20150201692 - FALSE EYELASH APPARATUS AND METHODS | 1 |
Donald Scott Hansen | US | Fairmont | 2016-03-17 / 20160075200 - PROGRESSIVE RATE SUSPENSION FOR AGRICULTURAL VEHICLE | 2 |
Henning Hansen | ES | Alicante | 2014-05-08 / 20140127065 - SINGLE AND MULTI-CHAMBER WELLBORE PUMPS FOR FLUID LIFTING | 11 |
David Hansen | US | Fulshear | 2011-12-08 / 20110300321 - HYDROGENATED STYRENIC BLOCK COPOLYMERS BLENDS WITH POLYPROPYLENE | 1 |
Hans Christian Hansen | DK | Løgumkloster | 2015-07-30 / 20150210028 - Shoe Part Forming by Injection Moulding and Insert Thereof | 1 |
Matthew Hansen | US | St. Paul | 2015-07-30 / 20150212509 - Modelling of Laser Output from a Pulsed Laser to Achieve a Consistent Cutting Process | 1 |
Stig K. Hansen | US | Kensington | 2011-12-08 / 20110301235 - ORGANOSELENIUM COMPOUNDS AND USES THEREOF | 1 |
Thorbjorn Hansen | DE | Munich | 2010-04-29 / 20100106280 - Method and an apparatus for identifying variable and common module variants in a product family and managing resulting variants | 1 |
John Freddy Hansen | US | Pleasanton | 2015-08-06 / 20150222139 - WIRELESS BATTERY CHARGING | 7 |
Eric Hansen | US | Bradenton | 2015-08-06 / 20150217228 - BIOFILTER WITH FUZZY LOGIC CONTROL | 1 |
Jeffrey M. Hansen | US | Chicago | 2015-08-06 / 20150217512 - FITTING DOUBLERS USING GAP MAPPING | 1 |
Ronald S. Hansen | US | Kindred | 2015-02-19 / 20150048671 - APPARATUS FOR CONVERTING A WHEELED VEHICLE TO A TRACKED VEHICLE | 12 |
Scott R. Hansen | CA | Vancouver | 2012-12-06 / 20120309519 - APPARATUS AND METHOD FOR REPOSITIONING A VIRTUAL CAMERA BASED ON A CHANGED GAME STATE | 2 |
Eric T. Hansen | US | Thornton | 2011-12-15 / 20110306617 - Methods and Compositions for the Production of High Concentration Alloxazine Solutions | 1 |
Hans P. Hansen | US | Garwood | 2011-12-15 / 20110307414 - Consensus Investment Analysis/Stock Selection Methodology | 1 |
Lance Hansen | US | Austin | 2015-04-16 / 20150104493 - Dissolvable Strip for Treatment of Oral Thermal Burns | 2 |
Nicholas Brian Hansen | US | Columbia | 2011-12-22 / 20110308217 - DOOR PROP IN A LAWN MOWER | 1 |
Terje Olav Hansen | NO | Stronstad | 2013-01-17 / 20130015112 - OIL BOOMAANM Hansen; Terje OlavAACI StronstadAACO NOAAGP Hansen; Terje Olav Stronstad NO | 1 |
Gesine Hansen | DE | Hannover | 2012-05-24 / 20120129193 - DEVICE AND METHOD FOR ANALYSING CELLS | 2 |
David Hansen | US | Palo Alto | 2012-11-22 / 20120292585 - CONTINUOUS PLANE OF THIN-FILM MATERIALS FOR A TWO-TERMINAL CROSS-POINT MEMORY | 9 |
Yvonne Hansen | DK | Alsgarde | 2012-12-06 / 20120310603 - Simulation of a Process | 1 |
John Bøgild Hansen | DK | Copenhagen | 2016-02-11 / 20160040311 - A PROCESS FOR PRODUCING CO FROM CO2 IN A SOLID OXIDE ELECTROLYSIS CELL | 2 |
Torben M. Hansen | DK | Copenhagen | 2011-04-14 / 20110084706 - ELIMINATING INLINE POSITIONAL ERRORS FOR FOUR-POINT RESISTANCE MEASUREMENT | 2 |
Nils Jakob Vest Hansen | DK | Copenhagen | 2013-10-31 / 20130288929 - Method for Making an Enriched Library | 2 |
Margit Haahr Hansen | DK | Copenhagen | 2011-06-30 / 20110158984 - ANTI-ORTHOPOXVIRUS RECOMBINANT POLYCLONAL ANTIBODY | 2 |
Margit H. Hansen | DK | Copenhagen | 2010-12-09 / 20100310558 - Method for Linking Sequences of Interest | 1 |
Torben Stroem Hansen | DK | Copenhagen | 2011-11-03 / 20110270217 - Skin Retention Device for a Medical Jet Injection Kit | 5 |
Erik Torngaard Hansen | DK | Copenhagen | 2010-03-25 / 20100074990 - PROCESS FOR JOINING OF PIECES OF NATURAL CASING | 1 |
Kim Hansen | DK | Copenhagen | 2010-03-25 / 20100074990 - PROCESS FOR JOINING OF PIECES OF NATURAL CASING | 1 |
Thomas Budde Hansen | DK | Copenhagen | 2010-01-07 / 20100004907 - Methods of Optimizing Chromatographic Separation of Polypeptides | 1 |
Torben Mikael Hansen | DK | Copenhagen | 2009-09-03 / 20090219047 - PROBE FOR TESTING ELECTRICAL PROPERTIES OF A TEST SAMPLE | 1 |
Henrik Baldur Norregard-Hansen Hansen | DK | Copenhagen | 2009-07-23 / 20090183959 - TORSIONAL VIBRATION DAMPER | 1 |
Klaus H. Hansen | DK | Copenhagen | 2009-06-25 / 20090162945 - INHIBITION OF GASC1 | 1 |
Carsten Hoerslev Hansen | DK | Copenhagen | 2009-05-28 / 20090136476 - ARABINOFURANOSIDASES | 1 |
Johan Peter Hansen | US | Bellevue | 2014-02-20 / 20140051523 - SYSTEM AND METHOD FOR CONFIGURING GAME DATA ABOUT PLAYERS | 4 |
Soeren Hansen | DK | Helsingoer | 2011-12-29 / 20110319843 - OSTOMY APPLIANCE | 1 |
Jakob Steen Hansen | US | Issaquah | 2012-12-06 / 20120311522 - REPOSITORY BASED DEVELOPMENT USING PROJECT DEVELOPMENT TOOLS IN ENTERPRISE MANAGEMENT ENVIRONMENT | 1 |
Timothy S. Hansen | US | Woodstock | 2016-05-05 / 20160120749 - Inverse Blow-Fill-Seal Packaging | 2 |
Torben Strom Hansen | DK | Bagsvaerd | 2009-11-26 / 20090292239 - Injector System for Needleless, High Pressure Delivery of a Medicament | 2 |
Peter Kamp Hansen | DK | Bagsvaerd | 2015-08-20 / 20150232824 - GLUCOAMYLASE VARIANTS AND POLYNUCLEOTIDES ENCODING SAME AND USES THEREOF | 1 |
Mogens Trier Hansen | DK | Bagsvaerd | 2011-01-06 / 20110003333 - Method for Increasing Expression Yield of a Protein of Interest | 1 |
Jesper Erdman Hansen | DK | Bagsvaerd | 2011-07-14 / 20110169515 - METHOD FOR PROVIDING ALIGNMENT OF A PROBE | 2 |
Carsten Hoerslev Hansen | DK | Bagsvaerd | 2015-07-30 / 20150210990 - Arabinofuranosidases | 1 |
Bjarne Gram Hansen | DK | Bagsvaerd | 2015-06-04 / 20150152397 - POLYPEPTIDES HAVING ORGANOPHOSPHOROUS HYDROLASE ACTIVITY | 1 |
George C. Hansen | US | Midway | 2014-04-03 / 20140094331 - CARBON FIBER ATHLETIC EQUIPMENT | 1 |
George C. Hansen | US | Heber City | 2014-04-03 / 20140091982 - HIGHLY CONDUCTIVE FIBER REINFORCED ANTENNAS | 1 |
Nathan D. Hansen | US | Heber City | 2014-04-03 / 20140094331 - CARBON FIBER ATHLETIC EQUIPMENT | 2 |
Eric A. Hansen | US | Glastonbury | 2015-09-10 / 20150251242 - Casting Cores and Manufacture Methods | 3 |
Knut Hansen | NO | Sandefjord | 2015-08-20 / 20150232762 - SEPARATING HYDROCARBONS AND INORGANIC MATERIAL | 1 |
Connie Hansen | US | San Diego | 2012-01-05 / 20120003713 - Polymerase Enhancing Factor (PEF) Extracts PEF Protein Complexes Isolated PEF Proteins and Methods for Purifying and Identifying | 1 |
Andrew Steven Hansen | US | Eagle Mountain | 2012-01-05 / 20120004666 - STEERABLE SURGICAL SNARE | 1 |
John E. Hansen | US | Ham Lake | 2014-03-20 / 20140075752 - FEEDTHROUGH SYSTEM FOR IMPLANTABLE DEVICE COMPONENTS | 5 |
Matthew J. Hansen | US | Savage | 2011-03-24 / 20110068230 - DETECTING ICE PARTICLES | 2 |
Gary L. Hansen | US | Eden Prairie | 2013-01-10 / 20130010828 - Constructions for Zero-Heat-Flux, Deep Tissue Temperature Measurement Devices | 12 |
James G. Hansen | US | Coon Rapids | 2013-05-23 / 20130131667 - DEFLECTABLE RENAL NERVE ABLATION CATHETER | 6 |
Jonathan Hansen | US | Rogers | 2010-08-19 / 20100211864 - SYSTEM FOR AND METHOD OF VISUAL REPRESENTATION AND REVIEW OF MEDIA FILES | 1 |
Dennis John Hansen | US | Rochester | 2010-08-05 / 20100195694 - Heat Flow Measurement Tool for a Rack Mounted Assembly of Electronic Equipment | 2 |
Shannon Hansen | US | Bird Island | 2015-11-05 / 20150314642 - FREEWHEEL HUB | 2 |
Dennis Hansen | US | Rochester | 2009-02-12 / 20090041079 - Bidirectional and Expandable Heat Flow Measurement Tool for Units of Air Cooled Electrical Equipment | 1 |
Laura M. Hansen | US | White Bear Lake | 2008-11-13 / 20080280003 - Batter-Like Compositions and Methods of Preparing and Using Same | 1 |
Craig N. Hansen | US | Plymouth | 2013-10-03 / 20130261518 - BODY PULSATING APPARATUS AND METHOD | 5 |
Leland G. Hansen | US | St. Paul | 2011-05-26 / 20110125068 - Frequency Optimization for Chest Compression Apparatus | 5 |
Paul E. Hansen | US | Lake Elmo | 2010-08-12 / 20100199983 - METERED DOSE VALVES AND DISPENSERS | 2 |
James G. Hansen | US | Minneapolis | 2010-02-25 / 20100048758 - LUBRICIOUS COATING COMPOSITION FOR DEVICES | 1 |
Timothy O. Hansen | US | Coon Rapids | 2010-01-14 / 20100009040 - BREAKFAST CEREAL PUZZLE PIECES AND METHOD OF PREPARATION | 1 |
William H. Hansen | US | Rochester | 2013-03-14 / 20130066643 - CONFIGURABLE MEDICAL FINDING PREDICTION SYSTEM AND METHOD | 2 |
Erik M. Hansen | US | Overland Park | 2014-03-27 / 20140088466 - Knee Rehabilitation Apparatus | 1 |
Robert Hansen | US | Oakdale | 2016-01-21 / 20160018006 - Butterfly valves | 3 |
John E. Hansen | US | Coon Rapids | 2008-11-27 / 20080293168 - Method and system of tape automated bonding | 1 |
Karl Hansen | US | Cottage Grove | 2008-11-13 / 20080276412 - External Filter Chamber | 2 |
Ronald Peter Owen Hansen | US | Brighton | 2015-08-20 / 20150235326 - METHOD AND APPARATUS FOR ACTIVATED CARBON MASTER LIMITED PARTNERSHIP OPERATION | 1 |
Jonathan C. Hansen | US | Rockford | 2012-01-12 / 20120005994 - THERMOFORMED PACKAGE WITH TAMPER EVIDENT SEAL | 1 |
Gunda Hansen | DE | Mildstedt | 2014-03-27 / 20140087428 - Microorganisms And Methods For Producing Substituted Phenols | 1 |
Tyler Hansen | US | Boise | 2012-01-12 / 20120007073 - Semiconductor Wafer Constructions, And Methods For Quality Testing Material Removal Procedures During Semiconductor Fabrication Processes | 1 |
Carl L. G. Hansen | CA | Vancouver | 2016-05-19 / 20160139121 - Methods for Assaying Cellular Binding Interactions | 5 |
Audun Hansen | NO | Oslo | 2012-01-12 / 20120011191 - DATA SEGMENTATION, REQUEST AND TRANSFER METHOD | 1 |
Jarle Hansen | NO | Mysen | 2014-04-03 / 20140090784 - DEVICE AND METHOD FOR RAISING AND LOWERING A ROLLER ON AN APPLICATION TABLE WITH A SHIELDED SWITCH | 2 |
Morten Hansen | NO | Fyllingsdalen | 2014-03-20 / 20140080553 - COVER FOR PORTABLE DEVICES ADAPTED TO ATTACH MODULES THERETO | 1 |
Claudia Hansen | DE | Gersfeld | 2012-04-19 / 20120090075 - CHEMICAL RESISTANT, MECHANICAL RESISTANT, ANTI-STATIC GLOVE | 2 |
Nick Andrew Hansen | GB | Banbury | 2015-12-10 / 20150353276 - Preparation of Beverages and Liquid Food Products | 13 |
Phillip Hansen | DK | Holte | 2010-08-05 / 20100196477 - Dry Mouldable drug formulation | 1 |
Nicholas Hansen | GB | Banbury | 2015-09-03 / 20150246741 - CAPSULES | 2 |
Steven Jay Hansen | US | Round Rock | 2012-12-13 / 20120312031 - Cooler for Temperature Sensitive Items | 1 |
Christian L. Hansen | US | Vista | 2012-07-19 / 20120185021 - Heat Transfer Pad Having Localized Treatment Zones | 2 |
Darrin Hansen | US | Sandy | 2012-01-19 / 20120016348 - ANGIOCATHETER WITH IMPROVED FLOW TECHNIQUE | 1 |
Andrew H. Hansen | US | Apple Valley | 2015-09-24 / 20150265436 - VACUUM PUMP SYSTEMS FOR PROSTHETIC LIMBS AND METHODS OF USING THE SAME | 9 |
Ejvind Hansen | DK | Odense C | 2012-12-13 / 20120312237 - Automated Cluster Remover | 1 |
Dennis B. Hansen | DK | Copenhagen Oe | 2014-03-13 / 20140074041 - INJECTION DEVICE INCORPORATING DOSE MONITORING | 1 |
Brian Patrick Hansen | US | Mauldin | 2012-01-26 / 20120017602 - SYSTEMS AND METHODS FOR CONTROLLING THE STARTUP OF A GAS TURBINE | 1 |
Jason Andrew Hansen | US | Elkhorn | 2015-08-27 / 20150240774 - SNOWTHROWER WITH REMOVABLE SELF-HEATING STARTER BATTERY PACK | 1 |
David R. Hansen | US | Fond Du Lac | 2015-08-27 / 20150245503 - ELECTRICAL CONNECTION BOX AND APPARATUS | 1 |
Richard G. Hansen | US | Mahtomedi | 2016-02-25 / 20160053057 - POLYDIORGANOSILOXANE POLYOXAMIDE COPOLYMERS | 33 |
Jon D. Hansen | US | Apple Valley | 2012-12-13 / 20120312390 - MOBILE CLEANING SYSTEM | 1 |
Robert A. Hansen | US | Muskegon | 2012-01-26 / 20120021171 - Industrial Fabric, and Method of Making Thereof | 1 |
Roger Hansen | NO | Tromso | 2015-08-27 / 20150242473 - UTILIZING INTERACTIVITY SIGNALS TO GENERATE RELATIONSHIPS AND PROMOTE CONTENT | 1 |
Raymond A. Hansen | US | West Lafayette | 2016-05-19 / 20160141744 - ANTENNA AIMING SYSTEM AND METHOD FOR BROADBAND WIRELESS ACCESS | 2 |
Kerrin Hansen | DE | Munich | 2012-01-26 / 20120021942 - USE OF FCCS FOR THE ANALYSIS OF INTERACTION PARAMETERS IN AN IN VIVO-LIKE ENVIRONMENT | 1 |
Anna Klara Brigitte Hansen | DE | Gehrden | 2015-10-22 / 20150299256 - ANTIBIOTIC PEPTIDES | 2 |
Joshua D. Hansen | US | Boulder | 2013-01-17 / 20130018033 - RAF INHIBITOR COMPOUNDS AND METHODS OF USE THEREOF | 4 |
Bryan Hansen | US | Mead | 2014-09-18 / 20140275876 - SYSTEMS AND METHODS FOR LOCATING AND/OR IDENTIFYING A WIRELESS SENSOR ASSOCIATED WITH A PATIENT MONITOR | 7 |
Thomas R. Hansen | US | Fort Collins | 2015-04-30 / 20150114310 - EARLY DETERMINATION OF PREGNANCY STATUS IN RUMINANTS | 4 |
James Hansen | US | Loveland | 2009-10-15 / 20090257481 - IDENTIFICATION OF BOARD CONNECTIONS FOR DIFFERENTIAL RECEIVERS | 1 |
Mark A. Hansen | US | Denver | 2009-02-12 / 20090043869 - SYSTEM AND METHOD FOR DISTRIBUTING TIME-BASED MEDIA CONTENT | 1 |
Thomas Hansen | DE | Hildesheim | 2013-08-29 / 20130222204 - ARRAY ANTENNA FOR RADAR SENSORS | 15 |
Christina L. Hansen | US | Wheatridge | 2011-03-03 / 20110047907 - METHOD AND APPARATUS FOR POSITIONING HEATING ELEMENTS | 1 |
Kurt Hansen | US | Parker | 2013-12-05 / 20130325642 - IN-LANE MONEY TRANSFER SYSTEMS AND METHODS | 4 |
Brian N. Hansen | US | Longmont | 2010-08-12 / 20100199982 - Electronically-Controlled, High Pressure Flow Control Valve and Method of Use | 1 |
Kurt Hansen | US | Castle Rock | 2015-03-12 / 20150073979 - MULTIPLE-ENTITY TRANSACTION SYSTEMS AND METHODS | 6 |
Kurt L. Hansen | US | Castle Rock | 2010-04-22 / 20100100478 - METHOD AND SYSTEM FOR TRANSFERRING STORED VALUE | 1 |
Norbert Hansen | DE | Emmingen | 2010-07-01 / 20100163074 - DEVICE FOR CLEANING INSTRUMENT CHANNELS | 1 |
Jesse Jamison Hansen | US | Loveland | 2011-06-09 / 20110136089 - MULTIPLE TARGETS, PHYSICAL ABILITY ENHANCER APPARATUS | 2 |
Josh Hansen | US | Longmont | 2009-07-09 / 20090176809 - RAF INHIBITOR COMPOUNDS AND METHODS | 1 |
Christian K. Hansen | US | Orem | 2012-02-02 / 20120023676 - ORTHOPEDIC PILLOW HAVING OBLONG CENTRAL APERTURE | 1 |
Ryan Hansen | US | Golden | 2011-10-13 / 20110251092 - Use of Photopolymerization for Amplification and Detection of a Molecular Recognition Event | 3 |
Rainer Hansen | DE | Prum | 2010-08-26 / 20100217696 - METHODS AND DEVICES FOR CHARGING-STATE DEPENDENT DETERMINATION OF SERVICE ACCESS TARIFF RATES BY BID PROCESS | 1 |
Randy Hansen | US | Lindon | 2014-12-18 / 20140369606 - AUTOMATED FIELD POSITION LINKING OF INDEXED DATA TO DIGITAL IMAGES | 2 |
Peter Kamp Hansen | DK | Lejre | 2014-08-21 / 20140234906 - Alpha-Amylase Variants and Polynucleotides Encoding Same | 13 |
Bend Hansen | DE | Sulzbach-Laufen | 2012-02-02 / 20120024848 - Container, in particular an ampoule | 1 |
Dale Hansen | US | Saline | 2012-12-13 / 20120313320 - ROLE-PLAYING BOARD GAME WITH CHARACTER DICE | 1 |
Bryce Hansen | US | Brooklyn | 2012-12-13 / 20120313320 - ROLE-PLAYING BOARD GAME WITH CHARACTER DICE | 1 |
Finn Hansen | DK | Smorum | 2016-03-03 / 20160066108 - HEARING DEVICE WITH CLOSURE MECHANISM | 2 |
Kevin J. Hansen | US | Des Moines | 2015-09-10 / 20150251858 - APPARATUS FOR TRANSPORTING FOOD PRODUCTS TO A LOADING HEAD | 8 |
Martin Wayne Hansen | US | Edgewood | 2013-06-13 / 20130149164 - Method and Apparatus for Fabricating Large Scale Integrated Airfoils | 1 |
Ronald L. Hansen | US | San Jose | 2012-02-09 / 20120032971 - Electronic Color and Luminance Modification | 1 |
Robert C. Hansen | US | Muskegon | 2012-02-09 / 20120032035 - APPARATUS FOR SUPPORTING UTILITY LINES | 1 |
James E. Hansen | US | Branford | 2014-02-20 / 20140050723 - Cell-Penetrating Anti-DNA Antibodies and Uses Thereof Inhibit DNA Repair | 1 |
Kim J. Hansen | US | Seattle | 2015-09-10 / 20150254072 - PERIPHERAL DEVICE AND METHOD FOR UPDATING FIRMWARE THEREOF | 1 |
Henrik C. Hansen | CA | Alberta | 2012-02-16 / 20120040954 - NOVEL ANTI-INFLAMMATORY AGENTS | 1 |
Kyle Hansen | US | San Francisco | 2012-11-01 / 20120278239 - INSTANT AVAILABILILTY OF ELECTRONICALLY TRANSFERRED FUNDS | 2 |
Bjørn Hansen | NO | Sandefjord | 2012-02-23 / 20120045285 - Offshore structure | 1 |
Ben Hansen | US | Bradenton | 2015-09-17 / 20150257682 - METHOD AND SYSTEM FOR DELIVERING BIOMECHANICAL FEEDBACK TO HUMAN AND OBJECT MOTION | 1 |
Nicholas Andrew Hansen | GB | Banbury | 2014-02-06 / 20140037803 - BEVERAGE DELIVERY POD AND METHODS OF USE AND MANUFACTURE | 1 |
Robert Alan Hansen | US | Laveen | 2012-02-23 / 20120047575 - SYSTEMS AND METHODS FOR PERFORMING ACCESS ENTITLEMENT REVIEWS | 2 |
Christopher Von Hansen | US | Redmond | 2013-03-28 / 20130080507 - External Service Application Discovery Method | 1 |
Ryan Robert Hansen | US | Knoxville | 2015-09-17 / 20150259668 - BIOACTIVE COMPOSITIONS FOR HIGH AVIDITY CELL CAPTURE | 1 |
Maik Hansen | DE | Leonberg | 2015-09-17 / 20150260827 - Radome-free microwave sensor, method for installing a radome-free microwave sensor in a vehicle and combination of a vehicle component with a radome-free microwave sensor | 1 |
Stephen M. Hansen | US | St. George | 2012-03-08 / 20120059420 - PEDICLE SCREW EXTENSION ALIGNMENT | 1 |
Nathan Hansen | US | Hyde Park | 2013-12-12 / 20130331941 - DOUBLE BUNDLE ACL REPAIR SYSTEM | 2 |
Ronald Hansen | US | Staten Island | 2015-09-17 / 20150260823 - METHOD OF ENCLOSING AND POWERING A BLUETOOTH EMITTER | 1 |
Andrew H. Hansen | US | Apple Valley | 2015-09-24 / 20150265436 - VACUUM PUMP SYSTEMS FOR PROSTHETIC LIMBS AND METHODS OF USING THE SAME | 9 |
Peter Hansen | US | Knoxville | 2016-03-03 / 20160061968 - Integrated Digital Discriminator For a Silicon Photomultiplier | 7 |
Jennifer Mary Hansen | US | Goshen | 2012-03-15 / 20120061401 - Hand-Held Drip-Catching Gelato Cup | 1 |
Jørgen Hansen | CH | Allschwil | 2015-09-24 / 20150267227 - Vanillin Synthase | 1 |
Torben Hansen | DK | Hellerup | 2015-10-08 / 20150284779 - DETERMINATION OF A TENDENCY TO GAIN WEIGHT | 2 |
Peter Hansen | DK | Kolding | 2015-09-24 / 20150267680 - BULKHEAD ARRANGEMENT FOR A WIND TURBINE BLADE | 1 |
Gunnar Hansen | NO | Horten | 2012-03-15 / 20120065508 - ULTRASOUND IMAGING SYSTEM AND METHOD FOR DISPLAYING A TARGET IMAGE | 1 |
Scott Hansen | US | Holland | 2014-01-23 / 20140021733 - FLEXIBLE INTERIOR TRIM COMPONENT WITH A COVER LAYER | 1 |
Michael F. Hansen | US | Miami Beach | 2015-04-30 / 20150118423 - CONDENSATION CONTROL FILM | 2 |
Darrin Michael Hansen | US | Seattle | 2015-09-24 / 20150271960 - METHOD AND APPARATUS FOR COVERING A FASTENER SYSTEM | 1 |
Todd K. Hansen | US | Bainbridge Island | 2015-04-23 / 20150110693 - HIGH-EFFICIENCY CATALYTIC CONVERTERS FOR TREATING EXHAUST GASES | 5 |
Kris Edward Hansen | US | Anacortes | 2010-06-03 / 20100133392 - METHOD, SYSTEM AND APPARATUS FOR GUIDING AND SUPPORTING AN ELONGATED FLEXIBLE MEMBER | 1 |
John F. Hansen | US | Tumwater | 2014-01-16 / 20140014022 - C-SHAPED RIGID BUOYANCY TUBE ASSEMBLY FOR BOATS | 4 |
Johan Peter Hansen | US | Redmond | 2011-11-03 / 20110269551 - COMPUTER-BASED GAMING TEAMS | 2 |
Wendy Hansen | US | Seattle | 2016-02-25 / 20160054555 - HIGH NUMERICAL APERTURE TELEMICROSCOPY APPARATUS | 3 |
William M. Hansen | US | Port Orchard | 2015-12-31 / 20150375838 - BOAT COLLAR ATTACHMENT SYSTEM AND METHOD | 2 |
Richard A. Hansen | US | Richland | 2012-12-20 / 20120321228 - POLYACRYLAMIDE DELIVERY PRODUCT | 2 |
Jeffrey M. Hansen | US | Newton | 2009-06-18 / 20090154775 - FITTING DOUBLERS USING GAP MAPPING | 1 |
Jeffrey M. Hansen | US | Renton | 2011-08-11 / 20110192541 - Apparatus for Placing Plies on Curved Substrates | 3 |
Kim Hansen | US | Renton | 2012-10-04 / 20120254629 - Read and Write Optimization for Protected Area of Memory | 3 |
Paul D. Hansen | US | Kent | 2009-01-08 / 20090012663 - DETERMINING CURRENT METEOROLOGICAL CONDITIONS SPECIFIC TO AN AIRCRAFT | 1 |
Jeffrey A. Hansen | US | Moses Lake | 2008-09-11 / 20080220166 - Silicon Spout-Fluidized Bed | 1 |
Johan P. Hansen | US | Bellevue | 2008-08-28 / 20080207332 - Multilevel Online Tournament | 1 |
Joshua Merritt Hansen | US | Everett | 2011-04-21 / 20110088979 - CARGO TRANSPORTER WITH AUTOMATIC DATA COLLECTION DEVICES | 1 |
Thomas N. Hansen | US | Mercer Island | 2011-04-07 / 20110079222 - BROAD-BAND, LOW FREQUENCY, HIGH-AMPLITUDE, LONG TIME DURATION, OSCILLATING AIRWAY PRESSURE BREATHING APPARATUS AND METHOD UTILIZING BUBBLES | 2 |
Joshua Hansen | US | Bellevue | 2010-11-04 / 20100280734 - METHOD AND APPARATUS TO ENCODE FUEL USE DATA WITH GPS DATA AND TO ANALYZE SUCH DATA | 1 |
Kenneth M. Hansen | US | Woodinville | 2014-12-04 / 20140359258 - Declarative Configuration Elements | 3 |
Lloyd T. Hansen | US | University Place | 2014-01-16 / 20140014022 - C-SHAPED RIGID BUOYANCY TUBE ASSEMBLY FOR BOATS | 4 |
Thomas Edward Hansen | US | Seattle | 2010-06-10 / 20100139055 - Clamps For Supporting Transport System Structures | 1 |
Stefanie Hansen | DE | Gensingen | 2016-03-31 / 20160090324 - Pore-free ceramic component | 7 |
Joshua M. Hansen | US | Everett | 2010-04-15 / 20100094132 - Ultrasound system having a simplified user interface | 1 |
Tara Joy Hansen | US | Friendswood | 2014-01-16 / 20140018473 - AQUEOUS LATEX COATING COMPOSITIONS | 1 |
Kim J. Hansen | US | Renton | 2014-10-23 / 20140317611 - PERIPHERAL DEVICE AND METHOD FOR UPDATING FIRMWARE THEREOF | 5 |
Darrin M. Hansen | US | Seattle | 2015-11-26 / 20150336140 - METHODS, SYSTEMS, AND DEVICES FOR RADIO-FREQUENCY ASSISTED REMOVAL OF SEALANT | 3 |
Ryan William Hansen | US | Lake Stevens | 2009-09-24 / 20090236003 - Apparatus for preventing the passage of small animals into tubular structures | 1 |
Christopher E. Hansen | US | Olympia | 2009-07-30 / 20090192827 - System for health benefits planning in retirement | 1 |
Tom Hansen | US | Redmond | 2009-07-02 / 20090172801 - PERFORMANCE ENHANCING PROXY | 1 |
John A. Hansen | US | Mercer Island | 2009-04-16 / 20090099035 - OLIGONUCLEOTIDE ARRAYS FOR HIGH RESOLUTION HLA TYPING | 1 |
Tom Hansen | US | Pullman | 2009-03-05 / 20090063914 - Content-Addressable Memories and State Machines for Performing Three-Byte Matches and Secondary Matches, and for Providing Error Protection | 2 |
James E. Hansen | US | Richard | 2009-01-22 / 20090023973 - Overburden material for in-container vitrification | 1 |
Brent R. Hansen | US | New Richmond | 2016-05-12 / 20160130485 - METHOD FOR PREPARING STRUCTURED LAMINATING ADHESIVE ARTICLES | 11 |
Michael S. Hansen | US | Silver Spring | 2012-06-14 / 20120148129 - Prior Enhanced Compressed Sensing (PRINCE-CS) Reconstruction for Dynamic 2D-Radial Cardiac MRI | 1 |
Jon Milton Hansen | US | Ellicott City | 2015-11-12 / 20150320084 - ENHANCED PRODUCTION OF LIPIDS CONTAINING POLYENOIC FATTY ACIDS BY VERY HIGH DENSITY CULTURES OF EUKARYOTIC MICROBES IN FERMENTORS | 5 |
Jon Hansen | US | West Friendship | 2016-04-21 / 20160108443 - Production of High Levels of DHA-Containing Biomass in Microalgae Using Modified Amounts of Chloride and Potassium | 5 |
Kurt S. Hansen | US | Chesterfield | 2016-03-10 / 20160072633 - SPORTS TIMING SYSTEM (STS) INTEGRATED COMMUNICATION SYSTEM AND METHOD | 26 |
Thomas Hansen | DE | Hildesheim | 2013-08-29 / 20130222204 - ARRAY ANTENNA FOR RADAR SENSORS | 15 |
Kyle Hansen | US | Castle Rock | 2014-10-16 / 20140305381 - GRADUATED ORDER STEP PET PUZZLE TOY | 9 |
Craig M. Hansen | US | Colleyville | 2012-03-29 / 20120074263 - Dynamically controlled cross flow instability inhibiting assembly | 1 |
Borge Hansen | DK | Aalborg | 2010-01-21 / 20100012319 - PLUG AND METHOD FOR ESTABLISHING SUCH PLUG INSIDE A PIPE | 1 |
George Steven Hansen | US | Phoenix | 2012-03-29 / 20120075603 - PROCESS TUNING WITH POLARIZATION | 1 |
Henrik Hansen | DK | Rodovre | 2015-10-01 / 20150275212 - Oligonucleotide Conjugates | 1 |
Jens A. Hansen | DK | Holte | 2011-07-07 / 20110166396 - HYDROCONVERSION PROCESS AND CATALYST | 1 |
Randy J. Hansen | CA | Grande Prairie | 2012-04-05 / 20120080265 - FALL PROTECTION FOR PERSONNEL SERVICING AN ELEVATED WORK AREA FROM A MAN LIFT | 1 |
James O. Hansen | US | Glastonbury | 2016-01-07 / 20160001407 - Leading Edge Sheath Manufacturing Method | 17 |
Steffen Hansen | DE | Hillerod | 2010-12-16 / 20100318060 - Injection Device With Rotatable Dose Setting | 1 |
Andrew R. Hansen | US | Goleta | 2016-01-07 / 20160001731 - TURRET AIR BAGS | 3 |
Richard Lawrence Hansen | US | Parrish | 2013-03-14 / 20130061975 - AIRCRAFT GROUND SUPPORT HOSE ASSEMBLY | 1 |
Katherine S. Hansen | US | Davis | 2015-09-03 / 20150246521 - ADHESIVE BONDING COMPOSITION AND METHOD OF USE | 2 |
Thomas S. Hansen | DK | Soeborg | 2013-01-31 / 20130029383 - Method of Producing Hydroxymethyl-Furfural | 1 |
Per Henrik Hansen | DK | Malling | 2010-06-03 / 20100132394 - SYSTEM FOR PRODUCING FORM-MOLDED ITEMS OF EDIBLE ICE | 1 |
Brad Hansen | US | Salt Lake City | 2013-12-19 / 20130337548 - Rotating Bioreactor | 1 |
Kevin J. Hansen | US | Eden Prairie | 2012-04-19 / 20120091411 - SELF-RETRACTING HYDRAULIC JACK ASSEMBLY | 1 |
Dennis John Hansen | US | Loveland | 2012-04-19 / 20120091914 - FAULT TOLERANT ION SOURCE POWER SYSTEM | 1 |
Devon David Hansen | GB | Newport | 2015-10-08 / 20150287434 - METHOD OF CAPTURING AND STRUCTURING INFORMATION FROM A MEETING | 1 |
Mark Christopher Hansen | US | Wichita | 2015-10-08 / 20150288466 - Systems And Methods For Testing Susceptibility Of Aircraft Components To Radio Frequencies | 1 |
Erling Hansen | US | Redwood City | 2015-10-08 / 20150289389 - Modular Enclosure | 2 |
Christian Hansen | DE | Hattingen | 2015-10-15 / 20150296048 - METHOD AND COMMUNICATION SYSTEM FOR DATA COMMUNICATION | 1 |
Kate Hansen | DK | Copenhagen Nv | 2015-10-22 / 20150299281 - GIP-GLP-1 DUAL AGONIST COMPOUNDS AND METHODS | 1 |
Charles T. Hansen | US | Palos Verdes Estates | 2015-05-14 / 20150130656 - Method and Apparatus for Enhanced Multi-Node Utilization of an Electromagnetic State Space | 4 |
Joel A. Hansen | US | Beaverton | 2016-02-04 / 20160037077 - INFRARED BINOCULAR SYSTEM WITH DUAL DIOPTER ADJUSTMENT | 3 |
Lawrence A. Hansen | US | Boise | 2015-10-22 / 20150302879 - MAGNETIC TAPE PROCESSING | 1 |
Fred R. Hansen | US | Boulder | 2012-12-20 / 20120320720 - Methods, Systems, And Computer Program Products For Correcting Repeatable Runout | 1 |
Michael Hansen | NO | Sarpsborg | 2012-04-26 / 20120100367 - CELLULOSE MICROFIBRILS AS AIR RELEASE AGENT | 1 |
Christopher Hansen | US | Sunnyvale | 2014-01-30 / 20140029593 - Method and System for Optimal Beamforming in Wireless Networks | 10 |
Merrill J. Hansen | US | New Hope | 2012-12-20 / 20120321226 - DELIVERY BAG | 1 |
Timothy Scott Hansen | US | Woodstock | 2015-10-29 / 20150307237 - Single Use Container With Dispenser | 1 |
Ulla Hansen | US | Bedford | 2015-12-03 / 20150344491 - [1,3] DIOXOLO [4,5-G] QUINOLINE-6(5H)THIONE DERIVATIVES AS INHIBITORS OF THE LATE SV40 FACTOR (LSF) FOR USE IN TREATING CANCER | 3 |
Aaron Hansen | US | St. Charles | 2015-12-03 / 20150345595 - REVERSIBLE ROTATION GEARBOX AND APPLICATIONS THEREOF | 2 |
Erik Jordan Hansen | US | Elgin | 2015-02-12 / 20150041566 - APPARATUS FOR CONTROLLING NEEDLE VALVE LEAKAGE | 4 |
Silke Hansen | DE | Iffeldorf | 2014-07-10 / 20140193404 - GLYCOSYLATED ANTIBODIES | 4 |
Kevin J. Hansen | US | Des Moines | 2015-09-10 / 20150251858 - APPARATUS FOR TRANSPORTING FOOD PRODUCTS TO A LOADING HEAD | 8 |
Lawrence A. Hansen | US | Meridian | 2013-10-03 / 20130258517 - REDUCTION OF DEGRADATION OF MAGNETIC TAPE APPARATUS | 4 |
Asbjorn Hansen | NO | Drammen | 2013-03-07 / 20130058184 - MIXING APPARATUS FOR FROZEN PRODUCTS | 1 |
Matthew T. Hansen | US | Big Rock | 2013-11-28 / 20130313806 - Ground Engaging Machine Having Articulation Hitch, And Method | 1 |
Heine Hansen | DK | Ølstykke | 2015-11-05 / 20150316471 - AN APPARATUS FOR DETECTING A COMPONENT IN A SAMPLE | 1 |
Christina Rauff Hansen | DK | Copenhagen | 2015-11-05 / 20150313603 - IMPLANTABLE MEDICAL DEVICE WITH TWISTED ELEMENT | 1 |
Timothy D. Hansen | US | St. Charles | 2012-12-20 / 20120324359 - Systems and Methods for Monitoring and Enhancing Software Applications | 1 |
Ewa Hansen | SE | Vasteras | 2013-03-07 / 20130057389 - WIRELESS COMMUNICATION BETWEEN TWO TEMPORARILY CONNECTED DEVICES | 1 |
Anne Hansen | US | North Reading | 2008-11-27 / 20080292880 - INSULATING FACING TAPE AND PROCESS TO MAKE SAME | 1 |
Mikkel Hansen | DK | Kobenhavn V | 2013-11-21 / 20130305996 - TRAY FOR PRESENTING FOOD TO A PET | 1 |
Stephanie L. Hansen | US | Ames | 2015-11-12 / 20150320086 - Microalgae Meal | 1 |
Pål Francis Hansen | NO | Vesteroy | 2012-08-02 / 20120192705 - ANTIBALLISTIC ARMOUR PLATE AND A METHOD FOR MANUFACTURING SUCH AN ANTIBALLISTIC ARMOUR PLATE | 2 |
Eric Hansen | US | Boise | 2009-01-29 / 20090029560 - Apparatus and method for single substrate processing | 1 |
Jørn Hansen | DK | Odense N | 2015-12-31 / 20150373933 - Self-Watering System for a Potted Plant | 2 |
Nathaniel David Hansen | US | Canton | 2015-11-12 / 20150322889 - Crankshaft For Engine And Engine Assembly Employing The Same | 1 |
Brett Andrew Hansen | US | Grantham | 2015-01-29 / 20150027998 - PLASMA ARC CUTTING SYSTEM AND PERSONA SELECTION PROCESS | 2 |
Tom Hansen | US | Bethesda | 2009-04-02 / 20090089441 - SYSTEMS & METHODS FOR PROXY RESOLUTION OF DOMAIN NAME SERVICE (DNS) REQUESTS | 2 |
Erik Hansen | DE | Augsburg | 2015-10-08 / 20150283765 - SUPPORTING PROFILED ELEMENT, METHOD FOR PRODUCING A SUPPORTING PROFILED ELEMENT, AND USE OF SAID SUPPORTING PROFILED ELEMENT IN A METHOD FOR PRODUCING A REINFORCED VEHICLE FUSELAGE COMPONENT | 2 |
Steven Hansen | US | Dublin | 2009-03-05 / 20090058032 - VEHICLE DAMPER ATTACHMENT STRUCTURE SYSTEM AND METHOD | 1 |
Thorkild Hansen | US | Brighton | 2012-12-20 / 20120322372 - Secure Inductively-Coupled Communications Systems | 2 |
Michael Hansen | DK | Gilleleje | 2015-12-17 / 20150359656 - OSTOMY APPLIANCE | 14 |
R. Anthony Hansen | US | Redford | 2014-07-10 / 20140195080 - HYBRID POWERTRAIN INPUT TORQUE MANAGEMENT | 11 |
Nuria Hansen | US | Portland | 2009-03-12 / 20090064540 - GYMNASTICS FOOTWEAR | 1 |
Jason M. Hansen | US | Winchester | 2009-04-09 / 20090091778 - System and Method for Printing Forms | 1 |
Joel B. Hansen | US | Newark | 2009-04-23 / 20090103077 - PHOTOMETER WITH MODULAR LIGHT EMITTER | 1 |
Claus Hansen | DK | Hornslet | 2013-04-18 / 20130093360 - LED Light Fixture With Background Lighting | 5 |
Claus Ellevang Hansen | DK | Hornslet | 2013-11-07 / 20130294080 - Light Effect System For Forming A Light Beam | 2 |
Torben Moller Hansen | DK | Hornslet | 2009-01-01 / 20090004005 - Method of Controlling the Pitch Velocity of a Wind Turbine Blade and Control System Therefore | 1 |
Torben Møller Hansen | DK | Hornslet | 2011-02-17 / 20110040413 - Method Of Controlling The Pitch Velocity Of A Wind Turbine Blade And Control System Therefore | 1 |
George Hansen | US | Onalaska | 2012-06-07 / 20120141851 - SYSTEM AND METHOD FOR ENCLOSING AN ENERGY STORAGE DEVICE | 1 |
Matthew Hansen | US | Mount Horeb | 2015-11-26 / 20150341511 - PROVIDING SCAN EXCLUSIVITY TO A MOBILE DEVICE | 2 |
Tara Heitner Hansen | DK | Copenhagen | 2013-10-31 / 20130288929 - Method for Making an Enriched Library | 1 |
Ted H. Hansen | US | St. Louis | 2009-05-07 / 20090117153 - Disulfide Trap MHC Class I Molecules and Uses Therefor | 1 |
Joel Bruce Hansen | US | Newark | 2010-05-27 / 20100128265 - APPARATUS AND METHOD FOR MEASURING THE SIGNAL FROM A FLUORESCING NANODROP CONTAINED BY SURFACE TENSION | 1 |
Andrew S. Hansen | US | Bountiful | 2015-10-08 / 20150283467 - VIDEO GAMES INCLUDING REAL-LIFE ATTRIBUTES AND/OR FANTASY SETTINGS | 18 |
Chad Allen Hansen | US | Kings Mills | 2015-09-03 / 20150245650 - Consumables | 11 |
Mark A. Hansen | US | Virginia Beach | 2015-09-10 / 20150251265 - SILENT ENTRY TORCHING AND OXYGEN DELIVERY SYSTEM AND CONFIGURATION | 6 |
Anders Helbo Hansen | DK | Copenhagen | 2012-12-27 / 20120326090 - PROCESS FOR REFORMING HYDROCARBONS | 1 |
Scott F. Hansen | US | Knoxville | 2012-06-14 / 20120148115 - Mobile Biometrics Information Collection and Identification | 1 |
Eric Hansen | US | West Jordan | 2013-10-31 / 20130283668 - FISHING LURE WITH SEMICONDUCTOR INTEGRATED CIRCUIT BODY | 1 |
Jorgen Hansen | DK | Frederiksberg | 2015-12-17 / 20150361476 - Efficient Production of Steviol Glycosides in Recombinant Hosts | 9 |
Sheldon Hansen | US | Herriman | 2013-08-08 / 20130199858 - Keyseat Wiper | 3 |
Marc Hansen | DE | Mellinghausen | 2015-10-08 / 20150284536 - HALOGEN-FREE FLAME-RETARDANT TPU | 3 |
Uwe-Carsten Hansen | DE | Eisenberg | 2012-05-10 / 20120114441 - PROCESS FOR PRODUCING A TOOTHED WHEEL HAVING A HERRINGBONE GEARING AND A PROCESS AND AN APPARATUS FOR GENERATING CONTROL DATA TO FORM A HERRINGBONE GEARING ON A WORKPIECE. | 7 |
Christopher J. Hansen | US | Los Altos | 2015-07-23 / 20150207578 - MULTICHANNEL WIRELESS LOCAL AREA NETWORK | 34 |
Christian Thagaard Hansen | DE | Flensburg | 2012-08-02 / 20120192455 - OUTER SOLE FOR SHOES AND SHOES COMPRISING SUCH OUTER SOLES | 2 |
Bernd Hansen | DE | Rantrum | 2011-12-08 / 20110297194 - CLEANING SYSTEM | 6 |
Jon Milton Hansen | US | Lexington | 2012-04-12 / 20120088831 - Thraustochytrids, Fatty Acid Compositions, and Methods of Making and Uses Thereof | 3 |
David Hansen | US | Excelsior | 2015-03-05 / 20150059217 - COMPOSITE SNOW PLOW APPARATUS AND METHOD | 2 |
Tue Hansen | DK | Hvidovre | 2014-11-06 / 20140328934 - GRANULES FOR PHARMACEUTICAL PREPARATIONS, METHODS AND APPARATUS FOR THEIR PRODUCTION | 2 |
Nick Andrew Hansen | GB | Banbury | 2015-12-10 / 20150353276 - Preparation of Beverages and Liquid Food Products | 13 |
Steven Richard Hansen | US | Gaithersburg | 2012-06-21 / 20120158219 - TRAJECTORY BASED SENSE AND AVOID | 1 |
Kenneth Hansen | US | San Francisco | 2015-11-05 / 20150317720 - PROCESSING ONLINE TRANSACTIONS | 14 |
David M. Hansen | US | Green River | 2015-09-17 / 20150260025 - Multi-well solution mining exploitation of an evaporite mineral stratum | 5 |
Dane M. Hansen | US | Marysville | 2015-12-03 / 20150346780 - Fabric Lamination to a Component | 1 |
Roger A. Hansen | US | Centerville | 2008-11-06 / 20080271841 - Decal Organization Tool | 1 |
Merrill Jon Hansen | US | New Hope | 2013-04-18 / 20130095216 - EMBOSSED SHEET AND METHOD OF MAKING AND USING SAME | 1 |
Lowell D. Hansen | US | Sagamore Hills | 2014-09-04 / 20140248171 - VARIABLE DISPLACEMENT PUMP HAVING ROTATING CAM RING | 2 |
Ronald S. Hansen | US | Kindred | 2015-02-19 / 20150048671 - APPARATUS FOR CONVERTING A WHEELED VEHICLE TO A TRACKED VEHICLE | 12 |
Uwe Hansen | DE | Tuebingen | 2014-02-27 / 20140055974 - PRINTED CIRCUIT BOARD ARRANGEMENT COMPRISING AN OSCILLATORY SYSTEM | 3 |
Palle Munk Hansen | DK | Granvej 56 | 2014-01-02 / 20140005638 - INTRODUCEER ASSEMBLY AND SHEATH THEREFOR | 1 |
Palle Munk Hansen | DK | Bjaerverskov | 2015-10-15 / 20150290000 - MAGNETICALLY EXPANDABLE MEDICAL DEVICE | 1 |
Palle Munk Hansen | DK | Vemmedrup | 2015-12-10 / 20150351774 - APPARATUS FOR DELIVERING FILAMENTARY MATERIAL INTO A PATIENT | 2 |
Charles A. Hansen | US | Lancaster | 2011-05-12 / 20110112733 - Shuttle Strategy for Vehicles with Electronically Controlled Transmissions and Engines | 1 |
Nick Andrew Hansen | GB | Bandbury | 2012-06-28 / 20120164295 - BEVERAGE PREPARATION MACHINES | 1 |
Carl L. Hansen | US | 2008-09-11 / 20080220216 - MICROFABRICATED ELASTOMERIC VALVE AND PUMP SYSTEMS | 1 | |
Ole Hansen | DE | Heidenheim | 2012-07-05 / 20120168105 - HEADBOX FOR A MACHINE FOR PRODUCING A FIBROUS WEB | 1 |
Bjarne Hansen | US | Victoria | 2016-05-19 / 20160139159 - DEVICES, SYSTEMS AND METHODS FOR EVALUATION OF HEMOSTASIS | 1 |
Ryan M. Hansen | US | Watertown | 2012-11-01 / 20120274675 - PIXEL INTERLEAVING CONFIGURATIONS FOR USE IN HIGH DEFINITION ELECTRONIC SIGN DISPLAYS | 6 |
Marinus Christian Hansen | NL | Odoornerveen | 2009-04-23 / 20090104336 - PUMP UNIT AND DISPOSABLE CARTRIDGE FOR USE IN A BEVERAGE MAKER | 1 |
Marinus Christiaan Hansen | NL | Odoornerveen | 2012-07-12 / 20120174794 - SYSTEM FOR PREPARING A BEVERAGE, COMPRISING A BEVERAGE MAKER AND A CARTRIDGE THAT IS REMOVABLY ARRANGED IN THE BEVERAGE MAKER | 5 |
Marinus C. Hansen | NL | Eindhoven | 2010-01-21 / 20100011969 - FROTHING DEVICE FOR A BEVERAGE MACHINE | 1 |
Kirk Samuel Hansen | NL | Rijswijk | 2010-04-29 / 20100101790 - CYCLIC STEAM STIMULATION METHOD WITH MULTIPLE FRACTURES | 1 |
Eric W. Hansen | US | Lebanon | 2011-11-10 / 20110273176 - SYSTEM AND METHOD FOR USE OF NANOPARTICLES IN IMAGING AND TEMPERATURE MEASUREMENT | 2 |
Jan Henry Hansen | NO | Stavanger | 2013-10-03 / 20130259577 - SUBSEA POSITION CONTROL SYSTEM FOR ELONGATE ARTICLES | 1 |
Kenneth G. Hansen | DK | Kjellerup | 2015-12-17 / 20150364949 - WIND TURBINE GENERATORS | 1 |
Craig Hansen | US | Los Altos | 2016-02-18 / 20160048393 - PROCESSOR FOR EXECUTING WIDE OPERAND OPERATIONS USING A CONTROL REGISTER AND A RESULTS REGISTER | 22 |
Michael B. Hansen | US | Lava Hot Springs | 2009-05-14 / 20090120655 - Power cup cutter | 1 |
Nick Hansen | GB | Oxfordshire | 2012-07-12 / 20120177794 - Cartridge, A Machine, A System And A Method For The Preparation Of Beverages | 1 |
Jon M. Hansen | US | West Friendship | 2012-12-20 / 20120323029 - Methods, Biological Oils, Biofuels, Units, and Organisms Related to Use in Compression Engines | 2 |
Peter Hansen | SE | Molndal | 2012-07-12 / 20120178726 - NOVEL COMPOUNDS | 1 |
Jorgen Hansen | DK | Frederiksberg | 2015-12-17 / 20150361476 - Efficient Production of Steviol Glycosides in Recombinant Hosts | 9 |
Trevor Hansen | CA | Vancouver | 2012-07-12 / 20120179039 - METHODS AND APPARATUS FOR PRODUCING VIDEO RECORDS OF USE OF MEDICAL ULTRASOUND IMAGING SYSTEMS | 1 |
Hans Hansen | US | Picayune | 2014-05-29 / 20140147382 - IMMUNOTHERAPY OF B CELL MALIGNANCIES AND AUTOIMMUNE DISEASES USING UNCONJUGATED ANTIBODIES AND CONJUGATED ANTIBODIES AND ANTIBODY COMBINATIONS AND FUSION PROTEINS | 4 |
David John Hansen | US | Stillwater | 2015-12-17 / 20150364861 - IMPLANTABLE CONNECTION MECHANISMS FOR CONTINUOUS HIGH POWER DELIVERY | 1 |
Jens M. Hansen | DK | Kobenhavn N | 2015-12-24 / 20150369916 - Multi-Faced Ultrasound Transducer Element | 1 |
Wayne Matthew Hansen | US | Poynette | 2012-07-19 / 20120181289 - PLASTIC BEER KEG | 1 |
Angela Hansen | US | Dubuque | 2009-10-29 / 20090268564 - Calendar Apparatus | 1 |
Bo Hansen | DK | Vanlose | 2008-10-02 / 20080240978 - Method and apparatus for two-step sterilization | 1 |
Bo Hansen | DK | Hellerup | 2012-04-12 / 20120088907 - OLIGOMERIC COMPOUNDS FOR THE MODULATION OF SURVIVIN EXPRESSION | 3 |
Bradley D. Hansen | US | Montevideo | 2016-05-12 / 20160128270 - SYSTEM FOR MONITORING AND CONTROLLING PRODUCT DISTRIBUTION IN AN AGRICULTURAL SYSTEM | 2 |
Ulrich Vestergaard B. Hansen | DE | Herning | 2013-05-30 / 20130136597 - WIND TURBINE CONTROL SYSTEM | 1 |
Joseph Hansen | US | Portland | / - | 1 |
Scott B. Hansen | US | New York | 2012-07-19 / 20120185474 - METHODS FOR SEARCHING PRIVATE SOCIAL NETWORK DATA | 1 |
Robert Hansen | US | Austin | 2014-10-09 / 20140304839 - ELECTRONIC CLIPBOARD PROTECTION | 10 |
Brian W. Hansen | US | Cedar Park | 2013-06-27 / 20130163013 - USER DEFINED ASSOCIATIONS OF COLORS TO CARTRIDGES FOR COLOR PRINTERS | 2 |
Aaron Hansen | US | Kerrville | 2012-07-26 / 20120186769 - METHOD AND APPARATUS FOR CREATING A PATTERN | 1 |
Reimer Hansen | US | Reno | 2015-06-11 / 20150158218 - Molding Apparatus | 2 |
Peter D. Hansen | US | Wellesley | 2014-04-17 / 20140107810 - METHODS AND APPARATUS FOR CONTROL USING CONTROL DEVICES THAT PROVIDE A VIRTUAL MACHINE ENVIRONMENT AND THAT COMMUNICATE VIA AN IP NETWORK | 4 |
David R. Hansen | CA | Toronto | 2013-05-16 / 20130121419 - TEMPORAL LUMINANCE VARIATION DETECTION AND CORRECTION FOR HIERARCHICAL LEVEL FRAME RATE CONVERTER | 1 |
Jeremiah John Hansen | US | 2010-04-29 / 20100101100 - Handheld Tactical Knife | 1 | |
Robert Hansen | US | Muskegon | 2012-07-26 / 20120189806 - ULTRA-RESILIENT FABRIC AND METHOD OF MAKING THEREOF | 2 |
Mark Hansen | US | Hillsboro | 2010-05-27 / 20100127714 - Test system for flicker noise | 1 |
Douglas C. Hansen | US | Dayton | 2013-09-26 / 20130251968 - Deposition of Nanocrystalline Calcite on Surfaces by a Tissue and Cellular Biomineralization | 2 |
James E. Hansen | US | Guilford | 2015-12-31 / 20150376279 - CELL PENETRATING NUCLEOLYTIC ANTIBODY BASED CANCER THERAPY | 1 |
Svend Erik Hansen | DK | Brondby Strand | 2014-06-05 / 20140150703 - SUPPLY VESSEL | 1 |
Nicholas J. Hansen | US | Chicago | 2013-09-19 / 20130240380 - ELECTRONIC DEVICE CASE HAVING AN ELASTIC STABILIZATION STRAP | 1 |
Peter Hansen | DK | Vejle Ost | 2015-04-02 / 20150090128 - SYSTEM FOR ADMIXTURE OF A MATERIAL IN POWDER OR LIQUID FORM | 3 |
Ted Hansen | US | St. Louis | 2010-06-24 / 20100159594 - SINGLE CHAIN TRIMERS AND USES THEREFOR | 2 |
Monty Lynn Hansen | US | Sterling Heights | 2016-01-07 / 20160001342 - HOT DIE FORMING ASSEMBLY AND METHOD OF MAKIG A HEAT TREATED PART | 1 |
Randolph R Hansen | US | Sugar Land | 2016-01-07 / 20160003028 - Automatic Wellbore Survey Evaluation | 1 |
Elizabeth E. Hansen | US | St. Louis | 2013-08-22 / 20130217592 - ARRAYS AND METHODS COMPRISING M. SMITHII GENE PRODUCTS | 2 |
Eric J. Hansen | US | Bellevue | 2013-09-12 / 20130239026 - MULTI-DIMENSIONAL CONTENT DELIVERY MECHANISM | 1 |
Peter T. Hansen | US | Jamestown | 2010-07-29 / 20100189926 - PLASMA DEPOSITION APPARATUS AND METHOD FOR MAKING HIGH PURITY SILICON | 1 |
Christian Hansen | DE | Walldorf | 2013-09-12 / 20130238657 - Optimizing Software Applications | 1 |
Bradley O. Hansen | US | Vail | 2013-09-12 / 20130234803 - HIGH-FREQUENCY, HIGH-SPEED PRECISION DIGITAL BI-PHASE MODULATOR AND METHOD FOR BI-PHASE MODULATION | 1 |
Dorte Hillerup Hansen | DK | Virum | 2016-01-28 / 20160024314 - NOVEL POLYSILOXANE-BASED FOULING CONTROL COATING SYSTEMS | 1 |
Sindre Rognved Hansen | NO | Fotlandsvåg | 2016-01-28 / 20160025617 - PROBE UNIT WITH CLEANING MEANS | 1 |
Nils Hansen | DE | Hamburg | 2012-08-16 / 20120205589 - Process for the Production of Naphthenic Process Oils by Hydrogenation | 1 |
Kim Hoerdum Hansen | US | Olathe | 2010-07-29 / 20100189572 - PUMP ASSEMBLY HAVING AN INTEGRATED USER INTERFACE | 1 |
Nathan D. Hansen | US | Heber | 2016-02-11 / 20160044834 - LIGHTNING STRIKE AND ELECTROMAGNETIC PROTECTION SYSTEM | 6 |
David Hansen | US | Mercer Island | 2013-09-05 / 20130231590 - SYSTEM AND METHOD FOR ANALYZING GAIT AND RECOMMENDING FOOTWEAR WITH A MOBILE COMPUTER DEVICE | 1 |
Nestor P. Hansen | US | Coatesville | 2014-05-01 / 20140120280 - ADDITIVE COMPOSITION FOR CAUSTIC REMOVABLE HOT MELT ADHESIVES AND FORMULATIONS CONTAINING THE SAME | 2 |
Henning Hansen | US | The Woodlands | 2013-02-21 / 20130043019 - Downhole electrical coupler for electrically operated wellbore pumps and the like | 1 |
Angela Hansen | DE | Hamburg | 2012-08-30 / 20120220577 - GLYCINE B ANTAGONISTS | 1 |
David C. Hansen | US | Hillsboro | 2010-10-28 / 20100275193 - REDUCING MEMORY USAGE OF KERNEL MEMORY MANAGEMENT STRUCTURES | 2 |
Stephen R. Hansen | US | Fircrest | 2016-02-04 / 20160030144 - DIGITAL FACE BOW SYSTEM AND METHOD | 1 |
James G.r. Hansen | US | Oak Ridge | 2010-12-16 / 20100313744 - COMPOSITE TREATMENT OF CERAMIC TILE ARMOR | 1 |
Douglas R. Hansen | US | Belmont | 2012-08-09 / 20120201988 - THIN WALL EXPANDABLE POLYMER TUBES HAVING IMPROVED AXIAL AND RADIAL STRENGTH, AND A METHOD OF MANUFACTURING THEREOF | 2 |
Kathy Hansen | DK | Tonder | 2015-08-13 / 20150225019 - HOLLOW STRUCTURE WITH FLANGE | 4 |
Christopher L. Hansen | US | Newbury | 2011-11-24 / 20110284434 - WATER TREATMENT SYSTEM | 2 |
Richard Earl Hansen | US | Somerville | 2009-05-21 / 20090129316 - Systems and methods for adaptive routing in mobile ad-hoc networks and disruption tolerant networks | 1 |
Timothy R. Hansen | US | Spring Grove | 2015-10-22 / 20150297195 - CONTAINER AND CAP FOR A BIOLOGICAL SPECIMEN | 6 |
Thorleif Hansen | SE | Upplands Vasby | 2014-09-04 / 20140249720 - STEERING DAMPER WITH ACTIVE ADJUSTMENT OF DAMPING CHARACTERISTICS | 2 |
Ivan James Hansen | US | Loveland | 2012-09-13 / 20120227517 - COUPLING FOR A POSITION INDICATION DEVICE | 1 |
Allan M. Hansen | US | Glencoe | 2011-07-14 / 20110172795 - CONSIDERATION OF MANUFACTURING CAPABILITY DURING AIRCRAFT DESIGN | 2 |
Joshua Aaron Hansen | US | Provo | 2016-02-04 / 20160036931 - Real-Time Calculated And Predictive Events | 1 |
George Clayton Hansen | US | Midway | 2016-02-11 / 20160044834 - LIGHTNING STRIKE AND ELECTROMAGNETIC PROTECTION SYSTEM | 8 |
Ole Hansen | DK | Horsholm | 2014-01-16 / 20140015552 - SINGLE-POSITION HALL EFFECT MEASUREMENTS | 3 |
Ole Hansen | SE | Goteborg | 2009-11-26 / 20090289800 - DEVICE FOR A BED ALARM | 1 |
Eric R. Hansen | US | Shawnee | 2012-12-13 / 20120315590 - METHOD AND APPARATUS FOR REDUCING NOX EMISSIONS IN ROTARY KILNS BY SNCR | 3 |
Andrew H. Hansen | US | Washington | 2016-02-11 / 20160038355 - MANUAL WHEELCHAIR SYSTEM FOR IMPROVED PROPULSION AND TRANSFERS | 1 |
Espen Hansen | NO | Tromsø | 2016-02-11 / 20160039796 - BARETTIN AND DERIVATIVES THEREOF FOR MEDICAL USE, IN PARTICULAR FOR THE TREATMENT OF DISEASES RELATED TO OXIDATIVE STRESS OR INFLAMMATION, AND FOR PRESERVING OR WASHING ORGANS | 1 |
Thomas T. Hansen | US | Yankton | 2016-01-07 / 20160005533 - INDUCTOR WITH THERMALLY STABLE RESISTANCE | 6 |
Anne Hansen | US | Billerica | 2016-02-11 / 20160040113 - Flexible Film Baffle In Single Use Bioreactor | 1 |
Ronald Scott Hansen | US | Kindred | 2009-12-17 / 20090308669 - QUAD TRACK VEHICLE | 1 |
Joseph Hansen | US | Shoreview | 2013-01-24 / 20130024207 - USE OF PATIENT-CENTRIC RECORDS TO FACILITATE ANALYSIS OF OUTCOMES OF MEDICAL THERAPIES | 1 |
Gordon Harold Hansen | US | Tallahassee | 2013-08-15 / 20130205928 - Reciprocating Lever Transmission | 1 |
Cody Hansen | US | Broussard | 2014-01-16 / 20140014319 - HYDRAULIC SCREEN TABLE APPARATUS | 2 |
Nils Jakob Vest Hansen | DK | Copenhagen V | 2012-03-22 / 20120071329 - METHODS FOR IDENTIFYING COMPOUNDS OF INTEREST USING ENCODED LIBRARIES | 4 |
Nils Jakob Vest Hansen | DK | Copehhagen | 2009-09-24 / 20090239768 - METHOD FOR PREPARING COMPOUNDS BY NUCLEIC ACID DIRECTED SYNTHESIS | 1 |
Nils Jakob Vest Hansen | US | Copenhagen V | 2012-09-27 / 20120245040 - METHODS FOR SYNTHESIS OF ENCODED LIBRARIES | 1 |
Torben Strom Hansen | DK | Lyngby | 2012-05-03 / 20120109046 - SOLID DOSE DELIVERY DEVICE | 1 |
Ronald M. Hansen | US | Chelsea | 2011-02-03 / 20110028561 - PROTECTION OF NEURAL RETINA BY REDUCTION OF ROD METABOLISM | 1 |
Karl Christopher Hansen | US | Amherst | 2015-05-21 / 20150139340 - SYSTEM AND METHOD FOR DIGITAL SIGNALING | 3 |
Torben Stroem Hansen | DK | Lyngby | 2016-03-17 / 20160074592 - Fixation of a Torsion Spring | 1 |
Torben S. Hansen | DK | Lyngby | 2014-11-27 / 20140350478 - Torsion-Spring Based Wind-Up Auto Injector Pen with Dial-Up/Dial-Down Mechanism | 1 |
Preben Bøje Hansen | DK | Lyngby | 2014-05-29 / 20140147904 - FILTERING APPARATUS AND METHOD FOR MIXING, EXTRACTION AND/OR SEPARATION | 1 |
Per Hertz Hansen | DK | Lyngby | 2011-05-26 / 20110124556 - Insulin and IGF-1 Receptor Agonists and Antagonists | 2 |
Kim Per Hansen | DK | Lyngby | 2011-09-22 / 20110228382 - CLADDING-PUMPED OPTICAL WAVEGUIDE | 2 |
Lars Bo Hansen | DK | Agerskov | 2016-03-31 / 20160090968 - A LIGHTNING PROTECTION SYSTEM FOR A WIND TURBINE BLADE | 7 |
Steffen Hansen | DK | Hilleroed | 2016-05-12 / 20160129187 - Torsion Spring Injection Device Having An End-Of-Dose Mechanism | 6 |
Steffen Hansen | DK | Hillerod | 2012-09-06 / 20120226235 - METHOD AND ARRANGEMENT FOR REDUCING AIR BUBBLES IN FLUIDIC SYSTEM | 3 |
Alan Hansen | DK | Rodovre | 2014-11-20 / 20140339951 - ARMATURE ASSEMBLY FOR A GENERATOR | 2 |
Robert C. Hansen | US | Johnson City | 2013-02-14 / 20130042220 - AUTOMATIC GENERATION OF USER STORIES FOR SOFTWARE PRODUCTS VIA A PRODUCT CONTENT SPACE | 2 |
Christopher Hansen | US | Los Altos | 2016-04-07 / 20160100427 - METHOD AND SYSTEM FOR OPTIMAL BEAMFORMING IN WIRELESS NETWORKS | 6 |
Christopher Hansen | US | Cupertino | 2015-07-23 / 20150208349 - System and Method for Low Power Signaling in a Wireless Local Area Network | 2 |
Ryan Mark Hansen | US | Watertown | 2016-03-10 / 20160071442 - PIXEL INTERLEAVING CONFIGURATIONS FOR USE IN HIGH DEFINITION ELECTRONIC SIGN DISPLAYS | 3 |
Ryan Hansen | US | Sioux Falls | 2013-01-31 / 20130029862 - Clinical application utilizing genetic data for effective medication management | 1 |
Patrick Hansen | US | Sioux Falls | 2014-09-18 / 20140277780 - HIGH PRESSURE APPLICATOR APPARATUS AND METHODS | 2 |
Patrick Hansen | US | Tea | 2014-09-18 / 20140263708 - MULTI-SECTION APPLICATOR WITH VARIABLE-RATE SECTIONS | 1 |
Craig Hansen | US | Dell Rapids | 2013-11-07 / 20130297490 - METHODS AND SYSTEMS FOR ROUTING AND SETTLING PAYMENT TRANSACTIONS ELECTRONICALLY | 1 |
Finn Hansen | DK | Greve | 2014-08-07 / 20140218805 - PATTERN CONTROLLED BONDING | 2 |
Axel R. Hansen | US | San Francisco | 2016-03-31 / 20160094658 - READING FROM A MULTITUDE OF WEB FEEDS | 1 |
Daniel L. Hansen | US | Castle Rock | 2015-10-29 / 20150305642 - PACE PULSE DETECTOR FOR AN IMPLANTABLE MEDICAL DEVICE | 4 |
Vince Hansen | US | Roslyn | 2013-08-01 / 20130198012 - Process for creating, for use over the Internet or other public communications network, an electronic sales advertisement with a voiceover incorporating a choice of various styles and languages | 1 |
Lars Henrik Hansen | DK | Roskilde | 2013-08-01 / 20130197710 - DISPATCH CONTROLLER FOR A DISTRIBUTED ELECTRICAL POWER SYSTEM | 1 |
Ralph Hansen | CA | Toronto | 2012-10-11 / 20120255820 - Segmented brake rotor with externally vented carrier | 1 |
Hans-Richard Hansen | NO | Bergen | 2013-08-01 / 20130192505 - FULL FORM SHIP'S HULL WITH REDUCED WAVE MAKING RESISTANCE | 1 |
James Hansen | US | Coon Rapids | 2012-10-11 / 20120259346 - THERMAL SUTURE CUTTING DEVICE | 1 |
Mark H. Hansen | US | West Hollywood | 2012-10-11 / 20120260182 - SYSTEM FOR AND METHOD OF GENERATING AND VISUALIZING SHARING EVENT CASCADE STRUCTURES ASSOCIATED WITH CONTENT SHARING EVENTS THAT OCCUR ACROSS A NETWORK | 1 |
Scott Allen Hansen | US | Holland | 2016-02-18 / 20160046233 - RECEPTACLE | 1 |
Kevin A. Hansen | US | Ludington | 2016-02-18 / 20160047091 - RAIL VEHICLE HAVING ROLLER CLAMP ASSEMBLY AND TOWING ARM | 1 |
Bjarne G. Hansen | DK | Bagsvaerd | 2016-02-18 / 20160046916 - GLUCOAMYLASE VARIANTS AND POLYNUCLEOTIDES ENCODING SAME | 1 |
Jaron Hansen | US | Springville | 2016-04-07 / 20160097748 - AUTONOMOUS AMBIENT AIR SAMPLING SYSTEM FOR MONITORING SEMI-VOLATILE/NON-VOLATILE ORGANIC COMPOUNDS | 1 |
Cody Hansen | US | Salt Lake City | 2016-04-14 / 20160103906 - GENERATING AND IMPLEMENTING LOCAL SEARCH ENGINES OVER LARGE DATABASES | 1 |
Brett Hansen | US | Mapleton | 2016-02-18 / 20160050740 - Cost Effective Cartridge for a Plasma Arc Torch | 1 |
Todd M. Hansen | US | Grayslake | 2016-03-03 / 20160060257 - PYRROLO [2,3-B] PYRIDINE CDK9 KINASE INHIBITORS | 35 |
Robert C. Hansen | US | Endicott | 2015-01-15 / 20150020054 - AUTOMATED DATA COLLECTION, COMPUTATION AND REPORTING OF CONTENT SPACE COVERAGE METRICS FOR SOFTWARE PRODUCTS | 4 |
Thomas Kruse Hansen | DK | Herlev | 2013-09-19 / 20130244931 - Novel GLP-1 Derivatives | 21 |
Christopher John Hansen | US | Lowell | 2016-02-25 / 20160052199 - SACRIFICIAL MATERIALS | 1 |
Peter Hansen | US | Santa Barbara | 2016-01-28 / 20160022950 - PERSONAL USE OXYGEN CONCENTRATOR WITH INTEGRATED FIRE SAFETY | 12 |
Soeren Hansen | DK | Soenderborg | 2014-07-03 / 20140183387 - VALVE ACTUATOR DEVICE, IN PARTICULAR FOR A HEATING OR COOLING SYSTEM VALVE | 1 |
Jeremy A. Hansen | US | Berlin | 2014-07-31 / 20140214200 - Portable and Modular Prescription Drug Dispensing Device | 1 |
Matthew E. Hansen | US | Milford | 2012-04-12 / 20120087058 - Image-Compensating Addressable Electrostatic Chuck System | 2 |
James O. Hansen | US | Glastonbury | 2016-01-07 / 20160001407 - Leading Edge Sheath Manufacturing Method | 17 |
Bruce D. Hansen | US | Shelton | 2008-11-13 / 20080277030 - Composition and Process for Enhanced Properties of Ferrous Components | 1 |
Jeffrey Hansen | US | New Haven | 2010-05-27 / 20100131258 - Method for identifying protein synthesis inhibitors by ribosome structure | 2 |
Richard R. Hansen | US | Branford | 2010-11-11 / 20100282821 - ERGONOMIC HORN | 1 |
Gary G. Hansen | US | Newtown | 2009-07-02 / 20090172777 - SYSTEM AND METHOD FOR TRACKING DOCUMENTS | 2 |
Michael W. Hansen | US | Victoria | 2014-06-26 / 20140178588 - AUTOMATED ADDITIVE MANUFACTURING SYSTEM FOR PRINTING THREE-DIMENSIONAL PARTS, PRINTING FARM THEREOF, AND METHOD OF USE THEREOF | 2 |
Mark Hansen | US | Eden Prairie | 2014-05-15 / 20140136832 - SYSTEMS AND METHODS OF TRANSMITTING DATA | 1 |
Thomas Eilkaer Hansen | CA | Calgary | 2014-06-19 / 20140166576 - SYSTEM FOR AND METHOD OF SEPARATING OIL AND PARTICLES FROM PRODUCED WATER OR FRACTURING WATER | 1 |
Carsten Hansen | DE | Piene | 2014-06-19 / 20140167337 - AIR SPRING PISTON FOR A HEAVY-DUTY VEHICLE | 1 |
Stuart C. Hansen | US | Loveland | 2014-06-19 / 20140167612 - Vacuum Ultraviolet Photon Source, Ionization Apparatus, and Related Methods | 1 |
Wolfgang Hansen | DE | Hamburg | 2016-02-25 / 20160054224 - Mass Spectrometer Detector Using Optically Active Membrane | 1 |
Holger Hansen | DE | Hamburg | 2010-11-11 / 20100283298 - Safety Seat Suspended on Vertically Extending Retaining Strap Loops | 8 |
Peter Hansen | DK | Vallensbaek Strand | 2014-06-05 / 20140150703 - SUPPLY VESSEL | 1 |
Detlef Hansen | DE | Schenefeld | 2015-03-19 / 20150076804 - Steering Column for a Motor Vehicle | 3 |
Jason A. Hansen | US | Elkhorn | 2016-04-21 / 20160108881 - LAWN MOWER STARTER SYSTEM | 6 |
Kim Weis Hansen | US | San Diego | 2012-10-25 / 20120267298 - WATER PURIFICATION SYSTEM | 1 |
David Scott Hansen | US | Gulfport | 2012-10-25 / 20120267379 - Instant Drink Hot Beverage Container | 1 |
Erik Jordan Hansen | US | Ann Arbor | 2016-03-17 / 20160076477 - Fuel Injector | 3 |
Henning Hansen | ES | Dolores | 2010-12-16 / 20100314096 - Routing an electrical signal past a downhole connection used for semi stiff wellbore intervention rod | 1 |
Henning Hansen | ES | Alicante | 2014-05-08 / 20140127065 - SINGLE AND MULTI-CHAMBER WELLBORE PUMPS FOR FLUID LIFTING | 11 |
Henning Hansen | ES | Dolores Alicante | 2011-06-02 / 20110127035 - METHOD OF ABANDONING A PETROLEUM WELL | 2 |
Darren Hansen | US | Midland | 2016-02-04 / 20160032486 - METHOD FOR MANUFACTURING SIC WAFER FIT FOR INTEGRATION WITH POWER DEVICE MANUFACTURING TECHNOLOGY | 2 |
Matthew J. Hansen | US | Big Rock | 2014-05-15 / 20140133948 - BEARING ASSEMBLY | 1 |
Christian M. Hansen | US | Greenville | 2014-05-08 / 20140123620 - SYSTEM AND METHOD FOR OXIDANT COMPRESSION IN A STOICHIOMETRIC EXHAUST GAS RECIRCULATION GAS TURBINE SYSTEM | 1 |
Brett Andrew Hansen | US | Mapleton | 2014-05-22 / 20140138360 - Articulating Thermal Processing Torches and Related Systems and Methods | 1 |
Doyle Hansen | US | St. George | 2014-11-27 / 20140347952 - MIXING APPARATUS AND METHODS | 2 |
Peter L. Hansen | GB | Herts | 2014-05-08 / 20140128787 - COMPRESSION DEVICE FOR THE LIMB | 1 |
Valerie Hansen | US | Racine | 2015-11-19 / 20150332300 - AUTOMATED SYSTEM FOR ADAPTING MARKET DATA AND EVALUATING THE MARKET VALUE OF ITEMS | 10 |
Harold J. Hansen | US | Hamden | 2014-08-28 / 20140239864 - VARIABLE LINK SENSORLESS BRUSHLESS DIRECT CURRENT MOTOR CONTROLLER FOR SPACE AND HARDENED APPLICATIONS | 2 |
Allan Boye Hansen | NO | Sandefjord | 2014-08-21 / 20140230946 - THERMAL INSULATING ELEMENT, A SUBSEA STRUCTURE SUCH AS AN ARMOURED UNBONDED FLEXIBLE PIPE COMPRISING SUCH AN ELEMENT, AND METHODS OF MANUFACTURING SUCH AN ELEMENT AND SUCH A PIPE | 1 |
Lars Hansen | DK | Frederiksberg C | 2009-11-19 / 20090286716 - Soluble Pharmaceutical Compositions for Parenteral Administration Comprising a GLP-1 Peptide and an Insulin Peptide of Short Time Action for Treatment of Diabetes and Bulimia | 2 |
Grazyna Hansen | DK | Frederiksberg C | 2016-01-28 / 20160022505 - MEDICAL DRESSING | 3 |
Frans Ejner Rvan Hansen | DK | Frederiksberg C | 2012-09-06 / 20120223260 - METHOD AND A SYSTEM FOR DETERMINATION OF PARTICLES IN A LIQUID SAMPLE | 5 |
Jens Hansen | DE | Berlin | 2013-07-25 / 20130186202 - DEVICE AND METHOD FOR RECORDING AT LEAST ONE ACCELERATION AND A CORRESPONDING COMPUTER PROGRAM AND A CORRESPONDING COMPUTER-READABLE STORAGE MEDIUM AND ALSO USE OF SUCH A DEVICE | 1 |
Ryan John Hansen | US | Carmel | 2010-01-21 / 20100015155 - PEGYLATED ABETA FAB | 1 |
Marvin Martin Hansen | US | Indianapolis | 2009-04-30 / 20090111809 - INHIBITORS OF 11-BETA-HYDROXYSTEROID DEHYDROGENASE 1 | 1 |
Mark C. Hansen | US | Kokomo | 2012-12-20 / 20120319701 - System and Method of Occupant Detection with a Resonant Frequency | 11 |
Brad C. Hansen | US | Franklin | 2010-10-28 / 20100270420 - CIRCULAR FIXED WING VTOL AIRCRAFT | 1 |
Esben Halkjaer Hansen | DK | Frederiksberg | 2015-09-24 / 20150267227 - Vanillin Synthase | 3 |
John C. Hansen | US | Spring Grove | 2014-03-20 / 20140075982 - MEDIUM VOLTAGE POWER CONTROLLER | 12 |
Christopher James Hansen | US | Los Altos | 2012-10-25 / 20120269133 - Method and system for high speed wireless data transmission between communication devices | 1 |
Per Flemming Hansen | DK | Soborg | 2009-05-21 / 20090129391 - Virtual Ethernet MAC Switching | 1 |
Klavs Riishede Hansen | DK | Copenhagen | 2014-09-04 / 20140248668 - Methods and Materials for Recombinant Production of Saffron Compounds | 1 |
Carl L. Hansen | US | Pasadena | 2015-10-01 / 20150276089 - MICROFABRICATED ELASTOMERIC VALVE AND PUMP SYSTEMS | 20 |
Kyle Hansen | US | Castle Rock | 2014-10-16 / 20140305381 - GRADUATED ORDER STEP PET PUZZLE TOY | 9 |
Eric J. Hansen | US | Boston | 2008-11-06 / 20080275980 - METHOD AND SYSTEM FOR TESTING VARIATIONS OF WEBSITE CONTENT | 1 |
Eric J. Hansen | US | Plano | 2009-05-28 / 20090137788 - USPA1 AND USPA2 ANTIGENS OF MORAXELLA CATARRHALIS | 2 |
Eric J. Hansen | US | Ada | 2015-07-23 / 20150203794 - ENZYME CLEANING COMPOSITION AND METHOD OF USE | 15 |
Elyse Hansen | US | Parker | 2014-09-11 / 20140251515 - MODULAR TOTE AND METHOD OF MANUFACTURING THE SAME | 1 |
Cynthia Hansen | US | Parker | 2014-09-11 / 20140251515 - MODULAR TOTE AND METHOD OF MANUFACTURING THE SAME | 1 |
Chad Allen Hansen | US | Kings Mills | 2015-09-03 / 20150245650 - Consumables | 11 |
John Hansen | GB | Hertfordshire | 2010-12-30 / 20100327860 - METHOD AND APPARATUS FOR PHASE SENSITIVE DETECTION OF EDDY CURRENT MEASUREMENTS | 1 |
Steen Uldall Hansen | GB | Manchester | 2010-12-16 / 20100317846 - Production Of L-Iduronate Containing Polysaccharides | 1 |
Vibeke Nordmark Hansen | GB | Surrey | 2011-06-16 / 20110142202 - Radiotherapy Apparatus | 2 |
Christina Veggerby Hansen | GB | Manchester | 2008-10-30 / 20080267990 - TB DIAGONOSTIC BASED ON ANTIGENS FROM M. TUBERCULOSIS | 1 |
Neils R. Hansen | GB | Poole | 2008-10-09 / 20080249647 - System and Method of Data Harvesting | 1 |
Nick Hansen | GB | Oxford | 2010-05-13 / 20100121272 - RELATING TO INJECTION DEVICES | 1 |
Paul Hansen | GB | Cambridge | 2009-11-05 / 20090273518 - Associating a Universal Time with Received Signal | 2 |
Steen Hansen | GB | Manchester | 2009-05-28 / 20090137792 - Production Of L-Iduronate Containing Polysaccharides | 1 |
John Hansen | GB | Harpenden | 2008-12-18 / 20080309328 - AUTOMATIC LIFT-OFF COMPENSATION FOR PULSED EDDY CURRENT INSPECTION | 1 |
Thomas A. Hansen | US | Long Valley | 2014-09-11 / 20140254218 - Voltage Adaptor for AC-DC Power Supply Modules | 1 |
Michael Ejstrup Hansen | DK | Morud | 2014-05-22 / 20140142514 - MEDICAL DELIVERY SYSTEM COMPRISING LOCKING RING WITH L-SHAPED GROOVES | 8 |
William Hansen | US | Beverly | 2014-09-18 / 20140263179 - TUNING SYSTEM AND METHOD FOR PLASMA-BASED SUBSTRATE PROCESSING SYSTEMS | 1 |
Thorkild Hansen | US | Hopkinton | 2015-10-22 / 20150304870 - Plane Wave Generation Within A Small Volume Of Space For Evaluation of Wireless Devices | 2 |
Thomas G. Hansen | US | Bellingham | 2014-02-20 / 20140052700 - Delta Version Clustering and Re-Anchoring | 1 |
Steven Hansen | US | Groton | 2014-05-15 / 20140132767 - Parking Information Collection System and Method | 1 |
Carsten Lynggaard Hansen | DK | Smorum | 2011-01-20 / 20110014288 - MICROCAPSULES COMPRISING A FAT-SOLUBLE ACTIVE SUBSTANCE | 1 |
James R. Hansen | US | Franklin | 2015-03-12 / 20150074271 - REPORTING THE STATE OF AN APPARATUS TO A REMOTE COMPUTER | 6 |
Jacob Holdt Hansen | DK | Smorum | 2014-07-10 / 20140193012 - HEARING AID COMPONENT WITH EARWAX FILTER | 3 |
Peter S.k. Hansen | DK | Smorum | 2013-04-18 / 20130094683 - LISTENING SYSTEM ADAPTED FOR REAL-TIME COMMUNICATION PROVIDING SPATIAL INFORMATION IN AN AUDIO STREAM | 2 |
Hans Hansen | US | Somerville | 2016-02-04 / 20160030430 - TETRAHYDROPYRAZOLOPYRIMIDINE COMPOUNDS | 3 |
Roy S. Hansen | DK | Dragor | 2013-01-10 / 20130012135 - WIRELESS DEVICE AND METHOD OF OPERATION | 1 |
Ulrich Vestergaard B. Hansen | DK | Herning | 2013-08-15 / 20130211611 - WIND TURBINE CONTROL SYSTEM | 6 |
Jan Hansen | DE | Holstebro | 2008-11-13 / 20080279180 - Managing a Node that Provides Access to Both Broadband and Narrowband Service | 1 |
Peter S. K. Hansen | DK | Smorum | 2015-05-07 / 20150124976 - BINAURAL HEARING ASSISTANCE SYSTEM COMPRISING TWO WIRELESS INTERFACES | 2 |
Martin Dyreborg Hansen | DK | Herning | 2014-09-18 / 20140270895 - Spread Container | 1 |
Peter Søren Kirk Hansen | DK | Smorum | 2011-03-10 / 20110059696 - SHORT RANGE, UNI-DIRECTIONAL WIRELESS LINK | 1 |
Kristian Harley Hansen | DK | Herning | 2013-08-15 / 20130205778 - THERMAL SOLAR ABSORBER SYSTEM GENERATING HEAT AND ELECTRICITY | 1 |
Achim Hansen | CH | Zug | 2014-10-23 / 20140311654 - Method and Device for Joining Transfer or Laminating Film Webs | 13 |
Robert A. Hansen | GB | Langley | 2011-01-06 / 20110002328 - METHOD, SYSTEM, AND DEVICE FOR SETTING UP A CALL USING A GLOBAL REGISTRY | 1 |
Robert A. Hansen | US | Oakdale | 2012-09-13 / 20120227833 - Butterfly valves | 3 |
Robert A. Hansen | US | North Muskegon | 2014-09-18 / 20140272270 - Pad Comprising an Extruded Mesh and Method of Making Thereof | 4 |
Robert A. Hansen | DE | Stuttgart-Birkach | 2009-07-16 / 20090181590 - Ultra-Resilient Pad | 3 |
Harold Travis Hansen | US | Portage | 2014-09-18 / 20140261387 - CONCENTRATING SOLAR COLLECTOR AND PRE-FORMED FRESNEL ARRAY REFLECTOR PANEL | 1 |
Tor Eivind Hansen | NO | Sandnes | 2014-07-31 / 20140209321 - PLUG, AND METHODS FOR SETTING AND RELEASING THE PLUG | 1 |
Mitchel D. Hansen | US | Aledo | 2016-02-25 / 20160053902 - CHECK VALVE | 3 |
Holger Claus Hansen | DK | Vaerlose | 2010-09-30 / 20100249161 - 2- ( 2 -HYDROXYPHENYL) -QUINAZOLIN-4-ONES USEFUL FOR TREATING OBESITY AND DIABETES | 8 |
Michael Hansen | US | Whitefish Bay | 2014-07-24 / 20140208194 - DEVICE AND SYSTEM FOR SECURELY EXECUTING ELECTRONIC DOCUMENTS | 1 |
Andrew H. Hansen | US | Minneapolis | 2014-09-18 / 20140265211 - MANUAL WHEELCHAIR SYSTEM FOR IMPROVED PROPULSION AND TRANSFERS | 1 |
Paul Hansen | US | Duluth | 2015-03-26 / 20150087195 - HUMAN-POWERED WATERCRAFT | 2 |
Ralf Hansen | DE | Braunschweig | 2014-07-17 / 20140197649 - PIVOTING MECHANSIM FOR MOVABLY ATTACHING A CAMERA TO A VEHICLE | 1 |
George M. Hansen | US | Lakewood | 2013-07-18 / 20130184847 - SYSTEMS AND METHODS FOR ENABLING AND DISABLING OPERATION OF MANUFACTURING MACHINES | 1 |
Robert Hansen | US | Austin | 2014-10-09 / 20140304839 - ELECTRONIC CLIPBOARD PROTECTION | 10 |
Zachary J. Hansen | US | Seattle | 2014-09-18 / 20140280810 - PROVIDING PRIVATE ACCESS TO NETWORK-ACCESSIBLE SERVICES | 1 |
Allan R. Hansen | US | River Falls | 2014-07-10 / 20140191523 - Tablet Computer Holding Device | 1 |
Palle Hansen | DK | Sonderso | 2010-08-26 / 20100216202 - Method And A System For The Pretreatment Of Lignocellulosic Material | 1 |
Marc R. Hansen | US | Mountain View | 2014-09-18 / 20140283069 - PROTECTING AGAINST THE INTRODUCTION OF ALIEN CONTENT | 4 |
Henrik Frydenlund Hansen | DK | Rodovre | 2016-02-04 / 20160032289 - Oral Delivery of Therapeutically Effective LNA Oligonucleotides | 11 |
Lars Elmekilde Hansen | DK | Roskilde | 2015-10-01 / 20150274578 - PROCESS AND APPARATUS FOR FORMING MAN-MADE VITEROUS FIBRES | 12 |
Christian Hansen | DE | Sehnde | 2014-03-13 / 20140072063 - APPARATUS AND METHOD FOR CODING AND MODULATION | 2 |
Megan Hansen | US | Thousand Oaks | 2016-03-10 / 20160072302 - WIRELESS CHARGING OF METAL BACKED ELECTRONIC DEVICES | 1 |
Eric Hansen | US | Babylon | 2011-01-27 / 20110023132 - SYSTEM AND METHOD FOR GENERATING TARGET AREA INFORMATION OF A BATTLEFIELD USING INFORMATION ACQUIRED FROM MULTIPLE CLASSIFICATION LEVELS | 1 |
Scott B. Hansen | US | New York City | 2010-07-08 / 20100174709 - Methods For Searching Private Social Network Data | 1 |
Jeffrey C. Hansen | US | Fairport | 2011-06-09 / 20110136065 - COLOR INTERMEDIATE MOTION PICTURE FILM | 2 |
Peter W. Hansen | US | Canann | 2009-12-24 / 20090317832 - Cell Classification System | 1 |
Richard Karl Hansen | US | Troy | 2009-07-30 / 20090193008 - TERM SYNONYM GENERATION | 2 |
David Scott Hansen | US | Ithaca | 2008-09-11 / 20080217345 - Heat Dispersing Beverage Lid | 1 |
Bernt Julius Hansen | US | Afton | 2010-06-24 / 20100155943 - SEMICONDUCTOR CHIP USED IN FLIP CHIP PROCESS | 2 |
Nicholas M. Hansen-Hiraki | US | Reno | 2013-06-06 / 20130145154 - GAMING MACHINE CERTIFICATE CREATION AND MANAGEMENT | 2 |
Harold E. A. Hansen, Ii | US | Plano | 2009-10-15 / 20090257568 - Shared Knowledge in a Telephone System | 1 |
Harold E.a. Hansen, Ii | US | Plano | 2014-01-30 / 20140029736 - Telecommunications System and Method | 3 |
William S. Hansen, Ii | US | Waukegan | 2013-04-25 / 20130099509 - HANDLE WITH ADJUSTABLE LATCH CAM | 1 |
Charles C. Hansen, Iii | US | Lagrange Park | 2015-05-14 / 20150129788 - BALL VALVE FOR COLD FLUIDS | 1 |
Charles C. Hansen, Iii | US | Hinsdale | 2010-09-30 / 20100243931 - LINEAR MOTOR VALVE | 1 |
Howard O. Hansen, Jr. | US | Orlando | 2009-03-12 / 20090066086 - Solar/Geothermal powered thermodynamic hydro electric generating system | 1 |
Skyle D. Hansen, Jr. | US | Graham | 2015-02-12 / 20150045093 - SHROUD ASSEMBLY FOR COMMUNICATION SITE | 1 |
Karen Hansen, Jr. | US | Sheridan | 2015-06-25 / 20150173316 - METHOD AND APPARATUS FOR AEROPONIC GROWTH | 1 |
Howard Lee Hansen, Jr. | US | Waco | 2015-10-22 / 20150300175 - METHOD AND SYSTEM FOR SERVICING WIND TURBINE ROTOR | 1 |
Joseph Henry Hansen, Jr. | US | Kaysville | 2016-04-07 / 20160097859 - MONITOR BASED AMBIGUITY VERIFICATION FOR ENHANCED GUIDANCE QUALITY | 1 |
David J. Hansen, Jr. | US | Geneva | 2009-05-14 / 20090119960 - Advertising sign | 1 |
Isabelle Hansenne | US | Westfield | 2015-11-12 / 20150320867 - TOPICAL FORMULATIONS AND METHODS FOR THE USE THEREOF | 8 |
Eric Hansenne | BE | Soumagne | 2015-03-05 / 20150059913 - EXHAUST PIPE | 1 |
Isabelle Hansenne | US | Westfield | 2015-11-12 / 20150320867 - TOPICAL FORMULATIONS AND METHODS FOR THE USE THEREOF | 8 |
Asbjorn Hansen, Sr. | NO | Drammen | 2013-03-07 / 20130058188 - SPINDLE FOR MIXING FROZEN DESSERT | 2 |
Tore Hansen-Tangen | NO | Kristiansand S | 2012-11-01 / 20120275883 - Method of Preparing a Dry Bulk Carrier | 2 |
Thomas Robert Hanser | US | Taylor Mill | 2012-09-20 / 20120237683 - Absorbent Article Having a Stable Skin Care Composition | 2 |
Andrew D. Hanser | US | Raleigh | 2015-10-01 / 20150279675 - GROUP III NITRIDE ARTICLES AND METHODS FOR MAKING SAME | 7 |
Timothy Hanser | US | New London | 2009-04-23 / 20090104265 - Polymorphs of N-(4-chloro-3-methyl-5-isoxazolyl) 2-[2-methyl-4,5-(methylenedioxy)phenylacetyl] thiophene-3-sulfonamide, sodium salt | 1 |
Andrew David Hanser | US | Raleigh | 2009-03-26 / 20090081857 - Non-polar and semi-polar GaN substrates, devices, and methods for making them | 1 |
Jorg Hanser | DE | Bonn | 2014-09-11 / 20140258167 - DELIVERY OF POSTAL ITEMS BY PARTICIPANTS OF A DELIVERY SERVICE | 1 |
Andrew D. Hanser | US | Olsmar | 2015-07-16 / 20150200256 - GROUP III NITRIDE ARTICLES HAVING NUCLEATION LAYERS, TRANSITIONAL LAYERS, AND BULK LAYERS | 2 |
Andrew D. Hanser | US | Oldsmar | 2012-09-20 / 20120235161 - GROUP III NITRIDE TEMPLATES AND RELATED HETEROSTRUCTURES, DEVICES, AND METHODS FOR MAKING THEM | 1 |
Volker W. Hanser | DE | Kehl | 2010-05-06 / 20100109830 - TRANSFORMER | 1 |
Ernest V. Hanserry | US | Evergreen | 2009-01-29 / 20090029352 - Method for detecting the Presence of A Nucleic Acid in A Sample | 1 |
Terje Hanserud | US | Milford | 2016-05-19 / 20160142678 - DEVICE FOR MEASURING THE LENGTH AND DIAMETER OF A CONTAINER USING STRUCTURED LIGHTING, AND METHOD OF USE | 1 |
Christian Hanses | DE | Sessenhausen | 2010-04-01 / 20100080677 - Loading and Unloading Device for Cargo Containers, Silos and Other Vessels | 1 |
Andreas Joachim Hanses | DE | Habichtstrasse 17 | 2013-08-08 / 20130199851 - METHOD FOR PRODUCING A HORIZONTALLY DRILLED BORE IN THE GROUND AND HORIZONTAL DRILLING DEVICE | 1 |
Anthony Adam Hanses | US | Woodinville | 2014-10-30 / 20140324829 - TAGGED SEARCH RESULT MAINTAINANCE | 4 |
Philip C. Hanses | US | East Lansing | 2010-02-18 / 20100042702 - Bookmarks for Flexible Integrated Access to Published Material | 3 |
Thomas Hanses | DE | Feldkirchen-Westerham | 2014-01-30 / 20140028803 - FIRE MONITORING SYSTEM | 5 |
Christian Hanses | DE | Hilgert | 2014-12-04 / 20140356108 - Unloading System for Bulk Material from a Transport Vessel, in Particular a Container | 1 |
Markus Hanses | DE | Hoexter | 2013-02-28 / 20130052884 - ELECTRIC CONNECTION TERMINAL | 1 |
Andreas Joachim Hanses | DE | Lennestadt | 2013-10-24 / 20130277118 - METHOD FOR OPERATING A HORIZONTAL DRILLING DEVICE AND HORIZONTAL DRILLING DEVICE | 2 |
Markus Hanses | DE | Hoxter | 2014-07-31 / 20140213117 - Electrical Connecting Module | 3 |
Leon M. Hansford | CA | Toronto | 2010-04-29 / 20100105574 - CANCER STEM CELLS AND USES THEREOF | 1 |
Neil Robert Hansford | GB | Somerset | 2013-01-31 / 20130026271 - CONICAL IMPACT MILL | 1 |
Derek J. Hansford | US | Columbus | 2010-06-24 / 20100160999 - Electrical Stimulation of Cell and Tissue Growth with Two-and Three-Dimensionally Patterned Electrodes | 1 |
Christopher Ian Hansford | GB | Runcorn | 2008-12-04 / 20080295572 - Automated System for Impactor Testing | 1 |
Kimberly R. Hansford | US | Winthrop Harbor | 2014-12-18 / 20140371698 - SOUND ABSORBING NON-WOVEN MATERIAL, SOUND ABSORBING MULTILAYER FILM, AND LAMINATES MADE THEREOF | 3 |
Graeme Mark Hansford | GB | Coalville | 2015-04-02 / 20150092921 - METHODS AND APPARATUS FOR X-RAY DIFFRACTION | 1 |
Karl A. Hansford | CA | Montreal | 2010-02-18 / 20100041609 - INTERLEUKIN-1 RECEPTOR ANTAGONISTS, COMPOSITIONS, AND METHODS OF TREATMENT | 1 |
Brey Daniel Hansford | US | Portage | 2014-02-27 / 20140055086 - BATTERY WITH AN INTERNAL MICROCONTROLLER THAT DRAWS DIFFERENT CURRENTS FROM THE CELLS INTERNAL TO THE BATTERY BASED ON THE TEMPERATURE OF THE BATTERY | 2 |
Graeme Mark Hansford | GB | Leicester | 2013-10-24 / 20130279653 - METHODS AND APPARATUS FOR X-RAY DIFFRACTION | 1 |
Keith A. Hanshaw | US | Ocean Pines | 2014-07-03 / 20140183268 - Coin With Embedded Micro-Chip | 1 |
Jamie Charles Hanshaw | US | South Lyon | 2016-04-21 / 20160108772 - METHOD AND SYSTEM FOR VARIABLE CAM TIMING DEVICE | 11 |
Jamie Charles Hanshaw | US | South Lyon | 2016-04-21 / 20160108772 - METHOD AND SYSTEM FOR VARIABLE CAM TIMING DEVICE | 11 |
Gerard E. Hanshe | US | Levittown | 2013-04-04 / 20130085951 - Method and System for Filing and Monitoring Electronic Claim Submissions in Multi-Claimant Lawsuits | 2 |
Eberhard Hansis | DE | Hamburg | 2012-05-17 / 20120121062 - METHOD AND APPARATUS FOR GENERATING COMPUTED TOMOGRAPHY IMAGES WITH OFFSET DETECTOR GEOMETRIES | 2 |
Eberhard Sebastian Hansis | DE | Hamburg | 2016-04-21 / 20160110869 - CORTICAL BONE SEGMENTATION FROM MR DIXON DATA | 5 |
Eberhard Sebastian Hansis | US | Menlo Park | 2014-01-16 / 20140014828 - ADAPTIVE CALIBRATION FOR TOMOGRAPHIC IMAGING SYSTEMS | 9 |
Eberhard Sevastian Hansis | US | Menlo Park | 2013-09-19 / 20130243298 - DIAGNOSTIC IMAGE FEATURES CLOSE TO ARTIFACT SOURCES | 1 |
Eberhard S. Hansis | US | Menlo Park | 2013-03-28 / 20130077847 - RECONSTRUCTION FOR CONE-BEAM COMPUTED TOMOGRAPHY IMAGING WITH OFF-CENTER FLAT PANEL DETECTOR | 1 |
Eberhard Sebastian Hansis | US | Menlo Park | 2014-01-16 / 20140014828 - ADAPTIVE CALIBRATION FOR TOMOGRAPHIC IMAGING SYSTEMS | 9 |
Eberhard Sabastian Hansis | US | Menlo Park | 2012-03-08 / 20120057671 - DATA ACQUISITION AND VISUALIZATION MODE FOR LOW DOSE INTERVENTION GUIDANCE IN COMPUTED TOMOGRAPHY | 1 |
Hendrik Jan Hanskamp | NL | Zelhem | 2011-10-06 / 20110239943 - MILKING DEVICE FOR THE MILKING OF MILKING ANIMALS | 1 |
Rickie Hansken | US | Tulalip | 2014-03-06 / 20140061371 - WING FOLD SYSTEM WITH LATCH PINS THROUGH MULTIPLE MATING LUGS | 1 |
Rickie Hansken | US | Marysville | 2012-02-16 / 20120036809 - SYSTEM AND METHOD FOR SUPPORTING A LOAD ACROSS A PLURALITY OF NON-INTERSECTING BEAMS | 1 |
Mikko Hanski | FI | Oulu | 2010-05-20 / 20100125661 - ARRANGEMENT FOR MONITORING PERFORMANCE OF NETWORK CONNECTION | 1 |
Douglas Joseph Hansknecht | US | Columbus | 2012-10-25 / 20120271672 - SOFTWARE/HARDWARE RANKING | 2 |
Douglas J. Hansknecht | US | Columbus | 2015-09-24 / 20150271269 - INCREASED INTEROPERABILITY BETWEEN WEB-BASED APPLICATIONS AND HARDWARE FUNCTIONS | 2 |
John Christopher Hansknecht | US | Williamsburg | 2009-10-01 / 20090241615 - DEFEATABLE ROOM ACCESS CONTROL SYSTEM AND METHOD | 1 |
Grit Hansler (-Richter) | DE | Neuenburg | 2009-03-12 / 20090068282 - Use of metal complex compounds as oxidation catalysts | 2 |
Ryan P. Hanslip | US | San Francisco | 2015-05-21 / 20150138772 - LED LIGHTING WITH FRANGIBLE CIRCUIT BOARD AND HEAT SINK MOUNT | 1 |
Christopher R. Hanslits | US | Zuni | 2014-09-11 / 20140251572 - Vacuum or air casting using induction hot topping | 2 |
Scott Hansma | US | San Francisco | 2015-08-20 / 20150235144 - METHODS AND SYSTEMS FOR MAKING EFFECTIVE USE OF SYSTEM RESOURCES | 34 |
Paul K. Hansma | US | Isla Vista | 2013-08-08 / 20130204164 - Methods and instruments for assessing bone fracture risk | 2 |
Paul K. Hansma | US | Goleta | 2015-11-12 / 20150323436 - SELF-ALIGNING PROBES AND RELATED DEVICES | 2 |
Scott Hansma | US | San Francisco | 2015-08-20 / 20150235144 - METHODS AND SYSTEMS FOR MAKING EFFECTIVE USE OF SYSTEM RESOURCES | 34 |
Paul Hansma | US | Goleta | 2013-05-16 / 20130122466 - METHOD AND DEVICE FOR REFERENCE POINT INDENTATION WITHOUT A REFERENCE PROBE | 3 |
Hans-Ullrich Hansmann | DE | Barnitz | 2016-05-19 / 20160138988 - PRESSURE SENSOR, MEASURING DEVICE, REACTION CARRIER AND MEASURING METHOD | 26 |
Rolf Hansmann | DE | Verden | 2008-09-11 / 20080216623 - METHOD FOR CONVERTING A TRIMMING MACHINE FOR THE PREFERABLY THREE-SIDED TRIMMING OF A STACK OF SHEETS | 1 |
Hans-Ulrich Hansmann | DE | Barntiz | 2009-01-22 / 20090020117 - BREATHING GAS SUPPLY DEVICE | 1 |
Thomas Hansmann | DE | Heidelberg | 2009-12-17 / 20090309047 - PARTICLE THERAPY SYSTEM | 4 |
Daniel Hansmann | DE | Gelsenkirchen | 2010-02-04 / 20100024929 - METHOD FOR THE THERMOMECHANICAL TREATMENT OF SEAMLESS RINGS PRODUCED ON RADIAL-AXIAL RING ROLLING MACHINES | 1 |
Uwe Hansmann | DE | Tuebingen | 2014-05-15 / 20140137206 - PASSWORD-FREE, TOKEN-BASED WIRELESS ACCESS | 3 |
Harald Hansmann | DE | Wismar | 2010-04-15 / 20100090152 - Valve for liquid pumps | 3 |
Thomas Hansmann | DE | Trier | 2010-08-12 / 20100200070 - COKE OVEN OFFTAKE PIPING SYSTEM | 2 |
Hans-Ulrich Hansmann | DE | Barnitz | 2010-10-28 / 20100269829 - SLOT VALVE FOR USE IN THE PNEUMATIC SWITCHING CIRCUIT OF A RESPIRATOR | 2 |
Britta Hansmann | DE | Kiel | 2011-01-20 / 20110015118 - C-Terminal Ifapsoriasin Fragments as Antimicrobial Peptides, the Production Thereof and Use Thereof | 1 |
Hans-Ullrich Hansmann | DE | Barnitz | 2016-05-19 / 20160138988 - PRESSURE SENSOR, MEASURING DEVICE, REACTION CARRIER AND MEASURING METHOD | 26 |
Thomas Hansmann | DE | Leimen-Gauangelloch | 2015-04-09 / 20150100250 - Method and Device for Determining an Irradiation Plan for a Particle Irradiation System | 1 |
Jan Hansmann | DE | Stuttgart | 2012-11-29 / 20120301958 - BIOARTIFICIAL PROXIMAL TUBULE SYSTEMS AND METHODS OF USE | 2 |
Douglas R. Hansmann | US | Bainbridge Island | 2016-03-24 / 20160082243 - CATHETER SYSTEM | 9 |
Johannes Hansmann | DE | Korschenbroich | 2013-09-26 / 20130250805 - METHOD FOR INVESTIGATING A DATA TRANSPORT NETWORK AND COMPUTER PROGRAM PRODUCT | 1 |
Uwe Hansmann | DE | Tubingen | 2014-07-17 / 20140201618 - CLIENT-SIDE PERSONALIZATION OF WEBSITES AND CORRESPONDING NETWORK ENVIRONMENT | 1 |
Frank Hansmann | DE | Lippstadt | 2015-11-12 / 20150323151 - VENTILATION SYSTEM FOR VEHICLE LIGHTING | 2 |
Bjoern Hansmann | DE | Goettingen | 2015-06-11 / 20150157986 - ELECTRON BEAM INDUCED MODIFICATION OF MEMBRANES BY POLYMERS | 4 |
Uwe Hansmann | DE | Boeblingen | 2013-01-10 / 20130013767 - SYSTEM AND METHOD FOR MANAGING SOFTWARE PROVIDED AS CLOUD SERVICE | 1 |
Jan Hansmann | DE | Darmstadt | 2015-06-11 / 20150159700 - SHAFT ARRANGEMENT AND METHOD FOR RELAYING TORQUES ACTING AROUND A ROTATIONAL AXIS | 2 |
Douglas R. Hansmann | US | Bainbridge Island | 2016-03-24 / 20160082243 - CATHETER SYSTEM | 9 |
Frank Hansmann | DE | Lipperbruch | 2015-10-29 / 20150307017 - Closure System for Closing an Opening of a Vehicle Light and Vehicle Light | 1 |
Doug Hansmann | US | Madison | 2015-07-23 / 20150203925 - LIQUID CRYSTAL BASED ANALYTE DETECTION | 3 |
Brett L. Hansmeier | US | Manchester | 2011-07-28 / 20110182332 - METHOD AND APPARATUS FOR HIGH SPEED DATA TRANSMISSION MODULATION AND DEMODULATION | 1 |
Meredith Hans Moore | US | West Chester | 2014-09-18 / 20140274649 - Bone Marrow Concentrator | 2 |
Christopher Michael Hansom | US | Seattle | 2016-05-05 / 20160123512 - Electrostatic Discharge Protected Ferrule | 3 |
Michael Hansom | FR | Moissy-Cramayel Cedex | 2015-05-14 / 20150132139 - FOUNDRY CORE ASSEMBLY FOR MANUFACTURING A TURBOMACHINE BLADE, ASSOCIATED METHOD OF MANUFACTURING A BLADE AND ASSOCIATED BLADE | 1 |
Leah R. Hansom | US | Vadnais Heights | 2010-03-25 / 20100074959 - LIPID GROWTH FACTOR FORMULATIONS | 1 |
Michael Hansom | FR | Paris | 2014-12-18 / 20140369844 - OPTIMISATION OF THE BEARING POINTS OF THE STILTS OF VANES IN A METHOD FOR MACHINING SAID VANES | 1 |
James Jeffery Hanson | US | Elgin | 2016-04-28 / 20160113438 - SYSTEM AND METHOD FOR CUSTOMIZED CONTROL AND MONITORING OF COMMERCIAL KITCHEN EQUIPMENT | 2 |
Lars A. Hanson | SE | Goteborg | 2008-12-04 / 20080299180 - Peptides based on the sequence of human lactoferrin and their use | 1 |
Brian J. Hanson | US | Shoreview | 2014-03-20 / 20140081244 - PRESSURE SENSING GUIDEWIRE | 2 |
Derek W. Hanson | US | Ft. Collins | 2012-02-02 / 20120026090 - SCROLLING INERTIA | 1 |
Van E. Hanson | US | Forest | 2016-05-05 / 20160127101 - AUTOMATIC CONFIGURATION SUB-SYSTEM FOR DISTRIBUTED ATENNA SYSTEMS | 24 |
Brian K. Hanson | US | Cicero | 2016-03-31 / 20160091683 - UNIVERSAL MULTI-PURPOSE COMPARTMENTALIZED TELECOMMUNICATION BOX | 6 |
Thomas Edward Hanson | US | Ashland | 2015-12-24 / 20150370252 - SYSTEMS AND METHODS FOR MULTI-MODE UNMANNED VEHICLE MISSION PLANNING AND CONTROL | 1 |
Sverker Hanson | SE | Sodertalje | 2008-11-27 / 20080293748 - Thioxanthine Derivatives as Myeloperoxidase Inhibitors | 1 |
Curtis Hanson | US | San Marcos | 2009-10-15 / 20090259290 - Fenestration Segment Stent-Graft and Fenestration Method | 4 |
Stephen C. Hanson | US | Palm Desert | 2013-10-31 / 20130283595 - ROOTS-TYPE BLOWER ROTOR ALIGNMENT METHOD | 2 |
Eric L. Hanson | US | San Diego | 2011-04-21 / 20110091729 - Coated substrates, organometallic films and methods for applying organometallic films to substrates | 3 |
Spencer Hanson | US | Escondido | 2012-10-11 / 20120256992 - Inkjet Web Printer And Duplex Web Printing Path | 3 |
Mark Allen Hanson | US | San Jose | 2013-12-05 / 20130326356 - SYSTEM AND METHOD FOR MANAGING WIRELESS CONNECTIONS IN COMPUTER | 4 |
Michael Robert Hanson | US | Menlo Park | 2011-02-17 / 20110040739 - Portable Browsing Interface for Information Retrieval | 2 |
Lloyd D. Hanson | US | Long Beach | 2010-11-04 / 20100276506 - NOZZLES FOR A FLUID JET DECOKING TOOL | 3 |
Brian Robert Hanson | AU | Armadale | 2016-02-04 / 20160032594 - BUILDING PANELS AND BUILDING SYSTEM USING SUCH PANELS | 1 |
William Robert Hanson | US | Mountain View | 2010-07-08 / 20100171699 - AUTOMATIC ORIENTATION-BASED USER INTERFACE FOR AN AMBIGUOUS HANDHELD DEVICE | 4 |
Kenneth Hanson | US | Los Angeles | 2015-11-05 / 20150318494 - Fluorescent Isoindoline Dyes | 4 |
R. Brooke Hanson | US | Larkspur | 2009-12-24 / 20090317526 - COFFEE BREWING SYSTEM | 1 |
Eric G. Hanson | US | Burlingame | 2012-01-19 / 20120013672 - INKJET PRINTING APPARATUS AND METHOD THEREOF | 3 |
William R. Hanson | US | Mountain View | 2015-01-15 / 20150018252 - METHODS FOR PROVIDING AND DELIVERING AN ENVIRONMENTALLY SEALED CHIP | 5 |
William G. Hanson | US | Walnut Creek | 2014-07-03 / 20140186387 - SIGNAL PEPTIDE FUSION PARTNERS FACILITATING LISTERIAL EXPRESSION OF ANTIGENIC SEQUENCES AND METHODS OF PREPARATION AND USE THEREOF | 3 |
Gordon N. Hanson | US | Foster City | 2011-03-31 / 20110073102 - Solar collector with non-honey sandwich core | 1 |
Michael A. Hanson | US | San Marcos | 2013-08-15 / 20130210117 - CRYSTALS OF MEMBRANE PROTEINS | 6 |
Mark E. Hanson | US | Los Angeles | 2010-12-30 / 20100332501 - SYSTEM AND METHOD FOR ON-DEMAND INDEXING | 1 |
Jeffrey J. Hanson | US | Belmont | 2010-12-30 / 20100332889 - MANAGEMENT OF INFORMATION TECHNOLOGY RISK USING VIRTUAL INFRASTRUCTURES | 1 |
Edward F. Hanson | US | Jamul | 2010-11-11 / 20100282538 - Muffler having fluid swirling vanes | 2 |
Cass A. Hanson | US | St. Paul | 2015-12-03 / 20150342673 - NERVE MODULATION DEVICES WITH COOLING CAPABILITIES | 16 |
Michael D. Hanson | US | Scotts Valley | 2010-11-04 / 20100275549 - CLIPS FOR CONNECTING PANELS AND CROSS MEMBERS WITHOUT VISUAL REFERENCE | 1 |
Carl V. Hanson | US | Berkley | 2009-12-03 / 20090297534 - Catalytic Immunoglobulins BBK32 and Uses Therefor | 1 |
Scott M. Hanson | US | Austin | 2012-02-02 / 20120030268 - Randomized value generation | 1 |
Jarrod A. Hanson | US | Los Angeles | 2015-12-24 / 20150366193 - COMPOSITION WITH HIGH ANTIMICROBIAL ACTIVITY AND LOW TOXICITY | 4 |
Christopher M. Hanson | US | Cupertino | 2012-09-20 / 20120239692 - DYNAMIC MANAGEMENT OF MULTIPLE PERSISTENT DATA STORES | 2 |
Chris Hanson | US | San Rafael | 2009-03-19 / 20090076841 - RULES-BASED SOFTWARE AND METHODS FOR HEALTH CARE MEASUREMENT APPLICATIONS AND USES THEREOF | 1 |
Mark Andrew Hanson | US | Fairfax | 2015-10-22 / 20150302175 - MEDICATION MANAGEMENT AND REPORTING TECHNOLOGY | 7 |
Mark Hanson | US | San Jose | 2011-11-03 / 20110271296 - AUTOMATICALLY RECONFIGURABLE MULTIMEDIA SYSTEM WITH INTERCHANGEABLE PERSONALITY ADAPTERS | 2 |
Richard Hanson | US | San Carlos | 2009-01-29 / 20090029345 - MODULATING SKELETAL MUSCLE | 1 |
Mark Hanson | US | Los Angeles | 2009-01-01 / 20090006946 - MULTI-USER DOCUMENT EDITING SYSTEM AND METHOD | 1 |
Sarah R. Hanson | US | San Marcos | 2011-10-20 / 20110257376 - GLYCOPROTEOMIC PROBES FOR FLUORESCENT IMAGING OF FUCOSYLATED GLYCANS IN VIVO | 4 |
John Hanson | US | Citrus Heights | 2008-09-18 / 20080228826 - System and method for updating a geographic database using satellite imagery | 1 |
Ryan Edwin Hanson | US | Livonia | 2012-07-26 / 20120187915 - METHOD AND SYSTEM FOR MANAGING VEHICLE BATTERY CHARGE | 1 |
Todd Lee Hanson | US | Luxemburg | 2015-01-22 / 20150020478 - Rotating Tucking Device for a Single Roll Wrapper System | 1 |
Thomas C. Hanson | US | Boulder | 2011-06-09 / 20110137931 - Search Strategy Capture and Retrieval Method | 1 |
Denny Hanson | US | Medford | 2014-09-18 / 20140259719 - Combination Gauge Tool | 1 |
Delvin Charles Hanson | US | Fullerton | 2012-09-06 / 20120226637 - CONTINGENT LABOR MANAGEMENT | 1 |
Catherine F. Hanson | US | Hastings | 2014-09-18 / 20140278252 - SYSTEM AND METHOD FOR MONITORING OF FLOOR CONDITIONS | 1 |
Gunnar Hanson | US | Bothell | 2014-11-20 / 20140343037 - BENZENE, PYRIDINE, AND PYRIDAZINE DERIVATIVES | 1 |
James Lewis Hanson | US | San Luis Obispo | 2013-01-31 / 20130025825 - Systems and Methods for Temperature Control and Heat Extraction from Waste Landfills | 1 |
Gunnar Hanson | US | Chapel Hill | 2012-07-26 / 20120190862 - Methods for Preparing Diazonamides | 4 |
Allen W. Hanson | US | Cary | 2015-05-21 / 20150137141 - Gallium Nitride Devices | 10 |
Thomas Hanson | US | Loveland | 2014-02-20 / 20140050553 - SYSTEM AND METHOD TO ASSIST IN LIFTING A VESSEL | 1 |
Lloyd Hanson | US | Cary | 2008-09-11 / 20080222102 - Method, apparatus and computer program product for providing a customizable safety management center | 1 |
William S. Hanson | US | Wake Forest | 2011-02-17 / 20110039694 - POLYMER BASED SEED COATING | 1 |
Dean David Hanson | CA | Hudson Bay | 2014-01-16 / 20140015657 - Brake Testing Device | 1 |
Gunnar James Hanson | US | Chapel Hill | 2012-10-18 / 20120264763 - Indoline Anti-Cancer Agents | 4 |
Scott Ray Hanson | US | Raleigh | 2009-06-18 / 20090152231 - BOTTLE WITH DISPOSABLE BOTTLE CAP HOLDER | 1 |
Donald Hanson | US | Cumming | 2014-12-04 / 20140359555 - Methods and Systems for Reporting on Build Runs in Software Development | 6 |
Paul Hanson | US | Eden Prairie | 2013-02-28 / 20130054421 - METHOD AND SYSTEM FOR PROCESSING TRANSACTIONS | 1 |
Susan Kloek Hanson | US | Los Alamos | 2016-02-04 / 20160031767 - PHOTOACTIVE ENERGETIC MATERIALS | 8 |
Eric Hanson | US | Encinitas | 2015-09-10 / 20150252656 - Methods For Recovering Hydrocarbon Materials From Subterranean Formations | 1 |
Cedric Charles Hanson | GB | Lincoln | 2011-03-31 / 20110073545 - TREATMENT OF AQUEOUS LIQUID | 1 |
Peter Hanson | GB | Newcastle Upon Tyne | 2011-04-21 / 20110091381 - GABA BIOMARKERS FOR DEPRESSION | 3 |
Wilfrid John Hanson | GB | Bury St. Edmunds | 2011-01-20 / 20110011110 - METHOD AND APPARATUS FOR GENERATING AND DISTRIBUTING ELECTRICITY | 1 |
Anthony Hanson | GB | Tyne And Wear | 2010-08-05 / 20100196696 - METHOD AND APPARATUS FOR ANCHORING AN ELONGATE SUBSEA STRUCTURE TO A TERMINATION AND A FILLER MATERIAL THEREFOR | 2 |
Ray Hanson | GB | Essex | 2010-06-17 / 20100147838 - SECURE STORAGE CHAMBER | 1 |
Scott Alan Hanson | US | Bellaire | 2014-07-31 / 20140209384 - METHOD AND SYSTEM FOR DETECTING CHANGES IN DRILLING FLUID FLOW DURING DRILLING OPERATIONS | 1 |
Jim Hanson | GB | Steyning | 2010-04-08 / 20100087411 - SUBSTITUTED SAPOGENINS AND THEIR USE | 2 |
Laura O'Connor Hanson | US | Manchester | 2016-04-07 / 20160098800 - SYSTEM FOR DYNAMICALLY CUSTOMIZING PRODUCT CONFIGURATIONS | 3 |
Stephen Michael Hanson | GB | Romsey | 2009-08-06 / 20090198722 - System and method for deriving the minimum number of bytes required to represent numeric data with different physical representations | 1 |
Mark Adrian Hanson | GB | Hursley | 2009-04-23 / 20090104615 - PHENOTYPE PREDICTION | 1 |
George E. Hanson | US | Cedar Rapids | 2010-04-29 / 20100105444 - DATA PROCESSING AND COMMUNICATIONS DEVICE WITH INTERCHANGEABLE MODULES | 2 |
Stephen F. Hanson | US | North Attleboro | 2010-05-27 / 20100130462 - LANOLIN COMPOSITIONS AND METHODS FOR MAKING AND USING SAME | 1 |
James Edward Hanson | US | Tucson | 2010-05-27 / 20100127853 - METHOD AND APPARATUS FOR LOCATING AND TRACKING OBJECTS IN A MINING ENVIRONMENT | 2 |
Kelly M. Hanson | US | Euclid | 2012-12-27 / 20120326588 - REFRIGERATOR VACUUM STORAGE SYSTEM | 4 |
George E. Hanson | US | Andover | 2010-07-01 / 20100167782 - TRANSACTION CONTROL SYSTEM INCLUDING PORTABLE DATA TERMINAL AND MOBILE CUSTOMER SERVICE STATION | 2 |
James Hanson | US | St. Petersburg | 2010-08-12 / 20100203240 - METHOD FOR SPIN COATING A SURFACE OF AN OPTICAL ARTICLE | 1 |
Matthew Hanson | US | Cuyahoga | 2010-09-09 / 20100228172 - Toe protectors, shrouds, and protective covers for shrouds | 1 |
Ann Hanson | US | Ionia | 2015-04-16 / 20150101542 - Transport Device Having Liquid Resistance Apparatus | 7 |
Shaun Hanson | US | West Chester | 2015-07-02 / 20150182345 - INTERVERTEBRAL IMPLANT WITH KEEL | 4 |
Richard A. Hanson | US | Leedburg | 2010-12-30 / 20100327693 - MINIATURE MECHANICAL RESONATOR DEVICE | 1 |
William P. Hanson | US | Carlisle | 2009-09-03 / 20090217777 - ANALYTE SCREENING AND DETECTION SYSTEMS AND METHODS | 1 |
Steven F. Hanson | US | Derby | 2011-08-11 / 20110195230 - Apparatuses, Systems, and Methods for Manufacturing Composite Parts | 4 |
Susan Kloek Hanson | US | Los Alamos | 2016-02-04 / 20160031767 - PHOTOACTIVE ENERGETIC MATERIALS | 8 |
Nancy D. Hanson | US | Gretna | 2011-12-22 / 20110311976 - PRIMERS FOR USE IN DETECTING BETA-LACTAMASES | 2 |
Robert J. Hanson | US | Boise | 2015-02-26 / 20150054164 - Semiconductor Constructions | 13 |
Daryl W. Hanson | US | 2011-04-21 / 20110088555 - COMPRESSOR LUBRICANT RECLAIMING PROCESS AND SYSTEM | 1 | |
Robert N. Hanson | US | Newton | 2015-12-03 / 20150344518 - ESTROGEN RECEPTOR IMAGING AGENTS | 4 |
Gregory R. Hanson | US | Clinton | 2010-03-25 / 20100072380 - SPACE CHARGE DOSIMETERS FOR EXTREMELY LOW POWER MEASUREMENTS OF RADIATION IN SHIPPING CONTAINERS | 2 |
Leah R. Hanson | US | Vadnais Heights | 2014-06-19 / 20140171491 - INTRANASAL DELIVERY OF AAV ENCODING THERAPEUTIC ENZYMES TO THE CENTRAL NERVOUS SYSTEM FOR THE TREATMENT OF LYSOSOMAL STORAGE DISEASES | 2 |
Mark Hanson | US | 2010-04-01 / 20100080202 - WIRELESS DEVICE REGISTRATION, SUCH AS AUTOMATIC REGISTRATION OF A WI-FI ENABLED DEVICE | 1 | |
Kyle M. Hanson | US | Kalispell | 2015-03-26 / 20150083600 - ELECTROLYTIC COPPER PROCESS USING ANION PERMEABLE BARRIER | 17 |
William J. Hanson | US | Carlsbad | 2015-01-15 / 20150016841 - ELECTROPHOTOGRAPHY-BASED ADDITIVE MANUFACTURING SYSTEM WITH RECIPROCATING OPERATION | 10 |
Janet Hanson | US | Little Rock | 2014-02-13 / 20140045590 - DRAWING GAME WITH RANDOMIZED DRAWING PROMPTS | 1 |
James E. Hanson | US | Chester | 2013-09-19 / 20130243709 - Natural Sunscreen Composition | 1 |
Jennifer Elizabeth Hanson | US | Norwalk | 2010-06-10 / 20100145770 - Methods and Systems of Performing Marketing and Market Research | 1 |
Russell B. Hanson | US | Jupiter | 2016-01-07 / 20160003156 - HOLLOW-WALL HEAT SHIELD FOR FUEL INJECTOR COMPONENT | 7 |
Eric Anthony Hanson | US | Fremont | 2012-02-16 / 20120040058 - CUSTOMIZED CHILDREN'S FEEDING SYSTEM AND METHODS OF USE THEREOF | 1 |
Mark Adrian Hanson | GB | Southampton | 2012-02-16 / 20120040344 - PREDICTIVE USE OF CpG METHYLATION | 1 |
Harold Garth Hanson | US | Queen Creek | 2012-05-31 / 20120137031 - COMMUNICATION BUS WITH SHARED PIN SET | 3 |
John S. Hanson | US | Angleton | 2012-02-16 / 20120041158 - Method for Monitoring Monomer Concentration In Interfacial Polycarbonate Manufacturing Process | 1 |
Steen Hanson | DK | Fakse | 2013-08-29 / 20130222786 - VECTOR VELOCIMETER | 1 |
Shaun Hanson | US | Phoenixville | 2010-09-16 / 20100234960 - Articulating Implant System | 1 |
Van Hanson | US | Forest | 2015-11-26 / 20150341089 - SYSTEMS AND METHODS FOR TRANSPORTING DIGITAL RF SIGNALS | 11 |
Thomas W. Hanson | US | Loveland | 2012-01-19 / 20120012408 - MOTORIZED TRACTION DEVICE FOR A PATIENT SUPPORT | 3 |
David A. Hanson | US | Urbandale | 2016-03-17 / 20160074891 - PITCH-BASED CONTROL FOR SPRAYERS AND SPRAYER OPERATIONS | 2 |
Thomas W. Hanson | US | Englewood | 2008-09-04 / 20080215193 - ELECTRONIC FLIGHT BAG HAVING FILTER SYSTEM AND METHOD | 1 |
Douglas Charles Hanson | US | Niantic | 2015-04-16 / 20150104409 - HUMAN MONOCLONAL ANTIBODIES TO CTLA-4 | 6 |
Russell W. Hanson | US | Livingston | 2008-10-30 / 20080263934 - Water-degradable fishing lure | 1 |
Kathleen E. Hanson | US | Aikens | 2008-11-20 / 20080283706 - Personal Item Holder | 1 |
Sarah R. Hanson | US | 2008-12-04 / 20080299595 - Tailored glycoproteomic methods for the sequencing, mapping and identification of cellular glycoproteins | 1 | |
Michael Hanson | US | Liberal | 2008-12-25 / 20080314838 - FLUIDIZED BED PRECIPITATOR WITH OPTIMIZED SOLIDS SETTLING AND SOLIDS HANDLING FEATURES FOR USE IN RECOVERING PHOSPHORUS FROM WASTEWATER | 1 |
Bruce Hanson | US | Melbourne | 2012-11-15 / 20120290164 - MULTI-ROLE UNMANNED VEHICLE SYSTEM AND ASSOCIATED METHODS | 2 |
Bradley C. Hanson | US | Harrisburg | 2014-07-24 / 20140207653 - SYSTEM, PROGRAM AND METHOD FOR PROVIDING A SECURED CREDIT CARD COLLATERALIZED BY A TAX REFUND | 4 |
Lee Hanson | US | 2009-04-09 / 20090092570 - ODOR-CONTROLLING COMPOSITION | 1 | |
David Hanson | US | Pella | 2015-04-02 / 20150090496 - HORIZONTAL DIRECTIONAL DRILLING SYSTEM INCLUDING SONDE POSITION DETECTION USING GLOBAL POSITIONING SYSTEMS | 3 |
Jacob Hanson | US | Owatonna | 2015-03-19 / 20150076426 - Wire Installation Tool | 7 |
Bradley J. Hanson | US | North Augusta | 2009-04-30 / 20090108636 - Utility Vehicle Canopy | 2 |
Brian E. Hanson | US | Blacksburg | 2009-05-07 / 20090118454 - Nanocomposite Organolithic Macromolecular Material with Long-Range Structural Order | 1 |
Douglas Hanson | US | Niantic | 2012-01-05 / 20120003179 - ANTI-CTLA-4 AND CPG-MOTIF-CONTAINING SYNTHETIC OLIGODEOXYNUCLEOTIDE COMBINATION THERAPY FOR CANCER TREATMENT | 2 |
Ryan A. Hanson | US | Olathe | 2009-05-07 / 20090114095 - FILTER CLEANING SYSTEM AND METHOD | 1 |
Nathan Hanson | US | Jupiter | 2009-05-14 / 20090125436 - RENEWABLE ENERGY TRUST SYSTEM AND METHOD | 1 |
Yvonne Hanson | US | Tucson | 2009-05-14 / 20090122796 - SYSTEM AND ARTICLE OF MANUFACTURE FOR DATA TRANSMISSION | 1 |
Thomas Hanson | US | Sioux Falls | 2009-06-11 / 20090147081 - HAIR STYLING SYSTEM AND APPARATUS | 1 |
Eric H. Hanson | US | Las Vegas | 2011-07-28 / 20110183856 - Diagnosis and Prognosis of Infectious Disease Clinical Phenotypes and other Physiologic States Using Host Gene Expression Biomarkers In Blood | 2 |
Arlis Hanson | US | Bath | 2014-10-02 / 20140290128 - BIOFUEL PRODUCTION METHOD AND SYSTEM | 3 |
William J. Hanson | US | Bolton | 2009-08-27 / 20090216339 - Through-Liner Electrode System for Prosthetics and the Like | 1 |
Thomas E. Hanson | US | Newark | 2009-10-01 / 20090246519 - Biosynthesis of Metalloid Containing Nanoparticles by Aerobic Microbes | 1 |
Mark Hanson | US | Fincastle | 2009-10-22 / 20090265038 - Magnetic Thrust Bearing with Integrated Electronics | 1 |
John D. Hanson | US | West Jordan | 2015-06-04 / 20150154948 - PROGRAMMABLE MUSICAL INSTRUMENT PEDALBOARD | 3 |
Amy T. Hanson | US | Peoria | 2009-12-03 / 20090293486 - COMBUSTORS WITH IGNITERS HAVING PROTRUSIONS | 2 |
Joseph Hanson | US | Milwaukee | 2014-07-31 / 20140213942 - CARDIOPULMONARY RESUSCITATION DEVICE | 1 |
Wayne H. Hanson | US | Belgrade | 2009-12-17 / 20090309336 - MULTIFUNCTIONAL FOLDABLE MOBILITY BASE | 1 |
Shaun B. Hanson | US | West Chester | 2016-04-14 / 20160100831 - METHODS AND INSTRUMENTS FOR SUBCHONDRAL TREATMENT OF OSTEOARTHRITIS IN A SMALL JOINT | 23 |
Beverly J. Ballard Hanson | US | Marlborough | 2009-12-24 / 20090313951 - Food storage bag fill facilitation method | 1 |
Ian B. Hanson | US | Northridge | 2013-05-30 / 20130133427 - OMNIDIRECTIONAL ACCELEROMETER DEVICE AND MEDICAL DEVICE INCORPORATING SAME | 34 |
Douglas C. Hanson | US | Niantic | 2012-08-30 / 20120219571 - COMBINATION MOTIF IMMUNE STIMULATORY OLIGONUCLEOTIDES WITH IMPROVED ACTIVITY | 2 |
Wayne M. Hanson | US | Madison | 2015-05-28 / 20150148153 - Basketball System with Multi-Cavity Base | 1 |
Chad W. Hanson | US | Jackson | 2011-05-26 / 20110123362 - AIR COMPRESSOR | 1 |
Jennifer N. Hanson | US | Saint Paul | 2015-05-21 / 20150141949 - MEDICAL DRESSING WITH MULTIPLE ADHESIVES | 2 |
Reed M. Hanson | US | Riverton | 2015-02-05 / 20150034045 - ENGINE COMBUSTION CONTROL AT LOW LOADS VIA FUEL REACTIVITY STRATIFICATION | 1 |
Amy Hanson | US | Peoria | 2011-05-26 / 20110120133 - DUAL WALLED COMBUSTORS WITH IMPROVED LINER SEALS | 2 |
Benjamin W. Hanson | US | Stoughton | 2012-08-23 / 20120211482 - CONVECTION SYSTEM AND BAFFLE FOR OVEN | 1 |
Clyde R. Hanson | US | Tucson | 2012-02-23 / 20120043410 - MISSILE NAVIGATION METHOD | 1 |
Oved Hanson | US | City Of Carrollton | 2011-09-29 / 20110232319 - AIR CONDITIONING SYSTEM WITH VARIABLE CONDENSER REHEAT AND REFRIGERANT FLOW SEQUENCER | 1 |
Todd Hanson | US | Merrifield | 2011-08-25 / 20110203817 - TRAIL GROOMING DEVICE | 1 |
Daniel R. Hanson | US | New Richmond | 2012-05-03 / 20120103330 - MEDICINAL INHALATION DEVICE | 2 |
Brian K. Hanson | US | East Syracuse | 2014-11-06 / 20140329411 - INTERFACE TERMINATING DEVICE | 7 |
Steven K. Hanson | US | Boise | 2013-11-28 / 20130315667 - STROKE CONTROL TROWEL | 2 |
Catherine Anne Hanson | US | San Francisco | 2015-05-28 / 20150149879 - ADVANCED FIELD EXTRACTOR WITH MULTIPLE POSITIVE EXAMPLES | 1 |
Weldon Mark Hanson | US | Rochester | 2015-03-19 / 20150077876 - DISK DRIVE WITH DIFFERENT DATA SECTOR INTEGRATED PREAMBLES IN ADJACENT DATA TRACKS | 8 |
Stefan Hanson | SE | Lomma | 2011-08-04 / 20110190208 - MEDICAL USE OF THE RADICAL SCAVENGER AND ANTIOXIDANT ALPHA-1-MICROGLOBULIN | 1 |
Timothy Scott Hanson | US | Seattle | 2013-01-17 / 20130018955 - COMPUTER IMPLEMENTED METHODS AND APPARATUS FOR IMPLEMENTING A SOCIAL NETWORK INFORMATION FEED AS A PLATFORM | 2 |
Eric Hanson | US | Emeryville | 2014-04-03 / 20140092125 - Filtering Documents Based on Device Orientation | 15 |
Daniel J. Hanson | CA | Waterloo | 2010-12-09 / 20100309129 - DIRECTIONAL CLICKABLE TRACKBALL | 1 |
Patricia Hanson | US | Garland | 2012-08-16 / 20120209760 - RISK IDENTIFICATION SYSTEM AND JUDGMENTAL REVIEW INTERFACE | 2 |
Darrell S. Hanson | US | Houston | 2012-08-02 / 20120197297 - VERTEBRAL ADJUSTMENT SYSTEMS FOR SPINE ALIGNMENT | 1 |
Robert J. Hanson | US | Palo Alto | 2012-09-20 / 20120234311 - MULTI-TUBE SOLAR THERMAL RECEIVER | 2 |
Mark Tillman Hanson | US | Woodinville | 2014-01-23 / 20140025381 - EVALUATING TEXT-TO-SPEECH INTELLIGIBILITY USING TEMPLATE CONSTRAINED GENERALIZED POSTERIOR PROBABILITY | 1 |
David Richard Hanson | US | Tempe | 2013-04-25 / 20130099944 - FLUID PRESSURE BASED ICING DETECTION FOR A TURBINE ENGINE | 1 |
Karrie Hanson | US | Westfield | 2014-10-30 / 20140321334 - Methods and Systems for Providing Location Sensitive Conference Calling | 12 |
Kyle Hanson | US | Livonia | 2014-07-17 / 20140197710 - WOUND FIELD ROTATING MACHINE WITH CAPACITIVE POWER TRANSFER | 2 |
Randal Leroy Hanson | CA | Saskatoon | 2014-10-02 / 20140291039 - SENSOR INCLUDING ELECTRICAL TRANSMISSION-LINE PARAMETER THAT CHANGES RESPONSIVE TO VEHICULAR LOAD | 3 |
Gunnar J. Hanson | US | Bothell | 2015-05-21 / 20150141321 - COMPOUND AND METHOD FOR TREATING MYOTONIC DYSTROPHY | 8 |
Catherine Hanson | US | Hastings | 2015-07-30 / 20150210958 - NEUTRAL FLOOR CLEANER | 10 |
Bradley James Hanson | GB | West Sussex | 2015-09-17 / 20150259941 - Self Contained Vehicle Repair Shop | 1 |
Sandy James Hanson | US | Sturgis | 2012-08-16 / 20120204352 - Stall knife | 1 |
Peter Hanson | US | Suwanee | 2014-05-22 / 20140142131 - LONG-ACTING INJECTABLE ANALGESIC FORMULATIONS FOR ANIMALS | 3 |
Eric Allen Hanson | US | Ruxton | 2015-09-24 / 20150268124 - TEST HEAD ASSEMBLY FOR USE IN TESTING PROTECTIVE MASKS | 1 |
Brendon J. Hanson | SG | Singapore | 2010-06-17 / 20100150941 - HEMAGGLUTININ ANTIBODY AND USES THEREOF | 1 |
Nigel Peter Hanson | NZ | Christchurch | 2013-11-28 / 20130313315 - METHOD AND SYSTEM FOR SURVEYING USING RFID DEVICES | 6 |
Daniel Hanson | CA | Waterloo | 2011-05-19 / 20110117969 - MOBILE WIRELESS COMMUNICATIONS DEVICE DISPLAYING TEXTUAL CONTENT USING RAPID SERIAL VISUAL PRESENTATION AND ASSOCIATED METHODS | 4 |
Vagn Steen Gruner Hanson | DK | Fakse | 2013-04-11 / 20130087695 - DEVICE AND METHOD FOR DETECTING PRESENCE OF AN OBJECT | 4 |
Heidi Margarete Hanson | US | Minneapolis | 2013-01-17 / 20130017301 - METHOD AND COMPOSITION FOR INHIBITION OF MICROBIAL GROWTH IN AQUEOUS FOOD TRANSPORT AND PROCESS STREAMS | 1 |
Barry G. Hanson | US | Thomaston | 2014-08-21 / 20140236105 - Dual Reservoir Implantable Access Port | 3 |
Oded Hanson | IL | Givatayim | 2012-08-02 / 20120194358 - System and method for ascription of objects detected on airport surfaces to object sources | 2 |
Matthew J. Hanson | US | Issaquah | 2013-03-28 / 20130080968 - USER INTERFACE WITH MEDIA CONTENT PREDICTION | 1 |
Robin J. Hanson | US | Lawrenceville | 2009-09-03 / 20090221933 - INTRA-ABDOMINAL PRESSURE MONITORING SYSTEM | 1 |
Donald S. Hanson | US | Evans | 2009-04-30 / 20090108667 - Molded Wheel with Integral Hub | 5 |
Nigel P. Hanson | US | 2012-08-09 / 20120199648 - SYSTEM AND METHOD FOR TRACKING OBJECTS | 1 | |
Tor David Hanson | NO | Ulset | 2009-05-14 / 20090120345 - Floating wind turbine installation | 1 |
Andrew J. Hanson | CA | Edmonton | 2015-12-03 / 20150345252 - STAGE TOOL WITH LOWER TUBING ISOLATION | 1 |
John Hanson | CA | Surrey | 2015-04-23 / 20150107077 - DETACHMENT DEVICE AND MATERIAL DETACHMENT PROCESS | 1 |
Thomas C. Hanson | US | New York | 2015-09-24 / 20150265109 - PORTABLE TOILET DEVICE FOR A SMALL CHILD | 1 |
John Hanson | AU | Gold Coast | 2009-04-30 / 20090111342 - FLOTATION AID | 1 |
Mark Hanson | CA | Armstrong | 2016-01-28 / 20160023417 - WASTE CONTAINMENT APPARATUS | 1 |
Ronald J.j. Hanson | CA | Mount Forest | 2014-07-31 / 20140215554 - METHOD OF CUSTOMIZING A STANDARDIZED IT POLICY | 1 |
Stephen Hanson | CA | Saskatoon | 2015-10-01 / 20150276256 - SYSTEMS AND METHODS FOR FORMING SPACER LEVELS OF A COUNTER FLOW ENERGY EXCHANGE ASSEMBLY | 4 |
Jonathan P. Hanson | US | Conroe | 2015-09-24 / 20150267499 - Modular Annular Debris Barrier with Rotationally Locked Segments | 1 |
Emily A. Hanson | US | Conroe | 2015-09-24 / 20150267498 - Mechanically-Set Devices Placed on Outside of Tubulars in Wellbores | 1 |
Daniel James Hanson | CA | Edmonton | 2009-04-23 / 20090106732 - HIERARCHICAL DATA MODELS AND METHODS FOR NAVIGATING SAME | 1 |
Lars Peter Gruner Hanson | DK | Copenhagen | 2009-01-08 / 20090012387 - ENCODING AND TRANSMISSION OF SIGNALS AS RF SIGNALS FOR DETECTION USING AN MR APPARATUS | 1 |
Christian Georg Gruner Hanson | DK | Glostrup | 2009-01-08 / 20090012387 - ENCODING AND TRANSMISSION OF SIGNALS AS RF SIGNALS FOR DETECTION USING AN MR APPARATUS | 1 |
Paul Hanson | CA | Calgary | 2016-05-05 / 20160123040 - PADLOCK LOCK BOX | 4 |
Scott M. Hanson | US | Savage | 2015-02-19 / 20150051626 - ROTATIONAL ATHERECTOMY DEVICE WITH ELECTRIC MOTOR | 9 |
Brian Hanson | US | East Syracuse | 2012-10-04 / 20120252265 - CONNECTOR ASSEMBLY FOR CORRUGATED COAXIAL CABLE | 2 |
Aaron Matthew Hanson | US | Lansing | 2014-12-11 / 20140361515 - FOLDING VEHICLE | 2 |
Brian Hanson | US | Rogers | 2011-08-18 / 20110200738 - System and Method for Conditioning Implantable Medical Devices | 1 |
Eric Hanson | US | Emeryville | 2014-04-03 / 20140092125 - Filtering Documents Based on Device Orientation | 15 |
Goodwin F. Hanson | US | Logan | 2011-10-27 / 20110259002 - STIRLING CYCLE EPITROCHOIDAL HEAT ENGINE | 1 |
Geoffrey H. Hanson | US | Sunnyvale | 2013-05-09 / 20130117766 - Fabric-Backplane Enterprise Servers with Pluggable I/O Sub-System | 2 |
Reed M. Hanson | US | Madison | 2015-10-15 / 20150292391 - ENGINE COMBUSTION CONTROL VIA FUEL REACTIVITY STRATIFICATION | 3 |
Eric Hanson | US | Dearborn | 2012-04-26 / 20120096687 - Zipper pre-gather device | 4 |
Andrew F. Hanson | US | Geneva | 2012-12-27 / 20120324746 - DUAL-GLOBE APPARATUS | 1 |
Curtis Hanson | US | San Diego | 2011-08-11 / 20110196477 - Methods and Apparatus for Treatment of Aneurysms Adjacent Branch Arteries | 1 |
Roderick Hanson | US | Sacramento | 2015-06-11 / 20150158710 - Collapsible and Reusable Funnel Apparatus | 1 |
Cedric Hanson | GB | Nettleham | 2015-06-11 / 20150157050 - TUNNEL | 1 |
Mat Hanson | US | Chagrin Falls | 2012-06-14 / 20120145758 - SHOPPING CART SHELF | 1 |
Eric Hanson | US | San Diego | 2012-05-03 / 20120104362 - Formation of ordered thin films of organics on metal oxide surfaces | 2 |
Mark Hanson | US | Carrollton | 2015-09-17 / 20150264639 - WIRELESS DEVICE REGISTRATION, SUCH AS AUTOMATIC REGISTRATION OF A WI-FI ENABLED DEVICE | 2 |
Allen W. Hanson | US | Cary | 2015-05-21 / 20150137141 - Gallium Nitride Devices | 10 |
Christopher D. Hanson | US | Fargo | 2012-12-27 / 20120325926 - FUEL INJECTOR HAVING REDUCED ARMATURE CAVITY PRESSURE | 1 |
Gunnar Hanson | US | Dallas | 2013-05-30 / 20130137686 - BENZENE, PYRIDINE, AND PYRIDAZINE DERIVATIVES | 1 |
Seth A. Hanson | US | Madison | 2015-04-09 / 20150099765 - Compounds and Compositions for Cognition-Enhancement, Methods of Making, and Methods of Treating | 4 |
Douglas Philip Hanson | US | San Antonio | 2015-09-24 / 20150265743 - Sulfhydryl-Functionalized Polymeric Compositions For Medical Devices | 3 |
Cass Alexander Hanson | US | St. Paul | 2015-10-22 / 20150297763 - DEVICES AND METHODS FOR THERAPEUTIC HEAT TREATMENT | 4 |
Gunnar James Hanson | US | Dallas | 2013-12-26 / 20130344026 - Dimeric Smac Mimetics | 3 |
Stephen D. Hanson | US | Homewood | 2012-05-17 / 20120119459 - MULTI-USE CART | 1 |
Jonathan Mackey Hanson | US | Salt Lake City | 2013-02-28 / 20130054203 - MODELING AND SIMULATION OF COMPLETE DRILL STRINGS | 1 |
Sharon Lyn Hanson | US | Austin | 2011-10-27 / 20110264951 - System and Method for Recovery of Primary Storage Resource Failure | 1 |
Maureen R. Hanson | US | Ithaca | 2011-10-27 / 20110265226 - IMPROVED PRODUCTION OF PROTEINS WITH DOWNSTREAM BOX FUSIONS IN PLASTIDS AND IN BACTERIA | 1 |
Eric James Hanson | US | Valparaiso | 2012-05-17 / 20120118717 - Actuator for a Rocker Switch | 1 |
Rolf Raymond Hanson | US | Lindon | 2011-09-29 / 20110233976 - MODULAR FURNITURE | 1 |
Stephen Raymond Hanson | US | Edmonds | 2015-11-12 / 20150322163 - ANTI-FACTOR XI MONOCLONAL ANTIBODIES AND METHODS OF USE THEREOF | 4 |
Erik Jon Hanson | US | Woodinville | 2014-07-03 / 20140188630 - CONFIGURABLE CLEARING PRICE LOGIC FOR AD EXCHANGES | 2 |
David R. Hanson | US | Redmond | 2014-05-08 / 20140129792 - PERMISSIONS OF OBJECTS IN HOSTED STORAGE | 2 |
Douglas Hanson | US | San Antonio | 2015-09-03 / 20150246995 - Amine-Functionalized Polymeric Compositions For Medical Devices | 2 |
Jeffrey Hanson | US | St. Paul | 2012-05-17 / 20120118214 - FLOATING ISLAND MODULE COMPRISED OF POST-CONSUMER CARPET FIBER MATRIX AND METHOD OF MANUFACTURING SAME | 1 |
David Jaray Hanson | US | Bellevue | 2013-01-03 / 20130007177 - SYSTEM AND METHOD OF PROVIDING PLURALITY OF PRIORITISED EMAIL DOMAIN NAMES | 1 |
Herbert Hanson | US | Corona | 2013-11-07 / 20130296007 - GAME | 1 |
Ronald L. Hanson | US | Morris Plains | 2013-01-10 / 20130012723 - METHODS AND COMPOUNDS FOR PRODUCING DIPEPTIDYL PEPTIDASE IV INHIBITORS AND INTERMEDIATES THEREOF | 6 |
Karrie Hanson | US | Westfield | 2014-10-30 / 20140321334 - Methods and Systems for Providing Location Sensitive Conference Calling | 12 |
Robert Hanson | US | Mountainside | 2009-03-19 / 20090072558 - Fully disposable combination shovel and bag unit for pet waste pickup and disposal | 1 |
Glenn S. Hanson | US | Knoxville | 2012-12-06 / 20120305309 - PREWIRED PULSE VALVE WIRING HARNESS WITH DIODES | 1 |
Spencer R. Hanson | US | Escondido | 2015-03-19 / 20150078785 - LIQUID ELECTROPHOTOGRAPHY INK DEVELOPER | 2 |
Van E. Hanson | US | Forest | 2016-05-05 / 20160127101 - AUTOMATIC CONFIGURATION SUB-SYSTEM FOR DISTRIBUTED ATENNA SYSTEMS | 24 |
Todd Hanson | US | Beaverton | 2013-12-26 / 20130344292 - Three Dimensional Fiducial | 1 |
Lawrence W. Hanson | US | Amboy | 2013-06-20 / 20130153526 - Railroad freight car draft gear assembly | 1 |
J. Scott Hanson | US | Oregon City | 2012-05-31 / 20120132329 - TIRE CHAIN AND METHOD OF MODIFYING A TIRE CHAIN | 1 |
Timothy J.b. Hanson | US | Plymouth | 2014-11-20 / 20140343350 - INSTRUMENTED ORTHOPEDIC AND OTHER MEDICAL IMPLANTS | 4 |
Randal W. Hanson | US | Bellingham | 2015-02-19 / 20150050425 - Ceiling Texture Materials, Systems, and Methods | 14 |
David J. Hanson | US | Maple Valley | 2011-03-10 / 20110060801 - AUTOMATIC INTEGRATION OF A MAIL SERVER WITH INTERNET SERVER (IS) | 1 |
David Jaray Hanson | US | Maple Valley | 2012-07-05 / 20120173351 - Mobile Electronic Shopping | 3 |
Paul Hanson | US | Kirkland | 2015-07-16 / 20150201074 - Dynamic Voicemail Receptionist System | 7 |
Erik J. Hanson | US | Woodinville | 2013-04-18 / 20130097028 - Dynamic Floor Pricing for Managing Exchange Monetization | 2 |
C. Rowland Hanson | US | Redmond | 2012-05-10 / 20120115579 - METHOD AND SYSTEM FOR DYNAMICALLY LEVELING GAME PLAY IN ELECTRONIC GAMING ENVIRONMENTS | 2 |
Randy Hanson | US | Bellingham | 2008-10-09 / 20080247267 - Method and apparatus for cleaning rotary mixing device | 2 |
Rowland Hanson | US | Kirkland | 2008-09-04 / 20080213719 - Temperature Modified Oral Cleaning Device | 1 |
Gerald L. Hanson | US | Kingston | 2010-05-20 / 20100124539 - COSMETIC PREPARATIONS | 1 |
Brady D. Hanson | US | Richland | 2013-12-19 / 20130336854 - COMPOSITIONS AND METHODS FOR TREATING NUCLEAR FUEL | 2 |
Tim Hanson | US | Seattle | 2010-09-23 / 20100242022 - SYSTEM AND METHOD FOR MANAGING CROSS PROJECT DEPENDENCIES AT DEVELOPMENT TIME | 1 |
Kim P. Hanson | US | Woodinville | 2014-09-25 / 20140289111 - METHODS AND SYSTEMS FOR EXCHANGING AND/OR TRANSFERRING VARIOUS FORMS OF VALUE | 7 |
Laura J. Hanson | US | Seattle | / - | 1 |
Elizabeth L. Hanson | US | Gig Harbor | 2010-03-11 / 20100058705 - DOOR STRAIGHTENING SYSTEM AND METHODS OF USING SAME | 1 |
Colin S. Hanson | US | Minneapolis | 2012-11-29 / 20120300822 - METHODS AND SYSTEMS FOR DISTINGUISHING A SIGNAL OF INTEREST FROM INTERFERENCE SIGNALS | 1 |
Weldon Hanson | US | Rochester | 2012-11-29 / 20120300599 - CHANNEL-SOURCE LASER-PULSING SYSTEM ARCHITECTURE FOR THERMAL-ASSISTED RECORDING | 1 |
David Jaray Hanson | US | Snoqualmie | 2010-01-07 / 20100005148 - EMAIL SMS NOTIFICATION SYSTEM PROVIDING ENHANCED CHARGE ACCOUNTING FEATURES AND RELATED METHODS | 1 |
Trevor Hanson | US | Sequim | 2015-10-08 / 20150287005 - BAR CODED MONETARY TRANSACTION SYSTEM AND METHOD | 6 |
Mark T. Hanson | US | Woodinville | 2009-01-01 / 20090007256 - Using a trusted entity to drive security decisions | 1 |
Brendon John Hanson | SG | Singapore | 2013-11-21 / 20130309237 - Pathogenic Mycobacteria-Derived Mannose-Capped Lipoarabinomannan Antigen Binding Proteins | 3 |
Travis Hanson | US | Menomiree | 2015-05-07 / 20150122856 - Hammer Loop/Flat Bar tool device | 1 |
Heidi M. Hanson | US | Minneapolis | 2016-04-21 / 20160106096 - METHOD AND COMPOSITION FOR INHIBITION OF MICROBIAL GROWTH IN AQUEOUS FOOD TRANSPORT AND PROCESS STREAMS | 1 |
Philip David Hanson | GB | London | 2016-05-19 / 20160140559 - PROCESSING SYSTEM | 1 |
Keenan Michael Hanson | US | Tuxedo | 2015-12-31 / 20150376349 - SURFACE CROSSLINKED POLYETHYLENE | 6 |
Jim Hanson | US | Ellicott City | 2011-11-10 / 20110277034 - SYSTEM AND METHOD FOR THREE-DIMENSIONAL VISUALIZATION OF VULNERABILITY AND ASSET DATA | 1 |
Sarah Hanson | US | San Marcos | 2012-06-14 / 20120149887 - ALKYNYL SUGAR ANALOGS FOR LABELING AND VISUALIZATION OF GLYCOCONJUGATES IN CELLS | 1 |
Mikael Hanson | SE | Alvsjo | 2012-06-14 / 20120150397 - DEVICE AND METHOD FOR PERFORMING A GEAR CHANGE OF A VEHICLE | 1 |
Courtney J. Hanson | US | Denton | 2009-08-06 / 20090193727 - METAL TRUSS | 3 |
Peter E. Hanson | US | Garland | 2011-04-07 / 20110080828 - Congestion Control | 1 |
Gunnar J. Hanson | US | Dallas | 2013-10-24 / 20130281385 - SMALL MOLECULE APOPTOSIS PROMOTERS | 5 |
Heather L. Hanson | US | Austin | 2016-05-05 / 20160124486 - DISTRIBUTED POWER BUDGETING | 18 |
Scott A. Hanson | US | Cypress | 2010-04-15 / 20100089120 - METHOD FOR CORRECTING THE MEASURED CONCENTRATIONS OF GAS COMPONETS IN DRILLING MUD | 1 |
Stephen Hanson | US | Garland | 2008-09-04 / 20080213076 - Edge grip end effector | 1 |
Jeffrey Allen Hanson | US | Allen | 2013-11-14 / 20130302612 - SYSTEM AND METHOD FOR PRODUCTION OF HIGH PURITY SILICON SOLIDS AND SOLIDS THEREFROM | 2 |
Heather S. Hanson | US | San Antonio | 2012-12-06 / 20120310349 - EXPANDABLE ARTICULATING INTERVERTEBRAL IMPLANT WITH LIMITED ARTICULATION | 5 |
Peter Hanson | US | Garland | 2014-05-22 / 20140140340 - Method and System for Providing a Setup Timer in a SIP-Based Network | 2 |
Charles M. Hanson | US | Richardson | 2011-11-03 / 20110266444 - Pixel structure for microbolometer detector | 2 |
Daniel A. Hanson | US | Dallas | 2012-12-13 / 20120317005 - System and Method for Prepay Account Management System | 2 |
William J. Hanson | US | Carlsbad | 2015-01-15 / 20150016841 - ELECTROPHOTOGRAPHY-BASED ADDITIVE MANUFACTURING SYSTEM WITH RECIPROCATING OPERATION | 10 |
James Richard Hanson | US | Brownsburg | 2014-09-18 / 20140262362 - VEHICLE AND METHOD FOR WORKING TOP DRESSING MATERIAL INTO GRASS BLADES | 3 |
Scott A. Hanson | US | Fairport | 2012-05-10 / 20120111759 - CONTAINERS WITH OPTIONAL VENTING | 1 |
Michael Hanson | US | Mesquite | 2014-08-21 / 20140230218 - PANEL SUPPORT | 1 |
Richard Wayne Hanson | US | Sherwood | / - | 1 |
Andrew James Hanson | CA | Edmonton | 2013-10-10 / 20130264068 - REVERSE CEMENTING VALVE | 2 |
James R. Hanson | US | Brownsburg | 2014-09-18 / 20140262386 - SYMMETRICAL BRUSH PATTERN GROOMER WITH INTEGRATED SPRING TINE RAKE | 5 |
Lisa A. Hanson | US | Carmel | 2010-02-04 / 20100025974 - Apparatus for allowing or suppressing deployment of a low risk deployment airbag | 1 |
Eric J. Hanson | US | Valparaiso | 2012-11-29 / 20120299338 - VEHICLE JUMP SEAT AND STORAGE SYSTEM | 4 |
George Hanson | US | Eugene | 2016-02-25 / 20160054327 - RAPID PROTEIN LABELING AND ANALYSIS | 7 |
Mark Hanson | US | West Lafayette | 2010-12-23 / 20100324219 - ARYLALKENYL ETHER OLIGOMERS AND POLYMERS AND THEIR USE IN THE PRODUCTION OF FLAME RETARDANTS | 1 |
Stephen Raymond Hanson | US | Beaverton | 2012-11-01 / 20120276112 - ANTI-FACTOR XI MONOCLONAL ANTIBODIES AND METHODS OF USE THEREOF | 3 |
Stephen R. Hanson | US | Beaverton | 2013-01-24 / 20130022671 - CONTROLLED RELEASE COMPOSITIONS OF AGENTS THAT REDUCE CIRCULATING LEVELS OF PLATELETS AND METHODS THEREFOR | 5 |
Lisa M. Hanson | US | Vancouver | 2010-09-16 / 20100231664 - PRINTING FLUID CONTAINER | 2 |
Lyle R. Hanson | US | Beaverton | 2013-11-07 / 20130291317 - Adjustable Last | 3 |
Mark V. Hanson | US | West Lafayette | 2015-10-29 / 20150307690 - HALOGEN FREE HIGH TEMPERATURE POLYAMIDE COMPOSITIONS COMPRISING PHOSPHORUS CONTAINING FLAME RETARDANTS | 14 |
Lara Hanson | US | Hoboken | 2015-07-02 / 20150186929 - SYSTEMS AND METHODS FOR COLLECTING, MANAGING AND USING SUBSCRIBER INFORMATION | 3 |
Karrie J. Hanson | US | Westfield | 2012-06-14 / 20120151420 - Devices, Systems, and Methods for Conveying Gesture Commands | 1 |
Karrie Jo Hanson | US | Westfield | 2013-10-03 / 20130260724 - Method and Apparatus for Connecting a Caller Previously Diverted to Voicemail | 2 |
Carrie A. Hanson | US | Charlotte | 2015-03-05 / 20150066688 - UNDERSTANDING PAST PURCHASE TRANSACTIONS BASED ON PURCHASE TRANSACTION HISTORY | 31 |
Andrew Bard Hanson | US | Portland | 2011-12-08 / 20110302306 - SYSTEMS AND METHODS FOR TRACKING WEB ACTIVITY | 2 |
Cass Hanson | US | St. Paul | 2014-05-15 / 20140135755 - RENAL CATHETER SHAFT DESIGN | 1 |
Chris Hanson | US | Colfax | 2015-11-19 / 20150329285 - MOVABLE REUSABLE CONTAINMENT STRUCTURE FOR FLEXIBLE FLUID CONTAINMENT VESSEL | 1 |
Karen Hanson | US | Oakesdale | 2015-11-19 / 20150329285 - MOVABLE REUSABLE CONTAINMENT STRUCTURE FOR FLEXIBLE FLUID CONTAINMENT VESSEL | 1 |
Susanna Staubly Hanson | US | La Crosse | 2014-06-05 / 20140156335 - SYSTEM AND METHOD FOR REAL COST ANALYSIS OF A COOLING SYSTEM | 1 |
Jessica L. Hanson | US | Royal Oak | 2016-04-28 / 20160116759 - PROTECTIVE EYEWEAR | 7 |
Heather L. Hanson | US | Austin | 2016-05-05 / 20160124486 - DISTRIBUTED POWER BUDGETING | 18 |
Richard Brian Hanson | US | Sherwood | / - | 1 |
William Hanson | US | Walnut Creek | 2011-11-24 / 20110287055 - COMPOSITIONS COMPRISING PRFA* MUTANT LISTERIA AND MEHTODS OF USE THEREOF | 1 |
Douglas P. Hanson | US | San Antonio | 2011-11-24 / 20110287118 - OPHTHALMIC LENS MOLD TREATMENT | 1 |
Catherine Hanson | US | Hastings | 2015-07-30 / 20150210958 - NEUTRAL FLOOR CLEANER | 10 |
Mark E. Hanson | US | Providence Village | 2013-07-25 / 20130187395 - ADJUSTABLE MODULAR AUTOMOTIVE GRILLE GUARD ASSEMBLY | 1 |
Dennis Hanson | US | Medford | 2015-08-20 / 20150231774 - Tool and Method For Removing A Wheel Hub Assembly | 4 |
William Hanson | US | Wake Forest | 2014-09-18 / 20140274685 - COMPOSITIONS, ADDITIVES, AND METHODS FOR MITIGATING OR CONTROLLING SEED DUST | 1 |
Ken Hanson | US | Oakesdale | 2015-11-19 / 20150329285 - MOVABLE REUSABLE CONTAINMENT STRUCTURE FOR FLEXIBLE FLUID CONTAINMENT VESSEL | 1 |
Marvin Hanson | US | Edwardsburg | 2014-09-18 / 20140265240 - WEIGHT DISTRIBUTION SYSTEM | 1 |
James R. Hanson | US | Cottage Grove | 2013-10-24 / 20130277253 - PROTECTIVE CONTAINER FOR A FLAT SCREEN MONITOR | 1 |
Van Hanson | US | Forest | 2015-11-26 / 20150341089 - SYSTEMS AND METHODS FOR TRANSPORTING DIGITAL RF SIGNALS | 11 |
Russell I. Hanson | US | Salem | 2015-07-23 / 20150206163 - MANAGING INCENTIVES | 2 |
Raymond Hanson | US | Thousand Oaks | 2013-02-07 / 20130034093 - Policy Rule Management For QoS Provisioning | 2 |
Gunnar J. Hanson | US | Bothell | 2015-05-21 / 20150141321 - COMPOUND AND METHOD FOR TREATING MYOTONIC DYSTROPHY | 8 |
Christopher D. Hanson | US | Secor | 2014-07-24 / 20140203113 - Solenoid Actuator And Fuel Injector Using Same | 5 |
Ryan E. Hanson | US | Livonia | 2015-10-29 / 20150309573 - AUTOMOTIVE TOUCHSCREEN CONTROLS WITH SIMULATED TEXTURE FOR HAPTIC FEEDBACK | 1 |
Peter Hanson | US | Huntington Beach | 2014-05-08 / 20140123437 - FASTENER ASSISTANCE DEVICE | 1 |
Randall Kent Hanson | US | Sacramento | 2013-01-31 / 20130030295 - Three Dimensional Co-Registration for Intravascular Diagnosis and Therapy | 1 |
Ronald D. Hanson | US | Littleton | 2013-06-06 / 20130139683 - SYSTEM AND METHOD FOR DENSE PHASE SORBENT INJECTION | 1 |
Kyle M. Hanson | US | Kalispell | 2015-03-26 / 20150083600 - ELECTROLYTIC COPPER PROCESS USING ANION PERMEABLE BARRIER | 17 |
Geoffrey H. Hanson | US | San Jose | 2016-05-19 / 20160139834 - Automatic Configuration of Local Storage Resources | 1 |
Arlene Hanson | US | Bath | 2014-10-02 / 20140290128 - BIOFUEL PRODUCTION METHOD AND SYSTEM | 1 |
Robin Hanson | US | Burke | 2014-02-20 / 20140052603 - Prediction Market System and Methods | 2 |
Rowland Hanson | US | Santa Barbara | 2013-05-23 / 20130126599 - SYSTEMS AND METHODS FOR CAPTURING CODES AND DELIVERING INCREASINGLY INTELLIGENT CONTENT IN RESPONSE THERETO | 1 |
Van Erick Hanson | US | Forest | 2013-05-09 / 20130114651 - SYSTEM AND METHOD FOR FEEDBACK CANCELLATION IN REPEATERS | 1 |
Jon Hanson | US | Raymond | 2014-02-06 / 20140035792 - Microstrip-Fed Crossed Dipole Antenna | 1 |
Kris Hanson | US | Stoneham | 2014-12-04 / 20140354650 - ATTRIBUTE-BASED STACKING FOR DIAGRAMS | 3 |
Eric B. Hanson | US | Emeryville | 2016-03-31 / 20160092091 - ADJUSTING PAGE LAYOUTS | 2 |
Jeffrey A. Hanson | US | Oakland | 2014-01-02 / 20140004597 - SYNTHETIC PATHWAYS FOR BIOFUEL SYNTHESIS | 1 |
Kent R. Hanson | US | Loveland | 2015-07-23 / 20150204232 - ELECTRONIC PRE-CHAMBER INJECTOR | 1 |
Maureen Hanson | US | Ithaca | 2016-03-24 / 20160083738 - PRODUCTION OF BACTERIAL MICROCOMPARTMENTS IN EUKARYOTIC CELLS | 1 |
Ryan Hanson | US | Cupertino | 2014-09-18 / 20140261180 - PVD TARGET FOR SELF-CENTERING PROCESS SHIELD | 4 |
Ian B. Hanson | US | Granada Hills | 2012-06-28 / 20120165780 - MONITORING THE OPERATING HEALTH OF A FORCE SENSOR IN A FLUID INFUSION DEVICE | 7 |
Matthew D. Hanson | US | Red Wing | 2011-10-06 / 20110239580 - PARAPET ANCHOR | 1 |
Christopher Hanson | US | Morristown | 2015-12-03 / 20150350166 - METHODS AND SYSTEMS OF COMMUNICATING ANONYMOUSLY FOR ENHANCED NETWORKING BY USING SPECIFIC LOCATIONS WITHIN A SPECIFIC RANGE OF DISTANCE | 1 |
Eric P. Hanson | US | Warren | 2015-10-29 / 20150305571 - Food storage container and strainer device | 1 |
Martin Gartz Hanson | US | Aurora | 2013-10-17 / 20130273181 - METHODS AND COMPOSITIONS FOR DIAGNOSING AND TREATING MUSCLE MYOPATHY DISORDERS | 1 |
Heather Lynn Hanson | US | Austin | 2013-02-07 / 20130035797 - PERFORMANCE OF DIGITAL CIRCUITS USING CURRENT MANAGEMENT | 4 |
Thomas J. Hanson | US | Rye | 2015-01-29 / 20150028076 - ANCHOR ON A LOAD CARRIER FOR A BICYCLE THROUGH-AXLE | 2 |
Kyle M. Hanson | US | Madison | 2015-10-22 / 20150303837 - CONTROLLING MAGNETIC FLUX IN AN AUTOMOTIVE ELECTRIC MACHINE | 1 |
Daniel James Hanson | CA | Cambridge | 2013-09-05 / 20130231164 - MOBILE WIRELESS COMMUNICATIONS DEVICE WITH VOICE ALTERATION AND RELATED METHODS | 1 |
Jon Hanson | US | West Friendship | 2013-06-27 / 20130160353 - Biological Oils for Use in Compression Engines and Methods for Producing Such Oils | 1 |
Ron Hanson | CA | Mount Forest | 2013-01-03 / 20130007247 - METHOD OF CUSTOMIZING A STANDARDIZED IT POLICY | 1 |
Aaron A. Hanson | US | San Diego | 2015-07-02 / 20150182933 - Method and Apparatus for Delivery of Submicroliter Volumes onto a Substrate | 2 |
Jeff Andrew Hanson | AU | Beeliar | 2011-12-08 / 20110297195 - Cleaning Vessel | 1 |
Anthony J. Hanson | US | Chaska | 2016-02-11 / 20160038726 - METHOD AND ARTICLES FOR TREATING THE SINUS SYSTEM | 15 |
Scott Hanson | US | Grayslake | 2014-09-18 / 20140262909 - Cake base with recesses | 1 |
Todd William Hanson | US | Oregon | 2012-04-26 / 20120098471 - ELECTRICAL SYSTEM AND METHOD FOR CONTROLLING AN ELECTRICAL MOTOR | 1 |
Brian David Hanson | US | Charlotte | 2015-04-30 / 20150120564 - CHECK MEMO LINE DATA LIFT | 8 |
Justin Hanson | US | Dearborn Heights | 2013-11-28 / 20130313239 - WELDING FIXTURE FOR JOINING BAR-WOUND STATOR CONDUCTORS | 2 |
Randal W. Hanson | US | Bellingham | 2015-02-19 / 20150050425 - Ceiling Texture Materials, Systems, and Methods | 14 |
Craig D. Hanson | US | Elk Grove | 2011-12-08 / 20110302526 - METHOD AND SYSTEM FOR CONSTRUCTING BUSINESS RULES IN A BUSINESS SOFTWARE SYSTEM USING INTELLIGENT TILES | 2 |
Kathy Hanson | US | Salina | 2016-04-07 / 20160095403 - Carrying Bag for Hairstyling Tools | 1 |
Brian Keith Hanson | US | Cicero | 2015-04-30 / 20150115095 - CABLE CARRYING CASE | 1 |
Robert J. Hanson | US | Boise | 2015-02-26 / 20150054164 - Semiconductor Constructions | 13 |
Eric John Hanson | US | Dearborn | 2016-04-07 / 20160096416 - ZIPPER LESS REMOVABLE WINDOWS FOR A FOLDING SUV SOFT TOP | 2 |
Paul Ronald Hanson | US | Lawrence | 2015-06-25 / 20150175725 - MAGNETIC PARTICLE-POLYMER HYBRID MATERIALS WITH FUNCTIONALIZED POLYMERS DERIVED FROM NORBORNENYL REAGENTS | 3 |
Darrin Hanson | US | Flushing | 2014-07-10 / 20140194668 - Portable Therapeutic Device Using Rotating Static Magnetic Fields | 1 |
Jeffrey Hanson | US | West Henrietta | 2014-07-10 / 20140193276 - MULTISTAGE AIR PUMP WITH ADJUSTABLE INTER-STAGE MASS TRANSFER AND INTER-STAGE SORBENT CARTRIDGE | 1 |
Kevin C. Hanson | US | Wayzata | 2012-05-24 / 20120131102 - ONE-TO-MANY AND MANY-TO-ONE TRANSFER, STORAGE AND MANIPULATION OF DIGITAL FILES | 1 |
Mark V. Hanson | US | West Lafayette | 2015-10-29 / 20150307690 - HALOGEN FREE HIGH TEMPERATURE POLYAMIDE COMPOSITIONS COMPRISING PHOSPHORUS CONTAINING FLAME RETARDANTS | 14 |
Kristopher A. Hanson | US | Stillwater | 2011-12-15 / 20110302998 - METHOD AND SYSTEM FOR COMPACTION MEASUREMENT | 1 |
Samantha Hanson | US | Wateloo | 2011-12-15 / 20110303035 - FINAL DRIVE FOR A WORK MACHINE | 1 |
Alan D. Hanson | US | Winnebago | 2015-07-30 / 20150214819 - HIGH ALTITUDE, HIGH VOLTAGE REAR TERMINAL BLOCK ASSEMBLY | 5 |
Jim Hanson | US | Cortland | 2010-03-04 / 20100051662 - Ergonomic Lift Mechanism for a Truck Box | 1 |
Gunnar Hanson | US | Seattle | 2014-05-08 / 20140127155 - Smac mimetic dimers and trimers useful as anti-cancer agents | 1 |
Mark Hanson | US | Woodinville | 2014-07-10 / 20140191939 - USING NONVERBAL COMMUNICATION IN DETERMINING ACTIONS | 1 |
Christopher D. Hanson | US | Washingtion | 2010-01-14 / 20100006679 - Decoupled valve assembly and fuel injector using same | 1 |
Scott A. Hanson | US | Grayslake | 2009-08-20 / 20090206090 - Multi-piece compartmented container with venting | 1 |
Christopher D. Hanson | US | Washington | 2011-06-23 / 20110147494 - FUEL INJECTOR AND METHOD OF ASSEMBLY THEREFOR | 3 |
Scott Hanson | US | Cypress | 2012-05-24 / 20120130639 - SYSTEM AND METHOD FOR ESTIMATING FLUID DISTRIBUTION IN A SUBTERRANEAN RESERVOIR | 1 |
James Edwin Hanson | US | Yorktown Heights | 2012-08-09 / 20120203912 - Autonomic computing system with model transfer | 4 |
Dane Hanson | US | California | 2014-07-24 / 20140206590 - Paint Stripping Compositions | 1 |
Matthew S. Hanson | US | Palatine | 2011-02-03 / 20110025161 - AXIAL FLUX STATOR AND METHOD OF MANUFACTURE THEREOF | 2 |
Dana R. Hanson | US | West Chicago | 2010-12-02 / 20100303943 - Linear bearing assembly to guide movement of roll stand on apparatus for forming an extruded sheet product | 5 |
Dana Ray Hanson | US | St. Charles | 2010-02-18 / 20100038037 - Apparatus for applying a film to a bottom side of an extruded sheet | 1 |
Mark Hanson | US | Joliet | 2009-11-05 / 20090272158 - TIRE SECURING DEVICE | 1 |
Dennis Hawkins Hanson | US | Round Lake Beach | 2009-03-05 / 20090057310 - Crystalline Material Container | 1 |
Mark T. Hanson | US | Lynnwood | 2012-05-17 / 20120124247 - METHOD AND APPARATUS FOR DETECTING THE TYPE OF INTERFACE TO WHICH A PERIPHERAL DEVICE IS CONNECTED | 2 |
Timothy Hanson | US | Tuxedo Park | 2013-04-25 / 20130098310 - METHOD AND APPARATUS FOR TELEOPERATION, GUIDANCE AND ODOR DETECTION TRAINING OF A FREELY ROAMING ANIMAL THROUGH BRAIN STIMULATION | 2 |
Randall M. Hanson | US | Grayslake | 2015-08-06 / 20150221041 - Communication of Insurance Claim Data | 1 |
Carlyle Hanson | US | Brooklyn | 2012-08-16 / 20120205906 - VERSATILE LABEL | 2 |
Stuart Mitchell Hanson | US | Burr Ridge | 2014-07-17 / 20140200909 - METHODS AND SYSTEMS FOR ELECTRONICALLY MANAGING HEALTHCARE EXPENSES AND PAYMENTS | 1 |
Erin K. Hanson | US | Orlando | 2012-04-19 / 20120094850 - METHOD FOR DETERMINING THE ORIGIN OF A SAMPLE | 1 |
Eric Hanson | US | Emerville | 2013-01-31 / 20130027427 - Associating Digital Images with Waypoints | 1 |
Ralph Hanson | US | East Hampton | 2013-02-14 / 20130037979 - SYSTEMS AND METHODS FOR FORMING CONCRETE FOOTINGS | 1 |
Robert Hanson | US | Seattle | 2014-05-22 / 20140143162 - SYSTEM AND METHOD FOR GATHERING DATA FOR DETECTING FRAUDULENT TRANSACTIONS | 4 |
Jared Hanson | US | Oakland | 2013-07-25 / 20130189925 - Pairing Wireless Device Using Multiple Modalities | 1 |
Stephen R. Hanson | US | Edmonds | 2016-02-25 / 20160051552 - CONTROLLED RELEASE COMPOSITIONS OF AGENTS THAT REDUCE CIRCULATING LEVELS OF PLATELETS AND METHODS THEREFOR | 1 |
Kelsey Hanson | US | Seattle | 2014-07-10 / 20140194447 - CAFFEINATED COMPOUNDS AND COMPOSITIONS FOR TREATMENT OF AMYLOID DISEASES AND SYNUCLEINOPATHIES | 2 |
Steven Fillmore Hanson | US | Derby | 2013-09-26 / 20130248093 - COMPOSITE STRUCTURE FORMING ON COEFFICIENT OF THERMAL EXPANSION MISMATCHED TOOLING | 5 |
James E. Hanson | US | Hawthorne | 2011-03-24 / 20110072138 - VIRTUAL MACHINE DEMAND ESTIMATION | 1 |
Timothy Hanson | US | West Liberty | 2013-05-23 / 20130132223 - MULTI-WIN AND RESERVE PRICE AUCTIONS ON ONLINE PAY TO BID AUCTIONS | 1 |
Michael Allen Hanson | US | San Marcos | 2015-10-22 / 20150299149 - SELECTIVE SPHINGOSINE 1 PHOSPHATE RECEPTOR MODULATORS AND METHODS OF CHIRAL SYNTHESIS | 3 |
Andrew R. Hanson | US | Cypress | 2015-06-04 / 20150152701 - RUNNING TOOL WITH OVERSHOT SLEEVE | 3 |
Eric Hanson | US | Valparaiso | 2015-10-08 / 20150284032 - TILTING HOOD ASSEMBLY HAVING FENDER-MOUNTED HANDLE | 1 |
Alan Hanson | US | Garden City | 2013-10-03 / 20130262290 - METHOD AND SYSTEM TO AUTOMATICALLY GENERATE LOAN OFFER INFORMATION BASED UPON THE GEO-LOCATION OF AN END-USERS MOBILE COMPUTING DEVICE OR APPARATUS. | 1 |
Ryan Hanson | US | Palo Alto | 2011-12-22 / 20110311735 - MAGNETRON DESIGN FOR RF/DC PHYSICAL VAPOR DEPOSITION | 1 |
Brian Keith Hanson | US | East Syracuse | 2012-04-19 / 20120091249 - CABLE CARRYING CASE | 1 |
Joan M. Hanson | US | Cambridge | 2013-05-23 / 20130131493 - METHOD AND APPARATUS FOR PERFORMING DUAL-MODALITY IMAGING | 1 |
Garth Steven Hanson | CA | Edmonton | 2012-07-12 / 20120175536 - SYSTEM AND METHOD FOR TESTING A VALVE ACTUATOR | 1 |
Stephen Hanson | GB | Hants | 2014-01-02 / 20140007050 - INTERACTIVE DEVELOPMENT AND TESTING MESSAGE MODELS | 2 |
Eric L. Hanson | US | Carlsbad | 2014-09-18 / 20140272428 - Betaine polymer coatings prepared by living polymerization | 16 |
Leslie Hanson | US | Hawthorn Woods | 2015-03-26 / 20150089380 - COMPONENT SPECIFYING AND SELECTION APPARATUS AND METHOD USING INTELLIGENT GRAPHIC TYPE SELECTION INTERFACE | 2 |
John E. Hanson | US | Mountain View | 2012-07-12 / 20120178362 - Low-Cost Satellite Communication System | 2 |
Matthew Sherman Hanson | US | Palatine | 2014-09-25 / 20140285038 - PULL TIGHT MOTOR HOUSING | 2 |
Benjamin Zain Hanson | US | Big Flats | 2016-01-07 / 20160002085 - ALUMINA ISOPIPES FOR USE WITH TIN-CONTAINING GLASSES | 5 |
Violet Hanson | US | Old Bethpage | 2009-11-12 / 20090277935 - Single-sheeted-type and dual-handled-type carrier for suspending a drinking cup by one hand and method | 1 |
Nancy Hanson | US | Newman Lake | 2012-10-11 / 20120255575 - Dissolving Microwave Cleaner | 1 |
Scott V. Hanson | US | Excelsior | 2012-10-11 / 20120255456 - INSENSITIVE MUNITIONS SWAGED VENT PLUG | 1 |
Joan Hanson | US | Cambridge | 2013-05-23 / 20130131422 - SYSTEMS AND METHODS FOR COMMUNICATING DOSE CALIBRATION INFORMATION | 1 |
Brent J. Hanson | US | Warroad | 2015-02-19 / 20150047917 - SIDE-BY-SIDE VEHICLE | 1 |
Ronald K. Hanson | US | Cupertino | 2014-11-13 / 20140336957 - Method for Calibration-Free Scanned-Wavelength Modulation Spectroscopy for Gas Sensing | 2 |
Wendy Hanson | US | Atlanta | 2014-10-30 / 20140317823 - Reversible Compression Garments and Methods of Assembling and Using Same | 1 |
Adam Hanson | US | Warwick | 2016-03-17 / 20160080432 - Meeting Management System Including Automated Equipment Setup | 4 |
James E. Hanson | US | Yorktown Heights | 2014-02-27 / 20140059367 - SAVING POWER BY MANAGING THE STATE OF INACTIVE COMPUTING DEVICES | 7 |
Lisa Cash Hanson | US | Las Vegas | 2014-04-17 / 20140101857 - PORTABLE DIAPER-CHANGING RESTRAINT SYSTEM | 1 |
Stuart Craig Hanson | US | Anderson | 2015-05-28 / 20150147151 - METHOD FOR POSITIONING OF EQUIPMENT | 3 |
Cass A. Hanson | US | St. Paul | 2015-12-03 / 20150342673 - NERVE MODULATION DEVICES WITH COOLING CAPABILITIES | 16 |
David A. Hanson | US | Lake Forest | 2016-01-28 / 20160026941 - UPDATING AND SYNCHRONIZING EXISTING CASE INSTANCES IN RESPONSE TO SOLUTION DESIGN CHANGES | 5 |
Erin Linn Hanson | US | Johns Creek | 2015-02-12 / 20150040296 - Protective Helmet Cap | 3 |
Bruce H. Hanson | US | Endicott | 2009-06-11 / 20090145814 - OPERATIONS FOR PRODUCT PROCESSING | 2 |
Michael R. Hanson | US | Mitchell | 2012-10-04 / 20120248736 - Trailer | 1 |
Reed M. Hanson | US | 2012-10-04 / 20120247421 - ENGINE COMBUSTION CONTROL AT LOW LOADS VIA FUEL REACTIVITY STRATIFICATION | 1 | |
Eric Hanson | US | Portland | 2016-03-10 / 20160070546 - COMPUTER PROGRAMMING SYSTEM AND METHOD | 1 |
Deborah K. Hanson | US | Villa Park | 2014-03-20 / 20140080176 - TRANSFORMABLE RHODOBACTER STRAINS, METHOD FOR PRODUCING TRANSFORMABLE RHODOBACTER STRAINS | 2 |
Jonathan M. Hanson | US | Salt Lake City | 2015-05-21 / 20150142406 - DIRECTIONAL DRILL AHEAD SIMULATOR: DIRECTIONAL WELLBORE PREDICTION USING BHA AND BIT MODELS | 7 |
Jacob A. Hanson | US | Oro Valley | 2013-12-26 / 20130342921 - NANO-NANO-COMPOSITE OPTICAL CERAMIC LENSES | 1 |
Craig Hanson | US | Concord | 2011-06-23 / 20110146386 - Package Leak Detection | 1 |
Susanna S. Hanson | US | La Crosse | 2011-06-23 / 20110146317 - Bi-directional cascade heat pump system | 1 |
Andrea Hanson | US | Seattle | 2015-02-12 / 20150045700 - PATIENT ACTIVITY MONITORING SYSTEMS AND ASSOCIATED METHODS | 1 |
Ian B. Hanson | US | Wayne | 2016-03-10 / 20160067403 - INFUSION DEVICE WITH BASE PORTION AND DURABLE PORTION | 42 |
Aaron D. Hanson | US | Seattle | 2012-07-12 / 20120179819 - METHOD AND APPARATUS FOR PROVIDING MOBILE AND OTHER INTERMITTENT CONNECTIVITY IN A COMPUTING ENVIORNMENT | 1 |
Mark H. Hanson | US | Eagan | 2014-07-03 / 20140185237 - Opacity Baffle to Prevent Viewing of Internal Structures in Secure Electronic Equipment | 1 |
Jonathan Hanson | US | Wading River | 2008-10-09 / 20080247512 - Sagittal Focusing Laue Monochromator | 1 |
Randall Martin Hanson | US | Grayslake | 2015-11-12 / 20150324924 - Streamlined Claims Processing | 1 |
Heather Hanson | US | San Antonio | 2015-04-09 / 20150100057 - FOOT, ANKLE AND LOWER EXTREMITY COMPRESSION AND FIXATION SYSTEM AND RELATED USES | 2 |
Susan K. Hanson | US | Los Alamos | 2015-11-26 / 20150336862 - CATALYTIC HYDROGENATION USING COMPLEXES OF BASE METALS WITH TRIDENTATE LIGANDS | 1 |
Ian B. Hanson | US | Wayne | 2016-03-10 / 20160067403 - INFUSION DEVICE WITH BASE PORTION AND DURABLE PORTION | 42 |
Benjamin Z. Hanson | US | Big Flats | 2012-01-12 / 20120006059 - ALUMINA ISOPIPES FOR USE WITH TIN-CONTAINING GLASSES | 1 |
Gerald Joseph Hanson | US | Apple Valley | 2015-05-21 / 20150142646 - CONFIGURABLE INVOICE MATCHING OPTIMIZATION SYSTEM | 2 |
Randy Hanson | US | Jefferson | 2015-05-28 / 20150145269 - VEHICLE WARNING LIGHTING SYSTEM | 2 |
Peter Hanson | GB | London | 2015-03-05 / 20150062303 - 3D CAMERA SYSTEM | 1 |
David Wayne Hanson | US | San Diego | 2015-04-23 / 20150113388 - METHOD AND APPARATUS FOR PERFORMING TOPIC-RELEVANCE HIGHLIGHTING OF ELECTRONIC TEXT | 1 |
Michael F. Hanson | US | Smyrna | 2014-11-20 / 20140344016 - Real-Time Subjective/Objective Venue Evaluation Method | 2 |
Mark B. Hanson | US | Niles | 2011-05-05 / 20110106494 - Method and Device for Counting Elapsed Real Time | 1 |
Scott Hanson | US | Waterford | 2010-12-30 / 20100327842 - REFERENCE VOLTAGE GENERATOR HAVING A TWO TRANSISTOR DESIGN | 1 |
James P. Hanson | US | Lawton | 2012-04-19 / 20120094085 - UNITIZED COMPOSITE FABRICS WITH CROSS MACHINE WAVE-LIKE SHAPING AND METHODS FOR MAKING SAME | 6 |
David Wesley Hanson | US | Brownstown | 2010-05-06 / 20100107900 - Forming Device for Articles of Food | 1 |
Michael Hanson | US | Plainfield | 2015-11-26 / 20150338327 - APPARATUS, SYSTEM AND METHODS FOR DETERMINING THE IMPACT ATTENUATION OF A SURFACE | 1 |
Scott Mclean Hanson | US | Farmington Hills | 2010-08-26 / 20100217562 - Operating parameter control of an apparatus for processing data | 1 |
Mark A. Hanson | US | Apple Valley | 2008-11-27 / 20080290042 - Pollutant Control for Inlet Protection | 1 |
Andrew L. Hanson | US | Rochester | 2016-04-07 / 20160099888 - CLOUD INDEPENDENT TUNING SERVICE FOR AUTONOMOUSLY MANAGED WORKLOADS | 4 |
Denise Ellen Hanson | US | Elk River | 2011-03-10 / 20110056932 - MICROWAVE POPCORN BAG CONSTRUCTION WITH SEAL ARRANGEMENT FOR CONTAINING OIL/FAT, MICROWAVE POPCORN PRODUCT, AND METHODS | 2 |
Todd Hanson | US | Loretto | 2014-11-20 / 20140339943 - ENERGY HARVESTER AND ROTATING SHAFT VIBRATION SENSOR | 7 |
Mark Hanson | US | St. Paul | 2010-12-16 / 20100313683 - Multiple-Specimen Device Testing with Particle Measurement | 1 |
Steven P. Hanson | US | Crosslake | 2012-07-19 / 20120180210 - SINK FLANGE AND COLLAR ASSEMBLY FOR A FOOD WASTE DISPOSER | 2 |
Timothy J. Hanson | US | Plymouth | 2016-04-14 / 20160103145 - SYSTEMS AND METHODS FOR ISOLATED SENSOR DEVICE PROTECTION | 6 |
William James Hanson | US | Edina | 2010-11-11 / 20100281884 - Thermoelectric Management Unit | 1 |
Ryan Edwin Hanson | US | Wayne | 2009-06-18 / 20090157232 - Method and Apparatus for Preserving Battery Operation and Life During Vehicle Post Idle Shutdown Control | 1 |
Shaun B. Hanson | US | West Chester | 2016-04-14 / 20160100831 - METHODS AND INSTRUMENTS FOR SUBCHONDRAL TREATMENT OF OSTEOARTHRITIS IN A SMALL JOINT | 23 |
Burton W. Hanson | US | Anoka | 2010-03-18 / 20100064489 - LOAD SECURING RATCHET WITH ANCHOR ATTACHMENT SYSTEM | 1 |
John R. Hanson | US | Falcon Heights | 2014-01-16 / 20140014102 - METHODS FOR BATTERY POWER MANAGEMENT OF POSITIVE AIRWAY PRESSURE APPARATUS | 2 |
Scott M. Hanson | US | Savage | 2015-02-19 / 20150051626 - ROTATIONAL ATHERECTOMY DEVICE WITH ELECTRIC MOTOR | 9 |
Sarah Richardson Hanson | US | San Diego | 2014-01-02 / 20140004571 - COMPOSITIONS AND METHODS FOR BIOMASS LIQUEFACTION | 2 |
Kathleen L. Hanson | US | Savage | 2015-07-02 / 20150186196 - SYSTEMS AND METHODS FOR MANAGING MATERIAL STORAGE VESSELS HAVING INFORMATION STORAGE ELEMENTS | 2 |
Todd Hanson | US | Greenfield | 2009-12-03 / 20090296379 - ELEVATED AIRPORT LIGHTS WITH AN ADJUSTMENT MECHANISM | 1 |
Weldon Mark Hanson | US | Rochester | 2015-03-19 / 20150077876 - DISK DRIVE WITH DIFFERENT DATA SECTOR INTEGRATED PREAMBLES IN ADJACENT DATA TRACKS | 8 |
Reed David Hanson | US | Chaska | 2009-05-14 / 20090122442 - ANTICIPATORY TRACK SWITCHING | 1 |
Ann E. Hanson | US | Ionia | 2008-10-09 / 20080245793 - INSULATED CONTAINER WITH FOOT-OPERATED LID | 2 |
Reed D. Hanson | US | Chaska | 2008-09-18 / 20080225654 - Zone based repeated runout error compensation | 1 |
Chad A. Hanson | US | Hugo | / - | 1 |
Jacob Joseph Hanson | US | Salt Lake City | 2012-01-12 / 20120010534 - SYSTEMS, DEVICES, AND METHODS FOR MONITORING AN UNDER FOOT LOAD PROFILE OF A TIBIAL FRACTURE PATIENT DURING A PERIOD OF PARTIAL WEIGHT BEARING | 1 |
Anthony J. Hanson | US | Chaska | 2016-02-11 / 20160038726 - METHOD AND ARTICLES FOR TREATING THE SINUS SYSTEM | 15 |
Anthony J. Hanson | US | St. Cloud | 2015-04-16 / 20150105818 - APPARATUS AND METHOD FOR TREATMENT OF SINUSITIS | 2 |
Cynthia Hanson | US | Minneapolis | 2016-02-11 / 20160042349 - SPEAKER RECOGNITION AND DENIAL OF A TRANSACTION BASED ON MATCHING A KNOWN VOICE PRINT | 4 |
William J. Hanson | US | St. Paul | 2010-07-01 / 20100162468 - MINI BELT AND METHOD USING THE SAME | 1 |
Scott M. Hanson | US | Columbia Heights | 2010-03-18 / 20100069839 - Catheter with Removable Balloon Protector and Stent Delivery System With Removable Stent Protector | 1 |
Scott M. Hanson | US | Minneapolis | 2010-02-11 / 20100036402 - ROTATIONAL ATHERECTOMY DEVICE WITH PRE-CURVED DRIVE SHAFT | 2 |
Anthony J. Hanson | US | Eden Prairie | 2014-11-27 / 20140350520 - APPARATUS AND METHOD FOR ACCESSING A SINUS CAVITY | 2 |
Jeff David Hanson | US | Bloomington | 2009-08-27 / 20090217084 - Digital Signage Redundancy | 1 |
James Mark Hanson | US | Woodbury | 2009-07-30 / 20090188575 - Quick Connect/Disconnect Coupling Assemblies | 1 |
Carrie Anne Hanson | US | Charlotte | 2016-05-12 / 20160134614 - USER AUTHENTICATION BASED ON OTHER APPLICATIONS | 100 |
Grant Hanson | US | Glenwood | 2014-08-21 / 20140230288 - IMPLEMENT WITH LINKAGE ASSEMBLY AND WORK ASSEMBLY WHEREIN WORK ASSEMBLY HAS DYNAMIC SKID SHOE AND A SCRAPING EDGE | 4 |
Shawn Michael Hanson | US | Eyota | 2009-02-05 / 20090037897 - Installing and Upgrading an Application in a Computer System | 1 |
Matthew P. Hanson | US | Chanhassen | 2008-12-11 / 20080302089 - Dispensing System with Remotely Mounted Metering Device | 1 |
Burton Warren Hanson | US | Anoka | 2008-11-06 / 20080273937 - TENSIONING DEVICE FOR SECURING A LOAD | 1 |
Brian Hanson | US | Farmington | 2008-10-16 / 20080250948 - Hard disk destruction apparatus and method | 1 |
Karl G. Hanson | US | Medina | 2008-08-28 / 20080208718 - ALLOWING CONSUMERS TO PURCHASE MERCHANDISE OVER THE INTERNET ON A POINT-OF-ORIGIN BASIS | 1 |
Carrie Anne Hanson | US | Charlotte | 2016-05-12 / 20160134614 - USER AUTHENTICATION BASED ON OTHER APPLICATIONS | 100 |
J. Scott Hanson | US | Clackamas | 2016-05-05 / 20160121670 - Tire Chain and Method of Modifying a Tire Chain | 1 |
Carrie A. Hanson | US | Charlotte | 2015-03-05 / 20150066688 - UNDERSTANDING PAST PURCHASE TRANSACTIONS BASED ON PURCHASE TRANSACTION HISTORY | 31 |
Anthony Philip Hanson | US | Middleton | / - | 1 |
Christopher A. Hanson | US | Lansing | 2015-11-26 / 20150338327 - APPARATUS, SYSTEM AND METHODS FOR DETERMINING THE IMPACT ATTENUATION OF A SURFACE | 1 |
Tyler Hanson | US | Stillwater | 2011-07-28 / 20110185368 - System and Method for Routing Information | 1 |
Steve P. Hanson | US | Albany | 2012-01-19 / 20120012963 - MICRO DEVICE PACKAGING | 1 |
Adam Joel Hanson | US | Warwick | 2016-02-11 / 20160044097 - Rebalancing of Distribution Group Servers | 1 |
Jonathan B. Hanson | US | Raymond | 2012-01-19 / 20120013520 - Ultra-Wide Band Monopole Antenna | 1 |
Clyde G. Hanson | US | Minneapolis | 2012-09-20 / 20120237566 - INHIBITING STOMACH-ACID RELEASE, REDUCING INFLAMMATION AND PREVENTING AND TREATING CANCER: COMPOSITIONS AND METHODS OF USE | 1 |
Matthew G. Hanson | US | Chagrin Falls | 2015-12-24 / 20150367678 - Container Wheel System | 1 |
James L. Hanson | US | San Luis Obispo | 2015-05-21 / 20150136354 - SYSTEMS AND METHODS FOR TEMPERATURE CONTROL AND HEAT EXTRACTION FROM WASTE LANDFILLS | 2 |
Natalia Hanson | US | Brooklyn | 2015-12-31 / 20150379248 - Online Biometric Authentication without Saving Biometric Data | 3 |
Gary R. Hanson | US | Boynton Beach | 2012-10-25 / 20120271639 - PERMITTING AUTOMATED SPEECH COMMAND DISCOVERY VIA MANUAL EVENT TO COMMAND MAPPING | 1 |
Loren Hanson | US | Portland | 2013-01-17 / 20130014458 - WALL STRUCTURE HAVING PREFABRICATED, PERMANENT FORMAANM Boydstun, IV; Robert D.AACI ClackamasAAST ORAACO USAAGP Boydstun, IV; Robert D. Clackamas OR USAANM Hanson; LorenAACI PortlandAAST ORAACO USAAGP Hanson; Loren Portland OR USAANM Huey; JohnAACI MilwaukieAAST ORAACO USAAGP Huey; John Milwaukie OR US | 1 |
Lisa M. Hanson | US | Issaquah | 2013-10-10 / 20130267309 - AUGMENTED REALITY AND PHYSICAL GAMES | 3 |
Kenneth Hanson | US | Carrboro | 2015-11-05 / 20150318479 - Visible/Near-Infrared Porphyrin-Tape/C60 Organic Photodetectors | 4 |
Jesse M. Hanson | US | Cedar Rapids | 2011-06-16 / 20110142626 - SERVICEABLE YAW BRAKE DISC SEGMENTS WITHOUT NACELLE REMOVAL | 1 |
Steven Ronald Hanson | US | Brainerd | 2015-11-26 / 20150341562 - RUGGEDIZED MODULAR MARINE DISPLAY | 1 |
Christopher Haldor Hanson | US | Albany | 2013-01-31 / 20130026780 - Roof Attachment Apparatus for A-Frame Style Trailers | 1 |
Terry J. Hanson | US | Raleigh | 2015-09-10 / 20150250185 - COMPOSITIONS AND METHOD OF CONTROLLING FUNGUS | 2 |
Derek W. Hanson | US | Fort Collins | 2012-01-26 / 20120019646 - VIDEO DISPLAY SYSTEMS | 1 |
Scott Hanson | US | Austin | 2016-04-21 / 20160112042 - Gate Leakage Based Low Power Circuits | 7 |
Orrin Christian Hanson | US | Oakes | 2012-11-08 / 20120279483 - T-SHIRT CANNON | 1 |
Matthew N. Hanson | US | Wayzata | 2011-09-01 / 20110210183 - Directional conduit guide support | 1 |
Martin Stefan Hanson | SE | Goteborg | 2015-02-26 / 20150057467 - PROCESS TO PREPARE ETHYLENE AMINES | 3 |
Mark Hanson | US | Rochester | 2011-09-01 / 20110214121 - METHOD, SYSTEM, AND COMPUTER READABLE MEDIUM FOR PROVISIONING AND REMOTE DISTRIBUTION | 4 |
Fredrik Hanson | SE | Johaneshov | 2010-09-23 / 20100236429 - GARLIC PRESS | 1 |
Victor Lee Hanson | US | Portland | 2014-09-18 / 20140270028 - SPDIF Clock and Data Recovery With Sample Rate Converter | 1 |
Dana R. Hanson | US | St. Charles | 2016-04-14 / 20160101557 - POLYMER FILTER ASSEMBLY AND METHOD OF SETTING UP AN EXTRUDING SYSTEM UTILIZING THE POLYMER FILTER ASSEMBLY | 6 |
Daniel Ray Hanson | US | New Richmond | 2008-09-18 / 20080227662 - Livestock tissue identification system | 1 |
Jacob Hanson | US | West Allis | 2009-02-19 / 20090045168 - Surface Treater for Elongated Articles | 1 |
George Thomas Hanson | US | Madison | 2009-05-28 / 20090136983 - TARGET SEQUENCES FOR SYNTHETIC MOLECULES | 1 |
Jeremy Hanson | US | Savannah | 2016-04-14 / 20160101867 - AIRCREW SEAT | 1 |
Alexander E. Hanson | US | Madison | 2010-04-15 / 20100093498 - Stationary Exercise Apparatus | 1 |
Troy A. Hanson | US | Weyauwega | 2011-04-21 / 20110088349 - Bracket assembly for facilitation the installation of a concrete wall on a concrete footing and a method of forming the wall | 2 |
Eric J. Hanson | US | Hudson | 2013-11-14 / 20130302722 - GASKETED SUBASSEMBLY FOR USE IN FUEL CELLS INCLUDING REPLICATED STRUCTURES | 3 |
Bonnie Jean Hanson | US | Madison | 2016-02-25 / 20160054303 - COMPOSITIONS AND ASSAYS FOR DETERMINING CELL VIABILITY | 3 |
Eric Hanson | US | Ruxton | 2012-03-29 / 20120073359 - Test head assembly for use in testing protective masks | 2 |
Steven P. Hanson | US | Racine | 2010-01-14 / 20100006682 - FOOD WASTE REDUCTION MECHANISM FOR DISPOSER | 2 |
Eric Joseph Hanson | US | Hudson | 2010-11-11 / 20100285951 - CATALYST INK | 1 |
Wilfrid John Hanson | GB | Edmunds | 2011-09-22 / 20110227344 - METHOD AND APPARATUS FOR USING DE-COMPRESSED FLUID (AIR) AS A COOLANT | 1 |
Charlotta Hanson | SE | Goteborg | 2013-06-06 / 20130144241 - ABSORBENT ARTICLE HAVING FLUID FLOW CONTROL MEMBER | 3 |
Harold Ralph Hanson | US | Appleton | 2011-01-20 / 20110013981 - Animal crossing warning zone and method of applying it to a roadway | 1 |
Oliver Colin Hanson | US | Shoreview | 2012-09-13 / 20120228429 - VEHICLE DOOR LOCK | 1 |
Jeffrey S. Hanson | US | Melrose | 2012-08-02 / 20120192805 - Hoof Bath System | 5 |
Mikael Hanson | SE | Arsta | 2010-08-05 / 20100198479 - METHOD OF CONTROLLING A VTG ENGINE | 3 |
Polly Hanson-Greenberg | US | 2013-10-24 / 20130277231 - Electrolytic Apparatus and Method for Treating Water to Remove Nitrates, Phosphates, Arsenic, Molecules of High Molecular Weight, and Organic Materials | 1 | |
H. Kenneth Hanson, Iii | US | Cincinnati | 2016-03-03 / 20160059461 - Injection Molding with Localized Heating in Flow Challenge Regions | 1 |
Wallace Lee Hanson, Jr. | US | Johns Creek | 2015-02-12 / 20150040296 - Protective Helmet Cap | 3 |
Wallace L. Hanson, Jr. | US | Duluth | 2013-01-10 / 20130011623 - MONOLITHIC THREE-DIMENSIONAL COMPOSITE AND METHOD OF MAKING SAME | 1 |
Wallace Lee Hanson, Jr. | US | Duluth | 2015-11-19 / 20150328500 - POLYURETHANE/UREA ELASTOMER MATERIAL FOR GAME BALL APPLICATIONS | 4 |
Howard H. Hanson, Jr. | US | Mazomanie | 2010-05-27 / 20100129185 - LOADING DEVICE | 1 |
Richard L. Hanson, Jr. | US | Diamond Bar | 2011-11-24 / 20110289399 - SYSTEM AND METHOD FOR DOCUMENT CONSTRUCTION | 1 |
Donald S. Hanson, Jr. | US | Evans | 2009-04-30 / 20090108636 - Utility Vehicle Canopy | 1 |
Marinus Hansort | US | St Pete Beach | 2014-10-30 / 20140318059 - BRACKET AND AN ARRANGEMENT FOR SUPPORTING A PRECAST SLAB ELEMENT OF CONCRETE ON A PRECAST STRUCTURE ELEMENT OF CONCRETE | 1 |
Rens Hansort | US | Naperville | 2009-04-30 / 20090107057 - ANCHOR POSITIONING ASSEMBLY | 1 |
Ashish Hansoti | IN | Mumbai | 2015-09-24 / 20150271976 - epipremnum Plant Named 'HANSOTI14' | 3 |
Sumeet Hanspal | IN | Bangalore | 2014-09-25 / 20140289372 - METHODS AND SYSTEMS FOR CONTENT DELIVERY | 1 |
Ashley Hanspall | GB | Cambridge | 2008-11-27 / 20080293553 - Apparatus and Method for Exercising Abdominal Muscles | 1 |
Jan Thomas Hanspers | SE | Bromma | 2010-09-16 / 20100229691 - POWER WRENCH WITH REACTION BAR CONTROLLING MEANS | 3 |
Jason Daniel Hanspiker | CA | Toronto | 2011-12-15 / 20110302692 - NECKTIE KNOT STAY | 1 |
David W. Hansquine | US | San Diego | 2010-03-11 / 20100064074 - SINGLE WIRE BUS INTERFACE | 2 |
David Joseph Winston Hansquine | US | Raleigh | 2016-03-31 / 20160091918 - CONFIGURABLE LAST LEVEL CLOCK DRIVER FOR IMPROVED ENERGY EFFICIENCY OF A RESONANT CLOCK | 2 |
David W. Hansquine | US | Raleigh | 2014-06-19 / 20140167831 - CLOCK DISTRIBUTION USING MTJ SENSING | 2 |
Arlen D. Hanssen | US | Rochester | 2016-03-03 / 20160058560 - TIBIAL AUGMENTS FOR USE WITH KNEE JOINT PROSTHESES, METHOD OF IMPLANTING THE TIBIAL AUGMENT, AND ASSOCIATED TOOLS | 13 |
Hubert Joseph Frans Hanssen | NL | Amsterdam | 2013-08-08 / 20130200100 - CONTAINER FOR LIQUIDS | 6 |
Sven Hanssen | SE | Vasteras | 2015-04-09 / 20150100156 - Adjusting Parameters Of A Dynamical Robot Model | 1 |
Niels Hanssen | DE | Bonn | 2010-11-25 / 20100296716 - TOMOGRAMS FOR IMPLANT PLANNING | 1 |
Charles Patrick Hanssen | US | Earlysville | 2014-05-01 / 20140118118 - REMOTE CONTROLLER FOR TENNIS BALL THROWING MACHINE | 1 |
Robbie Willem Johan M. Hanssen | US | Boiling Springs | 2011-04-21 / 20110092625 - THERMOPLASTIC POLYMER COMPOSITION | 1 |
Stephen A. Hanssen | US | Austin | 2011-11-10 / 20110276820 - Cross Controller Clock Synchronization | 1 |
Matthew Paul Hanssen | US | Wake Forest | 2008-08-28 / 20080201905 - Magnetic Hinge Mechanism for Wireless Communication Devices | 1 |
Jeanne M. Hanssen | US | Sarasota | 2012-07-26 / 20120186121 - WRISTBAND WITH REMOVABLE INDICIA | 1 |
Ingar Hanssen | NO | Trondheim | 2016-05-05 / 20160124879 - SYSTEM INTERNAL LATENCY MEASUREMENTS IN REALTIME APPLICATIONS | 11 |
Robbie Willem Hanssen | US | Boiling Springs | 2013-10-31 / 20130289176 - Additive Composition and Thermoplastic Compositions Comprising The Same | 1 |
Robbie Willem Johan M. Hanssen | US | Bolling Springs | 2011-05-05 / 20110105664 - THERMOPLASTIC POLYMER COMPOSITION | 1 |
Hubert Joseph Frans Hanssen | NL | Badhoevedorp | 2015-07-09 / 20150191297 - CONTAINER FOR LIQUIDS | 1 |
Ingar Hanssen | NO | Tiller | 2015-01-29 / 20150028898 - MEASURING POWER CONSUMPTION OF CIRUIT COMPONENT OPERATING IN RUN MODE | 3 |
Steven A. Hanssen | US | San Jose | 2016-02-25 / 20160054527 - LED PULL TABS FOR PLUGGABLE TRANSCEIVER MODULES AND ADAPTOR MODULES | 5 |
Greg Hanssen | US | Irvine | 2013-05-16 / 20130119935 - MULTIPLEXER AND SWITCH-BASED ELECTROCHEMICAL CELL MONITOR AND MANAGEMENT SYSTEM AND METHOD | 3 |
Jan E. Hanssen | US | Sunnyvale | 2012-06-21 / 20120159311 - Method and Apparatus for Receiving Display Limited Web Page Content | 1 |
Jesper Hanssen | SE | Uppsala | 2015-05-14 / 20150133618 - SEPARATION METHOD AND SEPARATION MATRIX | 1 |
Kenth Hanssen | SE | Torslanda | 2015-11-05 / 20150313801 - DETECTION OF CARIOUS DENTIN TISSUE AND REMOVAL THEREOF BY MEANS OF A DENTAL INSTRUMENT | 1 |
Ingar Hanssen | NO | Trondheim | 2016-05-05 / 20160124879 - SYSTEM INTERNAL LATENCY MEASUREMENTS IN REALTIME APPLICATIONS | 11 |
Robbie Willem J.m. Hanssen | BE | Gent | 2010-10-21 / 20100267870 - ADDITIVE COMPOSITION AND THERMOPLASTIC COMPOSITIONS COMPRISING THE SAME | 1 |
Peter Hanssen | NO | Bergen | 2011-04-14 / 20110085420 - METHOD OF AND APPARATUS FOR EXPLORING A REGION BELOW A SURFACE OF THE EARTH | 2 |
Arlen D. Hanssen | US | Rochester | 2016-03-03 / 20160058560 - TIBIAL AUGMENTS FOR USE WITH KNEE JOINT PROSTHESES, METHOD OF IMPLANTING THE TIBIAL AUGMENT, AND ASSOCIATED TOOLS | 13 |
Nils Hanssen | DE | Bonn | 2015-05-14 / 20150132716 - METHOD FOR CREATING A VIRTUAL JAW IMAGE | 4 |
Brad Hanssen | US | Pace | / - | 1 |
Johannes Hendrikus Leonardus Hanssen | NL | Erlecom | 2015-03-12 / 20150068028 - PROCESS FOR MAKING BIOSENSOR | 4 |
Adalbert Hanssen | DE | Aalen | 2016-02-25 / 20160054196 - METHOD AND SYSTEM FOR DETERMINING THE SPATIAL STRUCTURE OF AN OBJECT | 3 |
James L. Hanssen | US | Silver Spring | 2008-12-04 / 20080296483 - MAGNETO-OPTICAL TRAP ION SOURCE | 1 |
Joseph A. Hanssen | US | Sarasota | 2012-07-26 / 20120186121 - WRISTBAND WITH REMOVABLE INDICIA | 1 |
Robert Gerard Jules Marie Hanssen | NL | Oss | 2011-05-19 / 20110118353 - METHOD OF HORMONE SUPPRESSION IN HUMANS | 1 |
Rob Hanssen | BE | Sint Lievenslaan | 2009-02-26 / 20090054598 - BLENDED NUCLEATING AGENT COMPOSITIONS AND METHODS | 1 |
Hans Hanssen | NL | Jl Erlecom | 2011-08-11 / 20110196410 - SELF-ACTIVATING ENDOLUMINAL DEVICE | 1 |
Sophie Hanssens | BE | Chastre | 2014-10-16 / 20140308229 - Aminofunctional Silicone Emulsions For Fiber Treatments | 6 |
Dominique Hanssens | US | 2015-12-10 / 20150356572 - AUTOMATICALLY PRESCRIBING TOTAL BUDGET FOR MARKETING AND SALES RESOURCES AND ALLOCATION ACROSS SPENDING CATEGORIES | 1 | |
Patrick Hanssens | BE | Gavere | 2011-12-22 / 20110308677 - Vehicle Tyre | 1 |
Dominique Hanssens | US | Santa Monica | 2013-12-12 / 20130332223 - AUTOMATED SPECIFICATION, ESTIMATION, DISCOVERY OF CAUSAL DRIVERS AND MARKET RESPONSE ELASTICITIES OR LIFT FACTORS | 2 |
Dominique Hanssens | US | Los Angeles | 2015-12-10 / 20150356598 - AUTOMATICALLY PRESCRIBING TOTAL BUDGET FOR MARKETING AND SALES RESOURCES AND ALLOCATION ACROSS SPENDING CATEGORIES | 11 |
Friedrich Hansske | DE | Weinheim | 2008-12-25 / 20080318942 - Fredericamycin Derivatives | 1 |
Marcus Hansson | SE | Goteborg | 2012-09-27 / 20120241509 - COLLAPSIBLE CARDBOARD BOX | 1 |
Johan Hansson | SE | Stora Skedvi | 2013-05-02 / 20130105431 - SHOCK-ABSORBING COUPLER HEAD FOR A COUPLING ARRANGEMENT | 1 |
Mattias Hansson | SE | Malmoe | 2015-09-03 / 20150247123 - Generation of pancreatic endoderm from Pluripotent Stem cells using small molecules | 1 |
Henrik Hansson | SE | Vreta Kloster | 2015-10-29 / 20150305788 - PROSTHESIS MEMBER OF A JOINT PROSTHESIS FOR A THUMB JOINT AND JOINT PROSTHESIS | 10 |
Roy Hansson | SE | Goteborg | 2014-11-27 / 20140345034 - GARMENT COMPRISING CHASSIS AND BELT | 1 |
Nils Peter Joachim Hansson | US | Monroe | 2014-12-18 / 20140372615 - WORKLOAD AND DEFECT MANAGEMENT SYSTEMS AND METHODS | 10 |
Stig Hansson | SE | Askim | 2015-04-09 / 20150099240 - SET OF FIXTURES, AN IMPLANTATION SYSTEM AND A METHOD OF SELECTING A FIXTURE FROM A SET OF FIXTURES | 10 |
Magnus Hansson | SE | Stockholm | 2015-05-21 / 20150141148 - CONTROLLING A USER INTERFACE OF A COMPUTER DEVICE | 3 |
Jörgen Hansson | SE | Hagersten | 2013-02-07 / 20130035837 - MODULE AND A METHOD PERTAINING TO MODE CHOICE WHEN DETERMING VEHICLE SPEED SET-POINT VALUES | 7 |
Jörgen Hansson | SE | Hagersten | 2013-02-07 / 20130035837 - MODULE AND A METHOD PERTAINING TO MODE CHOICE WHEN DETERMING VEHICLE SPEED SET-POINT VALUES | 1 |
Mats O. Hansson | SE | Uppsala | 2012-11-08 / 20120279034 - CHROMATOGRAPHY COLUMN AND MAINTENANCE METHOD | 2 |
Andreas Hansson | SE | Stockholm | 2011-08-18 / 20110201355 - Method and Device for Location-Based Call Management | 1 |
Nils Hansson | DE | Elbingerode | 2009-11-19 / 20090286146 - Pole Bridge for a Battery | 1 |
Charlotta Hansson | SE | Goteborg | 2010-02-18 / 20100042062 - ABSORBENT ARTICLE CONTAINING A BREATHABLE MATERIAL LAYER | 2 |
Christian Hansson | US | Port Orchard | 2013-11-28 / 20130317926 - SYSTEM AND METHOD FOR COMMUNICATING PURCHASES RELATED TO A GIFT CARD CREDIT | 1 |
Lars Olof A Hansson | SE | Stocksund | 2013-06-27 / 20130164776 - METHOD USED IN A HUMAN OR ANIMAL FAECES SAMPLE PROCESSING SYSTEM, AND A SAMPLE PROCESSING SYSTEM | 1 |
Magnus Hansson | CA | Waterloo | 2012-06-07 / 20120144072 - AUDIO JACK CONFIGURATOR FOR A PORTABLE ELECTRONIC DEVICE | 3 |
Hans-Arne Hansson | SE | Hovás | 2012-11-08 / 20120283191 - Approach To Treat Intraocular Hypertension | 1 |
Anders Hansson | SE | Klagerup | 2016-05-12 / 20160134983 - METHOD AND SYSTEM FOR AUDIO CALIBRATION OF AN AUDIO DEVICE | 14 |
Gustaf Hansson | SE | Lund | 2014-10-02 / 20140292526 - MOBILE DEVICE ARRANGED WITH A SAFETY APPLICATION AND METHOD FOR INITIATING AN ALARM FOR USE IN THE DEVICE | 1 |
Lars Hansson | SE | Karlskoga | 2014-07-03 / 20140187789 - NEW PROCESSES FOR PREPARING 4-SUBSTITUTED IMIDAZOLES | 1 |
Daniel Hansson | SE | Lund | 2014-01-09 / 20140013307 - METHOD AND APPARATUS FOR AUTOMATIC DIAGNOSIS OF SOFTWARE FAILURES | 2 |
Kenny M. Hansson | SE | Molndal | 2013-10-24 / 20130280236 - FACTOR II AND FIBRINOGEN FOR TREATMENT OF HAEMOSTATIC DISORDERS | 1 |
Leif Hansson | SE | Malmo | 2015-10-15 / 20150289558 - METHOD AND APPARATUS FOR INTRODUCING ADDITIVES TO SMOKELESS TOBACCO PRODUCTS | 2 |
Per Hansson | SE | Linhamn | 2013-12-19 / 20130338560 - METHOD AND APPARATUS FOR DETERMINING ACCESS FLOW | 1 |
Bjorn Hansson | SE | Sollentuna | 2010-06-17 / 20100149113 - PROXIMITY SENSOR DEVICE, ELECTRONIC APPARATUS AND METHOD OF SENSING OBJECT PROXIMITY | 3 |
Jörgen Hansson | SE | Halmstad | 2010-07-08 / 20100174444 - REMOTE DIAGNOSIS MODELLING | 1 |
Martin Hansson | SE | Vanersborg | 2013-07-04 / 20130170314 - MIXING APPARATUS FOR PUMPABLE MIXTURES AND METHOD RELATED THERETO | 1 |
Anders S. Hansson | SE | Lyckeby | 2009-11-05 / 20090272561 - PROTECTIVE CASING FOR A HIGH VOLTAGE CABLE | 1 |
Mattias Hansson | SE | Bromma | 2011-10-06 / 20110244854 - METHOD, CALL SETUP DEVICE AND COMPUTER PRODUCT FOR CONTROLLING AND SETTING UP CALLS WITH REDUCED COSTS | 1 |
Bjorn A. M. Hansson | SE | Bromma | 2012-08-02 / 20120193547 - LASER PRODUCED PLASMA EUV LIGHT SOURCE | 1 |
Emil Hansson | SE | Lund | 2016-05-19 / 20160139777 - SCREENSHOT BASED INDICATION OF SUPPLEMENTAL INFORMATION | 8 |
Henri Hansson | SE | Helsingborg | 2014-08-28 / 20140242171 - OIL-IN-WATER EMULSION OF MOMETASONE AND PROPYLENE GLYCOL | 9 |
Ulf Hansson | US | Pennington | 2010-02-18 / 20100042557 - TECHNIQUES FOR LOCATING AND OPERATING GASIFICATION PLANT HAVING PREDOMINATELY SCRAP TIRE RUBBER AS FEEDSTOCK | 1 |
Johan Hansson | SE | Lund | 2011-06-09 / 20110136843 - Novel Combination of Compounds to be Used in the Treatment of Airway Diseases, Especially Chronic Obstructive Pulmonary Disease (COPD) and Asthma | 4 |
Bo Hansson | LU | Mersch | 2014-05-15 / 20140132416 - DEVICE AND SYSTEM FOR GAS LEAKAGE DETECTION AND ALARM | 1 |
George Hansson | SE | Velinge | 2011-02-17 / 20110038804 - MR IMAGING AGENT, IMAGING MEDIUM AND METHODS OF IMAGING WHEREIN SUCH AN IMAGING MEDIUM IS USED | 1 |
Andreas Hansson | CN | Shanghai | 2010-07-15 / 20100178932 - Device and Method for AGPS High Accuracy Positioning in Unknown Cell Areas | 1 |
Niklas Hansson | SE | Sollentuna | 2013-10-31 / 20130283561 - Vacuum Cleaner with Retractable Auxiliary Suction Hose | 1 |
Jonas Hansson | SE | Osby | 2009-10-22 / 20090260402 - Monitoring apparatus | 1 |
Bjorn Hansson | SE | Bromma | 2010-02-04 / 20100024980 - Laser produced plasma euv light source | 1 |
Torbjörn Hansson | SE | Vallentuna | 2009-11-12 / 20090277598 - Nut Cracker | 1 |
Torbjörn Hansson | SE | Vallentuna | 2009-11-12 / 20090277598 - Nut Cracker | 2 |
Tommy Hansson | SE | Goteborg | 2011-05-26 / 20110124980 - METHOD AND A DEVICE FOR EXAMINATION OF PHYSICAL MAGNITUDE IN HUMANS OR ANIMALS IN AN OBJECT FILLED WITH LIQUID OR GAS | 1 |
Mark G. Hansson | US | Saint Peterburg | 2009-04-16 / 20090095845 - Cargo Parachute Release Apparatus, System and Method | 2 |
Per Hansson | SE | Limhamn | 2008-08-28 / 20080208102 - CIRCUIT FOR EXTRACORPOREAL BLOOD TREATMENT AND FLOW-INVERTING DEVICE THEREIN | 1 |
Morgan Hansson | SE | Göteborg | 2009-08-06 / 20090194218 - Method for Manufacturing an Absorbent Article | 1 |
Mattias Hansson | SE | Goeteborg | 2011-07-14 / 20110168469 - TORQUE-FILL DURING GEAR CHANGE ON MANUAL TRANSMISSIONS WITH HYBRID VEHICLES AND VEHICLES WITH DUAL PROPULSION SOURCES | 1 |
Birger Hansson | SE | Akarp | 2013-01-03 / 20130000502 - VALVE FOR FOUNTAIN SOLUTION | 4 |
Mark G. Hansson | US | Saint Petersburg | 2011-07-14 / 20110168847 - Cargo Parachute Release Apparatus, System and Method | 2 |
Martin Hansson | DK | Braedstrup | 2012-07-19 / 20120183403 - Wind turbine blade bearing | 1 |
Hans-Erik Hansson | SE | Finspang | 2015-08-27 / 20150240718 - METHOD AND PLANT FOR TRANSFERRING ENERGY FROM BIOMASS RAW MATERIAL TO AT LEAST ONE ENERGY USER | 4 |
Jörgen Hansson | SE | Halmstad | 2010-07-08 / 20100174444 - REMOTE DIAGNOSIS MODELLING | 1 |
Håkan Hansson | SE | Staffanstorp | 2012-10-25 / 20120270012 - OPENING DEVICE AND METHOD FOR MANUFACTURING THE SAME, AND A PACKAGING CONTAINER PROVIDED WITH SAID OPENING DEVICE | 1 |
Anders Hansson | SE | Stockholm | 2016-02-25 / 20160056632 - POWER SUPPLY APPARATUS WITH CONTROLLABLE MULTIPLE INPUT RECTIFICATION | 1 |
Martin Hansson | SE | Gavle | 2008-12-04 / 20080299366 - CEMENTED CARBIDE INSERT | 1 |
Fredrik Hansson | SE | Uddevalla | 2010-04-15 / 20100089721 - UNIT FOR GROUPING PACKAGES ALONG A TRANSFER PATH | 2 |
Mattias Hansson | SE | Goteborg | 2015-11-12 / 20150321658 - METHOD FOR ENGINE STARTING IN A HYBRID VEHICLE | 3 |
Peder Hansson | NO | Jar | 2010-01-07 / 20100000868 - METHOD FOR FABRICATING A SHEET-SHAPED ELECTRODE AND A SHEET-SHAPED ELECTRODE FOR AN ELECTROSTATIC COALESCING DEVICE | 4 |
Elisabeth Hansson | SE | Linkoping | 2015-05-28 / 20150148042 - METHODS, USER EQUIPMENT AND BASE STATION FOR SUPPORTING UPDATE OF NEIGHBOUR CELL RELATIONS IN A CELLULAR COMMUNICATIONS NETWORK | 3 |
Nils Peter Joachim Hansson | US | Monroe | 2014-12-18 / 20140372615 - WORKLOAD AND DEFECT MANAGEMENT SYSTEMS AND METHODS | 10 |
Andreas Hansson | GB | Cambridge | 2016-02-04 / 20160034406 - MEMORY CONTROLLER AND METHOD FOR CONTROLLING A MEMORY DEVICE TO PROCESS ACCESS REQUESTS ISSUED BY AT LEAST ONE MASTER DEVICE | 2 |
Robin Hansson | SE | Huskvarna | 2013-11-21 / 20130307249 - LINKAGE ARRANGEMENT | 1 |
Anders Hansson | SE | Gislaved | 2010-05-27 / 20100130679 - METHOD FOR PRODUCING AQUEOUS VINYL ESTER DISPERSIONS | 1 |
Freddie Hansson | SE | Kungälv | 2010-07-08 / 20100170419 - SILICA-BASED SOLS | 1 |
Othar Hansson | US | Sunnyvale | 2015-11-12 / 20150324378 - FRAMEWORK FOR SELECTING AND PRESENTING ANSWER BOXES RELEVANT TO USER INPUT AS QUERY SUGGESTIONS | 13 |
Hans Hansson | SE | Savedalen | 2012-08-30 / 20120221153 - METHOD AND A SYSTEM FOR ESTABLISHING AND EXECUTING CORRECT AUTOMATIC RELUBRICATION FOR A NUMBER OF BEARINGS | 1 |
Krister Hansson | SE | Trelleborg | 2015-06-11 / 20150158328 - PROCESS FOR THE MANUFACTURING OF SURFACE ELEMENTS | 6 |
Tomas Hansson | SE | Huddinge | 2012-08-30 / 20120220590 - NOVEL COMPOUNDS AS MODULATORS OF GLUCOCORTICOID RECEPTORS | 1 |
Anders Hansson | SE | Lyckeby | 2012-04-26 / 20120097446 - Joint For A Submarine Cable | 2 |
Bjorn A. M. Hansson | SE | Stockholm | 2011-04-07 / 20110079736 - Laser produced plasma EUV light source | 1 |
Gunnar Christer Hansson | SE | Stockholm | 2011-01-06 / 20110000694 - TORQUE SENSING UNIT FOR A POWER TOOL AND A POWER TOOL COMPRISING SUCH A TORQUE SENSING UNIT | 3 |
Olof Hansson | SE | Stockholm | 2016-01-28 / 20160026892 - PAPER SHEET OR PRESENTATION BOARD SUCH AS WHITE BOARD WITH MARKERS FOR ASSISTING PROCESSING BY DIGITAL CAMERAS | 2 |
Per-Ragnar Hansson | SE | Stockholm | 2009-12-31 / 20090322699 - MULTIPLE INPUT DETECTION FOR RESISTIVE TOUCH PANEL | 7 |
Freddie Hansson | SE | Kungalv | 2010-09-23 / 20100236738 - SILICA-BASED SOLS AND THEIR PRODUCTION AND USE | 2 |
Mattias Hansson | SE | Stockholm | 2009-07-30 / 20090191878 - Handover for a portable communication device between wireless local and wide area networks | 1 |
Goran K. Hansson | SE | Stockholm | 2014-10-02 / 20140296567 - 3-HYDROXYANTHRANILIC ACID (3-HAA) THERAPY FOR PREVENTION AND TREATMENT OF HYPERLIPIDEMIA AND ITS CARDIOVASULAR COMPLICATIONS | 5 |
Henrik Hansson | SE | Vreta Kloster | 2015-10-29 / 20150305788 - PROSTHESIS MEMBER OF A JOINT PROSTHESIS FOR A THUMB JOINT AND JOINT PROSTHESIS | 10 |
Morgan Hansson | SE | Göteborg | 2009-08-06 / 20090194218 - Method for Manufacturing an Absorbent Article | 3 |
Orjan Hansson | SE | Goteborg | 2009-05-28 / 20090134592 - STABILISER BAR FOR A VEHICLE | 1 |
Henrik Hansson | SE | Eriksberg | 2009-12-10 / 20090306726 - DEVICE FOR FIXATION OF A BONE FRACTURE | 1 |
Per Magnus Hansson | CA | Waterloo | 2009-03-05 / 20090061944 - METHOD AND APPARATUS ALLOWING FOR USER-SELECTABLE ACOUSTIC EQUALIZER SETTINGS FOR VOICE CALLS | 1 |
Tomas Fredrik Hansson | SE | Tumba | 2009-12-24 / 20090318514 - Novel Pharmaceutical Compositions | 3 |
Magnus Joakim Hansson | SE | Lund | 2015-09-17 / 20150259317 - PROTECTED SUCCINATES FOR ENHANCING MITOCHONDRIAL ATP-PRODUCTION | 1 |
Hans Hansson | SE | Solna | / - | 1 |
Leif Anders Hansson | SE | Malmo | 2012-05-03 / 20120103349 - Method and Apparatus for Production of Smokeless Tobacco Products | 1 |
Johan Hansson | SE | Skovde | 2009-10-22 / 20090261819 - SENSOR ARRANGEMENT | 1 |
Jonny Hansson | SE | Malmo | 2014-09-11 / 20140252151 - GYRATORY CRUSHER OUTER CRUSHING SHELL | 1 |
Magnus Hansson | SE | Landskrona | 2015-09-10 / 20150253306 - MITOCHONDRIAL TOXICITY TEST | 1 |
Erik Hansson | SE | Lund | 2015-11-05 / 20150318794 - AUXILIARY RESONANT COMMUTATED POLE CONVERTER WITH VOLTAGE BALANCING CIRCUIT | 2 |
Jan I Hansson | US | West Hartford | 2016-02-04 / 20160033100 - Light-Emitting Diode Input For Hybrid Solar Lighting Systems | 1 |
Anders Hansson | SE | Goteborg | 2015-10-29 / 20150312865 - UPLINK TRANSMISSION POWER AND BIT RATE CONTROL | 5 |
Kent Hansson | SE | Bara | 2010-09-02 / 20100219836 - METHOD AND ARRANGEMENT FOR DETERMINING ENERGY SOURCE UNIT STATUS | 1 |
Niklas K. Hansson | SE | Goteborg | 2010-08-12 / 20100204936 - Probing Electrode/Solution Interfaces | 1 |
Emil Hansson | SE | Malmo | 2016-04-28 / 20160117143 - CONTEXT-SENSITIVE MEDIA CLASSIFICATION | 4 |
Thomas Fredrik Hansson | SE | Huddinge | 2010-01-07 / 20100004271 - HETEROCYCLIC COMPOUNDS AS AGANIST FOR THE THYROID RECEPTOR | 1 |
Stefan Hansson | SE | Lomma | 2014-03-06 / 20140065170 - DIAGNOSIS AND TREATMENT OF PREECLAMPSIA | 3 |
Gert Hansson | SE | Hoor | 2010-12-02 / 20100300040 - STRAW APPLICATOR | 1 |
Peter A. Hansson | SE | Goteborg | 2011-05-05 / 20110101806 - Safety Device for a Linear Actuator and a Linear Actuator | 1 |
Krister Hansson | SE | Vellinge | 2016-05-19 / 20160136963 - PROCESS FOR THE MANUFACTURING OF SURFACE ELEMENTS | 2 |
Per Hansson | SE | Akarp | 2014-03-06 / 20140061132 - METHOD TO TREAT BLOOD BY CONTROLLING BLOOD PUMPS WITH FLUID PUMPS | 6 |
Niklas Hansson | SE | Horby | 2016-05-12 / 20160134983 - METHOD AND SYSTEM FOR AUDIO CALIBRATION OF AN AUDIO DEVICE | 4 |
Andreas Hansson | SE | Karlskrona | 2013-11-14 / 20130303200 - Location Server Method for Communicating Location Information | 1 |
Lars Hansson | SE | Goteborg | 2011-03-03 / 20110053833 - SYNTHETIC PEPTIDES AND THEIR USE | 1 |
Guy Robert Hansson | GB | Essex | 2009-01-15 / 20090014075 - Dispenser Tap with Two Stage Valve | 1 |
Jonas Hansson | SE | Furulund | 2014-12-04 / 20140354004 - WEAR RESISTANT LINING ELEMENT FOR EDGE PROTECTION AND METHOD FOR MANUFACTURING THE SAME | 2 |
Torbjorn Hansson | SE | Molndal | 2013-09-12 / 20130238384 - BUSINESS MANAGEMENT SYSTEM | 1 |
Magnus Hansson | SE | Bramhult | 2010-03-18 / 20100068984 - Ventilation System and Method | 1 |
Per Hansson | SE | Goteborg | 2013-02-21 / 20130042835 - VEB EXCENTER RESET | 1 |
Roy Hansson | SE | Molndal | 2014-07-24 / 20140202907 - PACK MODULE COMPRISING ABOSRBENT ARTICLES AND AT LEAST ONE BELT FUNCTIONING AS GRIPPING DEVICE | 3 |
Mark Hansson | US | St. Petersburg | 2011-07-28 / 20110180165 - Non-Magnetic Latching Servo Actuated Valve | 1 |
Mikael Hansson | GB | Cwmbran | 2015-02-19 / 20150047929 - BRAKE SYSTEM AND METHOD OF ADJUSTING A BRAKE | 1 |
Anton Hansson | SE | Stromstad | 2013-05-02 / 20130110457 - METHOD FOR DIRECTION CHANGES IDENTIFICATION AND TRACKING | 1 |
Per-Ove Hansson | US | San Jose | 2008-10-30 / 20080268617 - METHODS FOR SUBSTRATE SURFACE CLEANING SUITABLE FOR FABRICATING SILICON-ON-INSULATOR STRUCTURES | 1 |
Stig Hansson | US | 2013-10-17 / 20130273499 - SET OF FIXTURES, AN IMPLANTATION SYSTEM AND A METHOD OF SELECTING A FIXTURE FROM A SET OF FIXTURES | 1 | |
Emil Hansson | SE | Lund | 2016-05-19 / 20160139777 - SCREENSHOT BASED INDICATION OF SUPPLEMENTAL INFORMATION | 8 |
John Hansson | US | Brooklyn | 2009-02-26 / 20090052850 - Micro-well plates and methods of fabricating and selectively blackening the same | 1 |
Fredrik Hansson | SE | Helsingborg | 2009-12-24 / 20090314298 - HEADPIECE FOR USE IN ASSOCIATION WITH A HEARING CAP | 1 |
Othar Hansson | US | Sunnyvale | 2015-11-12 / 20150324378 - FRAMEWORK FOR SELECTING AND PRESENTING ANSWER BOXES RELEVANT TO USER INPUT AS QUERY SUGGESTIONS | 13 |
Erik Hansson | SE | Uppsala | 2013-11-07 / 20130296718 - TUBE AND SENSOR GUIDE WIRE COMPRISING TUBE | 5 |
Emil Hansson | JP | Tokyo | 2009-01-15 / 20090019398 - SYSTEM AND METHOD FOR GENERATING A THUMBNAIL IMAGE FOR AN AUDIOVISUAL FILE | 3 |
Markus Hansson | SE | Eslov | 2015-12-10 / 20150352207 - USE OF ANTIBODIES AGAINST ICAM-1 IN COMBINATION WITH AN ANTI CANCER DRUG IN THE TREATMENT OF PATIENTS | 3 |
Per Hansson | SE | Gavle | 2015-04-16 / 20150104267 - Guide pad and a cutter head for a cutting tool | 4 |
Georg Hansson | SE | Velinge | 2014-11-06 / 20140328766 - COMPOSITION AND METHOD FOR GENERATING A METABOLIC PROFILE USING 13C-MR DETECTION | 3 |
Hans-Arne Hansson | SE | Hovas | 2016-02-04 / 20160030515 - Use of Antisecretory Factors (AF) for Optimizing Cellular Uptake | 7 |
Kjell Hansson | SE | Rimbo | 2015-11-26 / 20150341243 - SYSTEM FOR ESTABLISHING AND MAINTAINING A CLOCK REFERENCE INDICATING ONE-WAY LATENCY IN A DATA NETWORK | 7 |
Rikard Hansson | SE | Eslov | 2016-01-07 / 20160003399 - PULSATION DAMPER SUITABLE FOR HYGIENIC PROCESSING LINES | 5 |
Thomas Hansson | SE | Lund | 2015-03-19 / 20150080434 - PHENYL AND BENZODIOXINYL SUBSTITUTED INDAZOLES DERIVATIVES | 12 |
Andreas Hansson | NL | Veldhoven | 2011-02-03 / 20110029706 - ELECTRONIC DEVICE AND METHOD FOR CONTROLLING AN ELECTRONIC DEVICE | 1 |
Stig Hansson | SE | Askim | 2015-04-09 / 20150099240 - SET OF FIXTURES, AN IMPLANTATION SYSTEM AND A METHOD OF SELECTING A FIXTURE FROM A SET OF FIXTURES | 10 |
Mikael Hansson | SE | Kavlinge | 2016-02-18 / 20160050366 - PANORAMIC VIDEO | 3 |
Mikael Hansson | SE | Trelleborg | 2013-07-04 / 20130169397 - POWER SUPPLY APPARATUS FOR A CAPACITIVE LOAD | 3 |
Lars O. Hansson | SE | Karlskoga | 2014-07-24 / 20140206761 - PROCESS FOR PREPARING HYDROXYLAMINES AND MEDICAMENTS | 3 |
Jonas Hansson | SE | Malmo | 2013-08-01 / 20130193680 - HOSE CONNNECTION | 2 |
Guy Hansson | GB | Essex | 2010-08-26 / 20100213219 - APPARATUS FOR DISPENSING A METERED QUANTITY OF CARBONATED BEVERAGE | 1 |
Nils P. Hansson | US | Kirkland | 2011-11-24 / 20110289205 - Migrating Virtual Machines Among Networked Servers Upon Detection Of Degrading Network Link Operation | 2 |
Goran Hansson | SE | Stockholm | 2012-10-04 / 20120251513 - Antigen Specific Tolerogenic Antigen Presenting Cells and Related Uses Compositions, Methods and Systems | 2 |
Henri Hansson | SE | Helsingborg | 2014-08-28 / 20140242171 - OIL-IN-WATER EMULSION OF MOMETASONE AND PROPYLENE GLYCOL | 9 |
Maria Hansson | US | Ventura | 2013-01-17 / 20130015690 - CHILD SEATAANM Mendis; KolitaAACI RedmondAAST WAAACO USAAGP Mendis; Kolita Redmond WA USAANM Wessman; BjornAACI Thousand OaksAAST CAAACO USAAGP Wessman; Bjorn Thousand Oaks CA USAANM Johnston; RobertAACI VancouverAACO CAAAGP Johnston; Robert Vancouver CAAANM Zinn; AndreasAACI Newbury ParkAAST CAAACO USAAGP Zinn; Andreas Newbury Park CA USAANM Abele; LarryAACI CamarilloAAST CAAACO USAAGP Abele; Larry Camarillo CA USAANM Hansson; MariaAACI VenturaAAST CAAACO USAAGP Hansson; Maria Ventura CA USAANM Jakobsson; LottaAACI GraboAACO SEAAGP Jakobsson; Lotta Grabo SEAANM Broberg; ThomasAACI GrabeAACO SEAAGP Broberg; Thomas Grabe SEAANM Guse; ChristianAACI TorslandaAACO SEAAGP Guse; Christian Torslanda SEAANM Berge; StefanAACI Vastra FrolundaAACO SEAAGP Berge; Stefan Vastra Frolunda SE | 1 |
Dennis Hansson | SE | Gunnilse | 2015-11-26 / 20150335780 - SILICONE FILM | 7 |
Georg Hansson | SE | Vellinge | 2011-10-06 / 20110243855 - METHOD AND IMAGING MEDIUM FOR USE IN THE METHOD | 2 |
Per Magnus Fredrik Hansson | CA | Waterloo | 2014-08-28 / 20140241535 - APPARATUS, SYSTEMS AND METHODS FOR DETECTING INSERTION OR REMOVAL OF AN AUDIO ACCESSORY FROM AN ELECTRONIC DEVICE | 7 |
Jon Hansson | SE | Kristianstad | 2010-01-14 / 20100007750 - VIDEO OVER ETHERNET | 1 |
Nils P. Hansson | US | Monroe | 2013-06-06 / 20130144434 - Managing Waste Water Discharge Of A Computing System | 7 |
Fredrik Hansteen | NL | Groesbeek | 2012-10-11 / 20120257483 - Magneto-Optical Switching Device And Method For Switching A Magnetizable Medium | 2 |
Fredrik Hansteen | NL | Leiden | 2010-12-09 / 20100312480 - METHOD FOR MONITORING FLUID FLOW IN A MULTI-LAYERED SYSTEM | 2 |
Ole Henrik Hansteen | NO | Oslo | 2013-12-19 / 20130337493 - QUALITY CONTRL DEVICES AND METHODS FOR RADIOPHARMACEUTICALS | 1 |
Tilman Hanstein | DE | Cologne | 2009-10-01 / 20090243616 - MULTI-COMPONENT MARINE ELECTROMAGNETIC SIGNAL ACQUISITION METHOD | 1 |
Christopher E. Hansten | US | San Francisco | 2013-09-26 / 20130253911 - Real-time Data Localization | 1 |
Sean Hanstke | CA | Woodbridge | 2014-07-10 / 20140193798 - CYCLIC IMPLANT PERFUSION, CLEANING AND PASSIVATION PROCESS AND IMPLANT PRODUCED THEREBY | 3 |
Basil M. Hantash | US | East Palo Alto | 2016-05-12 / 20160130556 - ENHANCED DIFFERENTIATION OF MESENCHYMAL STEM CELLS | 27 |
Feras Hantash | US | Dana Point | 2012-04-19 / 20120094846 - METHOD FOR DETECTING CYSTIC FIBROSIS | 1 |
Thaer Yousef Hantash | IL | Ramallah | 2012-07-12 / 20120177757 - Natural Preparation for Treatment of Skin Sores and Lesions | 1 |
Feras M. Hantash | US | Mission Viejo | / - | 1 |
Feras Hantash | US | Mission Viejo | 2013-05-09 / 20130115595 - METHOD TO DETECT REPEAT SEQUENCE MOTIFS IN NUCLEIC ACID | 2 |
Feras Hantash | US | San Juan Capistrano | 2015-12-03 / 20150344948 - UNIVERSAL SANGER SEQUENCING FROM NEXT-GEN SEQUENCING AMPLICONS | 1 |
Basil M. Hantash | US | E. Palo Alto | 2008-10-23 / 20080261305 - TGF-beta-MEDIATED OSTEOGENIC DIFFERENTIATION OF MESENCHYMAL STEM CELLS | 1 |
Feras M. Hantash | US | Dana Point | 2009-12-10 / 20090305249 - METHOD OF DETECTING CYP2A6 GENE VARIANTS | 2 |
Mark R. Hantel | US | Medina | 2016-02-04 / 20160036602 - Internet Protocol Addressing of Devices Employing the Network Ring Topology | 1 |
Ulrich Hantel | DE | Berlin | 2013-05-30 / 20130135416 - ARRANGEMENT TO PRINT TO STRIP-LIKE PRINT MEDIA | 4 |
Barbara Hantel | DE | Elmshorn | 2012-10-11 / 20120256032 - Self-Locking Belt Retractor | 2 |
Burkhard Hantel | DE | Schwepnitz | 2014-11-20 / 20140341783 - OPTIMIZED INTRODUCTION OF THE STARTING MATERIALS FOR A PROCESS FOR PREPARING AROMATIC AMINES BY HYDROGENATION OF NITROAROMATICS | 2 |
Stephen P. Hanten | US | Minneapolis | 2015-08-20 / 20150235186 - ACH PAYMENT PROCESSING | 2 |
Jürgen Hanten | DE | Bad Nauheim | 2012-06-07 / 20120142261 - CLIPPING MACHINE AND METHOD FOR CONTROLLING SAID CLIPPING MACHINE | 2 |
Jurgen Hanten | DE | Bad Nauheim | 2014-07-24 / 20140206515 - BONDING STATION FOR TWO DIFFERENT BONDING PROCESSES | 11 |
Jurgen Hanten | DE | Bad Nauheim | 2014-07-24 / 20140206515 - BONDING STATION FOR TWO DIFFERENT BONDING PROCESSES | 11 |
Michael J. Hanten | US | Delano | 2015-02-19 / 20150047917 - SIDE-BY-SIDE VEHICLE | 3 |
Jason J. Hanthorn | US | Eastlake | 2014-07-24 / 20140206585 - Substituted Diarylamines and Use of Same as Antioxidants | 1 |
Philip T. Hanthorn | US | Sonora | 2011-06-09 / 20110132690 - Mechanical energy storage system | 1 |
Douglas E. Hanthorn | US | Raleigh | 2014-02-27 / 20140055286 - CONTROL OF TRAFFIC SIGNAL DUE TO OBSTRUCTED VIEW | 2 |
Ulrich Hantke | DE | St. Ingbert | 2012-04-05 / 20120083384 - PLANETARY GEARBOX HAVING NESTED PLANETARY GEAR STAGES | 1 |
Sabine S. Hantke | DE | Cologne | 2014-05-08 / 20140130206 - POLYNUCLEOTIDES ENCODING PROTEINS INVOLVED IN PLANT METABOLISM | 5 |
Alexander Hantke | DE | Vaihingen | 2009-01-08 / 20090008482 - Fuel injector | 1 |
Sabine Hantke | DE | Koeln | 2010-12-23 / 20100325752 - Cytokinin Oxidase Promoter from Maize | 1 |
Reimar Hantke | DE | Herrsching | 2009-09-03 / 20090222440 - SEARCH ENGINE FOR CARRYING OUT A LOCATION-DEPENDENT SEARCH | 1 |
Richard Joseph Hantke | US | Alpharetta | 2015-04-16 / 20150105744 - VISUALLY-COORDINATED ABSORBENT PRODUCT | 1 |
Richard Hantke | US | Chicago | 2012-06-07 / 20120143027 - FIELD EFFECT TRANSISTORS FOR DETECTION OF NOSOCOMIAL INFECTION | 5 |
Thomas Hantke | DE | Mannheim | 2012-07-05 / 20120172364 - RATE-CONTROLLED PARTICLES | 2 |
Richard Joseph Hantke | US | Appleton | 2012-11-08 / 20120283680 - Visually-Coordinated Absorbent Product | 1 |
Rick Hantke | US | Chicago | 2009-04-02 / 20090088711 - Multi-Layer Odor Barrier Tube, and Combination Odor Barrier Tube and Odor Barrier Collection Bag | 1 |
Richard J. Hantke | US | Chicago | 2014-09-18 / 20140261463 - Endotrachael Tube Holding Device with Bite Block | 3 |
Suzanne J. Hantke | US | Plainview | 2012-04-12 / 20120084920 - PEDIATRIC EMERGENCY TRANSPORT DEVICE | 1 |
Wolfgang Hantke | DE | Baindt | 2011-10-27 / 20110260417 - STAIR-CLIMBING DEVICE | 1 |
John Hanton | GB | Aberdeenshire | 2014-10-09 / 20140299379 - Down-Hole Swivel Sub | 1 |
Martin John Hanton | GB | Fife | 2015-06-04 / 20150152200 - Oligomerisation of Olefinic Compounds with Reduced Polymer Formation | 3 |
Lyall Robert Hanton | NZ | Dunedin | 2013-09-19 / 20130244974 - SURGICAL HYDROGEL | 2 |
John Hanton | GB | Aberdeen | 2016-01-28 / 20160024858 - TOOL FOR SELECTIVELY CONNECTING OR DISCONNECTING COMPONENTS OF A DOWNHOLE WORKSTRING | 1 |
Gábor Hantos | HU | Budapest | 2010-08-19 / 20100209967 - PROCESS FOR THE SYNTHESIS OF 9A-HYDROXY-STEROIDS | 1 |
Gábor Hantos | HU | Budapest | 2010-08-19 / 20100209967 - PROCESS FOR THE SYNTHESIS OF 9A-HYDROXY-STEROIDS | 1 |
Gábor Hantos | HU | Budapest | 2010-08-19 / 20100209967 - PROCESS FOR THE SYNTHESIS OF 9A-HYDROXY-STEROIDS | 1 |
Gábor Hantos | HU | Budapest | 2010-08-19 / 20100209967 - PROCESS FOR THE SYNTHESIS OF 9A-HYDROXY-STEROIDS | 1 |
Zoltan Hantos | HU | Szedged | 2009-03-05 / 20090062672 - Method of measuring an acoustic impedance of a respiratory system and diagnosing a respiratory disease or disorder or monitoring treatment of same | 1 |
Philip Edward Hants | GB | Hants | 2009-12-31 / 20090322610 - PHASED ARRAY ANTENNA SYSTEM WITH ELECTRICAL TILT CONTROL | 1 |
Thomas Hantschel | US | Menlo Park | 2012-01-26 / 20120021170 - Micro-Machined Structure Production Using Encapsulation | 12 |
Jochen Hantschel | DE | Dettingen A.d.erms | 2014-10-16 / 20140306544 - PHOTOVOLTAIC SYSTEM WITH BIASING AT THE INVERTER | 1 |
Jörg Hantschel | DE | Munchen | 2015-09-17 / 20150264827 - INSERT CASING FOR FASTENING AN ELECTRICAL COMPONENT | 1 |
Jochen Hantschel | DE | Dettingen | 2016-02-04 / 20160033169 - HEATING DEVICE | 2 |
Jochen Hantschel | DE | Dettingen A.d. Erms | 2014-09-11 / 20140254227 - DECENTRALIZED POWER GENERATION PLANT HAVING A DEVICE AND METHOD FOR DETECTING AN ISLAND NETWORK | 1 |
Thomas Hantschel | DE | Aldenhoven | 2015-03-19 / 20150081265 - COMBINING DOWNHOLE FLUID ANALYSIS AND PETROLEUM SYSTEMS MODELING | 4 |
Thomas Hantschel | BE | Moorsele | 2010-07-29 / 20100187695 - OUT-OF-PLANE SPRING STRUCTURES ON A SUBSTRATE | 1 |
Thomas Hantschel | BE | Houtvenne | 2015-07-02 / 20150185249 - PROBE CONFIGURATION AND METHOD OF FABRICATION THEREOF | 3 |
Jochen Hantschel | DE | Dettingen A. D. Erms | 2012-01-12 / 20120007429 - Apparatus for providing an input DC voltage for a photovoltaic inverter and photovoltaic system including such apparatus | 1 |
Eerik T. Hantsoo | US | Atlanta | 2014-08-07 / 20140220171 - APPARATI FOR FABRICATING THIN SEMICONDUCTOR BODIES FROM MOLTEN MATERIAL | 3 |
Eerik T. Hantsoo | US | Livermore | 2012-03-22 / 20120067273 - METHODS FOR EFFICIENTLY MAKING THIN SEMICONDUCTOR BODIES FROM MOLTEN MATERIAL FOR SOLAR CELLS AND THE LIKE | 1 |
Eerik T. Hantsoo | US | Cambridge | 2011-10-13 / 20110247549 - METHODS AND APPARATI FOR MAKING THIN SEMICONDUCTOR BODIES FROM MOLTEN MATERIAL | 2 |
Eerik Torm Hantsoo | US | Atlanta | 2014-02-27 / 20140057179 - SCAFFOLDING MATRIX WITH INTERNAL NANOPARTICLES | 2 |
Eerik Torm Hantsoo | US | Cambridge | 2008-09-18 / 20080223478 - METHOD OF AND APPARATUS FOR THE BOTTOM-UP FILLING OF BEVERAGE CONTAINERS | 1 |
Dane Ashley Hantz | US | San Marcos | 2015-01-22 / 20150024644 - STRINGERLESS SURFBOARD WITH CHANNELS | 1 |
Troy T. Hantz | US | Angola | 2010-04-15 / 20100088866 - CASKET | 1 |
Savanna Hantz | US | Richmond | 2014-05-15 / 20140133922 - SUBSEA FLUID STORAGE SYSTEM AND METHODS THEREFOR | 1 |
Dan Hantz | US | Germantown | 2016-03-31 / 20160095073 - INROUTE AUTOMATIC GAIN CONTROL DETECTION OF OUTROUTE INTERFERENCE | 1 |
Sylvain Hantzer | US | Spring | 2016-05-05 / 20160121315 - BASE STOCK PRODUCTION USING A HIGH ACTIVITY CATALYST | 1 |
Sylvain S. Hantzer | US | Purcellville | 2011-07-28 / 20110180453 - Catalytic Dewaxing Process | 2 |
Sylvain Hantzer | US | Purcellville | 2012-07-19 / 20120184442 - Ultra Pure Fluids | 2 |
Fabien Hantzer | FR | Illzach | 2009-01-08 / 20090007968 - Pipe network, with a hierarchical structure, for supplying water or gas and/or for removing industrial water, process for detecting a leak in such a pipe network and process for determining, with the aid of a computer, the operating life theoretically remaining for a renewable power source for at least one flowmeter in such a pipe network | 1 |
Constantine Hantzopoulos | US | San Francisco | 2015-09-24 / 20150265927 - SYSTEMS AND METHODS FOR DYNAMIC BASE DEVELOPMENT IN A THREE DIMENSIONAL ACTION GAME | 1 |
Christopher D. Hanudel | US | Williston | 2010-02-18 / 20100040183 - METHOD, CIRCUIT, AND DESIGN STRUCTURE FOR CAPTURING DATA ACROSS A PSEUDO-SYNCHRONOUS INTERFACE | 2 |
Christopher D. Hanudel | US | Essex Junction | 2014-07-10 / 20140195196 - CHIP PERFORMANCE MONITORING SYSTEM AND METHOD | 4 |
David Hanuka | IL | Ramat-Yishai | 2016-04-28 / 20160113810 - COMPACT OSTOMY APPLIANCE | 14 |
Benny Hanuka | IL | Haifa | 2015-08-06 / 20150218883 - ALARM SYSTEM FOR ROLLING SHUTTERS | 1 |
Agnieszka Hanulak | US | Birmingham | 2011-03-24 / 20110070566 - Meal Planning System | 1 |
Malgorzata Hanulak | US | Birmingham | 2011-03-24 / 20110070566 - Meal Planning System | 1 |
Vinay Hanumaiah | US | Campbell | 2014-09-18 / 20140281609 - DETERMINING PARAMETERS THAT AFFECT PROCESSOR ENERGY EFFICIENCY | 2 |
Niranjan Hanumanna | US | Richardson | 2011-05-05 / 20110103791 - Method and System for Monitoring Optical Dispersion in an Optical Signal | 1 |
Ravikumar Hanumantha | IN | Bangalore | 2015-11-26 / 20150340742 - METHOD FOR JOINING CERAMIC TO METAL, AND SEALING STRUCTURE THEREOF | 6 |
Shruti Hanumanthaiah | IN | Bangalore | 2013-03-28 / 20130076375 - CAPACITANCE SENSING CIRCUITS, METHODS AND SYSTEMS HAVING CONDUCTIVE TOUCH SURFACE | 1 |
Kumar Hanumanthaiah | US | Syracuse | 2010-11-11 / 20100285962 - BIODEGRADABLE, PLANT-BASED COVERING AND PREMIXTURE | 1 |
Hariharan Hanumanthan | IN | Chennai | 2015-04-16 / 20150102566 - SEAL BETWEEN STATIC TURBINE PARTS | 1 |
Jayaram Hanumanthappa | IN | Bangalore | 2016-03-24 / 20160087849 - PLANNING AND RECONFIGURING A MULTILAYER NETWORK | 4 |
Manjunatha Hanumanthappa | IN | Bangalore | 2011-05-19 / 20110118404 - Blend Partner with Natural Rubber for Elastomeric Compounds | 1 |
Ram Prakash Hanumanthappa | IN | Chennai | 2008-10-30 / 20080270111 - System, method to generate transliteration and method for generating decision tree to obtain transliteration | 1 |
Jayaram Hanumanthappa | IN | Bangalora | 2012-01-26 / 20120020246 - NETWORK PLANNING AND OPTIMIZATION OF EQUIPMENT DEPLOYMENT | 1 |
Pavan K. Hanumantharaya | US | Austin | 2011-03-03 / 20110051846 - Processing EM Bands | 1 |
Raghunandan Hanumantharayappa | IN | Bangalore | 2014-11-06 / 20140331054 - VIRTUAL DESKTOP ACCELERATOR WITH ENHANCED BANDWIDTH USAGE | 3 |
Poornima Hanumara | US | Seattle | 2016-02-11 / 20160042093 - Leveraging Data Searches in a Document | 6 |
Poornima Hanumara | US | Boise | 2013-02-14 / 20130038612 - AUTOMATIC GENERATION OF TREND CHARTS | 1 |
Pavan Kumar Hanumolu | US | Corvallis | 2009-08-06 / 20090197552 - BANDWIDTH TUNABLE MIXER-FILTER USING LO DUTY-CYCLE CONTROL | 1 |
Usha Hanumolu | US | Cupertino | 2013-08-22 / 20130218945 - Consistent Interface for Sales Territory Message Type Set 2 | 2 |
Shaul Hanuna | IL | Tel Aviv | 2015-11-12 / 20150321793 - CONTAINER | 5 |
Uzi Hanuni | IL | Emek Haela | 2008-10-30 / 20080268855 - Real Time Peer to Peer Network | 1 |
Uzi Hanuni | IL | Givat Yeshayahu | 2015-01-22 / 20150023246 - HEURISTIC SPANNING METHOD AND SYSTEM FOR LINK STATE ROUTING | 2 |
Peter J. Hanus | US | Bellevue | 2010-12-16 / 20100318524 - Displaying Key Differentiators Based On Standard Deviations Within A Distance Metric | 1 |
Eric Hanus | FR | Manosque | 2015-04-09 / 20150098485 - DEVICE FOR GENERATING A HIGH TEMPERATURE GRADIENT IN A SAMPLE COMPRISING OPTICAL MONITORING MEANS | 4 |
Jan Hanus | CZ | Praha | 2013-03-21 / 20130072506 - 6,8-DISUBSTITUTED PURINE COMPOSITIONS | 1 |
Lumir Hanus | IL | Jerusalem | 2008-11-06 / 20080275135 - Resorcinol Derivatives and Their Use for Lowering Blood Pressure | 1 |
Peter J. Hanus | US | Lynnwood | 2010-06-03 / 20100138477 - Crunching Dynamically Generated Script Files | 1 |
Leo R. Hanus | US | Tipp City | 2010-05-06 / 20100110689 - Articulating Joint For Dental or Medical Lights | 3 |
Jill Burgi Hanus | US | Cedar Falls | 2009-06-11 / 20090146458 - VEHICLE COMPONENT CONFIGURATION | 1 |
Joseph P. Hanus | US | Watertown | 2010-06-24 / 20100155193 - Coin Collecting Machine and Coin Bin | 2 |
Michael J. Hanus | US | Cedar Park | 2013-01-03 / 20130006940 - METHODS AND APPARATUS RELATED TO COMPLETION OF LARGE OBJECTS WITHIN A DB2 DATABASE ENVIRONMENT | 3 |
Peter Hanus | US | Bellevue | 2010-12-09 / 20100312669 - METHOD AND SYSTEM FOR PERFORMING SEARCHES AND RETURNING RESULTS BASED ON WEIGHTED CRITERIA | 1 |
Eric Hanus | FR | Les Taillades | 2009-05-14 / 20090120010 - Sintered Bead Based on Zirconia and on Cerium Oxide | 1 |
Nicolas Hanus | FR | Vimines | 2014-01-23 / 20140022338 - Method for Producing a Panoramic Image on the Basis of a Video Sequence and Implementation Apparatus | 3 |
John P. Hanus | US | Hartland | 2014-09-04 / 20140248832 - Method and Apparatus to Provide Ventilation for a Building | 2 |
Karl-Heinz Hanus | DE | Morlenbach | 2015-08-13 / 20150226186 - ELASTIC SELF-ALIGNING BEARING | 1 |
John H. Hanusa | US | Celeste | 2008-09-04 / 20080210822 - Very high frequency line of sight winglet antenna | 1 |
Lotar Hanusa | US | Pittsburgh | 2014-03-06 / 20140065332 - Composite Basalt Fabric Tent Liner | 2 |
Matthew William Hanusa | US | Mckinney | 2012-06-21 / 20120153202 - FIELD REMOVABLE BONNET ASSEMBLIES FOR USE WITH VALVES | 2 |
Matthew W. Hanusa | US | Mckinney | 2015-11-19 / 20150330531 - VALVE SOUND REDUCING TRIM ASSEMBLY WITH PRESSURE RELIEF MECHANISM | 3 |
Thomas Hanusch | DE | Coswig | 2016-05-05 / 20160128070 - CONFIGURABLE CLEAR CHANNEL ASSESSMENT | 15 |
Gregor Z. Hanuschak | US | Ardmore | 2015-10-15 / 20150295993 - METHOD AND APPARATUS FOR INTERACTING WITH A PERSONAL COMPUTING DEVICE SUCH AS A SMART PHONE USING PORTABLE AND SELF-CONTAINED HARDWARE THAT IS ADAPTED FOR USE IN A MOTOR VEHICLE | 2 |
Gregor Z. Hanuschak | US | Ocean View | 2015-12-24 / 20150371189 - Method and system for enabling the creation, development, and fulfillment of products and services by crowdsourcing relevant customers, producers, and investors | 1 |
David Hanuschak | US | Orefield | 2011-09-08 / 20110219034 - SYSTEM AND METHOD FOR AGGREGATION AND ASSOCIATION OF PROFESSIONAL AFFILIATION DATA WITH COMMERCIAL DATA CONTENT | 1 |
Michael Hanuschik | US | Mountain View | 2015-09-17 / 20150257840 - Positions for Multiple Surgical Mounting Platform Rotation Clutch Buttons | 11 |
Mike Hanuschik | US | Mountain View | 2012-11-08 / 20120283745 - SELECTIVE ADDITIONAL CONTROL IN A CONTROL CONSOLE FOR SURGICAL INSTRUMENTS | 1 |
Michael Hanuschik | US | Mountain View | 2015-09-17 / 20150257840 - Positions for Multiple Surgical Mounting Platform Rotation Clutch Buttons | 11 |
Michael Lee Hanuschik | US | Mountain View | 2012-03-08 / 20120059390 - INDICATOR FOR TOOL STATE AND COMMUNICATION IN MULTIARM TELESURGERY | 1 |
Michael L. Hanuschik | US | Mountain View | 2015-09-17 / 20150257837 - SURGICAL SYSTEM WITH OBSTACLE INDICATION SYSTEM | 2 |
William M. Hanusiak | US | Windermere | 2013-09-12 / 20130233129 - Titanium Powder Production Apparatus and Method | 1 |
Lisa B. Hanusiak | US | Windermere | 2011-12-08 / 20110297296 - ROTOCAST COMPOSITE HYBRID PROCESS FOR MOLDING COMPOSITE PARTS | 1 |
Tomasz Hanusiak | PL | Czarny Dunajec | 2016-04-07 / 20160098270 - AGILE SOFTWARE DEVELOPMENT PROCESS AND RESULTS | 2 |
Gregory Hanusiak | US | Chicago | 2013-10-03 / 20130255163 - Mobile Folding Choral Riser with High-Speed Cylinder Lift-Assist Mechanism and Partially Independent Back Railing Linkage | 6 |
William M. Hanusiak | US | Windemere | 2013-01-17 / 20130014865 - Method of Making High Strength-High Stiffness Beta Titanium AlloyAANM Hanusiak; William M.AACI WindemereAAST FLAACO USAAGP Hanusiak; William M. Windemere FL USAANM Tamirisakandala; SeshacharyuluAACI BeavercreekAAST OHAACO USAAGP Tamirisakandala; Seshacharyulu Beavercreek OH USAANM Grabow; RobertAACI ClarksburgAAST WVAACO USAAGP Grabow; Robert Clarksburg WV US | 1 |
Lenka Hanusova | CZ | Liberec | 2014-03-06 / 20140061959 - METHOD AND DEVICE FOR APPLICATION OF LIQUID POLYMERIC MATERIAL ONTO SPINNING CORDS | 1 |
Ashish K. Hanwadikar | US | San Jose | 2015-03-19 / 20150082302 - HIGH AVAILABILITY USING DYNAMIC QUORUM-BASED ARBITRATION | 1 |
Ashish K. Hanwadikar | US | Sunnyvale | 2011-08-04 / 20110191834 - Maintaining the Domain Access of a Virtual Machine | 1 |
Ashish K. Hanwadikar | US | Palo Alto | 2014-09-11 / 20140258483 - METHOD AND SYSTEM FOR DISTRIBUTING RESOURCE-AVAILABILITY INFORMATION WITHIN A DISTRUBITED COMPUTER SYSTEM | 1 |
Madhuri Hanwate | IN | Bangalore | 2010-04-29 / 20100105132 - Human Mesenchymal stem cells and preparation thereof | 1 |
Brendan Hanwell | GB | Fort Augustus | 2009-02-19 / 20090044432 - FOOTWEAR | 2 |
Martin James Hanwright | US | Mundelein | 2010-03-18 / 20100066484 - REMOTE MONITOR/CONTROL FOR BILLBOARD LIGHTING OR STANDBY POWER SYSTEM | 1 |
Cindy Hany | CH | Saint Caprais De Bodeaux | 2012-04-19 / 20120094392 - DETERMINATION OF AT LEAST ONE PARAMETER OF A PHYSICAL AND/OR CHEMICAL TRANSFORMATION | 1 |
Masao Hanya | JP | Aiko-Gun | 2014-03-27 / 20140085755 - DISK DRIVE SUSPENSION | 8 |
Masahiro Hanya | JP | Kobe-Shi | 2013-02-14 / 20130037194 - VEHICLE | 3 |
Izumi Hanya | JP | Osaka | 2013-05-30 / 20130136846 - EQUOL-CONTAINING EXTRACT, METHOD FOR PRODUCTION THEREOF, METHOD FOR EXTRACTION OF EQUOL, AND EQUOL-CONTAINING FOOD | 3 |
Yoshiki Hanya | JP | Noda-Shi | 2012-02-02 / 20120027910 - KOJI MOLD HAVING LARGE-SCALE GENOMIC DUPLICATION | 1 |
Masao Hanya | JP | Aiko-Gun | 2014-03-27 / 20140085755 - DISK DRIVE SUSPENSION | 8 |
Izumi Hanya | JP | Osaka-Shi | 2011-02-10 / 20110033564 - EQUOL-CONTAINING EXTRACT, METHOD FOR PRODUCTION THEREOF, METHOD FOR EXTRACTION OF EQUOL, AND EQUOL-CONTAINING FOOD | 1 |
Masahiro Hanya | JP | Hyogo | 2011-05-26 / 20110120613 - PNEUMATIC TIRE | 2 |
Masao Hanya | JP | Aikoh-Gun | 2012-09-06 / 20120224282 - ELECTRICAL CONNECTION STRUCTURE FOR PIEZOELECTRIC ELEMENT AND HEAD SUSPENSION WITH THE ELECTRICAL CONNECTION STRUCTURE | 7 |
Koji Hanya | JP | Tokyo | 2012-11-22 / 20120295065 - PANEL | 5 |
Sachio Hanya | JP | Tokyo | 2014-05-01 / 20140121839 - NUMERICAL CONTROL APPARATUS | 1 |
Koji Hanya | JP | Chiba | 2012-03-29 / 20120077051 - STEEL SHEET HAVING HIGH YOUNG'S MODULUS, HOT-DIP GALVANIZED STEEL SHEET USING THE SAME, ALLOYED HOT-DIP GALVANIZED STEEL, SHEET, STEEL PIPE HAVING HIGH YOUNG'S MODULUS, AND METHODS FOR MANUFACTURING THE SAME | 1 |
Yoshiki Hanya | JP | Chiba | 2009-07-23 / 20090186388 - LACTIC ACID BACTERIUM CAPABLE OF PRODUCING Y-AMINOBUTYRIC ACID | 1 |
Industry-University Cooperation Foundation Hanyang University | US | 2014-06-26 / 20140176260 - SIGNAL TRANSMISSION CIRCUIT | 9 | |
Industry-University Cooperation Foundation Hanyang University | US | 2014-06-26 / 20140176260 - SIGNAL TRANSMISSION CIRCUIT | 9 | |
Industry-University Cooperation Foundation Hanyang University | KR | Seoul | 2013-08-01 / 20130195317 - APPARATUS FOR MEASURING TRAFFIC USING IMAGE ANALYSIS AND METHOD THEREOF | 3 |
Industry-University Cooperation Foundation Hanyang Universtiy | KR | Seoul | / - | 1 |
Bryan T. Hanypsiak | US | Naples | 2012-06-21 / 20120158433 - MEDICAL PROCEDURE OUTCOME SYSTEM | 1 |
Bryan T. Hanypsiak | US | Shoreham | 2008-10-02 / 20080242930 - SECURABLE CANNULA AND METHOD | 1 |
Mitsunobu Hanyu | JP | Hamura-Shi | 2012-01-05 / 20120002327 - HEAD AND DISK DEVICE WITH THE SAME | 8 |
Atsushi Hanyu | JP | Kobe-Shi | 2016-03-10 / 20160067890 - SHEET CONVEYING ROLLER AND PRODUCTION METHOD THEREOF | 5 |
Hidenori Hanyu | JP | Kanagawa-Ken | 2012-03-22 / 20120070966 - METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT | 1 |
Yuki Hanyu | JP | Kawasaki | 2016-04-28 / 20160116547 - STORAGE BATTERY EVALUATING APPARATUS AND METHOD | 2 |
Tomohiro Hanyu | JP | Ome-Shi | 2014-10-16 / 20140309997 - Information Processing Apparatus and Control Method | 5 |
Takahiro Hanyu | JP | Miyagi | 2015-08-20 / 20150235703 - NONVOLATILE CONTENT ADDRESSABLE MEMORY AND METHOD FOR OPERATING SAME | 4 |
Yoshiro Hanyu | JP | Ibaraki | 2012-08-23 / 20120214740 - Medicinal Compositions Containing Highly Functionalized Chimeric Protein | 2 |
Masami Hanyu | JP | Kanagawa | 2010-08-19 / 20100208525 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Shigeki Hanyu | JP | Yamanashi | 2011-04-28 / 20110095716 - MOTOR DRIVER FOR MACHINE TOOL WITH FAN MOTOR | 1 |
Naoto Hanyu | JP | Yokohama-Shi | 2013-02-21 / 20130045173 - Method For Inhibiting Melanin Production And Whitening Skin With Pyrimidlypyrazole Compounds Or Pharmaceutically Acceptable Salts Thereof | 4 |
Tomohiro Hanyu | JP | Tokyo | 2009-05-21 / 20090128484 - INFORMATION PROCESSING APPARATUS, SCROLL CONTROL APPARATUS, SCROLL CONTROL METHOD, AND COMPUTER PROGRAM PRODUCT | 2 |
Mitsunobu Hanyu | JP | Hamura-Shi | 2012-01-05 / 20120002327 - HEAD AND DISK DEVICE WITH THE SAME | 8 |
Naohiko Hanyu | JP | Tokyo | 2015-10-29 / 20150309465 - IMAGE FORMING SYSTEM | 3 |
Satoru Hanyu | JP | Kohtoh-Ku | 2010-01-21 / 20100012349 - POLYCRYSTALLINE THIN FILM, METHOD FOR PRODUCING THE SAME AND OXIDE SUPERCONDUCTOR | 1 |
Toshiki Hanyu | JP | Tokyo | 2011-05-05 / 20110106486 - Acoustic Energy Measurement Device, and Acoustic Performance Evaluation Device and Acoustic Information Measurement Device Using the Same | 2 |
Yukio Hanyu | JP | Isehara-Shi | 2016-02-04 / 20160031131 - IMPRINT APPARATUS AND ARTICLE MANUFACTURING METHOD | 6 |
Keiichi Hanyu | JP | Wako-Shi | 2011-03-24 / 20110067968 - HYDRAULIC APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Takahiro Hanyu | JP | Sendai-Shi | 2015-04-23 / 20150109842 - SEMICONDUCTOR STORAGE DEVICE | 2 |
Takuji Hanyu | JP | Setagaya | 2012-07-19 / 20120184127 - Connector | 1 |
Takuji Hanyu | JP | Tokyo | 2010-05-27 / 20100130058 - FLOATING CONNECTOR | 3 |
Shigeki Hanyu | JP | Minamitsuru-Gun | 2009-04-09 / 20090091281 - MOTOR DRIVING APPARATUS | 1 |
Naoto Hanyu | JP | Kanagawa | 2015-10-29 / 20150308945 - METHOD AND APPARATUS FOR EVALUATING ULTRAVIOLET RADIATION PROTECTION EFFECT, AND RECORDING MEDIUM | 5 |
Tomoyuki Hanyu | JP | Kanagawa | 2008-12-11 / 20080306643 - Driving System for Hybrid Vehicle | 1 |
Yuki Hanyu | JP | Sendai-Shi | 2014-12-25 / 20140377621 - SECONDARY BATTERY | 1 |
Tomohiro Hanyu | JP | Miyazaki | 2010-08-12 / 20100201401 - DECODER CIRCUIT | 1 |
Mitsunobu Hanyu | JP | Tokyo | 2009-06-04 / 20090141402 - HEAD, HEAD SUSPENSION ASSEMBLY, AND DISK DEVICE PROVIDED WITH THE SAME | 2 |
Hiroyuki Hanyu | JP | Toyokawa-Shi | 2009-10-15 / 20090255805 - Removing Method of Hard Coating Film | 1 |
Satoru Hanyu | JP | Sakura-Shi | 2012-09-13 / 20120228130 - IBAD APPARATUS AND IBAD METHOD | 2 |
Tomohiro Hanyu | JP | Ome Tokyo | 2016-03-03 / 20160065690 - SYSTEM AND METHOD FOR SELECTING VIRTUAL DESKTOP ENVIRONMENT | 1 |
Atsuhide Hanyu | JP | Kanagawa | 2013-01-03 / 20130004708 - MOLDING APPARATUS, METHOD OF FORMING MOLDED PRODUCT, AND MOLDED PRODUCT | 1 |
Masashi Hanyu | JP | Kanagawa | 2011-01-06 / 20110000570 - STACKED CONDUIT ASSEMBLY AND SCREW FASTENING METHOD FOR CONDUIT PART | 1 |
Takashi Hanyuda | JP | Kawagoe | 2011-07-07 / 20110167065 - DATA GENERATING APPARATUS, INFORMATION PROCESSING APPARATUS, DATA GENERATING METHOD, INFORMATION PROCESSING METHOD, DATA GENERATING PROGRAM INFORMATION PROCESSING PROGRAM AND RECORDING MEDIUM | 1 |
Kiyoshi Hanyuda | JP | Kanagawa | 2015-06-11 / 20150160184 - METHOD OF EASILY IDENTIFYING LUBRICATING OILS, IDENTIFICATION KIT AND LUBRICATING OILS THAT CAN BE EASILY IDENTIFIED | 2 |
Yumi Hanyuda | JP | Yokosuka | 2014-05-01 / 20140119002 - LIGHT-EMITTING UNIT AND LUMINAIRE | 1 |
Kiyoshi Hanyuda | JP | Aikou | 2015-07-23 / 20150203779 - LUBRICATING OIL COMPOSITION FOR INTERNAL COMBUSTION ENGINES | 2 |
Kiyoshi Hanyuda | JP | Aikou-Gun Aikou | 2013-10-10 / 20130267449 - LUBRICATING OIL COMPOSITION FOR DIESEL ENGINES | 1 |
Yumi Hanyuda | JP | Kanagawa-Ken | 2013-10-24 / 20130278156 - LIGHT-EMITTING DIODE LIGHTING APPARATUS, ILLUMINATING APPARATUS AND ILLUMINATING METHOD | 1 |
Yumi Hanyuda | JP | Yokosuka-Shi | 2013-05-30 / 20130134879 - Luminaire | 1 |
Yumi Hanyuda | JP | Kanagawa | 2014-04-24 / 20140111986 - LUMINAIRE | 3 |
Yukihiro Hanyuu | JP | Furukawa-Shi | 2012-02-16 / 20120039987 - NIOSOME HAVING METAL PORPHYRIN COMPLEX EMBEDDED THEREIN, PROCESS FOR PRODUCING THE SAME AND DRUG WITH THE USE THEREOF | 1 |
Yukihiro Hanyuu | JP | Miyagi | 2008-10-30 / 20080269184 - Niosome Having Metal Porphyrin Complex Embedded Therein, Process For Producing The Same And Drug With The Use Thereof | 1 |
Keigo Hanyuu | JP | Odawara-Shi | 2015-03-19 / 20150079570 - Swallowing Simulation Apparatus and Method | 1 |
Mitsutaka Hanyuu | JP | Tokyo | 2011-10-06 / 20110239508 - LINERLESS PRICE REDUCTION CONTINUOUS LABEL, APPLYING METHOD AND PRINTING METHOD OF LINERLESS PRICE REDUCTION CONTINUOUS LABEL | 1 |
Christoph Hanz | CH | Zug | 2009-10-08 / 20090252786 - Use of a Biologically Active Blood Serum for the Treatment of a Disorder Characterized in a Reduced Function of a GABA Receptor | 1 |
Robert Hanz | US | Redmond | 2014-06-12 / 20140161129 - Message Transport System Using Publication and Subscription Mechanisms | 3 |
Robert Richard Hanz | US | Redmond | 2012-12-27 / 20120331039 - Remote Server Management Using a Mobile Computing Device | 1 |
Ezra Hanz | US | New York | 2012-02-09 / 20120035957 - ELECTRONIC PRESCRIPTION DELIVERY SYSTEM AND METHOD | 1 |
Toshiharu Hanzaka | JP | Nagano | 2009-10-15 / 20090258324 - Nozzle for Insertion Into Tooth Root Canal, and Device for Treating Tooth Root Canal, Having the Nozzle for Insertion Into Tooth Root Canal | 1 |
Jitka Hanzalova | CZ | Beroun | 2010-05-13 / 20100116646 - A SENSING ELECTRODE FOR PH MEASUREMENT CHIEFLY IN BODILY FLUIDS | 1 |
Toyoharu Hanzawa | US | 2011-03-31 / 20110075270 - ELECTRONIC IMAGE PICKUP SYSTEM | 1 | |
Keiji Hanzawa | JP | Mito | 2015-05-21 / 20150137282 - Flow Sensor, Method for Manufacturing Flow Sensor and Flow Sensor Module | 31 |
Shigeru Hanzawa | JP | Nagoya-City | 2014-10-02 / 20140295367 - COMBUSTION APPARATUS, AND HEATING FURNACE USING SAME | 1 |
Satoru Hanzawa | JP | Hachioji | 2016-01-07 / 20160005969 - SEMICONDUCTOR STORAGE DEVICE AND METHOD FOR MANUFACTURING SAME | 40 |
Yuki Hanzawa | JP | Nara | 2015-10-29 / 20150310042 - IMAGE RETRIEVAL DEVICE, IMAGE RETRIEVAL METHOD, AND STORAGE MEDIUM | 4 |
Yoshiaki Hanzawa | JP | Tokyo | 2012-03-15 / 20120065266 - ORAL COMPOSITION | 1 |
Masatoshi Hanzawa | JP | Kariya-Shi | 2012-11-22 / 20120292139 - BRAKE CONTROL DEVICE | 13 |
Keiji Hanzawa | JP | Ibaraki | 2015-11-26 / 20150338258 - Flow Sensor and Manufacturing Method for the Same | 1 |
Go Hanzawa | JP | Konan-Shi | 2016-01-07 / 20160003649 - SENSOR AND SENSOR MOUNTING STRUCTURE | 1 |
Go Hanzawa | JP | Kitanagoya-Shi | 2011-09-22 / 20110228812 - TEMPERATURE SENSOR | 3 |
Kentaro Hanzawa | JP | Tokyo | 2008-09-25 / 20080230749 - Adhesive Agent Composition and Adhesive Film For Electronic Component | 1 |
Keiji Hanzawa | JP | Hitachinaka | 2016-01-07 / 20160003686 - INTAKE AIR TEMPERATURE SENSOR AND FLOW MEASUREMENT DEVICE | 28 |
Satoru Hanzawa | JP | Tokyo | 2016-03-17 / 20160078932 - SEMICONDUCTOR STORAGE DEVICE | 2 |
Fumio Hanzawa | JP | Yokohama | 2014-11-20 / 20140344630 - INFORMATION PROCESSING DEVICE AND CONTROL DEVICE | 2 |
Yuki Hanzawa | JP | Kusatsu-Shi | 2013-09-19 / 20130243272 - AUTHENTICATION APPARATUS, CONTROL METHOD OF AUTHENTICATION APPARATUS, CONTROL PROGRAM AND RECORDING MEDIUM | 1 |
Keiji Hanzawa | JP | Hitachinaka-Shi | 2014-08-07 / 20140216146 - AIR PHYSICAL QUANTITY SENSING DEVICE | 3 |
Yuki Hanzawa | JP | Nara-Shi | 2014-10-16 / 20140307971 - IMAGE PROCESSING APPARATUS, METHOD OF CONTROLLING IMAGE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 2 |
Hiroko Hanzawa | JP | Tokorozawa | 2016-03-03 / 20160061846 - Method for Evaluating Atherosclerotic Lesion, and Kit | 5 |
Keiji Hanzawa | JP | Hitachinaka | 2016-01-07 / 20160003686 - INTAKE AIR TEMPERATURE SENSOR AND FLOW MEASUREMENT DEVICE | 28 |
Go Hanzawa | JP | Nagoya-Shi | 2009-11-12 / 20090279585 - TEMPERATURE SENSOR | 1 |
Satoshi Hanzawa | JP | Kanagawa | 2009-09-03 / 20090221026 - Novel Microorganism And Method For Producing Carotenoid Using The Same | 1 |
Hideo Hanzawa | JP | Toyota-Shi | 2010-12-09 / 20100310405 - FERROUS SINTERED ALLOY, PROCESS FOR PRODUCING FERROUS SINTERED ALLOY AND CONNECTING ROD | 1 |
Tetsuya Hanzawa | JP | Higashihiroshima-Shi | 2015-08-06 / 20150219181 - PISTON STRUCTURE FOR ENGINE | 1 |
Toyoharu Hanzawa | JP | Tokyo | 2016-05-19 / 20160139374 - ELECTRONIC IMAGE PICKUP SYSTEM | 4 |
Hiroko Hanzawa | JP | Tokyo | 2015-11-26 / 20150335769 - BIOMOLECULE LABELING REACTION CONTAINER, AND REACTOR AND REACTION METHOD USING THE SAME | 2 |
Katsuhiko Hanzawa | JP | Kanagawa | 2016-05-19 / 20160141326 - SOLID-STATE IMAGING DEVICE, DRIVING METHOD THEREFOR, AND ELECTRONIC APPARATUS | 1 |
Fumio Hanzawa | JP | Kawasaki | 2012-10-04 / 20120254673 - STORAGE SYSTEM AND METHOD FOR DETERMINING ANOMALY-OCCURRING PORTION | 5 |
Kentaro Hanzawa | JP | Higashimurayama-Shi | 2010-09-30 / 20100245983 - DISPLAY MEDIA PARTICLES AND INFORMATION DISPLAY PANEL USING THE SAME | 1 |
Daisuke Hanzawa | JP | Saitama | 2010-09-09 / 20100228437 - VEHICLE AND STEERING CONTROL DEVICE FOR VEHICLE | 1 |
Hiroaki Hanzawa | JP | Kanagawa | 2010-07-22 / 20100180905 - METHOD OF PACKING FIBER FOR ARTIFICIAL HAIR | 1 |
Masaki Hanzawa | JP | Chiba | 2010-07-22 / 20100181222 - PACKAGING MATERIAL | 1 |
Masaya Hanzawa | JP | Himeji-Shi, Hyogo | 2015-12-31 / 20150375205 - NOx STORAGE REDUCTION CATALYST FOR PURIFYING EXHAUST GAS AND EXHAUST GAS PURIFICATION METHOD USING SAID CATALYST | 1 |
Kentaro Hanzawa | JP | Kodaira-Shi | 2010-05-27 / 20100126767 - PROCESS FOR THE PREPARATION OF LIGHT TRANSMISSIVE ELECTROMAGNETIC WAVE SHIELDING MATERIAL, LIGHT TRANSMISSIVE ELECTROMAGNETIC WAVE SHIELDING MATERIAL AND FINE PARTICLE HAVING EXTREMELY-THIN NOBLE METAL FILM | 1 |
Daisuke Hanzawa | JP | Utsunomiya-Shi | 2015-10-29 / 20150307094 - LANE OUTWARD DEVIATION AVOIDANCE ASSIST APPARATUS AND LANE OUTWARD DEVIATION AVOIDANCE ASSIST METHOD | 2 |
Atsushi Hanzawa | JP | Osaka-Shi | 2014-09-04 / 20140247396 - COMMUNICATION DEVICE, COMMUNICATION SYSTEM, COMMUNICATION METHOD, CONTROL PROGRAM, AND TELEVISION RECEIVING SYSTEM | 3 |
Masatoshi Hanzawa | JP | Kariya-Shi | 2012-11-22 / 20120292139 - BRAKE CONTROL DEVICE | 13 |
Fumihiko Hanzawa | JP | Tokyo | 2013-04-18 / 20130094339 - OBJECTIVE LENS, OPTICAL PICKUP DEVICE, AND OPTICAL DISC DEVICE | 1 |
Shigeru Hanzawa | JP | Kagamigahara-City | 2014-05-15 / 20140134557 - HOUSING FOR HEATING AND USE METHOD OF THE SAME, HEATING JIG AND USE METHOD OF THE SAME, AND OPERATION METHOD OF HEATING DEVICE | 3 |
Masanori Hanzawa | JP | Minowa | 2015-12-31 / 20150381184 - COMPOSITE ELECTRONIC COMPONENT, OSCILLATOR, ELECTRONIC APPARATUS, AND MOBILE OBJECT | 1 |
Masatoshi Hanzawa | JP | Kariya-City | 2015-06-25 / 20150175137 - ELECTRIC PARKING BRAKE CONTROL DEVICE | 7 |
David K. Hanzel | US | Palo Alto | 2015-07-02 / 20150184239 - Polymerases for Nucleotide Analogue Incorporation | 2 |
Andrew J. Hanzel | US | Washington | 2010-08-05 / 20100196132 - Cargo Handling Device for Vehicle | 5 |
David Hanzel | US | Palo Alto | 2010-10-14 / 20100261247 - Active surface coupled polymerases | 3 |
Andries Hanzen | NL | Ede | 2013-08-01 / 20130192492 - Compositions Having Increased Concentrations of Carboxymethylcellulose | 1 |
Cody Hanzik | US | Sonora | 2009-01-22 / 20090023613 - Polysaccharide Treatment Fluid and Method of Treating a Subterranean Formation | 1 |
Jennifer L. Hanzlicek | US | Macedonia | 2014-11-20 / 20140343189 - Low Odor Latex Paint Capable of Reducing Interior Odors | 2 |
Patrick T. Hanzlick | US | Tucson | 2008-08-28 / 20080203220 - AERIAL VEHICLE LAUNCHING SYSTEM AND METHOD | 1 |
Kristin Hanzlik | DE | Bobenheim Am Berg | 2015-10-15 / 20150289510 - HERBICIDAL AZINES | 1 |
Dennis J. Hanzlik | US | Vienna | 2012-06-07 / 20120144494 - SYSTEM AND METHOD FOR NETWORK VULNERABILITY DETECTION AND REPORTING | 2 |
Pavel Hanzlik | CZ | Praha | 2009-06-18 / 20090156660 - PHARMACEUTICAL COMPOSITION CONTAINING TAXANE DERIVATIVE DESTINED FOR THE PREPARATION OF AN INFUSION SOLUTION, METHOD OF PREPARATION THEREOF AND USE THEREOF | 1 |
Edward Deneen Hanzlik | US | Shorewood | 2015-03-12 / 20150068560 - APPARATUS AND METHOD FOR SCANNING AN OBJECT THROUGH A FLUID SPRAY | 1 |
Tomasz Hanzlik | PL | Wroclaw | 2015-01-15 / 20150016635 - Differential Microphone and Method for Driving a Differential Microphone | 1 |
Reinhard Hanzlik | DE | Freising | 2014-11-20 / 20140339074 - WAFER CLAMP ASSEMBLY FOR HOLDING A WAFER DURING A DEPOSITION PROCESS | 1 |
Tomasz E. Hanzlik | PL | Wroclaw | 2011-07-14 / 20110170714 - FAST PRECISION CHARGE PUMP | 1 |
Jason Hanzlik | US | Wahpeton | 2010-01-14 / 20100008712 - FLUID FLOW COUPLING ASSEMBLY AND SYSTEM | 1 |
Jiri Hanzlik | CZ | Prague 10 | 2014-09-18 / 20140280301 - Sequential Deteministic Optimization Based Control System and Method | 3 |
Xiaoguang Hao | US | Ann Arbor | 2015-08-06 / 20150221991 - LITHIUM SULFUR BATTERY CATHODE ELECTRODE SURFACE TREATMENT DURING DISCHARGE | 2 |
Junmin Hao | US | 2015-10-15 / 20150294688 - APPLICATION TUNE MANIFESTS AND TUNE STATE RECOVERY | 1 | |
Wang Hao | CN | Beijing | 2011-03-31 / 20110078590 - METHOD AND APPARATUS FOR COLLABORATIVE GRAPHICAL CREATION | 2 |
Feng Hao | US | Evanston | 2015-10-15 / 20150295194 - LEAD-FREE SOLID-STATE ORGANIC-INORGANIC HALIDE PEROVSKITE PHOTOVOLTAIC CELLS | 1 |
Xu Hao | CN | Taiyuan | 2011-07-21 / 20110174683 - METHOD AND EQUIPMENT FOR MULTISTAGE LIQUEFYING OF CARBONACEOUS SOLID FUEL | 2 |
Shuzhen Hao | US | Palisades Park | 2011-06-09 / 20110136909 - METHOD FOR SUPPRESSING EXCESSIVE APPETITE | 1 |
Jinsong Hao | US | Belle Mead | 2012-02-16 / 20120040975 - BRIDGED BICYCLIC HETEROCYCLE DERIVATIVES AND METHODS OF USE THEREOF | 4 |
Rui Hao | US | Los Angeles | 2010-07-22 / 20100184112 - NOVEL AFFINITY BASED METHOD FOR DRUG TARGET IDENTIFICATION | 1 |
Yudong Hao | US | Fremont | 2009-11-05 / 20090276198 - Modeling Conductive Patterns Using An Effective Model | 1 |
Jianbin Hao | US | San Jose | 2016-03-31 / 20160094043 - APPARATUSES AND RELATED METHODS FOR DETECTING COIL ALIGNMENT WITH A WIRELESS POWER RECEIVER | 3 |
Fangli Hao | US | Cupertino | 2014-12-18 / 20140366968 - COATING METHOD FOR GAS DELIVERY SYSTEM | 3 |
Mingliang Hao | CN | Shenzhen | 2016-03-17 / 20160079729 - Heat Dissipation System for Optical Module | 10 |
Su Hao | CN | Beijing | 2011-10-20 / 20110257959 - GENERATING CHINESE LANGUAGE BANNERS | 1 |
Jinsong Hao | US | Kenilworth | 2011-06-23 / 20110152256 - 1,2,4-TRIAZOLO[4,3-c]PYRIMIDIN-3-ONE AND PYRAZOLO[4,3-e]-1,2,4-TRIAZOLO[4,3-c]PYRIMIDIN-3-ONE COMPOUNDS FOR USE AS ADENOSINE A2a RECEPTOR ANTAGONISTS | 2 |
Jibin Hao | US | Acton | 2013-01-24 / 20130019658 - Accelerated Mixed Gas Integrity Testing Of Porous Materials | 2 |
Ji Hao | US | Boston | 2015-10-01 / 20150276677 - Ultrasensitive Ion Detector Using Carbon Nanotubes or Graphene | 1 |
Zhiming Hao | CN | Xi'An | 2013-11-28 / 20130318262 - Data Transmission Method and Apparatus | 2 |
Xianghong Hao | US | Kingwood | 2015-01-29 / 20150031530 - ENGINE EXHAUST CATALYSTS CONTAINING COPPER-CERIA | 3 |
Xueen George Hao | CN | Beijing | 2015-11-05 / 20150313766 - Absorbent Article with a Multi-Layered Topsheet | 5 |
Ying Hao | CN | Beijing | 2012-01-12 / 20120008829 - METHOD, DEVICE, AND COMPUTER-READABLE MEDIUM FOR DETECTING OBJECT IN DISPLAY AREA | 1 |
Wae-Jone Hao | TW | Zhongli City | 2014-01-16 / 20140015755 - METHOD AND APPARATUS FOR HANDWRITING INPUT USING A TOUCHPAD | 1 |
Jiajie Hao | CN | Hefei City | 2015-04-09 / 20150100719 - DATA BACKUP METHOD AND DEVICE THEREOF | 1 |
Tian Hao | US | Acton | 2015-11-26 / 20150337006 - PREPARATIONS OF HYDROPHOBIC THERAPEUTIC AGENTS, METHODS OF MANUFACTURE AND USE THEREOF | 2 |
Kuo-Wu Hao | TW | Taipei | 2014-04-10 / 20140100085 - TRAINING DEVICE WITH HANGING SEAT | 1 |
Jinguang Hao | CN | Shanghai | 2016-01-28 / 20160028576 - COARSE TIMING | 1 |
Kuo-Wo Hao | TW | Hemei Town | 2013-09-05 / 20130231216 - TABLE FOR USE WITH WORKOUT EQUIPMENT | 1 |
Junmin Hao | US | Sunnyvale | 2011-08-25 / 20110209206 - ACCESS RESTRICTION FOR COMPUTING CONTENT | 1 |
Kuo-Wo Hao | TW | Taipei City | 2015-05-07 / 20150126336 - FLATTENED TREADMILL | 1 |
Xiaolin Hao | US | Foster City | 2015-09-24 / 20150266824 - Pyrazole Amide Derivative | 19 |
Zhaohui Hao | CN | Beijing | 2016-03-03 / 20160063912 - ARRAY SUBSTRATE, ITS MANUFACTURING METHOD, AND DISPLAY DEVICE | 16 |
Yong Hao | US | Pasadena | 2015-01-29 / 20150030529 - ISOTHERMAL SYNTHESIS OF FUELS WITH REACTIVE OXIDES | 1 |
Zhongxian Hao | CN | Beijing | 2015-01-29 / 20150027686 - Crude Oil Lifting System and Method Utilizing Vane Pump for Conveying Fluid | 1 |
Chungtai Hao | CN | Taipei | 2012-04-05 / 20120081199 - ENERGY-SAVING ELECTROMAGNETIC SWITCH DEVICE | 1 |
Ching-Chen Hao | TW | Zhubei | 2013-03-28 / 20130078765 - On-Chip Heat Spreader | 1 |
Xiangyong Hao | CN | Shenzhen | 2016-01-07 / 20160004017 - Optical fiber connector assembly, optical fiber connector plug, and adapter assembly | 1 |
Guohua Hao | US | Forest Hills | 2013-09-26 / 20130254140 - METHOD AND SYSTEM FOR ASSESSING AND UPDATING USER-PREFERENCE INFORMATION | 1 |
Xueshi Hao | US | San Diego | 2014-10-09 / 20140302553 - BIOSYNTHETICALLY GENERATED PYRROLINE-CARBOXY-LYSINE AND SITE SPECIFIC PROTEIN MODIFICATIONS VIA CHEMICAL DERIVATIZATION OF PYRROLINE-CARBOXY-LYSINE AND PYRROLYSINE RESIDUES | 4 |
Yueling Hao | US | Tallahassee | 2016-04-28 / 20160115499 - MATERIALS AND METHODS FOR CONTROLLING BUNDLE SHEATH CELL FATE AND FUNCTION IN PLANTS | 1 |
Jianguang Hao | US | 2016-02-11 / 20160043886 - TRANSFORMATION BETWEEN TIME DOMAIN AND FREQUENCY DOMAIN BASED ON NEARLY ORTHOGONAL FILTER BANKS | 1 | |
Shilong Hao | CN | Qingdao | 2014-09-25 / 20140283314 - WASHING MACHINE AND WASHING MACHINE THEREOF | 1 |
Jinguang Hao | CN | Jiangsu | 2016-02-11 / 20160043886 - TRANSFORMATION BETWEEN TIME DOMAIN AND FREQUENCY DOMAIN BASED ON NEARLY ORTHOGONAL FILTER BANKS | 1 |
Lei Hao | CN | Shanghai | 2015-08-13 / 20150225526 - CROSSLINKED FOAMS HAVING HIGH HARDNESS AND LOW COMPRESSION SET | 2 |
Yuying Hao | CN | Shanxi | 2011-10-27 / 20110263856 - 8-HYDROXYQUINOLINE-BASED WHITE-LIGHT-EMITTING ORGANIC ELECTROLUMINESCENT MATERIAL | 1 |
Xia Guan Hao | CN | Ningbo City | 2011-08-11 / 20110193518 - BATTERY OVERRIDE | 1 |
Jin Hao | US | Palatine | 2015-04-09 / 20150097749 - DUAL BAND DIPOLE ANTENNA FOR UNIVERSAL LTE WIRELESS COMMUNICATION | 2 |
Yunzhi Hao | CN | Chongqing | 2011-01-20 / 20110015030 - CAM SELF-ADAPTIVE AUTOMATIC SPEED SHIFT HUB | 1 |
Chong (ethan) Hao | CN | Beijing | 2014-12-11 / 20140365722 - Solid-State Disk Caching the Top-K Hard-Disk Blocks Selected as a Function of Access Frequency and a Logarithmic System Time | 2 |
Hueli Hao | US | Cleveland | 2015-01-29 / 20150030619 - Activation and Expansion of T Cell Subsets Using Biocompatible Solid Substrates with Tunable Rigidity | 1 |
Yunyun Hao | CN | Shenzhen | 2015-05-07 / 20150123925 - METHOD, APPARATUS, AND DEVICE FOR TOUCH SCREEN VERIFICATION | 1 |
Runbao Hao | CN | Jin Zhong | 2014-12-04 / 20140354973 - Structural health monitoring method and apparatus based on optical fiber bend loss measurement | 3 |
Ji Hong Hao | US | Greencastle | 2015-05-28 / 20150144426 - WORK PLATFORM WITH PROTECTION AGAINST SUSTAINED INVOLUNTARY OPERATION | 2 |
Jianxiu J. Hao | US | Lexington | 2011-05-05 / 20110106613 - ELECTRONIC COUPON DISTRIBUTION, COLLECTION, AND UTILIZATION SYSTEMS AND METHODS | 1 |
Tianyao Hao | CN | Beijing | 2012-11-22 / 20120294123 - COMBINED BROADBAND OCEAN BOTTOM SEISMOGRAPH WITH SINGLE GLASS SPHERE | 1 |
Christine Hao | US | Palo Alto | 2011-09-15 / 20110225266 - STORAGE SYSTEMS AND METHODS | 1 |
Jack Hao | US | Lexington | 2010-04-01 / 20100083125 - CONNECTED ADDRESS BOOK SYSTEMS AND METHODS | 1 |
Jianxiu Hao | US | Lexington | 2016-05-05 / 20160127865 - Method, System, and Computer Program Product for Providing Location Based Services | 22 |
Qing Hao | US | Cambridge | 2011-05-12 / 20110108778 - THERMOELECTRIC SKUTTERUDITE COMPOSITIONS AND METHODS FOR PRODUCING THE SAME | 2 |
Pinghai Hao | US | Plano | 2015-11-12 / 20150325578 - LOW COST TRANSISTORS | 23 |
Ruo Meng Hao | CN | Shanghai | 2016-03-03 / 20160062661 - Generating Initial Copy in Replication Initialization | 2 |
Jin Hao | US | San Deigo | 2012-05-31 / 20120133378 - TRANSMISSION LINE BASED ELECTRIC FENCE WITH INTRUSION LOCATION ABILITY | 1 |
Jin Hao | US | San Diego | 2014-12-04 / 20140354973 - Structural health monitoring method and apparatus based on optical fiber bend loss measurement | 7 |
Xinmin Hao | CN | Beijing | 2012-12-27 / 20120324677 - Processing Line of Bast Fiber | 1 |
Encai Hao | US | Woodbury | 2016-04-28 / 20160116664 - OPTICAL CONSTRUCTIONS INCORPORATING A LIGHT GUIDE AND LOW REFLECTIVE INDEX FILMS | 42 |
Jack J. Hao | US | Lexington | 2013-03-07 / 20130057555 - Transition Animation Methods and Systems | 1 |
Tingyu Hao | CN | Jinan City | 2015-03-19 / 20150077119 - THREE-DIMENSIONAL FOCUSING INDUCED POLARIZATION EQUIPMENT FOR ADVANCED GEOLOGICAL PREDICTION OF WATER INRUSH DISASTER SOURCE IN UNDERGROUND ENGINEERING | 2 |
Peng Hao | CN | Guangdong | 2012-05-17 / 20120120826 - Base Station, Terminal, System And Method For Transmitting Sounding Reference Signal By Using Multiple Antennas | 3 |
Yinxing Hao | CN | Beijing | 2013-02-21 / 20130044195 - LASER ALIGNMENT DEVICE WITH A MOVABLE MIRROR, LASER-TARGET ALIGNMENT SENSOR WITH MOVABLE MIRRORS AND LASER ALIGNMENT METHOD | 1 |
Jian Hao | CN | Shanghai | 2015-01-22 / 20150023192 - DETECTING METHOD AND DEVICE FOR A TERMINAL | 1 |
Meng Hao | CN | Shanghai | 2014-05-29 / 20140149764 - STANDBY CURRENT REDUCTION THROUGH A SWITCHING ARRANGEMENT WITH MULTIPLE REGULATORS | 3 |
Xeuguang Hao | CN | Beijing | 2015-11-05 / 20150317023 - Capacitive in-cell touch panel and display device | 1 |
Hongwei Hao | CN | Beijing | 2014-09-25 / 20140288618 - IMPLANTABLE BIOLOGICAL ELECTRODE AND MEDICAL ASSEMBLY INCLUDING THE SAME | 1 |
Wei Hao | CN | Qingdao | 2013-05-16 / 20130120687 - LED BACKLIGHT SOURCE DRIVE CIRCUIT, LED BACKLIGHT SOURCE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Ming C. Hao | US | Palo Alto | 2016-04-14 / 20160104304 - DISPLAYING VISUAL ANALYTICS OF ENTITY DATA | 48 |
Weiguo Hao | CN | Nanjing | 2016-05-19 / 20160142220 - Method and Apparatus for Processing Multicast Packet on Network Virtualization over Layer 3 (NVO3) Network | 9 |
Sikun Hao | CN | Shenzhen, Guangdong | 2015-11-05 / 20150316823 - PIXEL STRUCTURE | 1 |
Longwei Hao | CN | Wuhan | 2015-11-05 / 20150317793 - METHOD FOR SEPARATING AND ESTIMATING MULTIPLE MOTION PARAMETERS IN X-RAY ANGIOGRAM IMAGE | 1 |
Pengfei Hao | CN | Beijing | 2015-06-11 / 20150163733 - METHOD FOR INFORMATION PROCESSING AND ELECTRONIC APPARATUS THEREOF | 1 |
Ling Hao | US | Grand Rapids | 2012-08-09 / 20120199554 - DECORATIVE SURFACE FINISH AND METHOD OF FORMING SAME | 2 |
Chien-Feng Hao | CN | Dong-Guan City | 2011-11-03 / 20110268154 - TEMPERATURE SENSING MODULE | 1 |
Zhigang Hao | US | Bridgewater | 2016-02-18 / 20160045421 - ORAL CARE COMPOSITIONS AND METHODS OF USE | 8 |
Lihua Hao | CN | Shanxi | 2014-02-06 / 20140033715 - MAIN STREAM TEMPERATURE CONTROL SYSTEM FOR LARGE BOILER | 1 |
Yi-Hsien Hao | US | Saratoga | 2009-01-08 / 20090010160 - Fairness scheme method and apparatus for pause capable and pause incapable ports | 1 |
Wen Hao | US | Industry | 2010-02-25 / 20100043235 - UTILITY KNIFE WITH EXTENDED TRAVEL CARRIAGE | 1 |
Biao Hao | US | Grapevine | 2016-03-24 / 20160086143 - AUTOMATED FINANCIAL TRANSACTIONS | 1 |
Zhiwei Hao | CN | Shenzhen | 2013-01-31 / 20130029638 - Registration or unregistration method for home information machine and home information machine hereof | 1 |
Liu Hao | CN | Zhuhai City | 2015-06-04 / 20150153629 - OPTICAL FILTER LOCK AND ENVIRONMENTAL SEAL | 1 |
Li Hao | US | Cupertino | 2010-09-16 / 20100231797 - VIDEO TRANSITION ASSISTED ERROR RECOVERY FOR VIDEO DATA DELIVERY | 4 |
Jie Hao | US | Dallas | 2011-07-21 / 20110175905 - INFOSHAPE: DISPLAYING MULTIDIMENSIONAL INFORMATION | 1 |
Wen Hao | US | City Of Industry | 2012-01-26 / 20120017443 - SIDE BLADE LOCK AND RELEASE MECHANISM FOR USE WITH A KNIFE | 3 |
Huaixiang Hao | US | Malden | 2015-05-07 / 20150125857 - CANCER PATIENT SELECTION FOR ADMINISTRATION OF Wnt SIGNALING INHIBITORS USING RNF43 MUTATION STATUS | 3 |
Howard Hao | US | Bothell | 2013-02-28 / 20130054533 - VERIFYING A DATA RECOVERY COMPONENT USING A MANAGED INTERFACE | 2 |
Ju-Lan Hao | CN | Shenzhen | 2015-09-24 / 20150268270 - TESTING DEVICE | 6 |
Jian-Yi Hao | CN | Shenzhen | 2008-10-09 / 20080248258 - MOUNTING SUPPORT FOR RETAINING A FLEXIBLE PRINTED CIRCUIT BOARD | 1 |
Qi Hao | CN | Beijing | 2015-06-04 / 20150151772 - DEVICE AND METHOD FOR LOADING AND UNLOADING QUARTZ REACTION TUBE TO AND FROM SEMICONDUCTOR DIFFUSION EQUIPMENT | 1 |
Yan Hao | US | Zionsvillc | / - | 1 |
Xinbao Hao | US | Lawrence | 2015-06-04 / 20150151004 - METHODS AND COMPOSITIONS OF MODULATING TUMOR INITIATING CELLS AND THE USE THEREOF | 1 |
Peng Hao | CN | Guangdong Province | 2012-01-05 / 20120004006 - METHOD AND SYSTEM FOR CONTROLLING AN UPLINK TRANSMITTING POWER, AND A BASE STATION | 10 |
Xu Hao | CN | Shenzhen | 2014-08-28 / 20140238947 - Shelving System | 1 |
Wae-Jone Hao | TW | Jhongli City | 2008-12-18 / 20080313601 - Speech IC Simulation Method, System and Medium thereof | 1 |
Te-Hui Hao | TW | Jhonghe | 2009-02-05 / 20090037334 - ELECTRONIC MEDICAL RECORD SYSTEM, METHOD FOR STORING MEDICAL RECORD DATA IN THE MEDICAL RECORD SYSTEM, AND A PORTABLE ELECTRONIC DEVICE LOADING THE ELECTRONIC MEDICAL RECORD SYSTEM THEREIN | 1 |
Huang-Chia Hao | TW | Taichung City | 2014-03-06 / 20140059824 - Universal Ball Joint Extractor | 1 |
Wei-Hua Hao | TW | Taipei City | 2015-06-04 / 20150153807 - METHOD FOR REDUCING POWER CONSUMPTION AND SENSOR MANAGEMENT SYSTEM FOR THE SAME | 4 |
Ching-Chen Hao | TW | Zhubei City | 2016-01-28 / 20160027702 - MOS Devices with Modulated Performance and Methods for Forming the Same | 12 |
Wei-Hua Hao | TW | Taipei | 2015-07-16 / 20150196537 - Self-Emulsifying Pharmaceutical Compositions of Hydrophilic Drugs and Preparation Thereof | 2 |
Hsu-Chao Hao | TW | Hsin Chu City | 2010-11-18 / 20100288014 - Gas sensor and method thereof | 1 |
Chun-Te Hao | TW | Sanchong City | 2010-11-25 / 20100299463 - Player Device Enabling Fast Deletion and Access | 1 |
Hung-Hu Hao | TW | Hsin-Chu | 2010-12-23 / 20100319174 - Adjusting Mechanism and Adjusting Method Thereof | 1 |
Xiaolin Hao | US | Foster City | 2015-09-24 / 20150266824 - Pyrazole Amide Derivative | 19 |
Encai Hao | US | Woodbury | 2016-04-28 / 20160116664 - OPTICAL CONSTRUCTIONS INCORPORATING A LIGHT GUIDE AND LOW REFLECTIVE INDEX FILMS | 42 |
Ming-Hong Hao | US | Ridgefield | 2012-05-24 / 20120129863 - CHYMASE INHIBITORS | 4 |
Bing Hao | US | Woodbury | 2015-11-19 / 20150330593 - MULTIFUNCTION LIGHTGUIDE TAILIGHT ARTICLE | 9 |
Xianghong Hao | US | Foster City | 2013-12-12 / 20130331258 - PALLADIUM-GOLD CATALYST SYNTHESIS | 3 |
Zhenwu Hao | CN | Shenzhen | 2015-12-10 / 20150358795 - Browser emergency call method, system, and mobile device in real-time communication | 10 |
Jianxiu Hao | US | Lexington | 2016-05-05 / 20160127865 - Method, System, and Computer Program Product for Providing Location Based Services | 22 |
Xin Hao | CN | Shanghai | 2014-04-17 / 20140104911 - CIRCUIT FOR SYNCHRONOUSLY SWITCHING SERIES CONNECTED ELECTRONIC SWITCHES | 1 |
Guangxin Hao | CN | Beijing | 2015-04-16 / 20150106063 - GEAR CONSTRUCTION METHOD AND DIGITAL APPARATUS | 1 |
Jianxu Hao | CN | Baoji City | 2009-02-05 / 20090032613 - COOLING DEVICE FOR INTERIOR AND EXTERIOR SURFACES OF A MUD PUMP LINER | 1 |
Kuang-Tsai Hao | TW | Taipei | 2011-07-07 / 20110165549 - CHILDREN'S STORY AUDIO/VIDEO PLAYING DEVICE | 3 |
Cheng Hao | CN | Shenzhen City | 2013-06-27 / 20130161074 - ELECTRONIC DEVICE WITH HEAT SINK | 2 |
Ching-Chien Hao | TW | Hsinchu City | 2011-07-28 / 20110180841 - ALTERNATING CURRENT DRIVEN LIGHT EMITTING DIODE | 1 |
Nie Hao | CN | Dongguan City | 2010-08-19 / 20100208931 - Structure for an earphone | 1 |
Juan Hao | CN | Beijing | 2015-06-25 / 20150177194 - Dual Robot Detection Apparatus For Non-Damage Detection | 1 |
Yan Hao | US | Zionsville | 2016-04-07 / 20160096831 - MOLECULES HAVING CERTAIN PESTICIDAL UTILITIES, AND INTERMEDIATES, COMPOSITIONS, AND PROCESSES RELATED THERETO | 2 |
Wang Hao | US | Circle Carmel | 2010-06-24 / 20100160542 - HYBRID POLYMER AND METHOD FOR MAKING | 1 |
Jinglai Hao | CN | Shanghai | 2015-11-26 / 20150335648 - HETEROCYCLE-SUBSTITUTED TETRACYCLIC COMPOUNDS AND METHODS OF USE THEREOF FOR THE TREATMENT OF VIRAL DISEASES | 4 |
Hongying Hao | US | Louisivlle | 2014-10-16 / 20140308674 - Characterizing Melanoma | 1 |
Wei Hao | US | Webster | 2013-07-11 / 20130177242 - SUPER-RESOLUTION IMAGE USING SELECTED EDGE PIXELS | 4 |
Lin-Yih Hao | US | Santa Clara | 2013-07-04 / 20130170450 - WIRELESS BROADCAST/MULTICAST SERVICE CAPACITY OVER DIFFERENT LINK BUDGETS AND OVERLAY NETWORKS | 2 |
Eric Hao | US | Cupertino | 2012-05-03 / 20120110392 - MISALIGNMENT PREDICTOR | 4 |
Jianxiu Hao | US | Acton | 2016-03-03 / 20160066025 - IN-HOME SMART VIDEO CACHE | 14 |
Zhihua Hao | CN | Beijing | 2013-07-04 / 20130168759 - FIELD EFFECT TRANSISTOR WITH A VERTICAL CHANNEL AND FABRICATION METHOD THEREOF | 4 |
Jing'An Hao | CN | Shanghai | 2015-07-23 / 20150205215 - EXPOSURE APPARATUS, PHOTOLITHOGRAPHICAL RETICLES AND EXPOSURE METHODS THEREOF | 4 |
Xiujie Hao | CN | Jiangsu | 2015-06-25 / 20150181147 - IMAGE SENSOR AND IMAGE PROCESSING SYSTEM | 1 |
Wei Hao | US | Rochester | 2014-03-27 / 20140086486 - ADJUSTING THE SHARPNESS OF A DIGITAL IMAGE | 2 |
Peng Hao | CN | Shenzhen City | 2014-06-26 / 20140177491 - Base Station, Terminal, System And Method For Data Transmitting In Time-Division Duplex System | 10 |
Wuyang Hao | US | San Jose | 2014-01-09 / 20140010006 - NON-REVERSIBLE STATE AT A BITCELL HAVING A FIRST MAGNETIC TUNNEL JUNCTION AND A SECOND MAGNETIC TUNNEL JUNCTION | 1 |
Wei Hao | US | Superior | 2014-03-27 / 20140086495 - DETERMINING THE ESTIMATED CLUTTER OF DIGITAL IMAGES | 2 |
Wuyang Hao | US | Santa Clara | 2015-10-01 / 20150279451 - EDGE-TRIGGERED PULSE LATCH | 2 |
Tong Hao | GB | Oxford | 2013-10-03 / 20130257434 - ASSET DETECTION APPARATUS AND METHOD | 3 |
Hsu-Chao Hao | TW | Hsinchu City | 2011-09-29 / 20110236877 - BIOSENSOR AND METHOD USING THE SAME TO PERFORM A BIOTEST | 1 |
Zhimin Hao | CH | Allschwil | 2013-07-04 / 20130172575 - Pyrrolopyrrole derivatives, their manufacture and use | 2 |
Thomas Hao | CA | Toronto | 2011-04-21 / 20110090846 - Portable Access Point | 1 |
Junjie Hao | CN | Wuhan | 2012-02-23 / 20120044007 - COMMUNICATION DEVICE | 1 |
Tong Hao | GB | Oxford Oxforshire | 2011-01-13 / 20110006960 - PLANAR TRIPOLAR ANTENNA | 1 |
Tennyson Hao | PH | Quezon City | 2010-07-29 / 20100188410 - GRAPHIC ELEMENT WITH MULTIPLE VISUALIZATIONS IN A PROCESS ENVIRONMENT | 1 |
Ji Yuan Hao | SG | Singapore | 2012-01-19 / 20120013040 - SUBSTRATE CARRIER FOR MOLDING ELECTRONIC DEVICES | 3 |
Xiaojuan Hao | AU | Glen Waverley | 2011-08-04 / 20110190467 - Biological Polysiloxanes | 2 |
Jianzhong Hao | SG | Singapore | 2014-05-01 / 20140119687 - FIBER BRAGG GRATING (FBG) SENSOR | 4 |
Xiao Hao | SG | 2009-08-13 / 20090200938 - FLEXIBLE ORGANIC LIGHT EMITTING DEVICES | 1 | |
Liu Hao | SG | Singapore | 2009-01-01 / 20090004777 - Stacked die semiconductor package and method of assembly | 2 |
Fang Hao | US | Morganville | 2016-02-11 / 20160043929 - PROVIDING CLOUD-BASED SERVICES USING DYNAMIC NETWORK VIRTUALIZATION | 29 |
Jack Jianxiu Hao | US | Lexington | 2014-12-04 / 20140358989 - MEDIA PLAYBACK PROFILE MAPPING | 61 |
Wang Shih Hao | TW | Tainan City | 2014-03-27 / 20140085877 - Heat Dissipation Device for Flashlight | 1 |
Aixiang Hao | CN | Nanjing | 2016-05-05 / 20160121306 - METHOD FOR PREPARING COPPER-ZINC-BASED CATALYST USED IN SYNTHESIS OF METHANOL THROUGH CO2 HYDROGENATION | 2 |
Yollanda Hao | CA | Edmonton | 2015-12-03 / 20150344869 - TRANSFECTION WITH MAGNETIC NANOPARTICLES | 2 |
Hsieh-Ying Hao | TW | Hsinchu City | 2015-09-24 / 20150270397 - BOTTLE-NECK RECESS IN A SEMICONDUCTOR DEVICE | 1 |
Haiping Hao | CN | Shenzhen | 2013-04-11 / 20130089321 - Method and apparatus for implementing self-adaption of cross granularity in optical transport network | 1 |
Yipeng Hao | CN | Guangdong Province | 2012-05-10 / 20120114328 - Method and System for Tracking Signaling in Automatically Switched Optical Network | 1 |
Junmin Hao | CN | Beijing | 2015-09-24 / 20150271168 - METHOD, DEVICE, AND SYSTEM ESTABLISHING A WIRELESS COMMUNICATION CHANNEL BETWEEN ELECTRONIC DEVICES | 1 |
Tao Hao | CN | Shenzhen | 2015-08-20 / 20150235621 - Image Processing Method and Device | 1 |
Li Hao | CN | Shenzhen | 2015-08-20 / 20150237531 - Method and System for Determining QoS of WLAN Service in Backhaul Network | 1 |
Zhigang Hao | CN | Hunan | 2016-05-05 / 20160121334 - HAMMER CRUSHER | 1 |
Qiang Hao | US | Morgantown | 2013-07-04 / 20130170544 - METHODS AND APPARATUS FOR PRUNING DECISION OPTIMIZATION IN EXAMPLE-BASED DATA PRUNING COMPRESSION | 2 |
Hongying Hao | US | Louisville | 2015-05-21 / 20150141530 - METHOD AND SYSTEM FOR PREDICTING RECURRENCE AND NON-RECURRENCE OF MELANOMA USING SENTINEL LYMPH NODE BIOMARKERS | 1 |
Shouzhu Hao | CN | Beijing | 2009-07-02 / 20090170810 - METHODS OF TREATMENT OF CARDIOVASCULAR AND CEREBROVASCULAR DISEASES WITH LOW MOLECULAR WEIGHT FUCOIDAN | 3 |
Shulin Hao | CN | Shenyang | 2014-07-31 / 20140213598 - SUBSTITUTED CYANOANILINE COMPOUNDS, PREPARATION AND USE THEREOF | 1 |
Guohua Hao | US | Vernon Hills | 2014-09-11 / 20140258204 - INFERRING ATTRIBUTE AND ITEM PREFERENCES | 6 |
Wang Hao | CA | London | 2015-03-19 / 20150080740 - OPTICAL TARGETING AND VISUALIZATION OF TRAJECTORIES | 1 |
Hao Hao | NZ | Auckland | 2016-05-05 / 20160126746 - SYSTEMS, METHODS, AND APPARATUS FOR INTEGRATED TUNING CAPACITORS IN CHARGING COIL STRUCTURE | 1 |
Zhangying Hao | US | Athens | 2013-04-25 / 20130102022 - PLANTS WITH ALTERED CELL WALL BIOSYNTHESIS AND METHODS OF USE | 1 |
Xiuchun Hao | JP | Himeji-Shi Hyogo | 2014-03-27 / 20140088890 - METHOD FOR TEMPERATURE COMPENSATION IN SENSOR, COMPUTATION PROGRAM FOR METHOD FOR TEMPERATURE COMPENSATION, COMPUTATION PROCESSING DEVICE, AND SENSOR | 1 |
Dongbo Hao | CN | Shenzhen | 2014-08-07 / 20140223236 - DEVICE FOR TESTING A GRAPHICS CARD | 3 |
Yong Hao | CA | Vancouver | 2014-01-23 / 20140025022 - DRUG DELIVERY SYSTEM AND METHODS OF TREATING OPEN ANGLE GLAUCOMA AND OCULAR HYPERTENSION | 3 |
Peng Hao | CN | Shenzhen City | 2014-06-26 / 20140177491 - Base Station, Terminal, System And Method For Data Transmitting In Time-Division Duplex System | 10 |
Jian Hao | CN | Hangzhou City | 2013-03-21 / 20130071876 - Method for Utilizing Soft X-Ray Microimaging for Cancer Cell Image Recognition | 1 |
Jie Hao | CN | Beijing | 2015-07-02 / 20150186361 - METHOD AND APPARATUS FOR IMPROVING A BILINGUAL CORPUS, MACHINE TRANSLATION METHOD AND APPARATUS | 5 |
Jihua Hao | US | Cleveland | 2010-03-11 / 20100062968 - Novel strategies for delivery of active agents using micelles and particles | 2 |
Wuyang Hao | US | San Diego | 2013-05-16 / 20130120050 - LOW-POWER VOLTAGE REFERENCE CIRCUIT | 5 |
Chia-Wei Hao | TW | Hsin-Chu | 2013-04-25 / 20130100103 - DRIVING METHOD OF BI-STABLE DISPLAY PANEL | 1 |
Ching-Chen Hao | TW | Zhubei City | 2016-01-28 / 20160027702 - MOS Devices with Modulated Performance and Methods for Forming the Same | 12 |
Jin Hao | US | Fox Point | 2010-07-22 / 20100182204 - Antenna For Sealed Transmitter Assembly In Subsurface Utility Installations | 3 |
Yingjuan Hao | CN | Xiamen | 2010-11-11 / 20100286448 - SUPPORTED MO-O-K-MExOy CATALYST FOR THE SYNTHESIS OF METHANETHIOL FROM HIGH H2S-CONTAINING SYNGAS | 1 |
Wugan Hao | CN | Shanghai | 2016-04-21 / 20160106456 - ULTRASONIC SURGICAL INSTRUMENT AND ULTRASONIC SURGICAL SYSTEM | 1 |
Bing Hao | US | Woodbury | 2015-11-19 / 20150330593 - MULTIFUNCTION LIGHTGUIDE TAILIGHT ARTICLE | 9 |
Yunyun Hao | CN | Shenzhen City | 2014-11-20 / 20140341445 - SYSTEM AND METHOD FOR IDENTITY AUTHENTICATION BASED ON FACE RECOGNITION, AND COMPUTER STORAGE MEDIUM | 1 |
Hui Hao | CN | Shenzhen City | 2014-11-20 / 20140344239 - METHOD, DEVICE AND STORING MEDIUM FOR SEARCHING | 1 |
Zhuqing Hao | CN | Beijing | 2011-07-28 / 20110181717 - INCLINED IMAGE CAPTURE DEVICE AND FACIAL RECOGNITION SYSTEM | 1 |
Ning Hao | CN | Beijing | 2012-09-27 / 20120245262 - POLYCARBONATE COMPOSITION WITH IMPROVED IMPACT STRENGTH | 1 |
Yun Hao | CN | Dandong | 2013-03-14 / 20130062860 - Articulated Chassis System of Large Articulated Vehicle | 1 |
Tseng Chia Hao | TW | Hsinchu City | 2012-09-27 / 20120245882 - WAFER TILT DETECTION SYSTEM | 1 |
Jian-Yi Hao | CN | Shenzhen City | 2009-02-26 / 20090050677 - METHOD OF WELDING ELECTRONIC COMPONENTS ON PCBS | 1 |
Xueen Hao | CN | Beijing | 2015-05-14 / 20150133884 - Absorbent Personal Care Articles having Longitudinally Oriented Layers in Discrete Portions | 1 |
Xiang Yu Hao | CN | Beijing | 2012-07-26 / 20120191759 - METHOD AND DEVICE FOR CUSTOMIZING A MAIL HISTORY | 2 |
Liu Hao | CN | Beijing | 2015-08-20 / 20150234733 - SOFTWARE TESTING | 1 |
Szu-Chia Hao | TW | Taipei City | 2013-12-26 / 20130345029 - PULLING ROPE FIXING STRUCTURE | 1 |
Wu Hai Hao | CN | Beijing | 2014-11-27 / 20140349535 - Waterproof Breathable Trilobal Laminated Stretch Fabric | 1 |
Shuang Hao | US | Atlanta | 2011-11-17 / 20110283357 - SYSTEMS AND METHODS FOR IDENTIFYING MALICIOUS DOMAINS USING INTERNET-WIDE DNS LOOKUP PATTERNS | 1 |
Zhenwu Hao | CN | Shenzhen | 2015-12-10 / 20150358795 - Browser emergency call method, system, and mobile device in real-time communication | 10 |
En-Long Hao | CN | Shenzhen City | 2010-06-17 / 20100149812 - DISPLAY DEVICE | 4 |
Jennifer Fangli Hao | US | Cupertino | 2012-03-22 / 20120070996 - POLAR REGIONS FOR ELECTROSTATIC DE-CHUCKING WITH LIFT PINS | 1 |
Jingquan Hao | CN | Yantai, Shandong Province | 2010-06-03 / 20100137634 - Hole jet reactor and a process for the preparation of an isocyanate using the reactor | 1 |
Jiadong Hao | CN | Beijing | 2012-06-14 / 20120151051 - METHOD, SYSTEM AND DEVICE FOR SEARCHING ACTIVE PEER IN P2P STREAMING MEDIA SYSTEM | 1 |
Shouwei Hao | US | Gilroy | 2016-02-11 / 20160043369 - PRE-TREATING SEPARATOR TO ENABLE SEPARATOR FOR PICK AND PLACE OPERATION | 4 |
Xuanli Hao | CN | Beijing | 2009-05-21 / 20090126475 - Determining Permeability Using Formation Testing Data | 1 |
Xiaojun Hao | CN | Beijing | 2014-11-27 / 20140351936 - FREQUENCY-VARIABLE ANTI-VIRUS TECHNOLOGY | 1 |
Peng Hao | CN | Guangdong Province | 2012-01-05 / 20120004006 - METHOD AND SYSTEM FOR CONTROLLING AN UPLINK TRANSMITTING POWER, AND A BASE STATION | 10 |
Jifa Hao | US | Scarborough | 2016-02-04 / 20160035883 - REDUCTION OF DEGRADATION DUE TO HOT CARRIER INJECTION | 6 |
Zhenwu Hao | CN | Guangdong | 2010-08-05 / 20100195542 - LATE CALL FORWARDING METHOD IN IP MULTIMEDIA CORE NETWORK SUBSYSTEM CENTRALIZED SERVICE | 1 |
Weiguo Hao | CN | Nanjing | 2016-05-19 / 20160142220 - Method and Apparatus for Processing Multicast Packet on Network Virtualization over Layer 3 (NVO3) Network | 9 |
Ming-Hong Hao | US | Quincy | 2016-05-12 / 20160130237 - PYRIMIDINE FGFR4 INHIBITORS | 1 |
Qinfen Hao | CN | Beijing | 2016-04-21 / 20160112780 - Interconnection System, Apparatus, and Data Transmission Method | 1 |
Weihua Hao | TW | Taipei | 2012-11-01 / 20120276198 - PHARMACEUTICAL COMPOSITION FOR TREATING PARKINSON'S DISEASE AND PREPARATION METHOD THEREOF | 1 |
Junliang Hao | US | Carmel | 2014-12-04 / 20140357664 - 3,4-DIHYDROISOQUINOLIN-2(1H)-YL COMPOUNDS | 1 |
Zengchao Hao | US | Norman | 2014-11-20 / 20140343855 - Drought Monitoring and Prediction Tools | 1 |
Yujun Hao | US | Cleveland | 2014-12-04 / 20140359799 - TARGETED GENE MODIFICATION USING HYBRID RECOMBINANT ADENO-ASSOCIATED VIRUS | 1 |
Zhenwu Hao | CN | Guangdong Province | 2011-06-16 / 20110145388 - METHOD, SYSTEM, AND DEVICE FOR REALIZING REGISTRATION MECHANISM OF IP MULTIMEDIA SUBSYSTEM | 2 |
Zhenwu Hao | CN | Shenzhen City | 2014-12-04 / 20140357300 - SYSTEM AND METHOD FOR ACQUIRING USER LOCATION THROUGH USER BEARER IDENTIFIER | 9 |
Encai Hao | US | St. Paul | 2015-11-19 / 20150330597 - FRONT-LIT REFLECTIVE DISPLAY DEVICE | 1 |
Xin Hao | US | Wilsonvile | 2009-09-17 / 20090235213 - Layout-Versus-Schematic Analysis For Symmetric Circuits | 1 |
Yujun Hao | US | Cleveland Heights | 2014-01-02 / 20140005119 - COMPOSITIONS AND METHODS FOR INHIBITING THE ACTIVITY OF P110a MUTANT PROTEINS | 1 |
Jia Hao | JP | Tokyo | 2015-12-24 / 20150371088 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER-READABLE MEDIUM | 1 |
Gang Hao | US | Belmont | 2014-11-20 / 20140341917 - ANTI-PD-L1 ANTIBODIES AND USES THEREOF | 1 |
Li Hao | SG | Singapore | 2014-07-17 / 20140200197 - HIV PROTEASE INHIBITORS | 1 |
Jun Hao | CN | Anhui | 2014-07-17 / 20140200888 - System and Method for Generating a Script for a Web Conference | 1 |
Zhenwu Hao | CN | Shenzhen City | 2014-12-04 / 20140357300 - SYSTEM AND METHOD FOR ACQUIRING USER LOCATION THROUGH USER BEARER IDENTIFIER | 9 |
Jia Hao | CN | Beijing | 2014-07-31 / 20140211917 - APPARATUS AND METHOD FOR RAY SCANNING IMAGING | 3 |
Jianhua Hao | HK | Hong Kong | 2015-02-26 / 20150054037 - SEMICONDUCTOR GALLIUM ARSENIDE COMPATIBLE EPITAXIAL FERROELECTRIC DEVICES FOR MICROWAVE TUNABLE APPLICATION | 2 |
Gan Hao | US | Gaithersburg | 2010-11-11 / 20100285945 - MITIGATION OF SECONDARY PHASE FORMATION DURING WASTE VITRIFICATION | 1 |
Xiaohui Hao | US | Blaine | 2012-02-23 / 20120046548 - HANDHELD ULTRASOUND COLOR FLOW IMAGING SYSTEM WITH MECHANICALLY SCANNED, MECHANICALLY FOCUSED MULTI-ELEMENT TRANSDUCERS | 2 |
Fang Hao | CN | Wuxi | 2013-07-25 / 20130187257 - Semiconductor device and method for manufacturing the same | 1 |
Eilene Hao | US | Bellevue | 2010-09-23 / 20100242013 - User Code Workflow Activities | 2 |
Jianhua Hao | CN | Hong Kong | 2013-09-26 / 20130251995 - WATER-SOLUBLE NANOPARTICLES EXHIBITING UP-CONVERSION LUMINESCENCE, AND A METHOD OF ONE-POT SYNTHESIS THEREOF | 1 |
Xiujuan Hao | US | Chantilly | 2016-02-11 / 20160041154 - IMMUNOASSAYS OF S-ADENOSYLMETHIONINE AND METHYLATION INDEX IN PERSONALIZED MEDICINE AND HEALTH EVALUATION | 3 |
Yan Hao | CN | Shanghai | 2015-08-06 / 20150220371 - ENERGY AWARE INFORMATION PROCESSING FRAMEWORK FOR COMPUTATION AND COMMUNICATION DEVICES COUPLED TO A CLOUD | 2 |
Stephanie Hao | US | Brier | 2014-04-03 / 20140094710 - COMPUTATONAL TOOL FOR PRE-SURGICAL EVALUATION OF PATIENTS WITH MEDICALLY REFRACTORY EPILEPSY | 1 |
Yang Hao | TW | Zhongli City | 2012-07-12 / 20120175484 - DUAL-LAYER SUCTION CUP | 2 |
Zhigang Hao | CN | Changsha | 2010-11-18 / 20100288865 - GRINDING DEVICE OF VERTICAL GRINDER | 1 |
Yuyou Hao | CN | Liaoning | 2013-06-13 / 20130150316 - LEVOCARRIMYCIN, PHARMACEUTICAL COMPOSITIONS, PREPARATION METHODS AND USES THEREOF | 2 |
Ping Hao | US | Waxhaw | 2014-07-24 / 20140205781 - SILICONE ESPUN PTFE COMPOSITES | 2 |
Ruibing Hao | CN | Shanghai | 2011-01-20 / 20110013590 - METHOD FOR HANDOVER OF TERMINAL, NETWORK ELEMENT, BASE STATION, AND COMMUNICATION SYSTEM | 1 |
Liangliang Hao | US | Evanston | 2013-08-22 / 20130217124 - MODIFIED SILICA SHELL PARTICLES, AND METHODS OF MAKING AND USING THE SAME | 1 |
Yushan Hao | CN | Hebei | 2012-05-03 / 20120109586 - MEASURING DEVICE AND MEASURING METHOD FOR CONTINUOUS PHYSICAL QUANTITY | 1 |
Wenda Hao | JP | Tokyo | 2015-10-01 / 20150282320 - Mounting Structure of Module Perpendicularly Disposed On Substrate | 1 |
Rui Hao | US | Durham | 2011-11-24 / 20110288153 - TRANSLATION FACTORS AS ANTI-AGING DRUG TARGETS | 1 |
Yan Hao | CN | Xintai | 2010-11-25 / 20100298385 - PROTEIN KINASE INHIBITORS USEFUL FOR TREATMENT OF CANCERS | 1 |
Xiuli Hao | CN | Shenzhen | 2009-02-26 / 20090052632 - CENTER OFFICE WIDEBAND DEVICE WITH SELF-DETECTION FUNCTION AND DETECTING METHOD THEREOF | 1 |
Zhixin Hao | CN | Shenzhen City | 2015-08-13 / 20150227497 - METHOD AND APPARATUS FOR IDENTIFYING GARBAGE TEMPLATE ARTICLE | 1 |
Qingjun Hao | CN | Wujiang Jiangsu | 2014-03-06 / 20140061333 - INTEGRATED SCR REDUCING AGENT STORAGE DEVICE | 2 |
Biao Hao | US | Bedford | 2008-11-20 / 20080285481 - TECHNIQUE FOR DEFINING AND DYNAMICALLY ENABLING SERVICE LEVEL REQUIREMENTS IN A SERVICE ORIENTED ARCHITECTURE | 2 |
Xueguang Hao | CN | Beijing | 2016-01-28 / 20160027371 - SHIFT REGISTER UNIT, GATE DRIVING CIRCUIT AND DISPLAY DEVICE | 3 |
Bei Hao | CN | Xian | 2010-09-30 / 20100248487 - METHOD AND APPARATUS FOR ELIMINATION OF MICRO-TRENCHING DURING ETCHING OF A HARDMASK LAYER | 1 |
Tianwei Hao | CN | Kowloon | 2013-10-03 / 20130256223 - BIOLOGICAL WASTEWATER TREATMENT AND REUSE UTILIZING SULFUR COMPOUNDS AS ELECTRON CARRIER TO MINIMIZE SLUDGE PRODUCTION | 1 |
Zengyu Hao | CN | Beijing City | 2013-03-07 / 20130059586 - NETWORK SEARCHING METHODS AND APPARATUSES FOR MULTI-MODE USER EQUIPMENT | 1 |
Hai-Yan Hao | CN | Beijing | 2013-09-12 / 20130236834 - CARBON NANOTUBE SLURRY, METHOD FOR MAKING THE SAME, AND METHOD FOR MAKING CATHOD EMITTER USING THE SAME | 12 |
Qing Yun Hao | CN | Beijing | 2014-04-03 / 20140095470 - DATABASE QUERY IN A SHARE-NOTHING DATABASE ARCHITECTURE | 1 |
Jiangtao Hao | CN | Beijing | 2013-05-16 / 20130121141 - METHOD, DEVICE, AND SYSTEM FOR LINK AGGREGATION FAILURE PROTECTION | 1 |
Hong-Wei Hao | CN | Beijing | 2014-03-13 / 20140074200 - IMPLANTABLE LEAD AND MEDICAL DEVICE USING THE SAME | 2 |
Han Hao | CN | Beijing | 2013-12-26 / 20130346492 - Content Reading System and Method | 1 |
Jianjun Hao | US | Austin | 2016-01-28 / 20160028079 - OXIDE SHELL FORMATION ON INORGANIC SUBSTRATE VIA OXIDATIVE POLYOXOANION SALT DEPOSITION | 4 |
Mingliang Hao | CN | Shenzhen | 2016-03-17 / 20160079729 - Heat Dissipation System for Optical Module | 10 |
Kang Yu Hao | TW | Taipei City | 2013-03-14 / 20130061756 - ADSORPTION UNIT, ADSORTION DEVICE, AND METHOD FOR REGENERATING THEREOF | 1 |
Deli Hao | CN | Shandong | 2011-02-03 / 20110027643 - Button Type Cell Battery with Metallic Framework | 1 |
Peng Hao | US | Cliffside Park | 2015-09-10 / 20150254566 - AUTOMATED DETECTION OF DECEPTION IN SHORT AND MULTILINGUAL ELECTRONIC MESSAGES | 2 |
Yan-Fei Hao | CN | Suzhou City | 2010-05-20 / 20100123853 - LIQUID CRYSTAL DISPLAY PANEL AND APPARATUS COMPRISING THE SAME | 1 |
Zhiqi Hao | CA | Richmond Hill | 2016-05-12 / 20160134865 - CONTROLLING POWER CONSUMPTION IN VIDEO ENCODING BASED ON INFORMATION REGARDING STATIC AMOUNT OF AN IMAGE FRAME | 1 |
Dandan Hao | CN | Beijing | 2016-05-05 / 20160127971 - HANDLING OF GAPS IN USE OF A RADIO TRANSCEIVER | 2 |
Guiqing Hao | CN | Beijing | 2016-04-07 / 20160097377 - High-Pressure Fluid Mixing Pump Control System and Fluid Suction Control Method | 1 |
Peng Hao | CN | Shenzhen City, Guangdong Province | 2016-05-12 / 20160134458 - Control Information Transmission Method and Sending and Receiving Device | 1 |
Lifei Hao | CN | Shanghai | 2015-05-21 / 20150141563 - HYDROPHOBIC AND OLEOPHOBIC COATING COMPOSITION | 2 |
Tianyong Hao | CN | Hong Kong | 2013-12-19 / 20130338994 - METHOD, SYSTEM AND MEDIUM FOR CHARACTER CONVERSION BETWEEN DIFFERENT REGIONAL VERSIONS OF A LANGUAGE ESPECIALLY BETWEEN SIMPLIFIED CHINESE AND TRADITIONAL CHINESE | 1 |
Zhibin Hao | CN | Tianjin | 2014-06-05 / 20140157051 - METHOD AND DEVICE FOR DEBUGGING A MIPS-STRUCTURE CPU WITH SOUTHBRIDGE AND NORTHBRIDGE CHIPSETS | 1 |
Lijing Hao | CN | Shanghai | 2011-02-03 / 20110026411 - METHODS AND SYSTEMS FOR FAIL-SAFE COMMUNICATION | 1 |
Ji Hao | CN | Beijing | 2016-02-25 / 20160054612 - DISPLAY DEVICE AND ASSEMBLING METHOD THEREOF | 1 |
Weimin Hao | CN | Beijing | 2015-10-29 / 20150311981 - SINGLE-CORE OPTICAL TRANSCEIVER | 1 |
Zhongtian Hao | CN | Beijing | 2016-04-07 / 20160097377 - High-Pressure Fluid Mixing Pump Control System and Fluid Suction Control Method | 1 |
Chen Hao | CN | Beijing | 2013-02-28 / 20130055381 - CREATION OF RHYTHMIC PASSWORD AND AUTHENTICATION BASED ON RHYTHMIC PASSWORD | 3 |
Yongjiang Hao | CN | Shanghai | 2015-10-29 / 20150308338 - GAS TURBINE INLET HAVING INTEGRATED COILS AND MIST REDUCING VANES | 5 |
Yuhua Hao | CN | Beijing | 2015-10-29 / 20150307569 - HETEROGENEOUS NUCLEAR RIBONUCLEOPROTEIN A2* (HNRNP A2*) AND NUCLEIC ACID ENCODING THE SAME | 1 |
Jian Hao | CN | Beijing | 2010-02-04 / 20100028965 - Method for producing 1,3-propanediol using crude glycerol, a by-product from biodiesel production | 2 |
Pei Hao | CN | Shanghai | 2011-01-13 / 20110010100 - FUNCTIONAL DOMAIN ANALYSIS METHOD AND SYSTEM | 1 |
Zhili Hao | US | Virginia Beach | 2009-03-26 / 20090083011 - Predictive system and method for the design of mechanical resonant devices | 1 |
Weibing Hao | US | Vestal | 2009-02-26 / 20090049890 - MULTI-MODULED NANOPARTICLE-STRUCTURED SENSING ARRAY AND PATTERN RECOGNITION DEVICE FOR DETECTION OF ACETONE IN BREATH | 1 |
Su Hao | US | Irvine | 2014-10-30 / 20140318043 - Class of Bearings to Protect Structures from Earthquake and Other Similar Hazards | 1 |
Jinsong Hao | US | Belle Meade | 2011-05-19 / 20110118286 - BICYCLIC HETEROCYCLE DERIVATIVES AND THEIR USE AS GPCR MODULATORS | 1 |
Chenxi Hao | GB | London | 2015-07-16 / 20150200717 - METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING A SIGNAL IN MIMO BROADCAST CHANNEL WITH IMPERFECT CSIT | 1 |
Tele Hao | FI | Helsinki | 2015-07-16 / 20150199744 - SYSTEM FOR CLUSTERING AND AGGREGATING DATA FROM MULTIPLE SOURCES | 1 |
Zhong-Tao Hao | CN | Nanjing | 2010-06-03 / 20100136983 - METHOD OF USING AVAILABLE MOBILE COMMUNICATION TERMINAL AS RELAY STATION | 1 |
Yang Hao | MY | Kuching | 2016-02-25 / 20160056305 - SEMICONDUCTOR DEVICE | 2 |
Xiaojing Hao | AU | Matraville | 2014-01-23 / 20140020748 - METHOD OF FORMING A GERMANIUM LAYER ON A SILICON SUBSTRATE AND A PHOTOVOLTAIC DEVICE INCLUDING A GERMANIUM LAYER | 1 |
Zhang Hao | CN | Beijing | 2010-04-08 / 20100088587 - METHOD AND APPARATUS FOR PROVIDING INTER-VERSION DOCUMENT COMPATIBILITY | 1 |
Zhiying Hao | CN | Tianjin | 2010-04-08 / 20100084224 - MACHINE MOUNTING IN A MACHINE ROOMLESS ELEVATOR SYSTEM | 1 |
Hui Hao | CN | Shanghai | 2013-08-22 / 20130216392 - WIND TURBINE, ROTOR BLADE, AND OBSTRUCTION REMOVAL SYSTEM FOR ROTOR BLADE | 1 |
Wei-Dong Hao | CN | Shenzhen | 2011-05-05 / 20110102292 - DEVICE HOUSING AND METHOD FOR MAKING THE SAME | 3 |
Yanqin Hao | CN | Beijing | 2013-06-20 / 20130158174 - ADDITIVES AND METHODS FOR TERMINATING POLYMERIZATION AND/OR REDUCING VISCOSITY OF POLYMER SOLUTION | 1 |
Ruiying Hao | US | San Jose | 2015-02-12 / 20150040979 - Silicon Wafers with p-n Junctions by Epitaxial Deposition and Devices Fabricated Therefrom | 1 |
Jianxiu Hao | US | Acton | 2016-03-03 / 20160066025 - IN-HOME SMART VIDEO CACHE | 14 |
Jack Jianxiu Hao | US | Acton | 2015-05-28 / 20150149589 - FILE DOWNLOADS USING BROADBAND WIRELESS MULTICAST | 2 |
Jun Hao | CN | Guangzhou | 2012-01-26 / 20120021130 - METHOD FOR INHIBITING DECOMPOSITION OF METAL SULFIDE-CONTAINING MATERIAL | 1 |
Yonggang Hao | US | Waltham | 2013-06-06 / 20130142128 - NON-CODEBOOK BASED PRECODING FOR MULTI-USER MIMO DOWNLINK | 7 |
Jiangang Hao | US | Princeton | 2016-05-12 / 20160133147 - Generating Scores and Feedback for Writing Assessment and Instruction Using Electronic Process Logs | 2 |
Xiaojiang Hao | CN | Kunming, Yunnan | 2016-03-24 / 20160083364 - Phenanthridine Derivatives, Preparation Methods and Uses Thereof | 1 |
Zhigang Hao | US | Bridgewater | 2016-02-18 / 20160045421 - ORAL CARE COMPOSITIONS AND METHODS OF USE | 8 |
Zhiqin Hao | CN | Shenzhen | 2011-06-23 / 20110151900 - SYSTEM, METHOD, AND DEVICE FOR RADIO FREQUENCY COMMUNICATION | 1 |
Yanna Hao | CN | Shanghai | 2010-09-16 / 20100231520 - INFORMATION EXCHANGE DEVICE | 1 |
Liwu Hao | US | Redmond | 2015-04-30 / 20150121343 - TEST IMPACT ANALYSIS USING CROSS REFERENCE DATA AND METADATA | 1 |
Yue Hao | CN | Shaanxi | 2012-01-26 / 20120018753 - ULTRAVIOLET LIGHT EMITTING DIODE DEVICES AND METHODS FOR FABRICATING THE SAME | 1 |
Hai-Yan Hao | CN | Beijing | 2013-09-12 / 20130236834 - CARBON NANOTUBE SLURRY, METHOD FOR MAKING THE SAME, AND METHOD FOR MAKING CATHOD EMITTER USING THE SAME | 12 |
Huaqi Hao | CN | Shenzhen | 2014-04-24 / 20140111961 - Wireless Broadband Device | 2 |
Yang Hao | CN | Shanghai | 2010-02-04 / 20100027363 - REFRESH CONTROLLER AND REFRESH CONTROLLING METHOD FOR EMBEDDED DRAM | 1 |
Xiangyang Hao | CN | Shanghai | / - | 1 |
Qun Hao | CN | Shanghai | 2011-02-24 / 20110046379 - Quinoline Compounds, Intermediates, Preparation Methods and Uses Thereof | 2 |
Ping Hao | CN | Suzhou | 2010-06-17 / 20100151719 - ELECTRONIC STORAGE DEVICE HAVING MULTIPLE-DIRECTION ROTATION AND ALLOCATION ELECTRICAL CONNECTOR | 1 |
Haidong Hao | CN | Beijing | 2012-07-12 / 20120176345 - TOUCH SCREEN, TOUCH SYSTEM AND METHOD FOR POSITIONING A TOUCH OBJECT IN TOUCH SYSTEM | 1 |
Yong Hao | US | Vancouver | 2014-11-27 / 20140350103 - SUSTAINED RELEASE DELIVERY OF ACTIVE AGENTS TO TREAT GLAUCOMA AND OCULAR HYPERTENSION | 1 |
Kangli Hao | KR | Suwon-Si | 2014-11-27 / 20140351832 - ELECTRONIC DEVICE USING FRAMEWORK INTERFACE FOR COMMUNICATION | 2 |
Mengliang Hao | CN | Shenzhen | 2012-04-19 / 20120090821 - INSERT BOX WITH FRONT AND REAR INSERTION AND HEAT DISSIPATION METHOD THEREOF | 1 |
Guangye Hao | CN | Beijing | 2015-04-16 / 20150103266 - TOUCH SCREEN, THE MANUFACTURING METHOD OF THE TOUCH SCREEN AND DISPLAY DEVICE | 4 |
Alvin Hao | US | Cerritos | 2013-02-14 / 20130038216 - REMOTE CONTROLLED ELECTRONIC BALLAST WITH DIGITAL DISPLAY | 1 |
Yutao Hao | CN | Beijing | 2016-02-04 / 20160034090 - TOUCH SYSTEM AND DISPLAY DEVICE | 4 |
Zhibin Hao | CN | Beijing | 2014-06-19 / 20140165696 - Tapping Hammer for Tapping Test | 1 |
Qing Hao | US | Tucson | 2015-03-12 / 20150068574 - METHODS FOR HIGH FIGURE-OF-MERIT IN NANOSTRUCTURED THERMOELECTRIC MATERIALS | 2 |
Zhonglin Hao | US | Gray | 2008-11-06 / 20080274117 - Validation of Tssk Family Members and Tsks as Male Contraceptive Targets | 1 |
Wenyue Hao | CN | Tianjin | 2016-03-17 / 20160074843 - USE OF CATALYST PREPARED WITH A SUBGROUP VI ELEMENT FOR THE PRODUCTION OF ORGANIC CHEMICALS AND FUELS FROM LIGNIN | 1 |
Yaowu Hao | US | Grapevine | 2011-12-22 / 20110311822 - HOLLOW NANOPARTICLES AND NANOCOMPOSITES AND METHODS OF MAKING HOLLOW NANOPARTICLES AND NANOCOMPOSITES | 1 |
Qiang Hao | CN | Tianjin | 2014-01-30 / 20140029856 - THREE-DIMENSIONAL VISUAL PHRASES FOR OBJECT RECOGNITION | 4 |
Cai Jun Hao | CN | Guangdong Province | 2012-05-03 / 20120106172 - Encapsulating method for sealed and water-proof LED and luminescent holder | 3 |
Rebecca Li Hao | US | Winchester | 2015-12-17 / 20150359477 - SYSTEMS AND METHODS FOR ASSESSING POSSIBLE COGNITIVE IMPAIRMENT | 1 |
Lifang Hao | CN | Nanjing | 2013-08-22 / 20130214759 - LONG-DISTANCE CONSTANT-VOLTAGE ELECTRICITY-FEEDING METHOD WITH WAKE-UP FUNCTION AND SYSTEM | 1 |
Yaoshan Hao | CN | Taiyuan | 2013-09-05 / 20130232639 - SONICATION-ASSISTED POLLEN-MEDIATED PLANT TRANSFORMATION METHOD | 1 |
Yaowu Hao | US | Southlake | 2015-11-12 / 20150320895 - RADIOACTIVE NANOPARTICLES AND METHODS OF MAKING AND USING THE SAME | 1 |
Lifang Hao | CN | Jiangsu | 2013-08-22 / 20130219204 - METHOD AND SYSTEM FOR WAKING UP REMOTE DEVICES | 1 |
Boyi Hao | US | Houghton | 2016-05-19 / 20160137502 - BORON NITRIDE NANOSHEETS AND METHODS OF MAKING AND USING THE SAME | 1 |
Zhaohui Hao | CN | Beijing | 2016-03-03 / 20160063912 - ARRAY SUBSTRATE, ITS MANUFACTURING METHOD, AND DISPLAY DEVICE | 16 |
Yushan Hao | CN | Baoding City | 2015-10-01 / 20150280943 - Data Sampling Method and System, and Application Method and System Thereof in Parameter Identification | 3 |
Ying Hao | US | Sammamish | 2015-10-22 / 20150302514 - BROADCAST DISCOVERY VIA ELECTRONIC MARKETPLACE | 1 |
Lili Hao | CN | Beijing | 2010-07-22 / 20100183668 - USE OF COCCIDIAN | 1 |
Sikun Hao | CN | Shenzhen | 2015-09-24 / 20150268501 - METHOD FOR PRODUCING SPACER IN LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL PANEL OBTAINED THEREBY | 3 |
Cheng Hao | CN | Shenzhen | 2014-07-17 / 20140197080 - SORTING APPARATUS FOR SORTING SCREWS OF VARIED TYPES | 5 |
Dongrui Hao | CN | Yulin | 2016-03-10 / 20160071666 - Keyboard and Assembly Method Thereof | 1 |
Yang Hao | MY | Sarawak | 2012-06-28 / 20120161276 - SEMICONDUCTOR DEVICE COMPRISING AN ISOLATION TRENCH INCLUDING SEMICONDUCTOR ISLANDS | 1 |
Xiaojie Hao | US | Milpitas | 2016-02-25 / 20160055893 - PERPENDICULAR MAGNETIC TUNNEL JUNCTION (pMTJ) WITH IN-PLANE MAGNETO-STATIC SWITCHING-ENHANCING LAYER | 4 |
Yu Hao | CN | Shanghai | 2015-11-19 / 20150328128 - COMPOSITION COMPRISING OXIDATION DYE PRECURSORS AND ANIONIC POLYMERS | 3 |
Xiao-Jun Hao | CN | Shenzhen City | 2012-10-18 / 20120262913 - LAMP TUBE | 1 |
Lixin Hao | CN | Hangzhou | 2016-04-21 / 20160110324 - COMPRESSION OF CASCADING STYLE SHEET FILES | 1 |
Jihua Hao | US | Beachwood | 2013-08-15 / 20130209369 - NANOPARTICLES BASED ON GADOLIIUM COORDINATION POLYMERS AS HIGHLY SENSITIVE T1 MRI CONTRAST AGENTS | 1 |
Tianzhen Hao | US | 2015-07-30 / 20150210941 - PROCESS FOR DEEPLY DESULFURIZING CATALYTIC CRACKING GASOLINE | 1 | |
Peng Hao | CN | Shenzhen | 2015-12-10 / 20150358139 - Methods and Apparatuses for Measuring CSI | 35 |
Jifa Hao | US | White Haven | 2008-09-04 / 20080210974 - High voltage LDMOS | 1 |
Jia Hao | SG | Singapore | 2013-12-12 / 20130330055 - Apparatus, System, and Method for Annotation of Media Files with Sensor Data | 1 |
Da Ming Hao | CN | Beijing | 2010-02-25 / 20100049792 - METHOD AND SYSTEM FOR PROVIDING RUNTIME VULNERABILITY DEFENSE FOR CROSS DOMAIN INTERACTIONS | 5 |
Minchun Hao | CN | Shanghai | 2015-12-10 / 20150354675 - Tension Adjustment Device | 1 |
Tianzhen Hao | CN | Cangzhou | 2015-07-30 / 20150210941 - PROCESS FOR DEEPLY DESULFURIZING CATALYTIC CRACKING GASOLINE | 1 |
Xiang Hao | CN | Hangzhou | 2015-07-30 / 20150211986 - SUPER-RESOLUTION MICROSCOPY METHOD AND DEVICE | 1 |
Han Hao | CN | Jiangsu Province | 2014-07-17 / 20140197450 - ESD PROTECTION CIRCUIT | 2 |
Ju-Lan Hao | CN | Shenzhen City | 2011-03-31 / 20110075877 - PORTABLE SOUND BOX | 3 |
Wei-Dong Hao | CN | Shenzhen City | 2011-03-17 / 20110063173 - ANTENNA MODULE AND WIRELESS COMMUNICATION DEVICE USING THE SAME | 2 |
Chunhuan Hao | CN | Beijing | 2015-07-30 / 20150213274 - DEVICE AND METHOD OF SHIELDING REGION OF DISPLAY SCREEN | 1 |
Qingshan Hao | CN | Shanghai | 2013-05-09 / 20130117499 - REVERSIBLE WRITE-PROTECTION FOR NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Han Hao | CN | Jiangsu | 2016-05-19 / 20160141287 - ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT, STRUCTURE AND METHOD OF MAKING THE SAME | 1 |
Huaiqing Hao | CN | Jiangxi | 2014-02-20 / 20140050905 - NEW DOUBLE-SIDED CONDUCTIVE FILM AND PROCESS FOR MANUFACTURING THE SAME | 1 |
Lei Hao | US | Troy | 2016-03-17 / 20160075241 - VEHICLE WITH SELECTIVELY ENABLED BOOST CONVERTER | 32 |
Minchun Hao | CN | Pudong | 2014-09-25 / 20140287858 - TENSIONER | 1 |
Minshen Hao | US | Los Angeles | 2013-12-12 / 20130332120 - SYSTEM AND METHOD FOR AGGREGATING RESERVOIR CONNECTIVITIES | 1 |
Qingshan Hao | CN | Beijing | 2015-12-10 / 20150353468 - MOLECULAR GLASS OF SPIROFLUORENE DERIVATIVE, PREPARATION METHOD THEREOF AND USE THEREOF IN PHOTO-ETCHING | 1 |
Junmin Hao | US | Cupertino | 2011-05-19 / 20110119330 - SELECTIVE CONTENT LOADING BASED ON COMPLEXITY | 3 |
Xiao Liang Hao | CN | Shanghai | 2012-05-24 / 20120130999 - Method and Apparatus for Searching Electronic Documents | 1 |
Yan Mars Hao | CN | Shanghai | 2015-08-06 / 20150220371 - ENERGY AWARE INFORMATION PROCESSING FRAMEWORK FOR COMPUTATION AND COMMUNICATION DEVICES COUPLED TO A CLOUD | 1 |
Li-Zhu Hao | CN | Tianjin | 2009-09-24 / 20090236705 - APPARATUS AND METHOD FOR SERIES CONNECTION OF TWO DIE OR CHIPS IN SINGLE ELECTRONICS PACKAGE | 1 |
Shangang Hao | CN | Guangdong | 2009-03-05 / 20090057491 - TRANSITION BOARD APPARATUS FOR A PASSENGER BRIDGE AND A SPRING LEAF THEREOF | 1 |
Fangli J. Hao | US | Cupertino | 2010-07-29 / 20100187777 - PIN LIFTING SYSTEM | 1 |
Sancun Hao | CN | Fujian | 2008-12-04 / 20080300362 - Application And Preparation For The Composite Electrolyte Based On Superabsorbent Hybrid | 1 |
Weiguo Hao | CN | Shenzhen | 2015-05-07 / 20150124810 - METHOD, DEVICE, AND SYSTEM FOR CREATING BIDIRECTIONAL MULTICAST DISTRIBUTION TREE BASED ON INTERIOR GATEWAY PROTOCOL | 3 |
Yufeng Hao | US | New York | 2015-10-15 / 20150292112 - METHODS OF FORMING GRAPHENE SINGLE CRYSTAL DOMAINS | 1 |
Lei Hao | US | Warren | 2015-10-15 / 20150295459 - ELECTRIC MACHINE FOR A VEHICLE POWERTRAIN | 1 |
Xiongyi Hao | CN | Shijiazhuang | 2015-08-06 / 20150217978 - GANTRY BINARY SYNCHRONIZATION BLOCK-TYPE BRAKE | 1 |
Reena Haobam | IN | Imphal | 2009-06-04 / 20090143388 - TREATMENT OF BRAIN DISORDERS | 1 |
Fu Hao-Jan | TW | New Taipei City | 2013-04-11 / 20130088862 - ELECTRIC SHOCK PROOF LAMP | 1 |
Andrew Craig Haon | US | Seattle | 2015-08-06 / 20150220712 - RESTRICTED EXECUTION MODES | 3 |
Andrew C. Haon | US | Seattle | 2015-05-28 / 20150149410 - PUBLISHING TO A CONTENT DELIVERY SYSTEM | 3 |
Maxime G.g. Haot | US | New York | 2014-02-27 / 20140059575 - METHOD AND SYSTEM FOR PROVIDING A PERSONAL VIDEO RECORDER UTILIZING NETWORK-BASED DIGITAL MEDIA CONTENT | 5 |
Max Haot | US | New York | 2016-05-19 / 20160142741 - SYSTEMS, METHODS AND COMPUTER SOFTWARE FOR LIVE VIDEO/AUDIO BROADCASTING | 4 |
Daisaku Haoto | JP | Tokyo-To | 2009-12-31 / 20090324844 - PROTECTIVE COAT AND METHOD FOR MANUFACTURING THEREOF | 1 |
Moez Haouala | FR | Mothern | 2009-12-31 / 20090324866 - RECEPTACLE MANUFACTURING | 1 |
Malik Haouchine | US | Chicago | 2011-05-05 / 20110100088 - Articles Of Manufacture Containing Increased Stability Low Concentration Gases And Methods Of Making And Using The Same | 3 |
Alexandra Haouy | FR | Chauvigny | 2016-05-19 / 20160137681 - LIPOCHITO-OLIGOSACCHARIDES STIMULATING ARBUSCULAR MYCORRHIZAL SYMBIOSIS | 2 |
Delphine Haouzi | FR | Montpellier | 2014-12-18 / 20140371092 - METHODS FOR ASSESSING ENDOMETRIAL RECEPTIVITY OF A PATIENT AFTER CONTROLLED OVARIAN HYPERSTIMULATION | 2 |
Constantin Hapaianu | RO | Cluj-Napoca | 2015-09-17 / 20150261396 - JUKEBOX NETWORK SYSTEM | 2 |
Ziv Haparnas | US | San Francisco | / - | 1 |
Dimitrios Hapitas | US | Scottsdale | 2010-11-04 / 20100276484 - STAGED TRANSACTION TOKEN FOR MERCHANT RATING | 1 |
Roger J. Hapka | US | Andover | 2014-12-18 / 20140367185 - DEFAULT OPEN DIFFERENTIAL CONTROL SWITCH | 2 |
Joel Harry Hapke | US | Brooklyn Center | 2015-11-12 / 20150320918 - POINT OF CARE ISOLATION AND CONCENTRATION OF BLOOD CELLS | 1 |
Jeffrey S. Hapke | US | Monument | 2010-12-02 / 20100301122 - NON-VOLATILE MEMORY CHARGE PUMP FOR RADIO FREQUENCY IDENTIFICATION (RFID) SYSTEM | 1 |
Marko Hapke | DE | Rostock | 2014-06-26 / 20140179970 - CATALYST COMPOSITION AND PROCESS FOR DI-, TRI-AND/OR TETRAMERIZATION OF ETHYLENE | 3 |
Kenyon A. Hapke | US | Libertyville | 2015-08-27 / 20150240527 - APPLIANCE LATCH WITH DOOR PRESENCE SENSING | 10 |
Nathan Hapke | CA | Vancouver | 2008-12-18 / 20080313175 - METHOD AND SYSTEM FOR INTERACTION-BASED EXPERTISE REPORTING | 1 |
Aileen P Hapke | US | Libertyville | 2015-08-27 / 20150240527 - APPLIANCE LATCH WITH DOOR PRESENCE SENSING | 2 |
Friedrich Hapke | DE | Winsen | 2015-08-20 / 20150234978 - Cell Internal Defect Diagnosis | 8 |
Friedrich Hapke | DE | Winsen/luhe | 2010-05-13 / 20100117658 - TESTABLE INTEGRATED CIRCUIT AND TEST DATA GENERATION METHOD | 2 |
Friedrich Hapke | DE | Winsen | 2015-08-20 / 20150234978 - Cell Internal Defect Diagnosis | 8 |
Kenyon A. Hapke | US | Libertyville | 2015-08-27 / 20150240527 - APPLIANCE LATCH WITH DOOR PRESENCE SENSING | 10 |
Kenyon A. Hapke | US | Glenview | 2014-05-08 / 20140124688 - ROTARY ACTUATOR FOR ENERGY EFFICIENT ICE CUBE DISPENSER DOOR SYSTEM | 1 |
Friedrich Hapke | US | 2013-02-28 / 20130054161 - Cell-Aware Fault Model Generation For Delay Faults | 1 | |
Philip L. Hapner | US | Wabash | 2013-03-14 / 20130061957 - CHECK VALVE | 1 |
Thomas Robert Hapner | US | Middletown | 2009-05-07 / 20090114732 - MULTIPLE CELL LIQUID HEAT PUMP SYSTEM AND METHOD | 1 |
Thomas Happ | US | Tarrytown | 2011-08-18 / 20110198557 - METHOD FOR FABRICATION OF CRYSTALLINE DIODES FOR RESISTIVE MEMORIES | 32 |
Dorrie Happ | US | Redwood City | 2009-01-29 / 20090030509 - Stent Mandrel Fixture And Method For Reducing Coating Defects | 1 |
Thomas Happ | DE | Dresden | 2014-03-06 / 20140065787 - INTEGRATED CIRCUIT INCLUDING VERTICAL DIODE | 31 |
Thomas Happ | DE | Munchen | 2015-11-12 / 20150325722 - LAYER SYSTEM FOR THIN-FILM SOLAR CELLS | 2 |
Thomas Happ | DE | Munich | 2012-11-15 / 20120285512 - SOLAR CELL ARRAY AND THIN-FILM SOLAR MODULE AND PRODUCTION METHOD THEREFOR | 2 |
Andreas Happ | DE | Karlsruhe | 2011-05-05 / 20110099784 - METHOD FOR APPLYING A SURFACE STRUCTURE TO A SOLID BODY AND SOLID BODY PROVIDED WITH SUCH A SURFACE STRUCTURE | 1 |
Kenneth Happ | US | Burlington | 2013-05-16 / 20130119915 - VARIABLE SPEED TRIGGER MECHANISM | 2 |
Dorie Happ | US | Redwood City | 2009-01-29 / 20090030508 - Stent Mandrel Fixture And Method For Reducing Coating Defects | 1 |
Thomas Happ | DE | Muenchen | 2015-03-12 / 20150072460 - DEVICE AND METHOD FOR PRECIPITATING A LAYER ON A SUBSTRATE | 6 |
Bobby Happ | DE | Jena | 2014-06-19 / 20140171536 - Dental Restorative Materials Based on Polymerizable Azides and Alkynes | 1 |
Linus L. Happ | US | Brownsburg | 2009-11-05 / 20090272216 - SHIFT SELECTOR APPARATUS | 1 |
Jim Happ | US | Glen Ellen | 2010-12-30 / 20100325928 - CONTAINER LABELS | 1 |
Dorie M. Happ | US | Redwood City | 2009-02-26 / 20090053393 - Stent Mandrel Fixture And Method For Reducing Coating Defects | 1 |
Venus Happ | US | Sonoma | 2010-12-30 / 20100325928 - CONTAINER LABELS | 1 |
Kenneth C. Happ | US | Burlington | 2014-11-20 / 20140338504 - Process and Apparatus for Locating Light Emitting Diode in a Hand Tool Head Assembly | 4 |
Lawrence R. Happ | US | Mundelein | 2013-11-21 / 20130306678 - LIQUID AND FOAMED SOAP DISPENSING | 2 |
Thomas D. Happ | DE | Dresden | 2010-03-04 / 20100054029 - CONCENTRIC PHASE CHANGE MEMORY ELEMENT | 6 |
Dorrie M. Happ | US | San Jose | 2010-06-03 / 20100136213 - Thermal Treatment of a Drug Eluting Implantable Medical Device | 1 |
Thomas D. Happ | DE | Dresdan | 2012-05-31 / 20120134204 - CONCENTRIC PHASE CHANGE MEMORY ELEMENT | 1 |
Matthias Happ | DE | Erfurt-Niedernissa | 2011-08-18 / 20110199200 - Method and Device for Automatic Direction Indication | 1 |
Wouter Happaerts | BE | Walem | 2010-02-18 / 20100038808 - METHOD FOR CONTROLLING A TABLET PRESS AND SUCH A PRESS | 1 |
Jörg Happe | DE | Meschede | 2013-08-15 / 20130206854 - System for Fastening a Rail and Method for Renovating a Rail Fastening Point | 2 |
Sarah Heather Christine Happe | CA | Pickering | 2013-03-14 / 20130067232 - METHOD AND SYSTEM FOR CREDENTIAL MANAGEMENT AND DATA ENCRYPTION FOR iOS BASED DEVICES | 1 |
Randolph Peter Happe | NL | Zaandam | 2011-06-02 / 20110129865 - METHOD FOR MARKING MATERIALS | 2 |
Scott Happe | US | Austin | 2013-05-30 / 20130137582 - QUANTITATIVE PCR-BASED METHOD TO PREDICT THE EFFICIENCY OF TARGET ENRICHMENT FOR NEXT-GENERATION SEQUENCING USING REPETITIVE DNA ELEMENTS (LINES/SINES) AS NEGATIVE CONTROLS | 2 |
Barbara Happe | DE | Gernrode | 2014-09-18 / 20140272740 - GAS REGULATING FITTING | 5 |
Sarah Happe | CA | Pickering | 2013-01-24 / 20130024695 - MECHANISM AND METHOD FOR MANAGING CREDENTIALS ON IOS BASED OPERATING SYSTEM | 1 |
Barbara Happe | DE | Ot Gernrode | 2016-03-10 / 20160069565 - GAS REGULATOR FITTING | 1 |
Jörg Happe | DE | Meschede | 2013-08-15 / 20130206854 - System for Fastening a Rail and Method for Renovating a Rail Fastening Point | 1 |
Scott Robert Happe | US | Austin | 2015-10-29 / 20150307875 - Restriction Enzyme-Free Target Enrichment | 1 |
Christoph Happe | DE | Alpen | 2016-03-24 / 20160081261 - Soil Cultivation Implement for Attachment to a Towing Vehicle | 2 |
Andrew J. Happel | US | Fort Wayne | 2014-12-04 / 20140352227 - TRAILER DOOR SEAL | 4 |
Glen Happel | DK | Rungsted Kyst | 2009-08-20 / 20090208893 - Insertion, Devices, Methods and System for Use in Dentistry | 1 |
Dolores J. Happel | US | Rockledge | 2009-07-02 / 20090166279 - Storm water filter system having a floating skimmer apparatus | 2 |
Daniela Happel | DE | Siegertsbrunn | 2009-01-22 / 20090019642 - ANTIDECUBITAL UNDERLAY PAD AND BED PAD DESIGN COMPRISING AN ANTIDECUBITAL UNDERLAY PAD | 1 |
Thomas H. Happel | US | Cocoa | 2014-12-04 / 20140352729 - STORMWATER VAULT APPARATUS AND SERVICING PROCESS | 10 |
Henry Happel | US | Rockledge | 2009-07-02 / 20090166279 - Storm water filter system having a floating skimmer apparatus | 3 |
Simon Happel | FR | Oberhergheim | 2013-12-05 / 20130323091 - Hydraulic System and Pressure Limiting Valve | 1 |
Michael W. Happel | US | Cumming | 2015-09-24 / 20150264992 - Hardhat Accessory Interchange System | 1 |
Thomas H. Happel | US | Cocoa | 2014-12-04 / 20140352729 - STORMWATER VAULT APPARATUS AND SERVICING PROCESS | 10 |
Tobias Happel | DE | Berlin | 2011-10-06 / 20110245733 - Methods and devices for measuring a torsion of a part of the body | 12 |
Aki Petri Happonen | FI | Kiiminki | 2014-12-25 / 20140380420 - METHOD AND APPARATUS FOR EXPANDED CONTENT TAG SHARING | 8 |
Harri Happonen | FI | Nokia | 2014-11-13 / 20140336788 - METHOD OF OPERATING A PROCESS OR MACHINE | 1 |
Aki Happonen | FI | Kiiminki | 2014-08-21 / 20140232647 - AUTO-STEREOSCOPIC DISPLAY CONTROL | 11 |
Aki Happonen | FI | Kiminki | 2012-12-20 / 20120319960 - CAUSING TRANSMISSION OF A MESSAGE | 1 |
Harri Happonen | FI | Tampere | 2010-08-26 / 20100217266 - IMPLANT, IMPLANTATION TOOL, KIT AND METHOD | 1 |
Aki Petri Happonen | FI | Kiiminki | 2014-12-25 / 20140380420 - METHOD AND APPARATUS FOR EXPANDED CONTENT TAG SHARING | 8 |
Aki Happonen | FI | Kiiminki | 2014-08-21 / 20140232647 - AUTO-STEREOSCOPIC DISPLAY CONTROL | 11 |
Ari Petri Happonen | FI | Kiiminki | 2014-07-24 / 20140208237 - SHARING FUNCTIONALITY | 1 |
Ulla Happonen | FI | Porvoo | 2011-12-22 / 20110308932 - Feed section of a separation column | 1 |
Matti Happonen | FI | Porvoo | 2011-12-22 / 20110308932 - Feed section of a separation column | 1 |
Kaisa Happonen | SE | Malmo | 2013-04-04 / 20130084584 - METHOD TO DETECT TISSUE DEGRADATION LEADING TO INFLAMMATION | 1 |
Aki P. Happonen | FI | Kiiminki | 2009-04-02 / 20090089166 - Providing dynamic content to users | 2 |
Akihiko Happoya | JP | Oume Tokyo | 2015-09-17 / 20150264806 - PRINTED WIRING BOARD | 3 |
Akihiko Happoya | JP | Kamakura-Shi | 2014-01-09 / 20140008688 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 6 |
Akihiko Happoya | JP | Ome-Shi | 2013-01-03 / 20130003322 - ELECTRONIC APPARATUS | 4 |
Akihiko Happoya | JP | Ome | 2016-02-04 / 20160035948 - ELECTRONIC COMPONENT AND ELECTRONIC UNIT | 1 |
Akihiko Happoya | JP | Ome Tokyo | 2016-05-19 / 20160143150 - METHOD OF MANUFACTURING A FLEXIBLE PRINTED CIRCUIT BOARD INCLUDING A SOLDER RESIST LAYER | 2 |
Akihiko Happoya | JP | Tokyo | 2015-12-17 / 20150366069 - APPARATUS FOR MANUFACTURING FLEXIBLE PRINTED WIRING BOARD, APPARATUS FOR MANUFACTURING WIRING BOARD, AND APPLYING DEVICE | 7 |
Gary James Happs | US | San Dimas | 2015-02-26 / 20150053813 - DECORATIVE PAPER TOWEL DISPENSING SYSTEM | 2 |
Kyle Happy | US | Walled Lake | 2016-03-03 / 20160065796 - VEHICLE CAMERA ASSEMBLY | 1 |
Michael M. Happy | US | Jacksonville | 2015-03-05 / 20150063913 - Flexible Modular Liquid Dam Assembly Having a Magnetic Connection System | 2 |
Henri Happy | FR | Mouvaux | 2012-04-19 / 20120092032 - DEVICE FOR CHARACTERISING ELECTRIC OR ELECTRONIC COMPONENTS | 1 |
Kyle Happy | US | Newport | 2016-04-21 / 20160106617 - Walker for Disabled Persons | 1 |
Wuri Andarmawanti Hapsari | JP | Tokyo | 2016-05-19 / 20160142924 - MOBILE STATION | 63 |
Wuri Andarmawanti Hapsari | JP | Yokosuka-Shi | 2013-06-20 / 20130155940 - MOBILE COMMUNICATION SYSTEM | 21 |
Wuri Andarmawanti Hapsari | JP | Tokyo | 2016-05-19 / 20160142924 - MOBILE STATION | 63 |
Wuri Andarmawanti Hapsari | JP | Yokosuka-Shi | 2013-06-20 / 20130155940 - MOBILE COMMUNICATION SYSTEM | 21 |
Wuri Andarmawanti Hapsari | JP | Kanagawa | 2013-11-28 / 20130315206 - MOBILE COMMUNICATION SYSTEM | 46 |
Wuri Andarmawanti Hapsari | JP | Chiyoda-Ku | 2014-12-25 / 20140376435 - RADIO COMMUNICATION SYSTEM, USER EQUIPMENT, BASE STATION, SERVER DEVICE AND COMMUNICATION CONTROL METHOD | 34 |
Wuri Andarmawanti Hapsari | JP | Chiyoda-Ku | 2014-12-25 / 20140376435 - RADIO COMMUNICATION SYSTEM, USER EQUIPMENT, BASE STATION, SERVER DEVICE AND COMMUNICATION CONTROL METHOD | 34 |
Andriy Hapyuk | US | 2013-09-12 / 20130234411 - SYSTEM AND METHOD FOR DETERMINING WHETHER THE WEIGHT OF A VEHICLE EQUIPPED WITH AN AIR-RIDE SUSPENSION EXCEEDS PREDETERMINED ROADWAY WEIGHT LIMITATIONS | 1 | |
Andriy Hapyuk | US | North Royalton | 2013-09-12 / 20130234411 - SYSTEM AND METHOD FOR DETERMINING WHETHER THE WEIGHT OF A VEHICLE EQUIPPED WITH AN AIR-RIDE SUSPENSION EXCEEDS PREDETERMINED ROADWAY WEIGHT LIMITATIONS | 2 |
Fazal Haq | US | Irvine | 2014-11-06 / 20140329462 - REDUCING RECEIVER PERFORMANCE DEGRADATION DUE TO FREQUENCY COEXISTENCE | 1 |
Imran Ul Haq | PK | Islamabad | 2015-09-03 / 20150248595 - APPARATUS AND METHOD FOR AUTOMATIC LICENSE PLATE RECOGNITION AND TRAFFIC SURVEILLANCE | 3 |
Syed Ebadat Haq | GB | Eastborne | 2013-12-26 / 20130344102 - FORMULATION | 1 |
Shahid A. Haq | US | Sugar Land | 2014-10-02 / 20140290941 - Sample Capture Prioritization | 2 |
Mahmoodul Haq | US | Lansing | 2016-02-25 / 20160054116 - Scanning interferometry technique for through-thickness evaluation in multi-layered transparent structures | 1 |
Mahmud Haq | US | Franklin Park | 2013-10-31 / 20130290007 - AUTOMATED PROACTIVE HEALTHCARE SERVICE AND PAYER BASED PATIENT SCHEDULING SYSTEM | 1 |
Ataul Haq | US | Orlando | 2014-08-21 / 20140230300 - AUTOMATIC TRIGGER GUARD FOR FIREARMS | 1 |
Adnanul Haq | US | Alexandria | 2015-01-29 / 20150031570 - METHOD AND APPARATUS FOR RAPID, HIGH SENSITIVITY ANALYSIS OF LOW VOLUME SAMPLES OF BIOLOGICAL MATERIALS | 5 |
Jesmin Haq | US | Tempe | 2014-03-06 / 20140065389 - METHOD FOR MANUFACTURING ELECTRONIC DEVICES AND ELECTRONIC DEVICES THEREOF | 3 |
Zaheer-Ul Haq | PK | Karachi | 2014-12-25 / 20140378515 - IMMUNOSUPPRESSIVE COMPOUNDS | 1 |
Nadia Haq | US | Waltham | 2016-03-24 / 20160082008 - ERK INHIBITORS AND USES THEREOF | 4 |
Sajad Haq | GB | South Gloucestershire | 2014-10-23 / 20140311329 - PROTECTIVE MATERIAL | 3 |
Moiz Haq | CA | Ontario | 2014-02-06 / 20140037027 - Methods and Systems for Processing Network Messages in an Accelerated Processing Device | 1 |
Sajad Haq | GB | Mansewood | 2014-05-08 / 20140126108 - HIGH ENERGY CAPACITORS | 3 |
Sajad Haq | GB | Manswood | 2012-03-15 / 20120061575 - DETECTION OF IONISING RADIATION | 2 |
Mohammed Aleemul Haq | US | Houston | 2015-04-16 / 20150101812 - MILLING SYSTEM FOR ABANDONING A WELLBORE | 2 |
Rizwan Haq | US | Boston | 2016-05-12 / 20160130222 - INHIBITORS OF THE MITF MOLECULAR PATHWAY | 2 |
Syed Bilal Ul Haq | US | Rochester Hills | 2015-12-24 / 20150373487 - LONG LIFE CONTAINER TRACKING DEVICE AND METHOD FOR DETECTING TAMPERING WITH THE TRACKING DEVICE | 3 |
Thoufique Haq | US | Sunnyvale | 2015-04-02 / 20150096024 - ADVANCED PERSISTENT THREAT (APT) DETECTION CENTER | 1 |
Sajad Haq | GB | Maneswood | 2013-03-07 / 20130059173 - COMPONENT INCLUDING A RECHARGEABLE BATTERY | 1 |
Ataul Haq | US | Port Saint Lucie | 2014-05-22 / 20140137455 - AUTOMATIC TRIGGER GUARD FOR FIREARMS | 4 |
Sajad Haq | GB | Bristol | 2015-07-09 / 20150191238 - INTEGRATED HEAT EXCHANGER | 10 |
Shahid Azizul Haq | US | Sugar Land | 2010-11-11 / 20100282508 - IDENTIFYING A TRAJECTORY FOR DRILLING A WELL CROSS REFERENCE TO RELATED APPLICATION | 1 |
Sajad Haq | GB | Glasgow | 2013-11-28 / 20130312535 - STRUCTURAL HEALTH MONITORING USING SPRAYABLE PAINT FORMULATIONS | 9 |
Inam Ui Haq | US | Allegany | 2012-01-05 / 20120003088 - SHAFT SEAL WITH CONVERGENT NOZZLE | 1 |
Nadeem A. Haq | US | Richmond | 2016-03-31 / 20160092482 - COMPILING DRILLING SCENARIO DATA FROM DISPARATE DATA SOURCES | 2 |
Saad Ul Haq | GB | London | 2013-12-05 / 20130323706 - Electronic performance management system for educational quality enhancement using time interactive presentation slides | 3 |
Sajad Haq | GB | Bristol, South Gloucestershire | 2016-01-07 / 20160006043 - STANDBY ELECTRICAL ENERGY STORAGE DEVICES | 4 |
Sajad Haq | GB | Bristol | 2015-07-09 / 20150191238 - INTEGRATED HEAT EXCHANGER | 10 |
Mohamed Haq | US | Friendswood | 2008-11-06 / 20080275311 - Virtual Clinic For Medical Practice | 1 |
Sajad Haq | GB | Glasgow | 2013-11-28 / 20130312535 - STRUCTURAL HEALTH MONITORING USING SPRAYABLE PAINT FORMULATIONS | 9 |
Mohammed Nadir Haq | US | Seattle | 2012-05-03 / 20120105332 - SURFACE COMPUTING DEVICE | 1 |
Anis Haq | US | Mountain View | 2011-05-05 / 20110103220 - HIGH CAPACITY ROUTER HAVING REDUNDANT COMPONENTS | 1 |
Christopher Michael Haqq | US | Newbury Park | 2016-02-11 / 20160038588 - Myostatin Antagonism in Human Subjects | 3 |
Gholamreza Haqshenas | IR | Tehran | 2010-02-11 / 20100034845 - AVIAN HEPATITIS E VIRUS, VACCINES AND METHODS OF PROTECTING AGAINST AVIAN HEPATITIS-SPLENOMEGALY SYNDROME AND MAMMALIAN HEPATITIS E | 1 |
Aftabul Haque | US | Belmont | 2015-12-17 / 20150361148 - AMYLOID BETA EXPRESSION CONSTRUCTS AND USES THEREFOR | 1 |
Md Ehtesamul Haque | US | Piscataway | 2013-02-21 / 20130046411 - Electric Vehicle Load Management | 1 |
Imran Haque | US | Woodside | 2011-09-01 / 20110213567 - Method for Rapidly Approximating Similarities | 1 |
Mohammed Monzoorul Haque | IN | Andhra Pradesh | 2011-12-01 / 20110295902 - TAXONOMIC CLASSIFICATION OF METAGENOMIC SEQUENCES | 2 |
Hasnine Akter Haque | JP | Tokyo | 2009-04-02 / 20090087060 - IMAGE PROCESSING METHOD AND IMAGE PROCESSING APPARATUS, AND PROGRAM | 2 |
Israr Ul Haque | US | Seabrook | 2010-06-03 / 20100132259 - Systems and Methods for Improving Ammonia Synthesis Efficiency | 1 |
Samudra Haque | US | Washington | 2016-02-18 / 20160047364 - METHOD AND SYSTEM FOR A PROGRAMMABLE AND FAULT TOLERANT PULSED PLASMA THRUSTER | 1 |
Tabassumul Haque | US | Bridgewater | 2014-06-26 / 20140178637 - LOW FRICTION COATINGS WITH IMPROVED ABRASION AND WEAR PROPERTIES AND METHODS OF MAKING | 2 |
Munsi A. Haque | US | San Jose | 2015-04-16 / 20150103913 - LOCAL MACROBLOCK INFORMATION BUFFER | 3 |
Shadid Haque | CA | Waterloo | 2011-07-14 / 20110169732 - METHODS AND ELECTRONIC DEVICES FOR PREVIEWING VIDEOS | 1 |
Samiul Haque | GB | Cambridge | 2014-08-07 / 20140218057 - Apparatus Comprising a flexible Substrate and a Component Supported by the Flexible Substrate | 11 |
Md Abedul Haque | US | Pittsburgh | 2015-04-02 / 20150094564 - INTELLIGENT ALGORITHMS FOR TRACKING THREE-DIMENSIONAL SKELETAL MOVEMENT FROM RADIOGRAPHIC IMAGE SEQUENCES | 1 |
Shayan Haque | US | Cambridge | 2014-07-03 / 20140182389 - Method and Apparatus for Detection and Characterization of Mechanical Damage | 1 |
Samiul Md. Haque | GB | Chesterton | 2015-10-08 / 20150287787 - Graphene Device And Method Of Fabricating A Graphene Device | 3 |
Reza M. Haque | US | Randolph | 2011-10-06 / 20110245190 - METHOD FOR IMPROVING POST SURGERY VISUAL ACUITY OUTCOME WITH AZITHROMYCIN | 2 |
Mohammad Haque | US | Boston | 2014-02-06 / 20140040306 - BUSINESS INTELLIGENCE PERFORMANCE ANALYSIS SYSTEM | 1 |
Yusuf A. Haque | US | Woodside | 2014-06-05 / 20140152478 - RANDOMIZED TIME-INTERLEAVED SAMPLE-AND-HOLD SYSTEM | 1 |
Yusuf Aminul Haque | US | Woodside | 2012-02-09 / 20120032829 - DIGITAL-TO-ANALOG CONVERTER WITH CODE INDEPENDENT OUTPUT CAPACITANCE | 1 |
Imran S. Haque | US | South San Francisco | 2015-05-14 / 20150134267 - AUTOMATED NUCLEIC ACID REPEAT COUNT CALLING METHODS | 1 |
Wasimul Haque | CA | Winnipeg | 2009-01-15 / 20090018052 - Treatment of Diabetes and Related Pathologies | 1 |
Mohammed Monzoorul Haque | IN | Pune | 2014-08-28 / 20140244592 - SYSTEMS AND METHODS FOR DATA ARCHIVAL | 1 |
Mohammed Monzoorul Haque | IN | Maharashtra | 2015-09-24 / 20150269309 - Encoding and Decoding of RNA Data | 1 |
Samiul Haque | GB | Chesterton | 2015-03-05 / 20150059471 - Sensing | 1 |
Munsi Haque | US | San Jose | 2014-09-18 / 20140269934 - VIDEO CODING SYSTEM WITH MULTIPLE SCALABILITY AND METHOD OF OPERATION THEREOF | 11 |
Razi-Ul Haque | US | Ann Arbor | 2011-12-08 / 20110301434 - Implantable Device and Surgical Implantation Technique | 2 |
Asim I. Haque | US | Concord | 2013-02-07 / 20130036110 - Privacy Compliance in Data Retrieval | 1 |
Naoshin Haque | US | San Jose | 2016-05-05 / 20160123898 - Wafer Defect Discovery | 1 |
Zaid Haque | US | Bethesda | 2014-10-09 / 20140303559 - AUTOMATIC SYRINGE PUMPS FOR DRUG AND FLUID DELIVERY | 1 |
Saif Ahmed Haque | GB | London | 2011-04-28 / 20110095271 - HYBRID ORGANIC LIGHT EMITTING DEVICE | 1 |
Reza Haque | US | Randolph | 2012-10-04 / 20120252756 - Pharmaceutical Compositions and Methods for Treating, Controlling, Ameliorating, or Reversing Conditions of the Eye | 2 |
Tahir Haque | US | Atlanta | 2012-06-14 / 20120149982 - Access Device for Surgery | 1 |
Jamal Haque | US | Clearwater | 2014-09-18 / 20140269780 - A NOVEL LOW LATENCY AND SELF-ADJUSTING FRAME SYNCHRONIZATION ALGORITHM FOR DATA STREAMING APPLICATIONS | 18 |
Zakiul Haque | US | Essex | 2010-11-04 / 20100278964 - Injection Molding Nozzle | 2 |
Shafkat Ul Haque | US | San Jose | 2015-05-21 / 20150142891 - Anticipatory Environment for Collaboration and Data Sharing | 1 |
Samiul Md Haque | FI | Espoo | 2010-12-30 / 20100327956 - GRAPHENE DEVICE AND METHOD OF FABRICATING A GRAPHENE DEVICE | 1 |
Wasimul Haque | CA | Edmonton | 2010-03-18 / 20100069326 - Combination Therapies to Treat Cardio- and Cerebro-Vascular Disorders | 2 |
Saif Ahmed Haque | GB | Middlesex | 2010-03-11 / 20100059755 - IMPROVED OXIDE-BASED FIELD-EFFECT TRANSISTORS | 1 |
Salman-Ul Mohammed Haque | US | Los Angeles | 2016-02-18 / 20160048672 - HERMA - Heartbeat Microwave Authentication | 3 |
Samiul Haque | GB | Cambridge | 2014-08-07 / 20140218057 - Apparatus Comprising a flexible Substrate and a Component Supported by the Flexible Substrate | 11 |
Mashhur Zarif Haque | US | Lawrence | 2015-02-05 / 20150039366 - Mobile application for automobile business to manage driver-based transporting of vehicles | 4 |
Kamran Haque | US | Riverside | 2015-08-20 / 20150231354 - CONTAMINATION REMOVAL FROM SENSORS PLACED IN AN AIRWAY | 3 |
Razi-Ul M. Haque | US | Ann Arbor | 2014-09-18 / 20140275923 - INTRAOCULAR PHYSIOLOGICAL SENSOR | 1 |
Munsi Haque | US | San Jose | 2014-09-18 / 20140269934 - VIDEO CODING SYSTEM WITH MULTIPLE SCALABILITY AND METHOD OF OPERATION THEREOF | 11 |
Mohammed S. Haque | BD | Dhaka | 2015-10-15 / 20150291945 - CELLULOSE AND/OR HEMICELLULOSES DEGRADING ENZYMES FROM MACROPHOMINA PHASEOLINA AND USES THEREOF | 5 |
Talha Irfanul Haque | US | Roanoke | 2014-06-05 / 20140152331 - SYSTEM AND METHOD FOR DETECTING A GRID EVENT | 1 |
Mohammad H. Haque | US | Dallas | 2016-04-21 / 20160111707 - FABRICATION OF BISCROLLED FIBER USING CARBON NANOTUBE SHEET | 3 |
Ashim Shatil Haque | NL | Eindhoven | 2016-02-18 / 20160049561 - ENCAPSULATED LED LENS WITH BOTTOM REFLECTORS | 1 |
Shaheedur R. Haque | GB | Acton | 2008-10-23 / 20080263593 - Data collection for a comprehensive program guide | 1 |
Tabassumul Haque | US | Deptford | 2014-06-26 / 20140173995 - METHODS OF MAKING A DRILLING TOOL WITH LOW FRICTION COATINGS TO REDUCE BALLING AND FRICTION | 1 |
Ekramul Haque | US | Manhattan | 2011-09-29 / 20110232551 - Earth working digging tool and system for digging holes in ground | 1 |
Amranul Haque | JP | Yokohama-Shi | 2014-04-24 / 20140113372 - CELL CULTURE SUBSTRATE, AND CELL CULTURING METHOD USING THE SUBSTRATE AND METHOD FOR INDUCING DIFFERENTIATION OF PLURIPOTENT STEM CELLS USING THE SUBSTRATE | 1 |
Md M. Haque | US | Edmond | 2015-08-13 / 20150227482 - BUS AUTO-ADDRESSING SYSTEM | 4 |
Anwarul Haque | US | Tuscaloosa | 2012-09-27 / 20120241680 - CONDUCTIVE COMPOSITES PREPARED USING IONIC LIQUIDS | 1 |
Ehteshamul Haque | US | Duluth | 2013-08-29 / 20130225386 - METHODS, SYSTEMS AND PRODUCTS INVOLVING SHEET PRODUCTS | 5 |
Jamal Haque | US | Tampa | 2009-10-15 / 20090257475 - SYSTEM AND METHOD FOR SECURE COMMUNICATION OF COLLECTED AMPHIBIOUS DATA | 3 |
Mohammad H. Haque | US | Richardson | 2016-01-28 / 20160024262 - METHOD OF FABRICATING CARBON NANOTUBE SHEET SCROLLED FIBER REINFORCED POLYMER COMPOSITES AND COMPOSITIONS AND USES THEREOF | 1 |
Ikram Haque | US | Houston | 2011-03-17 / 20110066089 - Device for Assisting Cardiac Pulmonary Resuscitation | 1 |
Md Amanul Haque | US | State College | 2016-02-25 / 20160056363 - Freestanding Thermoelectric Energy Conversion Device | 1 |
Aftabul Haque | US | Stony Brook | 2013-01-31 / 20130029366 - PTP1B INHIBITORS | 2 |
Mirza Ridwanul Haque | CA | Mississauga | 2015-05-21 / 20150136238 - CONDUIT CONNECTION APPARATUS WITH PURGE GAS | 2 |
Ekramul Haque | US | Lees Summit | 2014-06-12 / 20140159400 - Single Handle Double Bladed Ice Removal Devices | 1 |
Tabassumul Haque | US | Annandale | 2014-02-06 / 20140038862 - ANTI-WEAR PERFORMANCE OF LUBRICANTS USING CARBON NANOPLATELETS | 5 |
Ziaul Haque | CA | Deep River | 2012-11-01 / 20120273407 - VANED FILTERING ELEMENT | 1 |
Imtiyaz Haque | US | Cupertino | 2015-07-30 / 20150213200 - FLEXIBLE CARE PLAN METHODS AND APPARATUSES | 5 |
Shaheedur R. Haque | GB | London | 2010-06-24 / 20100159893 - User-Controlled Routing of Phone Calls to Voicemail | 1 |
Tasir Shamsul Haque | US | Yardley | 2010-01-28 / 20100022457 - Sustained release glp-1 receptor modulators | 2 |
Yusuf Haque | US | Woodside | 2014-06-05 / 20140152477 - Time Interleaving Analog-to-Digital Converter | 8 |
Usman Abdul Haque | GB | London | 2015-12-10 / 20150359039 - SYSTEM FOR FACILITATING SEARCHING IN THE INTERNET OF THINGS | 1 |
Imran Saeedul Haque | US | San Francisco | 2016-05-19 / 20160140289 - VARIANT CALLER | 1 |
Ashim Shatil Haque | US | San Jose | 2009-03-05 / 20090057699 - LED with Particles in Encapsulant for Increased Light Extraction and Non-Yellow Off-State Color | 1 |
Shaheedur Reza Haque | US | Redmond | 2008-11-13 / 20080279535 - Subtitle data customization and exposure | 1 |
Hasnine Haque | JP | Tokyo | 2015-10-01 / 20150272427 - DISPLAY DEVICE, MEDICAL DEVICE, DISPLAY METHOD AND PROGRAM | 2 |
Tanbir Haque | US | Jackson Heights | 2014-01-09 / 20140010103 - RADIO FREQUENCY (RF) SAMPLING APPARATUS WITH ARRAYS OF TIME INTERLEAVED SAMPLERS AND SCENARIO BASED DYNAMIC RESOURCE ALLOCATION | 4 |
Enamul Haque | US | Novi | 2011-05-26 / 20110121482 - Methods of forming low static non-woven chopped strand mats | 2 |
Tashbeeb Haque | US | San Francisco | 2016-01-07 / 20160007167 - METHOD AND APPARATUS FOR COOPERATIVE CHANNEL SWITCHING | 7 |
Yusuf Haque | US | Woodside | 2014-06-05 / 20140152477 - Time Interleaving Analog-to-Digital Converter | 8 |
Ashim Shatil Haque | US | Freemont | 2010-08-19 / 20100207140 - COMPACT MOLDED LED MODULE | 1 |
Samiul Md Haque | GB | Cambridge | 2013-06-13 / 20130149554 - Graphene Composite and a Method of Manufacturing a Graphene Composite | 1 |
Rezaul Haque | US | Folsom | 2009-03-26 / 20090080248 - DATA STORAGE AND PROCESSING ALGORITHM FOR PLACEMENT OF MULTI - LEVEL FLASH CELL (MLC) VT | 3 |
Gérard Haquet | FR | Chateaubourg | 2014-06-12 / 20140159834 - ADJUSTABLE RADIOFREQUENCY FILTER IN PLANAR TECHNOLOGY AND METHOD OF ADJUSTING THE FILTER | 3 |
Gérard Haquet | FR | Chateaubourg | 2010-11-25 / 20100295701 - Interconnection device for electronic circuits, notably microwave electronic circuits | 1 |
Gérard Haquet | FR | Chateaubourg | 2014-06-12 / 20140159834 - ADJUSTABLE RADIOFREQUENCY FILTER IN PLANAR TECHNOLOGY AND METHOD OF ADJUSTING THE FILTER | 3 |
Omer Har | GB | Cambridge | 2014-09-18 / 20140278944 - UTILIZING A RESERVE PRICE FOR RANKING | 1 |
Liew Siew Har | MY | Ipoh | 2013-12-12 / 20130328194 - SHORT AND LOW LOOP WIRE BONDING | 4 |
Tang Pong Har | SG | Singapore | 2011-09-01 / 20110209364 - GARMENT CARE SYSTEM | 6 |
Omer Har | IL | Tel Aviv | 2012-06-07 / 20120144198 - USER AUTHENTICATION IN A MOBILE ENVIRONMENT | 1 |
Denis Har | US | East Hanover | 2014-08-07 / 20140220125 - SALTS OF AZA-BICYCLIC DI-ARYL ETHERS AND METHODS TO MAKE THEM OR THEIR PRECURSORS | 1 |
Dong-Soo Har | KR | Gwangju | 2010-10-28 / 20100274996 - MICRO-PROCESSOR | 3 |
Kyung Moo Har | KR | Suwon | 2015-02-12 / 20150041180 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 2 |
Setsuhiro Hara | JP | Chiyoda-Ku | 2010-02-11 / 20100035223 - Medical training apparatus | 1 |
Koichi Hara | JP | Chiyoda-Ku | 2015-07-30 / 20150214741 - SYSTEM CONTROL DEVICE AND SYSTEM CONTROL METHOD | 1 |
Hisashi Hara | JP | Chiyoda-Ku | 2014-07-17 / 20140197137 - WORKPIECE MOUNTING TABLE FOR ELECTRIC DISCHARGE MACHINE | 1 |
Hiroki Hara | JP | Nagoya-Shi | 2016-04-07 / 20160097341 - CYLINDER HEAD | 1 |
Naoko Hara | JP | Nagoya-Shi | 2016-02-18 / 20160045106 - Multi-Channel Optical Coherence Tomography | 1 |
Daisuke Hara | JP | Fukuoka | 2013-10-17 / 20130272690 - DOME-TYPE CAMERA AND APERTURE CONTROL METHOD | 1 |
Yoshitaka Hara | JP | Tsukuba | 2013-10-03 / 20130258108 - Road Surface Shape Recognition System and Autonomous Mobile Apparatus Using Same | 1 |
Yuusuke Hara | JP | Anjo-Shi | 2014-10-16 / 20140306573 - STATOR FOR ELECTRIC ROTATING MACHINE AND METHOD OF MANUFACTURING THE SAME | 3 |
Hidekazu Hara | JP | Chiyoda-Ku | 2016-02-11 / 20160042878 - CURRENT COLLECTOR, ELECTRODE STRUCTURE, BATTERY AND CAPACITOR | 6 |
Fujio Hara | JP | Saitama | 2009-05-14 / 20090121730 - CONNECTOR FOR MEASURING ELECTRICAL RESISTANCE, AND APPARATUS AND METHOD FOR MEASURING ELECTRICAL RESISTANCE OF CIRCUIT BOARD | 5 |
Masanori Hara | US | Plainsboro | 2015-10-01 / 20150274927 - Inorganic Ionomers Made From Minerals | 1 |
Kenichi Hara | JP | Ibaraki | 2013-03-28 / 20130075248 - ETCHING METHOD, ETCHING APPARATUS, AND STORAGE MEDIUM | 1 |
Hiroshi Hara | JP | Hirakata-Shi | 2014-06-19 / 20140166440 - Apparatus for Supplying Granular Material, and Apparatus for Blending and Supplying Granular Material Equipped Therewith | 1 |
Mieko Hara | JP | Tokyo | 2016-01-28 / 20160028055 - BATTERY LOADING AND UNLOADING MECHANISM | 13 |
Masakazu Hara | JP | Yokohama-Shi | 2012-10-11 / 20120259155 - MAGNETIC BODY AND DRUG DELIVERY CONTROL DEVICE USING MAGNETIC BODY | 1 |
Chikashi Hara | JP | Kanagawa | 2008-10-23 / 20080259097 - Method for Displaying Images on Display Screen | 1 |
Masanao Hara | JP | Minato-Ku | 2013-05-30 / 20130137795 - EPOXYSILICONE CONDENSATE, CURABLE COMPOSITION COMPRISING CONDENSATE, AND CURED PRODUCT THEREOF | 3 |
Nobuyuki Hara | JP | Meguro | 2016-02-18 / 20160049009 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 9 |
Takuji Hara | JP | Saitama | 2009-06-04 / 20090143841 - Potential Application Apparatus | 1 |
Hiroyuki Hara | JP | Takasaki-Shi | 2014-06-19 / 20140169721 - THRUST ROLLER BEARING AND METHOD FOR MANUFACTURING THRUST RACE THEREOF | 1 |
Takafumi Hara | JP | Nagaizumi | 2016-05-12 / 20160131987 - TONER SET FOR PRINTING SYSTEM AND PRINTING SYSTEM | 1 |
Kozo Hara | JP | Kanagawa | 2009-01-29 / 20090030194 - Process for Production of Glucuronic Acid and/or Glucuronolactone | 2 |
Junichi Hara | JP | Kanagawa | 2015-12-24 / 20150371682 - CONTENT REPRODUCING APPARATUS, CONTENT REPRODUCING SYSTEM, AND CONTENT REPRODUCING METHOD | 12 |
Kazuyoshi Hara | JP | Gifu | 2008-09-04 / 20080211285 - RECLINING DEVICE | 1 |
Yasushi Hara | JP | Yokohama | 2014-06-12 / 20140161011 - COMMUNICATION APPARATUS, COMMUNICATION METHOD, AND COMPUTER PRODUCT | 5 |
Kazuhisa Hara | JP | Tokyo | 2011-12-01 / 20110294980 - Polymer Conjugate Of Folic Acid Or Folic Acid Derivative | 1 |
Kazuyoshi Hara | JP | Kani City | 2009-02-12 / 20090038433 - RECLINING APPARATUS | 1 |
Nobuhide Hara | JP | Tokyo | 2015-02-26 / 20150053377 - HEAT EXCHANGER AND HEAT EXCHANGER MANUFACTURING METHOD | 1 |
Kazuyoshi Hara | JP | Itami-Shi | 2016-03-17 / 20160077470 - Image Forming Apparatus | 15 |
Takeshi Hara | JP | Osaka-City | 2015-07-23 / 20150202127 - BODY ODOR SUPPRESSING AGENT | 1 |
Yoshio Hara | JP | Kanagawa | 2009-06-04 / 20090142252 - METHOD AND SYSTEM FOR PRODUCING ACTIVATED CARBON | 1 |
Masaki Hara | JP | Kanagawa | 2010-08-05 / 20100197102 - FILM DEPOSITION METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Hiroki Hara | JP | Tokyo | 2011-09-22 / 20110229708 - ELECTRONIC CIRCUIT MODULE COMPONENT AND METHOD OF MANUFACTURING ELECTRONIC CIRCUIT MODULE COMPONENT | 3 |
Kentaro Hara | JP | Tokyo | 2016-03-10 / 20160072506 - SEMICONDUCTOR DEVICE HAVING IMPEDANCE CALIBRATION FUNCTION TO DATA OUTPUT BUFFER AND SEMICONDUCTOR MODULE HAVING THE SAME | 7 |
Kazuyoshi Hara | JP | Ashigarakami-Gun | 2012-02-09 / 20120035419 - ELECTRONIC ENDOSCOPE SYSTEM | 2 |
Takuya Hara | JP | Shizuoka | 2015-12-03 / 20150342452 - PERIMETER | 2 |
Kazuyoshi Hara | JP | Kani District | 2010-03-25 / 20100072796 - Reclining Device | 2 |
Satoru Hara | JP | Kanagawa | 2010-10-07 / 20100255208 - DROPLET APPLYING DEVICE, DROPLET APPLYING METHOD, LIQUID CRYSTAL DISPLAY PANEL MANUFACTURING APPARATUS, AND LIQUID CRYSTAL DISPLAY PANEL MANUFACTURING METHOD | 1 |
Hiroyuki Hara | JP | Kanagawa | 2015-03-12 / 20150070050 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 5 |
Kenichi Hara | JP | Tsukuba City | 2014-10-02 / 20140295580 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND MANUFACTURING APPARATUS | 1 |
Kiyonori Hara | JP | Kitakyushu-Shi | 2013-04-11 / 20130087586 - TUNDISH NOZZLE EXCHANGING DEVICE, AND TUNDISH NOZZLE FOR USE IN THE DEVICE | 1 |
Nobuyuki Hara | JP | Kanagawa | 2012-10-11 / 20120257126 - GLASSES FOR IMAGE VIEWING | 7 |
Toshihiro Hara | JP | Osaka | 2014-06-19 / 20140170937 - BLASTING MATERIAL AND BLASTING PROCESS | 1 |
Terufumi Hara | JP | Shizuoka | 2015-06-04 / 20150155645 - CONNECTING STRUCTURE FOR TERMINAL FITTING AND SUBSTRATE | 2 |
Osamu Hara | JP | Matsumoto-Shi | 2016-03-31 / 20160089905 - MEDIUM HOLDING MEMBER AND RECORDING APPARATUS | 14 |
Masao Hara | JP | Kanagawa | 2010-05-27 / 20100131869 - TECHNOLOGY FOR GENERATING SERVICE PROGRAM | 1 |
Kazuo Hara | JP | Kanagawa | 2008-10-09 / 20080249685 - Steering Control Apparatus and Method | 2 |
Takuya Hara | JP | Futtsu-Shi | 2011-10-13 / 20110250471 - Ultrahigh strength welded joint and ultrahigh strength welded steel pipe excellent in cold cracking resistance of weld metal, and methods for producing the same | 1 |
Hideo Hara | JP | Kanagawa | 2008-10-16 / 20080254249 - Work Subject Material, Surface Protection Sheet and Method of Working | 1 |
Masaaki Hara | JP | Tokyo | 2015-09-10 / 20150253558 - MEASUREMENT APPARATUS, MEASUREMENT SYSTEM, MEASUREMENT METHOD, AND PROGRAM | 20 |
Yosuke Hara | JP | Matsumoto-Shi | 2015-09-17 / 20150257843 - ARM SUPPORT APPARATUS | 5 |
Isao Hara | JP | Kanagawa | 2008-12-25 / 20080318767 - Novel Phosphazene-Supported Catalyst, Novel Compound Thereof and Use Thereof | 1 |
Toshiyuki Hara | JP | Kanagawa | 2009-02-26 / 20090051047 - Semiconductor apparatus and method of manufacturing the same | 1 |
Masahiro Hara | JP | Kawasaki | 2012-03-15 / 20120065915 - INFORMATION PROCESSING METHOD, APPARATUS, AND PROGRAM | 12 |
Keisuke Hara | JP | Saitama | 2009-02-26 / 20090049853 - Air conditioner for vehicle | 1 |
Koji Hara | JP | Nagano | 2015-05-14 / 20150130053 - SEMICONDUCTOR DEVICE | 2 |
Kazuhiro Hara | JP | Numazu | 2015-09-17 / 20150262159 - MERCHANDISE SALES DATA PROCESSING DEVICE AND PROGRAM | 4 |
Toshitaka Hara | JP | Tokyo | 2013-02-07 / 20130033842 - BOARD AND METHOD FOR MANUFACTURING BOARD | 4 |
Masayuki Hara | JP | Tokyo | 2015-07-23 / 20150202701 - WIRE DISCHARGE MACHINING APPARATUS | 2 |
Masayuki Hara | JP | Nagoya | 2013-04-04 / 20130083367 - Sheet-Conveying Device Having Opening | 1 |
Ritsuo Hara | JP | Wako-Shi | 2011-02-10 / 20110033835 - TRAINING DEVICE | 2 |
Ikuo Hara | JP | Saitama | 2011-03-31 / 20110073627 - SIDE TRUNK MOUNTING STRUCTURE FOR TWO-WHEELED MOTOR VEHICLE | 3 |
Kiyoshi Hara | JP | Saitama | 2011-03-10 / 20110057467 - PARCEL SHELF STRUCTURE | 1 |
Masayuki Hara | JP | Ota-Shi | 2011-03-31 / 20110076173 - ROTARY COMPRESSOR AND MANUFACTURING METHOD OF THE SAME | 2 |
Hiroyuki Hara | JP | Fujisawa-Shi | 2013-05-02 / 20130106318 - SEMICONDUCTOR DEVICE AND DRIVE METHOD OF ELECTROSTATIC ACTUATOR | 1 |
Shinji Hara | JP | Kanagawa | 2009-07-02 / 20090165442 - Method for Controlling Exhaust Gas Purification System | 3 |
Masayuki Hara | JP | Gunma-Ken | 2008-12-04 / 20080298993 - Compression system, multicylinder rotary compressor, and refrigeration apparatus using the same | 3 |
Daisuke Hara | JP | Kyoto | 2016-02-04 / 20160030294 - DENTAL CONDITIONING COMPOSITION | 2 |
Masato Hara | JP | Saitama | 2010-03-04 / 20100052648 - DRIVE CIRCUIT | 1 |
Nobuhiro Hara | JP | Osaka | 2015-10-29 / 20150309758 - IMAGE FORMATION DEVICE | 5 |
Chikara Hara | JP | Kanagawa | 2012-08-16 / 20120207630 - DISPOSABLE CENTRIFUGAL BLOOD PUMP WITH MAGNETIC COUPLING | 2 |
Teruo Hara | JP | Yokkaichi-City | 2015-03-19 / 20150079827 - CONNECTOR | 5 |
Kazutaka Hara | JP | Osaka | 2011-11-03 / 20110267557 - BACK LIGHT AND LIQUID CRYSTAL DISPLAY DEVICE | 14 |
Takeshi Hara | JP | Maebashi-Shi | 2009-10-29 / 20090271069 - CONTROL UNIT OF ELECTRIC POWER STEERING APPARATUS | 1 |
Daisuke Hara | JP | Toyama | 2013-12-12 / 20130330930 - SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SUBSTRATE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 9 |
Michihisa Hara | JP | Annaka-Shi | 2010-11-11 / 20100285168 - ANTISTATIC SILICONE RUBBER MOLD-MAKING MATERIAL | 2 |
Yoshimasa Hara | JP | Nagoya-Shi | 2010-09-09 / 20100226532 - Object Detection Apparatus, Method and Program | 2 |
Hiroki Hara | JP | Osaka | 2015-10-29 / 20150306968 - ELECTRIC POWER MANAGEMENT DEVICE, ELECTRIC POWER CONTROL METHOD, AND MOBILE UNIT | 1 |
Junichi Hara | US | Cupertino | 2011-03-24 / 20110072229 - METHOD OF MIRRORING DATA BETWEEN CLUSTERED NAS SYSTEMS | 3 |
Miki Hara | JP | Kanagawa | 2010-06-10 / 20100142084 - MAGNETIC MEDIUM HAVING AN ARTIFICIAL PATTERN STRUCTURE USING A GRADIENT OF A MAGNETIZATION REVERSAL FIELD AND A METHOD OF USE THEREOF | 1 |
Katsutaka Hara | JP | Ibaraki | 2012-04-19 / 20120094803 - Engine Speed Control Device For Industrial Vehicle | 2 |
Hiroko Hara | JP | Kanagawa | 2010-08-05 / 20100199387 - GENE HAVING ENDOREDUPLICATION PROMOTING ACTIVITY | 1 |
Yukio Hara | JP | Kanagawa | 2010-08-19 / 20100209149 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 3 |
Miho Hara | JP | Chigasaki-Shi | 2012-11-29 / 20120300259 - INFORMATION ADDING DEVICE, ELECTRONIC CAMERA, INFORMATION ADDING PROGRAM | 1 |
Masahiro Hara | JP | Nagoya-Shi | 2009-01-15 / 20090018271 - Coating Composition and Article Coated With Same | 1 |
Yuichi Hara | JP | Hiratsuka-Shi | 2016-05-19 / 20160136999 - LAMINATED BODY AND PNEUMATIC TYRE USING SAME | 20 |
Ryousuke Hara | JP | Osaka | 2011-01-27 / 20110021099 - FLUORINE-CONTAINING POLYMER AND WATER-AND OIL-REPELLENT AGENT | 1 |
Kaori Hara | JP | Osaka | 2011-01-27 / 20110022027 - DRUG-ELUTING CATHETER AND METHOD OF MANUFACTURING THE SAME | 1 |
Nobuyoshi Hara | JP | Mishima-Shi | 2014-03-27 / 20140086633 - DISMOUNTING AND MOUNTING METHODS FOR COUPLING AND ELECTROPHOTOGPHAPHIC PHOTOSENSITIVE DRUM UNIT | 8 |
Motoaki Hara | JP | Miyagi | 2013-06-13 / 20130147578 - DUPLEXER | 10 |
Hideo Hara | JP | Osaka | 2010-09-30 / 20100244779 - CHARGING CONTROL DEVICE AND ELECTRONIC APPARATUS USING SAME | 1 |
Masanori Hara | JP | Minato-Ku | 2012-06-07 / 20120140996 - STRIPED PATTERN IMAGE EXAMINATION SUPPORT DEVICE, STRIPED PATTERN IMAGE EXAMINATION SUPPORT METHOD AND PROGRAM | 1 |
Kiyotaka Hara | JP | Kimitsu-Shi | 2010-02-25 / 20100047861 - PROCESS FOR PRODUCING USEFUL SUBSTANCE | 1 |
Michiko Hara | JP | Tokyo | 2013-05-23 / 20130128391 - MAGNETO-RESISTANCE EFFECT ELEMENT, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Seiichi Hara | JP | Kanagawa | 2013-04-04 / 20130084610 - NOVEL OXIDASE GENE AND METHOD FOR PRODUCING 3-INDOLE-PYRUVIC ACID BY UTILIZING THE GENE | 3 |
Yoshihiro Hara | JP | Takatsuki-Shi | 2013-08-29 / 20130222809 - METHOD OF CORRECTING TILT IN SPECTROSCOPE | 11 |
Tetsuo Hara | JP | Kanagawa | 2012-05-03 / 20120109409 - INFORMATION PROVIDING DEVICE, INFORMATION PROVIDING SERVER, VEHICLE ASSISTANCE SYSTEM, NAVIGATION DEVICE, AND CHARGING CABLE | 2 |
Hiroto Hara | JP | Ibaraki | 2009-10-01 / 20090246170 - Therapeutic Agent For Alzheimer's Disease | 1 |
Michiko Hara | JP | Yokohama | 2016-03-31 / 20160091575 - MAGNETIC SHIELDED PACKAGE | 7 |
Fumio Hara | JP | Saitama | 2012-12-27 / 20120330535 - CONTROL DEVICE OF INTERNAL COMBUSTION ENGINE | 4 |
Shingo Hara | JP | Yokohama | 2013-08-01 / 20130195442 - TRANSMISSION DEVICE AND METHOD FOR MEASURING DELAY | 1 |
Yuta Hara | JP | Yokohama-Shi | 2014-01-02 / 20140000090 - APPARATUS FOR HEAT TREATING AN OPTICAL CERAMIC MATERIAL, METHOD FOR HEAT TREATING AN OPTICAL CERAMIC MATERIAL, METHOD FOR HEAT TREATING SYNTHETIC SILICA GLASS, METHOD FOR PRODUCING AN OPTICAL SYSTEM, AND METHOD FOR PRODUCING AN EXPOSURE APPARATUS | 1 |
Yuki Hara | JP | Yokohama-Shi | 2013-09-26 / 20130250353 - IMAGE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Yusuke Hara | JP | Tokyo | 2015-08-20 / 20150230489 - OIL OR FAT COMPOSITION AND METHOD FOR PRODUCING SAME | 7 |
Kento Hara | JP | Tokyo | 2016-04-28 / 20160119533 - PHOTOGRAPHING APPARATUS AND INTERCHANGEABLE LENS CONTROL METHOD | 4 |
Eisuke Hara | JP | Kanagawa | 2010-09-23 / 20100237679 - BACKREST OF THE CHAIR AND ADAPTATION DEVICE FOR THE SAME | 1 |
Erika Hara | JP | Saitama | 2008-10-30 / 20080268992 - POWER UNIT FOR MOTORCYCLE | 1 |
Yusuke Hara | JP | Anjo-Shi | 2012-01-05 / 20120001515 - STATOR FOR ELECTRIC ROTATING MACHINE | 4 |
Kimio Hara | JP | Kanagawa | 2012-11-29 / 20120302061 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Shigehiro Hara | JP | Kanagawa | 2013-10-10 / 20130264478 - CHARGED PARTICLE BEAM LITHOGRAPHY APPARATUS, INSPECTION APPARATUS AND INSPECTION METHOD OF PATTERN WRITING DATA | 5 |
Yusuke Hara | JP | Saga | 2011-06-30 / 20110160034 - Titanium carbide powder and titanium carbide-ceramics composite powder and method for production thereof, and sintered compact from the titanium carbide powder and sintered compact from the titanium carbide/ ceramics composite powders and method for production thereof | 2 |
Junichiro Hara | JP | Tochigi | 2009-08-06 / 20090193825 - VEHICLE AIR-CONDITIONER CONTROL SYSTEM | 1 |
Takahiro Hara | JP | Tokyo | 2015-11-05 / 20150316882 - IMAGE FORMING APPARATUS | 2 |
Tsutomu Hara | JP | Yokohama | 2011-10-13 / 20110248389 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Toshita Hara | JP | Kanagawa | 2011-03-31 / 20110078633 - APPARATUS, METHOD AND PROGRAM FOR SORTING THUMBNAILS | 1 |
Nobuo Hara | JP | Osaka | 2015-11-12 / 20150323309 - WINDING DEVICE, WINDING METHOD, INSPECTION DEVICE AND STRUCTURE MANUFACTURING METHOD | 2 |
Mirai Hara | JP | Kanagawa | 2011-06-02 / 20110131168 - RECOMMENDATION INFORMATION EVALUATION APPARATUS AND RECOMMENDATION INFORMATION EVALUATION METHOD | 2 |
Masahiro Hara | JP | Nagoya | 2016-03-17 / 20160078267 - METHOD AND APPARATUS FOR OPTICALLY READING OUT INFORMATION STORED IN A BARCODE | 7 |
Masanori Hara | JP | Yokohama | 2013-10-03 / 20130258915 - WIRELESS DEVICE | 2 |
Yuichi Hara | JP | Nishinomiya-City | 2011-12-29 / 20110319750 - NAVIGATION DATA SHARING SYSTEM AND NAVIGATION INSTRUMENT | 1 |
Yusuke Hara | JP | Kanagawa | 2016-03-03 / 20160063312 - IMAGE ANALYSIS APPARATUS, IMAGE ANALYSIS METHOD, AND IMAGE ANALYSIS PROGRAM | 4 |
Seinosuke Hara | JP | Kanagawa | 2010-05-06 / 20100108027 - VARIABLE VALVE ACTUATION SYSTEM OF INTERNAL COMBUSTION ENGINE | 1 |
Shinji Hara | JP | Tokyo | 2015-10-15 / 20150294678 - THERMAL ASSISTED MAGNETIC RECORDING HEAD UTILIZING UNCOUPLED LIGHT | 62 |
Manabu Hara | JP | Kanagawa | 2009-04-23 / 20090103829 - Noise correction circuit, imaging apparatus, and noise correction method | 3 |
Tomokazu Hara | JP | Kanagawa | 2009-09-24 / 20090238926 - MILK-TYPE FOOD AND DRINK PACKED IN TRANSPARENT CONTAINER AND PROCESS FOR PRODUCING THE SAME | 1 |
Taisuke Hara | JP | Osaka-Shi | 2016-03-24 / 20160085402 - INFORMATION PROCESSING DEVICE | 5 |
Hideyuki Hara | JP | Osaka | 2014-08-21 / 20140231011 - FLATTENING METHOD AND FLATTENING APPARATUS | 3 |
Tokumasa Hara | JP | Kanagawa | 2014-08-28 / 20140245101 - SEMICONDUCTOR MEMORY | 5 |
Yasushi Hara | JP | Kawasaki | 2013-03-07 / 20130059570 - MOBILE TERMINAL DEVICE, COMMUNICATION SYSTEM, COMMUNICATION PROGRAM, AND CONTROL METHOD | 10 |
Akito Hara | JP | Kawasaki | 2011-02-10 / 20110031540 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Hirotaka Hara | JP | Kawasaki | 2010-12-16 / 20100318389 - BUSINESS FLOW PROCESSING METHOD AND APPARATUS | 2 |
Seiichi Hara | JP | Kawasaki | 2013-05-16 / 20130122544 - ENZYME THAT CATALYZES A PEPTIDE-FORMING REACTION FROM A CARBOXY COMPONENT AND AN AMINE COMPONENT, MICROBE PRODUCING THE SAME, AND A METHOD OF PRODUCING A DIPEPTIDE USING THE ENZYME OR MICROBE | 5 |
Michiharu Hara | JP | Kawasaki | 2015-07-09 / 20150194969 - SEMICONDUCTOR DEVICE AND CONTROL METHOD | 5 |
Masayuki Hara | JP | Kawasaki | 2010-04-01 / 20100080195 - MOBILE COMMUNICATION SYSTEM | 2 |
Takeshi Hara | JP | Tochigi | 2013-07-25 / 20130186372 - ASSEMBLY METHOD AND MACHINE OF DIRECT INJECTOR UNIT | 1 |
Koji Hara | JP | Ichikawa-Shi | 2015-10-22 / 20150301454 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND RESIST PATTERN FORMING METHOD | 3 |
Takehiro Hara | JP | Kawasaki | 2010-01-14 / 20100007294 - MICROCOMPUTER AND MOTOR CONTROL SYSTEM PROVIDED THEREWITH | 1 |
Kota Hara | JP | Kawasaki | 2012-06-14 / 20120147691 - SEMICONDUCTOR STORAGE DEVICE AND MEMORY SYSTEM | 5 |
Shingo Hara | JP | Kawasaki | 2014-05-29 / 20140146385 - RAMAN AMPLIFIER | 2 |
Hirotaka Hara | JP | Kanagawa | 2011-12-29 / 20110320660 - INFORMATION PROCESSING DEVICE | 1 |
Kazuma Hara | JP | Osaka | 2010-04-01 / 20100079589 - Imaging Apparatus And Mode Appropriateness Evaluating Method | 1 |
Hirofumi Hara | JP | Saitama | 2008-09-18 / 20080223036 - Abnormality-Determining Device and Method For Turbo-Supercharger, and Engine Control Unit | 1 |
Satomi Hara | JP | Kanagawa | 2016-03-24 / 20160085169 - BRILLIANT TONER AND ELECTROSTATIC CHARGE IMAGE DEVELOPER | 4 |
Shinichi Hara | JP | Saitama | 2011-12-01 / 20110290903 - Fragrance Device For Vehicle And Sealing Component Used Therein | 2 |
Fumi Hara | JP | Tokyo | 2010-09-16 / 20100232277 - Optical Information Recording Medium, Manufacturing Method Thereof, and Recording Method Thereof | 1 |
Fumi Hara | JP | Takasaki-Shi | 2009-06-18 / 20090154332 - OPTICAL INFORMATION RECORDING MEDIUM AND MANUFACTURING METHOD THEREOF | 3 |
Masao Hara | JP | Kawasaki | 2009-06-11 / 20090150757 - METHODS FOR DEFORMING MAP WIDGETS ON THE BROWSER | 1 |
Takuya Hara | JP | Tokyo | 2014-07-10 / 20140190597 - HOT COIL FOR LINE PIPE USE AND METHOD OF PRODUCTION OF SAME | 12 |
Soji Hara | JP | Kawasaki | 2014-03-20 / 20140082309 - MEMORY CONTROL DEVICE, INFORMATION PROCESSING APPARATUS, AND MEMORY CONTROL METHOD | 2 |
Takeyori Hara | JP | Kawasaki | 2015-10-22 / 20150302876 - DATA STORAGE APPARATUS AND METHOD FOR CORRECTING REPEATABLE RUNOUT | 4 |
Kota Hara | JP | Yokohama-Shi | 2012-04-12 / 20120087195 - SEMICONDUCTOR STORAGE DEVICE AND METHOD FOR PRODUCING SEMICONDUCTOR STORAGE DEVICE | 1 |
Hideki Hara | JP | Tokyo | 2011-12-22 / 20110308848 - RESIN COMPOSITION FOR WIRING BOARD, RESIN SHEET FOR WIRING BOARD, COMPOSITE BODY, METHOD FOR PRODUCING COMPOSITE BODY, AND SEMICONDUCTOR DEVICE | 4 |
Hideki Hara | JP | Tochigi | 2011-04-14 / 20110084409 - SEMICONDUCTOR ELEMENT MOUNTING BOARD | 2 |
Fumi Hara | JP | Gunma | 2008-11-27 / 20080291811 - OPTICAL INFORMATON RECORDING MEDIUM AND METHOD FOR MAKING THE SAME | 4 |
Takahiko Hara | JP | Yokohama-Shi | 2009-11-12 / 20090278190 - Nonvolatile semiconductor memory | 3 |
Hideki Hara | JP | Osaka | 2011-01-20 / 20110011124 - REFRIGERATION APPARATUS | 4 |
Hideki Hara | JP | Saku | 2015-02-26 / 20150055670 - MULTI-BEAM SEMICONDUCTOR LASER DEVICE | 2 |
Yasuhiro Hara | JP | Makinohara-Shi | 2012-12-27 / 20120329296 - ELECTRIC JUNCTION BOX | 4 |
Shin-Ichiro Hara | JP | Osaka | 2012-05-31 / 20120135978 - 6,7-UNSATURATED-7-CARBAMOYL SUBSTITUTED MORPHINAN DERIVATIVE | 2 |
Koji Hara | JP | Nagano-Ken | 2012-04-12 / 20120086988 - Image Reading Apparatus | 1 |
Hiroaki Hara | JP | Saitama | 2009-01-01 / 20090005626 - Hydrotreating Method | 2 |
Koji Hara | JP | Shiojiri-Shi | 2014-10-02 / 20140292171 - IMAGE READING APPARATUS | 4 |
Masanori Hara | JP | Tokyo | 2016-02-25 / 20160055367 - FEATURE POINT INPUT ASSISTING DEVICE, FEATURE POINT INPUT ASSISTING METHOD, AND STORAGE MEDIUM STORED WITH PROGRAM | 14 |
Masamichi Hara | JP | Nirasaki City | 2015-12-24 / 20150371812 - Substrate Processing System, Gate Valve and Substrate Transfer Method | 7 |
Sousuke Hara | JP | Kanagawa | 2015-06-04 / 20150152047 - BENZAMIDE DERIVATIVE | 2 |
Takeshi Hara | JP | Osaka | 2015-10-22 / 20150303307 - SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 10 |
Mitsuyoshi Hara | JP | Chiba | 2010-11-25 / 20100296027 - Display device | 1 |
Masanori Hara | JP | Moka-Shi | 2010-04-15 / 20100089504 - PRECIPITATION-HARDENED, MARTENSITIC, CAST STAINLESS STEEL HAVING EXCELLENT MACHINABILITY AND ITS PRODUCTION METHOD | 1 |
Kazutaka Hara | JP | Chiba | 2011-02-10 / 20110033193 - MODULATION METHOD, MODULATION PROGRAM, RECORDING MEDIUM, MODULATION DEVICE, AND OPTICAL TRANSMITTER | 1 |
Tokumasa Hara | JP | Kawasaki-Shi | 2015-09-03 / 20150248322 - MEMORY CONTROLLER AND MEMORY SYSTEM | 20 |
Kazutoshi Hara | JP | Kawakaski-Shi | 2011-08-04 / 20110188421 - COMMUNICATION APPARATUS AND COMMUNICATION METHOD | 1 |
Koji Hara | JP | Nagano-Shi | 2014-09-18 / 20140264417 - WIRING BOARD AND LIGHT EMITTING DEVICE | 2 |
Tetsuhiko Hara | JP | Nagano | 2014-10-02 / 20140292128 - COVER STRUCTURE IN MOTOR | 8 |
Kazuhiro Hara | JP | Suwa-Shi | 2008-09-18 / 20080226931 - OPTICAL ELEMENT, OPTICAL LOW PASS FILTER, SOLID-STATE IMAGING DEVICE | 1 |
Shigeo Hara | JP | Chiba | 2011-02-24 / 20110041638 - GREASE COMPOSITION AND DIRECT-ACTING DEVICES WITH THE GREASE COMPOSITION | 2 |
Daisuki Hara | JP | Toyama-Shi | 2013-05-02 / 20130109193 - SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Seiichi Hara | JP | Chiba | 2011-05-05 / 20110104334 - PEPTIDE-CONTAINING SEASONING | 1 |
Masanori Hara | JP | Kawasaki | 2009-11-26 / 20090291681 - RADIO BASE STATION SYSTEM, CONTROL APPARATUS, AND RADIO APPARATUS | 1 |
Jiro Hara | JP | Kanagawa | 2015-02-05 / 20150036677 - INFORMATION PROCESSING DEVICE AND MOBILE TERMINAL | 2 |
Mitsuo Hara | JP | Osaka | 2009-07-09 / 20090173723 - LASER PROCESSING APPARATUS AND LASER PROCESSING METHOD USING THE SAME TECHNICAL FIELD | 1 |
Hideaki Hara | JP | Kumagaya-Shi | 2016-04-14 / 20160103397 - Exposure Apparatus with Component from which Liquid is Protected and/or Removed and Device Fabricating Method | 10 |
Yoshihiro Hara | JP | Osaka | 2013-12-26 / 20130342663 - EYEWEAR DEVICE, DISPLAY DEVICE, VIDEO SYSTEM COMPRISING EYEWEAR DEVICE AND DISPLAY DEVICE, AND VIDEO SYSTEM CONTROL METHOD | 5 |
Takashi Hara | CA | Markham | 2015-10-01 / 20150280545 - SOFT-START FOR RESONANT CONVERTERS | 1 |
Isao Hara | JP | Chiba | 2009-12-31 / 20090324836 - METHOD FOR PRODUCING UNSATURATED CARBOXYLIC ACID-MODIFIED VINYL ALCOHOL POLYMER, AND GAS BARRIER FILM OR GAS BARRIER LAMINATE USING THE SAME | 1 |
Hiroshi Hara | JP | Lwakuni-Shi | 2011-06-30 / 20110159767 - NONWOVEN FABRIC, FELT AND PRODUCTION PROCESSES THEREFOR | 1 |
Takahiko Hara | JP | Chiba | 2011-01-27 / 20110020347 - ANTI-BRAK (CXCL14) HUMAN MONOCLONAL ANTIBODY AND USE THEREOF | 2 |
Hideo Hara | US | Copley | / - | 1 |
Yujiro Hara | JP | Kanagawa-Ken | 2012-09-06 / 20120223301 - THIN FILM TRANSISTOR, MANUFACTURING METHOD OF SAME, AND DISPLAY DEVICE | 6 |
Shigemitsu Hara | JP | Tokyo | 2015-03-26 / 20150084548 - CIRCULAR ACCELERATOR | 1 |
Shinya Hara | JP | Osaka | 2009-02-12 / 20090041184 - X-RAY FLUORESCENCE SPECTROMETER AND PROGRAM USED THEREIN | 1 |
Masanori Hara | JP | Niigata | / - | 2 |
Toshimi Hara | JP | Takahama-Shi | 2012-10-25 / 20120270693 - LUBRICANT OIL, FRICTION MEMBER, AND GEAR-TYPE DIFFERENTIAL WITH DIFFERENTIAL LIMITING FUNCTION | 2 |
Sushumu Hara | JP | Osaka | 2009-01-01 / 20090004476 - CONDUCTIVE POLYMER COMPOSITE STRUCTURE | 1 |
Shinji Hara | JP | Osaka-Shi | 2015-08-27 / 20150244334 - AMPLIFICATION DEVICE AND RADIO COMMUNICATION APPARATUS EQUIPPED WITH AMPLIFICATION DEVICE | 1 |
Hideaki Hara | JP | Gifu-Shi | 2016-02-11 / 20160039890 - INTRAOCULAR ANGIOGENESIS INHIBITOR AND USES THEREOF | 2 |
Kunihiko Hara | JP | Nukata-Gun | 2009-11-26 / 20090291367 - Lithium secondary battery and method of manufacturing same | 1 |
Tatsunori Hara | JP | Tokyo | 2014-08-07 / 20140223410 - APPLICATION ARCHITECTURE DESIGN METHOD, APPLICATION ARCHITECTURE DESIGN SYSTEM, AND RECORDING MEDIUM | 1 |
Kunihiko Hara | JP | Osaka | 2012-10-04 / 20120251094 - SHUTTER DRIVE DEVICE, SHUTTER DEVICE, AND IMAGING DEVICE | 11 |
Kunihiko Hara | JP | Hyogo | 2011-04-07 / 20110079707 - IMAGE PICKUP ELEMENT PERFORMING IMAGE DETECTION OF HIGH RESOLUTION AND HIGH IMAGE QUALITY AND IMAGE PICKUP APPARATUS INCLUDING THE SAME | 2 |
Nobuo Hara | JP | Shizuoka | 2015-04-02 / 20150090546 - STEERING DAMPER, A SADDLE RIDING TYPE VEHICLE HAVING THE SAME, AND A METHOD OF MANUFACTURING THE SAME | 10 |
Takafumi Hara | JP | Osaka | 2011-11-24 / 20110286203 - DISPLAY DEVICE AND BACKLIGHT DEVICE | 1 |
Hiroyuki Hara | JP | Fujisawa Kanagawa | 2016-02-04 / 20160036434 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Hideaki Hara | JP | Nara | 2011-01-13 / 20110008421 - Liposome for delivery to posterior segment of eye and pharmaceutical composition for disease in posterior segment of eye | 1 |
Kenji Hara | JP | Kyoto | 2013-08-15 / 20130209330 - EXHAUST GAS SAMPLING DEVICE | 2 |
Shiro Hara | JP | Tsukuba-Shi | 2015-12-31 / 20150380289 - SMALL PRODUCTION DEVICE AND PRODUCTION SYSTEM USING THE SAME | 8 |
Yoshifusa Hara | JP | Tokyo | 2010-12-23 / 20100324337 - PROCESS FOR PRODUCING HIGH-PURITY CHLOROPHOSPHITE | 2 |
Yujiro Hara | JP | Tokyo | 2014-05-29 / 20140147948 - THIN FILM TRANSISTOR, MANUFACTURING METHOD OF SAME, AND DISPLAY DEVICE | 1 |
Yoshiko Hara | JP | Minamiashigara-Shi | 2008-09-25 / 20080230710 - RADIATION DETECTOR AND METHOD FOR PRODUCING PHOTOCONDUCTIVE LAYER FOR RECORDING THEREOF | 1 |
Kenji Hara | JP | Osaka | 2016-02-04 / 20160031153 - Seal Device | 1 |
Masanori Hara | JP | Hachioji-Shi | / - | 1 |
Shinjiro Hara | JP | Hokkaido | 2011-08-25 / 20110204327 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT ARRAY AND MANUFACTURING METHOD THEREOF | 1 |
Tetsuzo Hara | JP | Nagaokakyo-Shi | 2015-07-16 / 20150198527 - PERFORATED-STRUCTURE BODY, MANUFACTURING METHOD THEREFOR, AND MEASUREMENT APPARATUS AND MEASUREMENT METHOD | 2 |
Osamu Hara | JP | Matsumoto-Shi | 2016-03-31 / 20160089905 - MEDIUM HOLDING MEMBER AND RECORDING APPARATUS | 14 |
Nobukatsu Hara | JP | Sakai City | 2014-05-22 / 20140139977 - BICYCLE ELECTRIC CONTROL DEVICE | 1 |
Hiroyuki Hara | JP | Chino-Shi | 2013-01-24 / 20130021657 - ELECTROOPTIC DEVICE AND ELECTRONIC APPARATUS | 9 |
Soji Hara | JP | Yokohama | 2010-12-02 / 20100306460 - MEMORY CONTROLLER, SYSTEM, AND METHOD FOR ACCESSING SEMICONDUCTOR MEMORY | 1 |
Yasuhiro Hara | JP | Toyota-Shi | 2015-11-19 / 20150329144 - VEHICLE BODY FRONT PORTION STRUCTURE | 4 |
Tetsuya Hara | JP | Nagoya-Shi | 2010-08-19 / 20100206793 - SUCTION FILTER AND FUEL SUPPLY DEVICE | 2 |
Hirotaka Hara | JP | Aichi | 2010-05-06 / 20100111838 - BRAIN-LOCALIZING POLYPEPTIDES COMPRISING A MULTIVALENT BINDING MOIETY AND IMPROVED METABOLIC STABILITY | 1 |
Katsuyuki Hara | JP | Chigasaki-Shi | 2010-01-28 / 20100019408 - MINUTE FLOW PATH STRUCTURE BODY AND DIE | 1 |
Masashi Hara | JP | Tokyo | 2011-11-24 / 20110284317 - SPEAKER DIAPHRAGM, SPEAKER, AND PRODUCTION METHOD OF SPEAKER DIAPHRAGM | 1 |
Takehiro Hara | JP | Kawasaki-Shi | 2013-06-13 / 20130147413 - MOTOR DRIVING CIRCUIT AND MOTOR DRIVING SYSTEM | 2 |
Hideaki Hara | JP | Kumagaya-Shi | 2016-04-14 / 20160103397 - Exposure Apparatus with Component from which Liquid is Protected and/or Removed and Device Fabricating Method | 10 |
Tomitaro Hara | JP | Aichi | 2012-03-22 / 20120068666 - METHOD OF CHARGING AND MAINTAINING LITHIUM ION SECONDARY BATTERY, BATTERY SYSTEM, VEHICLE AND BATTERY-MOUNTED DEVICE | 3 |
Michiharu Hara | JP | Kawasaki-Shi | 2013-09-26 / 20130249610 - SEMICONDUCTOR DEVICE AND CONTROL METHOD FOR SEMICONDUCTOR DEVICE | 1 |
Shinichiro Hara | JP | Aichi | 2010-07-15 / 20100176523 - Device for and Method of Manufacturing Optical Part | 3 |
Tetsuya Hara | JP | Shizuoka | 2013-05-23 / 20130129925 - ROLL BLADE COATING METHOD AND ROLL BLADE COATING APPARATUS | 4 |
Hiroyuki Hara | JP | Shizuoka | 2015-02-05 / 20150038325 - CATALYST COMPOSITION FOR EXHAUST GAS CLEANING AND CATALYST FOR AUTOMOBILE EXHAUST GAS CLEANING | 1 |
Kazuhiro Hara | JP | Kahoku | 2012-02-09 / 20120036387 - STORAGE SYSTEM, CONTROL APPARATUS, AND CONTROL METHOD | 1 |
Kiyonori Hara | JP | Fukuoka | 2011-08-25 / 20110204103 - TUNDISH NOZZLE EXCHANGING DEVICE, AND TUNDISH NOZZLE FOR USE IN THE DEVICE | 1 |
Hideo Hara | JP | Miyagi | 2015-09-10 / 20150253388 - TEST APPARATUS, TEST METHOD, CALIBRATION DEVICE, AND CALIBRATION METHOD | 5 |
Yasuhiro Hara | JP | Mito-Shi, Ibaraki | 2014-11-27 / 20140345990 - FRICTION MATERIAL AND METHOD FOR MANUFACTURING SAME | 1 |
Koichi Hara | JP | Aichi | 2010-04-08 / 20100088024 - METHOD AND APPARATUS FOR DETERMINING TRAVELING CONDITION OF VEHICLE | 1 |
Hideo Hara | JP | Kyoto | 2015-01-15 / 20150019894 - Power Supply Control Device, Power Supply System and Electronic Device | 4 |
Hideo Hara | JP | Uenohara-Shi | 2013-07-25 / 20130188012 - Portable Structured Light Measurement Module/Apparatus with Pattern Shifting Device Incorporating a Fixed-pattern Optic for Illuminating a Subject-under-test | 1 |
Hideo Hara | JP | Aichi-Ken | 2009-01-01 / 20090004144 - Recombinant Adeno-Associated Virus Vector for Treatment of Alzheimer Disease | 1 |
Hideo Hara | JP | Aichi | 2009-07-02 / 20090170798 - Highly safe intranasally administrable gene vaccines for treating alzheimer's disease | 1 |
Yuhji Hara | JP | Hiroshima | 2011-06-23 / 20110151613 - Solid-state image capturing element, method for manufacturing the solid-state image capturing element, and electronic information device | 2 |
Satoru Hara | JP | Yokohama-Shi | 2012-04-05 / 20120080801 - SEMICONDUCTOR DEVICE AND ELECTRONIC COMPONENT MODULE USING THE SAME | 3 |
Nobuyoshi Hara | JP | Mishima-Shi | 2014-03-27 / 20140086633 - DISMOUNTING AND MOUNTING METHODS FOR COUPLING AND ELECTROPHOTOGPHAPHIC PHOTOSENSITIVE DRUM UNIT | 8 |
Nobukatsu Hara | JP | Izumisano | 2009-10-15 / 20090258738 - TENSION DEVICE OF BICYCLE DERAILLEUR | 2 |
Yuusuke Hara | JP | Anjo-City | 2012-05-10 / 20120111161 - PIERCING APPARATUS AND PIERCING METHOD | 1 |
Keisuke Hara | JP | Osaka-Shi | 2015-01-22 / 20150020694 - HEATING COOKER | 1 |
Hiroyuki Hara | JP | Osaka | 2015-10-01 / 20150278658 - Image Forming Apparatus Capable of Changing Image Data into Document Data, an Image Forming System, and an Image Forming Method | 11 |
Naohiro Hara | JP | Osaka-Shi | 2015-04-02 / 20150094934 - Engine Rotational Speed Control Device | 4 |
Mayumi Hara | JP | Shiga | 2012-01-05 / 20120003564 - PROCESS FOR PRODUCING POLYMERIC ELECTROLYTE MEMBRANE | 1 |
Tetsuro Hara | JP | Wako | 2010-08-19 / 20100211261 - VEHICLE BEHAVIOR CONTROL SYSTEM | 1 |
Takeshi Hara | JP | Saitama | 2015-08-20 / 20150233304 - INTERNAL COMBUSTION ENGINE CONTROL SYSTEM | 1 |
Nobuhiro Hara | JP | Osaka-Shi | 2012-11-29 / 20120300239 - IMAGE DATA STORAGE SYSTEM, DOCUMENT READING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Yoshio Hara | JP | Osaka-Shi | 2015-07-23 / 20150203698 - TABLET HAVING DRY-INK FILM ON SURFACE THEREOF, AND INK FOR INKJET PRINTER | 3 |
Hiromichi Hara | JP | Utsunomiya-Shi | 2010-04-01 / 20100079736 - EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD | 3 |
Takayuki Hara | JP | Yokohama-Shi | 2013-05-16 / 20130120620 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 12 |
Hiroto Hara | JP | Tsukuba-Shi | 2011-06-30 / 20110162093 - METHODS FOR PRODUCING ANTIBODIES | 1 |
Michihiko Hara | JP | Osaka | 2012-01-12 / 20120006009 - Exhaust Purification Device For Internal Combustion Engine | 4 |
Yoshikazu Hara | JP | Tsukuba-Shi | 2015-02-05 / 20150035892 - PRINTER AND STORAGE MEDIUM | 1 |
Yusuke Hara | JP | Yokohama | 2014-12-04 / 20140359114 - COMPUTER-READABLE RECORDING MEDIUM, USAGE MODE DATA GENERATION METHOD, AND USAGE MODE DATA GENERATION DEVICE | 1 |
Takashi Hara | JP | Kyoto-Shi | 2010-11-04 / 20100277132 - DC-DC CONVERTER | 3 |
Keita Hara | JP | Osaka-Shi | 2015-02-12 / 20150044099 - MEASURING DEVICE, DIALYSIS END CONDITION DETERMINING DEVICE, AND DIALYSIS PROGRESS PRESENTING DEVICE | 9 |
Yuichi Hara | JP | Kanagawa | 2012-02-16 / 20120041108 - STABILIZED DYNAMICALLY VULCANIZED THERMOPLASTIC ELASTOMER COMPOSITIONS USEFUL IN FLUID BARRIER APPLICATIONS | 5 |
Tomoyuki Hara | JP | Tsukuba-Shi | 2014-01-02 / 20140001678 - METHOD FOR MANUFACTURING LIQUID CRYSTAL POLYESTER MOLDED BODIES | 3 |
Shiro Hara | JP | Tsukuba-Shi | 2015-12-31 / 20150380289 - SMALL PRODUCTION DEVICE AND PRODUCTION SYSTEM USING THE SAME | 8 |
Shinichi Hara | JP | Tsukuba-Shi | 2009-09-24 / 20090237674 - Method for estimating distance between tracking type laser interferometer and target, and tracking type laser interferometer | 2 |
Norie Hara | JP | Aichi | / - | 1 |
Yoshiro Hara | JP | Ichinomiya-Shi | 2012-02-02 / 20120025579 - VEHICLE SEAT | 3 |
Kiyohito Hara | JP | Osaka | 2009-12-31 / 20090323231 - SEMICONDUCTOR DEVICE | 2 |
Shigeki Hara | JP | Tsukuba-Shi | 2016-01-07 / 20160003726 - APPARATUS AND METHOD FOR EVALUATING GAS BARRIER PROPERTIES | 3 |
Hitoshi Hara | JP | Tokyo | 2012-02-23 / 20120042712 - THERMAL CONDUCTIVITY DETECTOR AND GAS CHROMATOGRAPH USING SAME | 10 |
Akira Hara | JP | Tsukuba-Shi | 2013-01-03 / 20130005698 - PHARMACEUTICAL FOR PREVENTING OR TREATING AN INNER EAR DISORDER | 1 |
Kousuke Hara | JP | Hachioji-City | 2010-10-21 / 20100268412 - CONTROL SYSTEM FOR VEHICLE AND METHOD FOR CONTROLLING IN-VEHICLE APPARATUS | 2 |
Takashi Hara | JP | Kanagawa | 2008-09-25 / 20080231538 - ELECTRONIC EQUIPMENT UNIT | 1 |
Yasuhiro Hara | JP | Tokyo | 2015-03-12 / 20150070845 - POWER SUPPLY DEVICE | 2 |
Kenichiroh Hara | JP | Yokohama-Shi | 2016-01-28 / 20160029151 - COMMUNICATION APPARATUS, CONTROL METHOD FOR COMMUNICATION APPARATUS, AND PROGRAM | 9 |
Masafumi Hara | JP | Aichi-Ken | 2010-09-09 / 20100224907 - SEMICONDUCTOR DEVICE | 1 |
Masafumi Hara | JP | Tokushima | 2008-10-23 / 20080263101 - Data Processing Device and Data Processing Method | 1 |
Isao Hara | JP | Ninomiya-Machi, Kanagawa | 2016-01-07 / 20160001278 - CATALYST FOR OLEFIN MULTIMERIZATION AND METHOD FOR PRODUCING OLEFIN MULTIMER IN PRESENCE OF CATALYST FOR OLEFIN MULTIMERIZATION | 2 |
Takashi Hara | JP | Toyokawa-Shi | 2011-03-03 / 20110048839 - ELECTRIC POWER STEERING SYSTEM | 4 |
Michiko Hara | JP | Kanagawa-Ken | 2015-07-02 / 20150185296 - MAGNETO-RESISTIVE EFFECT DEVICE, MAGNETIC HEAD GIMBAL ASSEMBLY, MAGNETIC RECORDING/REPRODUCTION DEVICE, STRAIN SENSOR, PRESSURE SENSOR, BLOOD PRESSURE SENSOR, AND STRUCTURAL HEALTH MONITORING SENSOR | 27 |
Kunihiko Hara | JP | Osaka | 2012-10-04 / 20120251094 - SHUTTER DRIVE DEVICE, SHUTTER DEVICE, AND IMAGING DEVICE | 11 |
Minako Hara | JP | Kanagawa | 2013-03-28 / 20130076834 - INKJET HEAD AND METHOD FOR PRODUCING THE SAME | 1 |
Takenori Hara | JP | Tokyo | 2009-10-15 / 20090257122 - Method for avoidance of an obstacle or an optical phenomenon which distorts quality of image | 1 |
Hidebumi Hara | JP | Tokyo | 2015-03-26 / 20150089519 - AUDIO-VISUAL TERMINAL, VIEWING AUTHENTICATION SYSTEM AND CONTROL PROGRAM | 3 |
Yukihito Hara | JP | Nogoya-Shi | 2013-06-27 / 20130166688 - COMMUNICATION SYSTEM, NETWORK STORAGE, SERVER | 1 |
Akihide Hara | JP | Hamamatsu-Shi | 2012-03-15 / 20120060667 - CHORD DETECTION APPARATUS, CHORD DETECTION METHOD, AND PROGRAM THEREFOR | 2 |
Naoko Hara | JP | Aichi | 2008-12-18 / 20080309872 - Ophthalmologic Instrument | 1 |
Rokuzo Hara | JP | Tokyo | 2011-09-29 / 20110238355 - CALIBRATION DEVICE | 1 |
Masazumi Hara | JP | Hiroshima | 2015-12-31 / 20150375270 - Optical Type Granule Sorting Machine | 2 |
Tetsuhiko Hara | JP | Nagano | 2014-10-02 / 20140292128 - COVER STRUCTURE IN MOTOR | 8 |
Saburo Hara | JP | Yokosuka | 2008-09-11 / 20080216405 - CARBONIZATION AND GASIFICATION OF BIOMASS AND POWER GENERATION SYSTEM | 1 |
Ryosuke Hara | JP | Shizuoka-Shi | 2010-05-13 / 20100118558 - VEHICLE HEADLAMP | 1 |
Ryosuke Hara | JP | Settsu-Shi | 2010-06-10 / 20100143641 - WATER- AND OIL-REPELLENT TREATMENT OF TEXTILE | 1 |
Kenji Hara | JP | Kanagawa | 2016-03-24 / 20160086065 - IMAGE FORMING APPARATUS AND IMAGE PROCESSING DEVICE | 5 |
Mitsuko Hara | JP | Wako-Shi | 2013-09-19 / 20130244253 - COMPOUNDS HAVING ACTIVITY OF SUPPRESSING ACTIVATION OF TGF-BETA RECEPTOR, METHOD FOR SCREENING OF THE COMPOUNDS, AND COMPOSITION FOR PREVENTING OR TREATING DISEASE CAUSED BY HEPATITIS C VIRUS | 1 |
Yuichi Hara | JP | Hiratsuka-Shi | 2016-05-19 / 20160136999 - LAMINATED BODY AND PNEUMATIC TYRE USING SAME | 20 |
Michiko Hara | JP | Yokohama-Shi | 2014-12-11 / 20140362477 - MAGNETO-RESISTANCE EFFECT DEVICE, AND MAGNETIC RECORDER | 8 |
Nobuo Hara | JP | Tsukuba-Shi | 2016-01-07 / 20160003726 - APPARATUS AND METHOD FOR EVALUATING GAS BARRIER PROPERTIES | 2 |
Kenji Hara | JP | Hitachinaka | 2012-05-03 / 20120108963 - Semiconductor Device and Ultrasonic Diagnostic Apparatus Using the Same | 4 |
Kazuhiro Hara | JP | Shizuoka | 2012-06-07 / 20120139988 - IMAGE FORMING APPARATUS AND CONTROL METHOD OF THE IMAGE FORMING APPARATUS | 4 |
Tokumasa Hara | JP | Kawasaki-Shi | 2015-09-03 / 20150248322 - MEMORY CONTROLLER AND MEMORY SYSTEM | 20 |
Koji Hara | JP | Utsunomiya-Shi | 2010-05-06 / 20100114350 - METHOD OF DETERMINING MESH DATA AND METHOD OF CORRECTING MODEL DATA | 1 |
Koji Hara | JP | Kanagawa | 2011-04-21 / 20110091123 - Coding apparatus and coding method | 3 |
Koji Hara | JP | Tokyo | 2015-01-29 / 20150027191 - METHOD OF PRODUCING STEEL PRODUCTS HAVING EXCELLENT INTERNAL QUALITY | 2 |
Eisuke Hara | JP | Kawasaki-Shi | 2009-08-06 / 20090195043 - CHAIR | 1 |
Koji Hara | JP | Fukuoka | 2014-04-10 / 20140097783 - CONVEYING SYSTEM | 2 |
Koji Hara | JP | Tsukubamirai-Shi | 2015-08-06 / 20150217382 - OPENING AND CLOSING CHUCK | 9 |
Yasuyuki Hara | JP | Shizuoka | 2009-03-19 / 20090071598 - Method of producing resin sheet | 2 |
Koji Hara | JP | Tochigi | 2008-09-04 / 20080215174 - METHOD OF CORRECTING DIE MODEL DATA | 1 |
Seiichi Hara | JP | Kawasaki-Shi | 2012-11-29 / 20120301918 - METHOD FOR PRODUCING ALPHA-L-ASPARTYL-L-PHENYLALANINE-BETA-ESTER AND METHOD FOR PRODUCING ALPHA-L-ASPARTYL-L-PHENYLALANINE-ALPHA-METHYL ESTER | 4 |
Kazutoshi Hara | JP | Kawasaki-Shi | 2015-12-24 / 20150371444 - IMAGE PROCESSING SYSTEM AND CONTROL METHOD FOR THE SAME | 2 |
Yasushi Hara | JP | Yamaguchi | 2012-05-10 / 20120112177 - AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT | 1 |
Kentaroh Hara | JP | Osaka-Fu | 2008-10-02 / 20080244310 - POWER SUPPLYING METHOD AND APPARATUS AND A SYSTEM USING THE SAME | 1 |
Michikazu Hara | JP | Yokohama-Shi | 2013-10-31 / 20130288311 - CELLULOSE SACCHARIFICATION APPARATUS, BIOMASS SACCHARIFICATION APPARATUS, FERMENTATION APPARATUS AND CELLULOSE SACCHARIFICATION METHOD | 8 |
Kazuhiro Hara | JP | Shizuoka-Ken | 2012-04-26 / 20120098912 - CONVEYING DEVICE OF RECORDING MEDIUM AND IMAGE FORMING APPARATUS | 10 |
Kenji Hara | JP | Tokyo | 2014-07-24 / 20140205949 - PHOTOCURABLE RESIN COMPOSITION AND NOVEL SILOXANE COMPOUND | 3 |
Masahiro Hara | JP | Kanagawa-Ken | 2010-09-30 / 20100243463 - Chromium Alloy Coating with Enhanced Resistance to Corrosion in Calcium Chloride Environments | 1 |
Takafumi Hara | JP | Kyotanabe-Shi | 2010-11-25 / 20100296233 - DISPLAY DEVICE | 1 |
Shinji Hara | JP | Osaka | 2012-08-02 / 20120193123 - POWER SUPPLY LINE FOR HIGH-FREQUENCY CURRENT, MANUFACTURING METHOD FOR SAME, AND POWER SUPPLY LINE HOLDING STRUCTURE | 1 |
Takeshi Hara | JP | Gunma | 2009-05-14 / 20090125187 - CONTROL UNIT OF ELECTRIC POWER STEERING APPARATUS | 1 |
Masanao Hara | JP | Tokyo | 2016-05-12 / 20160133350 - CONDUCTIVE RESIN COMPOSITION FOR MICROWAVE HEATING | 3 |
Motoaki Hara | JP | Suwa-Shi | 2009-04-16 / 20090100088 - APPARATUS AND METHOD FOR SURVEYING SUBSTANCE AND PROGRAM AND RECORDING MEDIUM THEREFOR | 1 |
Takayuki Hara | JP | Yokohama-Shi | 2013-05-16 / 20130120620 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 12 |
Nobuaki Hara | JP | Abiko-Shi | 2014-04-24 / 20140112678 - IMAGE HEATING APPARATUS | 7 |
Kiyohito Hara | JP | Hyogo | 2013-09-19 / 20130241507 - SWITCHING REGULATOR | 1 |
Takeshi Hara | JP | Higashiomi-Shi | 2009-08-27 / 20090214850 - Porous Ceramic for Slide Member, Method for Preparing the Same, and Mechanical Seal Ring | 1 |
Kenji Hara | JP | Kawasaki-Shi | 2016-02-11 / 20160041605 - IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR AND STORAGE MEDIUM | 15 |
Kenji Hara | JP | Hitachi | 2009-12-24 / 20090315072 - Semiconductor Device, Semiconductor Integrated Circuit Equipment Using the Same for Driving Plasma Display, and Plasma Display Unit | 3 |
Junichi Hara | US | San Jose | 2012-05-10 / 20120117345 - METHOD AND APPARATUS FOR BACKUP AND RESTORE IN A DYNAMIC CHUNK ALLOCATION STORAGE SYSTEM | 9 |
Takafumi Hara | JP | Shizuoka-Ken | 2014-08-21 / 20140234768 - TONER AND METHOD FOR PRODUCING THE SAME | 28 |
Hirotaka Hara | JP | Matsue-Shi | 2009-10-22 / 20090262557 - BI-DIRECTIONAL DC-DC CONVERTER | 1 |
Yukihiro Hara | JP | Tokyo | 2016-03-24 / 20160082697 - Infrared Shielding Sheet, Method For Manufacturing The Same, And Use Of The Same | 9 |
Hirotaka Hara | JP | Koganei | 2009-01-15 / 20090015590 - DATA PROCESSOR AND GRAPHIC DATA PROCESSING DEVICE | 1 |
Tsuyoshi Hara | JP | Kanagawa | 2015-09-24 / 20150271426 - SOLID-STATE IMAGING DEVICE AND CAMERA SYSTEM | 2 |
Makiko Hara | JP | Sodegaura-Shi | 2012-06-28 / 20120164413 - Inorganic Particle Composite Body and Method for Producing Inorganic Particle Composite Body | 2 |
Takafumi Hara | JP | Shizuoka-Ken | 2014-08-21 / 20140234768 - TONER AND METHOD FOR PRODUCING THE SAME | 28 |
Daisuke Hara | JP | Toyama | 2013-12-12 / 20130330930 - SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SUBSTRATE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 9 |
Masamichi Hara | US | Clifton Park | 2012-12-13 / 20120315404 - APPARATUS FOR THERMAL AND PLASMA ENHANCED VAPOR DEPOSITION AND METHOD OF OPERATING | 1 |
Daisuke Hara | JP | Yokohama | 2008-08-28 / 20080203324 - METHOD AND SYSTEM FOR IMPROVEMENT OF DOSE CORRECTION FOR PARTICLE BEAM WRITERS | 1 |
Shigeo Hara | JP | Shizuoka | 2010-04-29 / 20100103414 - MICROPHASE-SEPARATED STRUCTURE, IMMOBILIZED MICROPHASE-SEPARATED STRUCTURE AND WAVELENGTH-VARIABLE LASER OSCILLATOR, TEMPERATURE SENSOR AND LIGHT FILTER USING THE STRUCTURE | 1 |
Takafumi Hara | JP | Tokyo | 2016-02-11 / 20160041010 - MAGNETIC POSITION DETECTION DEVICE AND MAGNETIC POSITION DETECTION METHOD | 5 |
Hide Hara | JP | Nagano | 2010-11-25 / 20100295398 - MOTOR | 1 |
Takahiro Hara | JP | Tsukuba-Shi | 2015-10-29 / 20150306125 - AGENT FOR PREVENTING OR IMPROVING DECLINE IN BRAIN FUNCTION | 4 |
Takayuki Hara | JP | Kanagawa | 2016-05-19 / 20160140714 - CALIBRATION APPARATUS, CALIBRATION METHOD, AND PROGRAM | 11 |
Takayuki Hara | JP | Kawasaki-Shi | 2015-11-26 / 20150341612 - IMAGE GENERATION APPARATUS AND METHOD FOR CONTROLLING THE SAME | 3 |
Masaki Hara | JP | Saitama | 2011-07-28 / 20110184549 - Machining Tool Control System and Control Method | 1 |
Tomitaro Hara | JP | Aichi-Ken | 2012-05-03 / 20120105006 - CONTROL METHOD FOR LITHIUM ION SECONDARY BATTERY, AND LITHIUM ION SECONDARY BATTERY SYSTEM | 3 |
Kinji Hara | JP | Hamura-Shi | 2012-04-05 / 20120084800 - INFORMATION PROCESSING APPARATUS AND POWER CONTROL METHOD | 1 |
Tomitaro Hara | JP | Okazaki-Shi | 2013-05-09 / 20130113426 - BATTERY CONTROL SYSTEM AND VEHICLE | 7 |
Tomitaro Hara | JP | Fukushima | 2015-11-05 / 20150318533 - BATTERY | 3 |
Kenji Hara | JP | Hyogo | 2009-10-15 / 20090255309 - Spinning method for forming pipe end | 1 |
Hirofumi Hara | JP | Nagano | 2016-05-12 / 20160129644 - APPARATUS FOR MODELING THREE-DIMENSIONAL OBJECT AND METHOD FOR MODELING THREE-DIMENSIONAL OBJECT | 6 |
Takayuki Hara | JP | Tokyo | 2009-01-22 / 20090021794 - INFORMATION PROCESSING DEVICE, INFORMATION EMBEDDING METHOD, AND PROGRAM | 1 |
Takeshi Hara | JP | Wako-Shi | 2015-05-21 / 20150142234 - CONTROL DEVICE FOR HYBRID VEHICLE | 1 |
Yoshihide Hara | JP | Kyoto | 2009-02-19 / 20090044572 - TERMINAL KNITTING TEXTURE AND CLOTHING PROVIDED WITH THIS TERMINAL KNITTING TEXTURE | 1 |
Kenta Hara | JP | Kawasaki | 2012-04-05 / 20120084390 - DATA TRANSMISSION METHOD, TRANSMISSION-SOURCE INFORMATION PROCESSING APPARATUS, DATA TRANSMISSION SYSTEM, AND DATA TRANSMISSION PROGRAM | 2 |
Takayuki Hara | JP | Fukuoka | 2009-01-22 / 20090022876 - PROCESS FOR PREPARATION OF PLANT TISSUES OF PROCESSED BEANS, GRAINS, UNUTS/SEEDS, VEGETABLES OR FRUITS, PLANT TISSUES OF PROCESSED BEANS, GRAINS, NUTS/SEEDS, VEGETABLES OR FRUITS, AND PROCESSED FOOD PREPARED USING THE PLANT TISSUES | 1 |
Moriah Lazar Hara | US | New York | 2012-08-23 / 20120215575 - Risk Assessment And Prioritization Framework | 1 |
Takeshi Hara | JP | Kanagawa | 2014-12-11 / 20140363013 - HEADPHONE DRIVER, LOUDSPEAKER, AND METHOD OF MANUFACTURING HEADPHONE DRIVER OR LOUDSPEAKER | 8 |
Hiromi Hara | JP | Koshi-Shi | 2011-03-10 / 20110056512 - ULTRASONIC CLEANING APPARATUS, ULTRASONIC CLEANING METHOD, AND STORAGE MEDIUM STORING COMPUTER PROGRAM FOR EXECUTING ULTRASONIC CLEANING METHOD | 1 |
Tsutomu Hara | JP | Hamamatsu-Shi | 2016-03-03 / 20160063940 - APPARATUS HAVING SPATIAL LIGHT MODULATOR AND CONVERTING UNIT CONVERTING INPUT VALUE TO CONTROL VALUE TO CONTROL SPATIAL LIGHT MODULATOR | 2 |
Takehiro Hara | JP | Yokohama | 2010-06-24 / 20100157909 - Base Station Device, Method for Controlling Base Station Device, Receiving Device, Adaptation Algorithm Control Method, Radio Communication Device, and Radio Communication Method | 1 |
Hiromi Hara | JP | Tosu-Shi | 2010-04-01 / 20100078423 - HEATING UNIT, SUBSTRATE PROCESSING APPARATUS, AND METHOD FOR HEATING FLUID | 1 |
Akihiro Hara | JP | Kanagawa | 2015-08-20 / 20150237247 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING SYSTEM, AND IMAGING APPARATUS | 1 |
Yoshihito Hara | JP | Osaka | 2015-07-30 / 20150214375 - CIRCUIT SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE | 8 |
Takeshi Hara | JP | Nissin-Shi | 2009-09-17 / 20090229912 - MUFFLER | 1 |
Masamichi Hara | JP | Yamanashi-Ken | 2010-09-23 / 20100236480 - RAW MATERIAL GAS SUPPLY SYSTEM AND FILM FORMING APPARATUS | 1 |
Jun Hara | JP | Kawasaki-Shi | 2015-03-26 / 20150086250 - IMAGE FORMING APPARATUS | 1 |
Koji Hara | JP | Sakaide-Shi | 2013-02-21 / 20130043175 - DEVICE FOR ATTACHING UNDILUTED-LIQUID SUPPLY PLATE TO FILTER CLOTH OF FILTER PRESS, AND FILTER PRESS EQUIPPED WITH SAME | 1 |
Yoshihito Hara | JP | Osaka-Shi | 2015-10-08 / 20150287833 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 20 |
Yoshihito Hara | JP | Mie | 2009-08-06 / 20090195741 - LIQUID CRYSTAL DISPLAY AND METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY | 3 |
Futoshi Hara | JP | Tokyo | 2015-08-27 / 20150240250 - TRANSFORMANT OF SCHIZOSACCHAROMYCES POMBE MUTANT AND CLONING VECTOR | 4 |
Masanori Hara | JP | Tokyo | 2016-02-25 / 20160055367 - FEATURE POINT INPUT ASSISTING DEVICE, FEATURE POINT INPUT ASSISTING METHOD, AND STORAGE MEDIUM STORED WITH PROGRAM | 14 |
Terufumi Hara | JP | Makinohara-Shi | 2015-03-12 / 20150072542 - Board Connector | 4 |
Mie Hara | JP | Tokyo | 2013-11-14 / 20130302271 - MUSCLE REPAIR PROMOTER | 2 |
Shigeo Hara | JP | Tsukuba-Shi | 2010-06-03 / 20100133487 - METHOD OF PRODUCING PRUSSIAN BLUE-TYPE METAL COMPLEX NANOPARTICLES, AND PRUSSIAN BLUE-TYPE METAL COMPLEX NANOPARTICLES OBTAINED BY THE METHOD, DISPERSION OF THE NANOPARTICLES, METHOD OF REGULATING THE COLOR OF THE NANOPARTICLES, AND ELECTRODE AND TRANSMITTED LIGHT-REGULATOR EACH USING THE NANOPARTICLES | 1 |
Tamio Hara | JP | Takaoka-Shi | 2014-01-23 / 20140024832 - METHOD FOR PRODUCING DICHLOROPYRAZINE DERIVATIVE | 2 |
Yuji Hara | JP | Tokyo | 2014-03-27 / 20140088248 - FLUORINE-CONTAINING COPOLYMER COMPOSITION AND METHOD FOR PRODUCING SAME | 2 |
Yuuki Hara | JP | Tokyo | 2015-08-27 / 20150242822 - MAINTENANCE DEVICE, MAINTENANCE SYSTEM, AND MAINTENANCE PROGRAM | 3 |
Takashi Hara | JP | Osaka-Shi | 2010-04-29 / 20100104329 - MAGNETIC CARRIER, TWO COMPONENT DEVELOPER, DEVELOPING DEVICE, IMAGE FORMING APPARATUS, AND IMAGE FORMING METHOD | 1 |
Shigeo Hara | JP | Ibaraki | 2008-10-30 / 20080266643 - Electrode for Reversible Color Change Display Device and Method of Producing the Same, and Reversible Color Change Display Device and Reversible Color Change Lighting Control Device | 1 |
Tadashi Hara | JP | Tokyo | 2008-08-28 / 20080202876 - Steering damper | 1 |
Hiroyuki Hara | JP | Kitakyushu-Shi | 2015-04-02 / 20150089730 - FLUSH TOILET | 1 |
Akitoshi Hara | JP | Suwa-Gun | 2011-07-07 / 20110162452 - ELECTRONIC DEVICE, ELECTRONIC MODULE, AND METHODS FOR MANUFACTURING THE SAME | 1 |
Takumi Hara | JP | Akishima-Shi | 2014-03-13 / 20140071132 - IMAGE PROCESSING SYSTEM, IMAGE PROCESSING APPARATUS, AND IMAGE PROCESSING METHOD | 3 |
Kenjiro Hara | JP | Iwaki-Shi | 2012-02-23 / 20120043684 - METHOD OF PRODUCING ANODE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Akiko Hara | JP | Tokyo | 2013-05-16 / 20130118685 - Wafer lens member producing method, image pickup lens producing method, image pickup module producing method, and image pickup module-installed electronic device producing method | 6 |
Ken Hara | JP | Tokyo | 2011-05-12 / 20110112446 - ULTRASONIC TREATMENT APPARATUS AND ULTRASONIC TREATMENT METHOD | 1 |
Takashi Hara | JP | Yamaguchi | 2012-08-09 / 20120199787 - AQUEOUS SOLUTION OF CHROMIUM SALT AND METHOD FOR PRODUCING SAME | 3 |
Atsunori Hara | JP | Tokyo | 2011-04-28 / 20110095474 - DEVICE FOR CONCENTRICALLY TRANSPORTING DOCUMENTS THROUGH PASSAGEWAY | 1 |
Kazuhiro Hara | JP | Tokyo | 2013-12-05 / 20130326418 - INFORMATION PROCESSING APPARATUS, DISPLAY METHOD, AND DISPLAY PROGRAM | 3 |
Masamichi Hara | JP | Yamanashi | 2015-02-12 / 20150044368 - PLACING TABLE STRUCTURE | 10 |
Koji Hara | JP | Kitakyushu-Shi | 2014-10-16 / 20140309787 - DEVICE CONTROL SYSTEM AND CONTROLLER | 2 |
Takafumi Hara | JP | Mishima-Shi | 2010-01-14 / 20100009281 - DEVELOPING AGENT AND METHOD FOR PRODUCING DEVELOPING AGENT | 9 |
Kousuke Hara | JP | Tokyo | 2009-12-03 / 20090294889 - Semiconductor device | 2 |
Yoshihaki Hara | JP | Tokyo | 2009-08-27 / 20090217199 - Information Retrieving and Displaying Method and Computer-Readable Medium | 1 |
Noriyo Hara | JP | Tokyo | 2014-04-10 / 20140098301 - REMOTE CONTROL COMMAND SETTING DEVICE AND METHOD FOR SETTING REMOTE CONTROL COMMAND | 2 |
Takashi Hara | JP | Ichinomiya-Shi | 2010-01-21 / 20100013467 - ROTATION SENSOR | 2 |
Koichiro Hara | JP | Nagoya-Shi | 2013-10-03 / 20130257993 - LIQUID DROPLET DISCHARGE HEAD | 6 |
Masao Hara | JP | Tokyo | 2009-05-14 / 20090124292 - IMAGE PROCESSING APPARATUS | 1 |
Toshitami Hara | JP | Tokyo | 2013-07-04 / 20130171380 - JOINED UNIT OF GLASS BASE MEMBERS, AIRTIGHT ENVELOPE, AND METHOD FOR PRODUCING GLASS STRUCTURAL UNIT | 2 |
Takehiro Hara | JP | Kanagawa | 2011-09-22 / 20110230221 - BASE STATION DEVICE AND METHOD OF CONTROLLING BASE STATION DEVICE | 1 |
Kenichi Hara | JP | Nirasaki City | 2016-02-18 / 20160045942 - METHOD AND APPARATUS FOR REMOVING RESIDUE LAYER | 5 |
Takeshi Hara | JP | Shirakicho | 2016-03-31 / 20160090299 - PASSIVATED MICROELECTROMECHANICAL STRUCTURES AND METHODS | 1 |
Takashi Hara | JP | Tenri-Shi | 2008-12-04 / 20080299471 - CARRIER, DEVELOPER, DEVELOPMENT DEVICE, IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Yukihito Hara | JP | Nagoya-Shi | 2013-06-20 / 20130159491 - COMMUNICATION SYSTEM, NETWORK STORAGE, AND SERVER DEVICE | 9 |
Ryousuke Hara | JP | Settsu-Shi | 2015-07-09 / 20150191869 - METHOD FOR MANUFACTURING FLUORINE-CONTAINING POLYMER | 2 |
Satoshi Hara | JP | Tokyo | 2016-04-21 / 20160112633 - LOCATION INFORMATION DESIGNATING DEVICE, LOCATION INFORMATION DESIGNATING METHOD, AND NON-TRANSITORY STORAGE MEDIUM IN WHICH LOCATION INFORMATION DESIGNATING PROGRAM IS STORED | 10 |
Kenjiro Hara | JP | Fukushima | 2011-10-20 / 20110253928 - METHOD OF PRODUCING ANODE MATERIAL | 1 |
Tsutomu Hara | JP | Tokyo | 2015-11-12 / 20150321806 - CHECK VALVE AND CONTAINER WITH CHECK VALVE | 7 |
Kazuyoshi Hara | JP | Kanagawa | 2016-03-31 / 20160089001 - ENDOSCOPE SYSTEM, ENDOSCOPE, AND ENDOSCOPE CONNECTOR | 2 |
Hitoshi Hara | JP | Tokyo | 2012-02-23 / 20120042712 - THERMAL CONDUCTIVITY DETECTOR AND GAS CHROMATOGRAPH USING SAME | 10 |
Mitsusato Hara | JP | Kawasaki-Shi | 2013-09-19 / 20130239639 - POSITIONING APPARATUS, WORKING SYSTEM, AND HOT WORKING APPARATUS | 1 |
Yoichi Hara | JP | Tokyo | 2009-01-22 / 20090024616 - CONTENT RETRIEVING DEVICE AND RETRIEVING METHOD | 1 |
Kenji Hara | JP | Tochigi | 2008-11-27 / 20080294135 - Absorptive Article | 1 |
Takumi Hara | JP | Wako-Shi | 2015-06-11 / 20150158540 - STRADDLE TYPE VEHICLE | 1 |
Tokumasa Hara | JP | Kanagawa-Ken | 2012-04-19 / 20120092927 - MEMORY SYSTEM | 1 |
Kunio Hara | JP | Yamanashi | 2009-12-31 / 20090324354 - SPLASH GUARD OF MACHINE TOOL | 1 |
Tokumasa Hara | JP | Kawasaki Kanagawa | 2016-03-10 / 20160070471 - MEMORY SYSTEM | 3 |
Shinya Hara | JP | Yokohama-Shi | 2013-02-21 / 20130045001 - FINDER UNIT, IMAGE CAPTURING APPARATUS, AND COMPUTER READABLE MEDIUM | 2 |
Takumi Hara | JP | Tokyo | 2013-07-18 / 20130182084 - SYSTEM, APPARATUS, AND METHOD FOR IMAGE DISPLAY AND MEDICAL IMAGE DIAGNOSIS APPARATUS | 3 |
Ai Hara | JP | Yokohama-Shi | 2015-05-14 / 20150133328 - PROBE OR PROBE SET FOR EVALUATING INFLUENCE OF ULTRAVIOLET RAY ON SKIN AND NUCLEIC ACID MICROARRAY | 1 |
Masashi Hara | JP | Nagoya-Shi | 2013-12-12 / 20130327491 - IN-CYLINDER FUEL-INJECTION TYPE INTERNAL COMBUSTION ENGINE, PISTON FOR IN-CYLINDER FUEL-INJECTION TYPE INTERNAL COMBUSTION ENGINE AND PROCESS FOR MANUFACTURING PISTON FOR IN-CYLINDER FUEL-INJECTION TYPE INTERNAL COMBUSTION ENGINE | 3 |
Nobukatsu Hara | JP | Osaka | 2015-10-01 / 20150274253 - BICYCLE CRANK ASSEMBLY | 15 |
Takayuki Hara | JP | Yamaguchi | 2012-02-23 / 20120046467 - QUATERNARY AMMONIUM SALT COMPOUNDS | 1 |
Kazutaka Hara | JP | Ibaraki-Shi | 2015-08-06 / 20150218420 - ANTI-FOULING ADHESIVE SHEET, AND ANTI-FOULING TREATMENT METHOD FOR A STRUCTURE USING SAID ANTI-FOULING ADHESIVE SHEET | 6 |
Takashi Hara | JP | Honjyo-Shi | 2009-04-02 / 20090088994 - BATTERY STATE DETERMINING APPARATUS | 1 |
Yusuke Hara | JP | Fukuoka-Shi | 2015-12-31 / 20150380025 - SUBSTRATES FOR THIN-FILM MAGNETIC HEADS, MAGNETIC HEAD SLIDERS, AND HARD DISK DRIVE DEVICES | 4 |
Hirofumi Hara | JP | Saitama-Ken | 2010-02-11 / 20100031632 - CATALYST DETERIORATION DETERMINATION DEVICE AND METHOD, AND ENGINE CONTROL UNIT | 2 |
Tetsuya Hara | JP | Nagoya-City | 2011-09-22 / 20110229355 - FUEL PUMP | 1 |
Masatoshi Hara | JP | Kanagawa | 2011-09-15 / 20110221931 - Temperature information output apparatus, imaging apparatus, method of outputting temperature information | 1 |
Masumi Hara | JP | Tokyo | 2012-04-05 / 20120083432 - WATER-BASED LUBRICANT FOR PLASTIC PROCESSING HAVING EXCELLENT CORROSION RESISTANCE AND METAL MATERIAL HAVING EXCELLENT PLASTIC PROCESSABILITY | 1 |
Hiroshi Hara | JP | Yokohama-Shi | 2015-12-17 / 20150365176 - PROCESS TO ASSEMBLE OPTICAL RECEIVER MODULE | 4 |
Takafumi Hara | JP | Osaka-Shi | 2011-11-10 / 20110273641 - LIQUID CRYSTAL DISPLAY DEVICE AND FRAME FOR LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Hiroyuki Hara | JP | Chino-Shi | 2013-01-24 / 20130021657 - ELECTROOPTIC DEVICE AND ELECTRONIC APPARATUS | 9 |
Junichi Hara | JP | Sagamihara | 2015-08-27 / 20150242157 - STORAGE SYSTEM GROUP INCLUDING SCALE-OUT STORAGE SYSTEM AND MANAGEMENT METHOD THEREFOR | 19 |
Hiroyuki Hara | JP | Gunma | 2011-06-30 / 20110158573 - THRUST ROLLER BEARING AND METHOD FOR MANUFACTURING THRUST RACE THEREOF | 1 |
Hiroyuki Hara | JP | Chiyoda-Ku | 2011-02-17 / 20110039975 - ANTIFOULING COMPOSITION, PROCESS FOR ITS PRODUCTION AND ARTICLE TREATED THEREWITH | 1 |
Kenji Hara | JP | Yokohama-Shi | 2016-03-24 / 20160082721 - IMAGE FORMING APPARATUS, IMAGE PROCESSING DEVICE AND IMAGE FORMING METHOD | 2 |
Hiroyuki Hara | JP | Nagano | 2011-05-19 / 20110115768 - METHOD OF DRIVING ELECTRO-OPTICAL DEVICE, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC APPARATUS | 1 |
Kenji Hara | JP | Kyoto-Shi | 2014-01-02 / 20140002823 - GAS ANALYSIS DEVICE | 1 |
Masamichi Hara | JP | Nirasaki-Shi | 2012-08-09 / 20120199573 - SUBSTRATE MOUNTING MECHANISM, AND SUBSTRATE PROCESSING | 8 |
Takumi Hara | JP | Chuo-Ku | 2015-09-10 / 20150250720 - TABLET CONTAINING COMPOSITE WITH CYCLODEXTRIN | 1 |
Akihiro Hara | JP | Shizuoka | 2016-04-28 / 20160116838 - COLORING COMPOSITION, CURED FILM, COLOR FILTER, METHOD FOR PRODUCING COLOR FILTER, SOLID-STATE IMAGING ELEMENT, AND IMAGE DISPLAY DEVICE | 2 |
Yoshitomo Hara | JP | Fukui | 2010-06-03 / 20100137527 - HIGHLY SHRINKABLE FIBER | 1 |
Yoshitomo Hara | JP | Ube-Shi | 2011-02-17 / 20110039466 - MONOFILAMENT FOR USE IN SCREEN GAUZE AND SCREEN GAUZE USING THE SAME | 1 |
Yoshitomo Hara | JP | Yamaguchi | 2011-01-20 / 20110015324 - POLYAMIDE RESIN COMPOSITION FOR A FILM | 1 |
Yoshitomo Hara | JP | Yamaguchi-Ken | 2009-02-05 / 20090035498 - BELT-SHAPED WOVEN STRUCTURE AND METHOD OF PRODUCING THE SAME | 1 |
Katsuyuki Hara | JP | Kanagawa-Ken | 2008-10-09 / 20080246172 - FINE CHANNEL DEVICE, FINE PARTICLE PRODUCING METHOD AND SOLVENT EXTRACTION METHOD | 1 |
Yuki Hara | JP | Chiba | 2013-12-05 / 20130324633 - 2,2-DIMETHOXY-1,2-DI[4-(METH)ACRYLOYLOXY]PHENYLETHANE-1-ONE, METHOD FOR PRODUCING THE SAME, RADICAL POLYMERIZATION INITIATOR AND PHOTOCURABLE COMPOSITION | 3 |
Terunobu Hara | JP | Ome-Shi | 2014-10-16 / 20140310802 - Electronic Apparatus and Control Method | 5 |
Mirai Hara | JP | Chiyoda-Ku | 2015-07-16 / 20150199382 - RELATED CONTENT RETRIEVAL DEVICE AND RELATED CONTENT RETRIEVAL METHOD | 3 |
Takeshi Hara | JP | Nara | 2011-02-24 / 20110042674 - PRODUCTION METHODS OF PATTERN THIN FILM, SEMICONDUCTOR ELEMENT, AND CIRCUIT SUBSTRATE, AND RESIST MATERIAL, SEMICONDUCTOR ELEMENT, AND CIRCUIT SUBSTRATE | 2 |
Junichi Hara | JP | Tokyo | 2015-06-11 / 20150160885 - STORAGE MANAGEMENT SYSTEM | 2 |
Kazumi Hara | JP | Suwa | 2014-10-16 / 20140306342 - SEMICONDUCTOR DEVICE, HAVING THROUGH ELECTRODES, A MANUFACTURING METHOD THEREOF, AND AN ELECTRONIC APPARATUS | 4 |
Akihiro Hara | JP | Aichi | 2014-10-23 / 20140312170 - ACTUATOR DEVICE FOR AIRCRAFT, AND AIRCRAFT | 2 |
Kaoru Hara | JP | Saitama | 2011-06-09 / 20110137032 - GPR119 AGONIST | 1 |
Noritaka Hara | JP | Kamakura | 2014-10-02 / 20140293517 - ELECTRONIC APPARATUS AND MEMBER ROTATING OPERATION METHOD | 2 |
Nobuyuki Hara | JP | Kawasaki | 2012-10-11 / 20120257803 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM | 2 |
Kazuhiro Hara | JP | Hamamatsu-Shi | 2009-08-13 / 20090200940 - Photomultiplier Tube and Radiation Detecting Device | 1 |
Tomoko Hara | JP | Chino-Shi | 2010-08-05 / 20100194452 - INTEGRATED CIRCUIT DEVICE AND ELECTRONIC APPARATUS | 1 |
Hirotaka Hara | JP | Tokyo | 2016-03-17 / 20160074001 - RADIATION IMAGE CAPTURING SYSTEM | 8 |
Koujirou Hara | JP | Tsukuba-Shi | 2010-07-08 / 20100174095 - ORGANIC COMPOUND, SEMICONDUCTOR FILM ELECTRODE EMPLOYING THE ORGANIC COMPOUND, PHOTOELECTRIC CONVERSION ELEMENT EMPLOYING THE ORGANIC COMPOUND, AND PHOTOELECTROCHEMICAL SOLAR CELL EMPLOYING THE ORGANIC COMPOUND | 2 |
Yujiro Hara | JP | Yokohama-Shi | 2011-07-14 / 20110168909 - X-RAY DETECTOR | 8 |
Yuki Hara | JP | Kanagawa | 2015-10-15 / 20150294199 - PRINT CONTROL APPARATUS, PRINT CONTROL METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 6 |
Kenji Hara | JP | Nara | 2015-08-13 / 20150225867 - ANODIZED FILMS WITH BRANCHED PORE STRUCTURES | 3 |
Tomoko Hara | JP | Chino | 2011-01-06 / 20110002072 - INPUT-OUTPUT INTERFACE CIRCUIT, INTEGRATED CIRCUIT DEVICE AND ELECTRONIC APPARATUS | 1 |
Tetsuya Hara | JP | Numazu-Shi | 2010-01-28 / 20100021645 - CURTAIN COATING METHOD AND CURTAIN COATING APPARATUS | 1 |
Keita Hara | JP | Osaka-Shi | 2015-02-12 / 20150044099 - MEASURING DEVICE, DIALYSIS END CONDITION DETERMINING DEVICE, AND DIALYSIS PROGRESS PRESENTING DEVICE | 9 |
Susumu Hara | US | Austin | 2014-02-27 / 20140055179 - INTERPOLATIVE DIVIDER LINEARITY ENHANCEMENT TECHNIQUES | 8 |
Hiroaki Hara | US | West Hartford | 2011-09-29 / 20110232858 - GEOTHERMAL WELL USING GRAPHITE AS SOLID CONDUCTOR | 1 |
Tomotaka Hara | JP | Hadano-City | 2012-12-06 / 20120305556 - Cosmetic applicator, precursor and related manufacturing method | 1 |
Takeshi Hara | JP | Kawasaki-Shi | 2009-04-30 / 20090110828 - INK JET RECORDING MEDIUM AND INK JET RECORDING METHOD | 1 |
Jiro Hara | JP | Wako-Shi | 2014-10-02 / 20140297146 - DRIVING FORCE CONTROL DEVICE FOR FOUR-WHEEL-DRIVE VEHICLE | 2 |
Nobuo Hara | JP | Shizuoka | 2015-04-02 / 20150090546 - STEERING DAMPER, A SADDLE RIDING TYPE VEHICLE HAVING THE SAME, AND A METHOD OF MANUFACTURING THE SAME | 10 |
Takashi Hara | JP | Niigata | 2013-08-29 / 20130224252 - INTESTINE IMMUNOMODULATOR | 2 |
Kazuma Hara | JP | Daito City | 2012-03-15 / 20120060614 - IMAGE SENSING DEVICE | 2 |
Mutsumi Hara | JP | Tokyo | 2010-07-08 / 20100173234 - PHOTOMASK PRODUCING METHOD AND PHOTOMASK BLANK | 2 |
Kenji Hara | JP | Kawasaki-Shi | 2016-02-11 / 20160041605 - IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR AND STORAGE MEDIUM | 15 |
Takeshi Hara | JP | Kanagawa | 2014-12-11 / 20140363013 - HEADPHONE DRIVER, LOUDSPEAKER, AND METHOD OF MANUFACTURING HEADPHONE DRIVER OR LOUDSPEAKER | 8 |
Tomihiro Hara | JP | Tokyo | 2011-06-16 / 20110139500 - ELECTRICAL CONNECTING METHOD AND ELECTRICALLY CONNECTED CONNECTION STRUCTURE | 3 |
Tetsuya Hara | JP | Tokyo | 2009-07-02 / 20090167191 - LIGHT EMITTING DEVICE | 1 |
Ippei Hara | JP | Tokyo | 2015-04-23 / 20150107330 - EXHAUST GAS SAMPLING DEVICE AND EXHAUST GAS ANALYZING SYSTEM | 2 |
Motoaki Hara | JP | Miyagi | 2013-06-13 / 20130147578 - DUPLEXER | 10 |
Koutarou Hara | JP | Nagoya-Shi | 2015-07-30 / 20150212958 - DATA TRANSMISSION APPARATUS, COMMUNICATION CONTROL METHOD, AND COMMUNICATION CONTROL PROGRAM | 2 |
Hidenori Hara | JP | Fukuoka | 2015-08-27 / 20150244287 - POWER CONVERSION APPARATUS AND POWER CONVERSION METHOD | 14 |
Tetsuya Hara | JP | Kariya-City | 2015-05-14 / 20150129072 - BIASING FORCE ADJUSTMENT DEVICE, HYDRAULIC CONTROL VALVE HAVING THE SAME, AND METHOD OF MANUFACTURING BIASING FORCE ADJUSTMENT DEVICE | 5 |
Junichi Hara | JP | Kanagawa | 2015-12-24 / 20150371682 - CONTENT REPRODUCING APPARATUS, CONTENT REPRODUCING SYSTEM, AND CONTENT REPRODUCING METHOD | 12 |
Junichi Hara | JP | Sagamahira | 2015-07-02 / 20150186223 - STORAGE MANAGEMENT SYSTEM AND STORAGE MANAGEMENT METHOD | 2 |
Hiroyuki Hara | JP | Tokyo | 2010-03-25 / 20100076168 - ANTIFOULING COMPOSITION, METHOD FOR ITS PRODUCTION AND ARTICLE TREATED THEREWITH | 2 |
Hiroshi Hara | JP | Tokyo | 2015-06-11 / 20150163894 - SUPERCONDUCTING ACCELERATING CAVITY AND ELECTROPOLISHING METHOD FOR SUPERCONDUCTING ACCELERATING CAVITY | 8 |
Masahiro Hara | JP | Tokyo | 2013-11-14 / 20130305161 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, INFORMATION PROVIDING DEVICE, AND INFORMATION PROCESSING SYSTEM | 10 |
Hiroyuki Hara | JP | Osaka | 2015-10-01 / 20150278658 - Image Forming Apparatus Capable of Changing Image Data into Document Data, an Image Forming System, and an Image Forming Method | 11 |
Masamichi Hara | JP | Nirasaki-Shi | 2012-08-09 / 20120199573 - SUBSTRATE MOUNTING MECHANISM, AND SUBSTRATE PROCESSING | 8 |
Shinjiro Hara | JP | Tokyo | 2014-12-04 / 20140353907 - MEDIUM FEEDING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Yukihiko Hara | JP | Tokyo | 2012-06-07 / 20120142947 - Stabilized 3-Hydroxyflavan Compositions and Methods Therefor | 7 |
Issei Hara | JP | Utsunomiya-Shi | 2013-06-27 / 20130164183 - REDUCING AGENT AQUEOUS SOLUTION MIXING DEVICE AND EXHAUST GAS POST-TREATMENT DEVICE | 4 |
Toshinari Hara | JP | Tokyo | 2010-03-04 / 20100056258 - AMUSEMENT DEVICE | 2 |
Takafumi Hara | JP | Kyoto | 2009-10-29 / 20090268473 - BACKLIGHT CHASSIS, A BACKLIGHT UNIT, AND A DISPLAY DEVICE | 1 |
Motoaki Hara | JP | Tokyo | 2014-04-24 / 20140111287 - FILTER, DUPLEXER AND COMMUNICATION MODULE | 4 |
Yoshitaka Hara | JP | Tokyo | 2014-10-16 / 20140309841 - Autonomous Mobile System | 13 |
Kazuo Hara | JP | Tokyo | 2014-07-03 / 20140184245 - POSITION INDICATOR AND CAPACITOR | 3 |
Makiko Hara | JP | Tokyo | 2009-11-12 / 20090281881 - POINT CALCULATING DEVICE AND METHOD FOR CALCULATING POINTS | 1 |
Koji Hara | JP | Tsukubamirai-Shi | 2015-08-06 / 20150217382 - OPENING AND CLOSING CHUCK | 9 |
Takahiko Hara | JP | Gifu | 2011-03-24 / 20110067564 - HYDRAULIC CYLINDER | 1 |
Kento Hara | JP | Shibuya-Ku | 2012-09-13 / 20120229913 - LENS SYSTEM AND IMAGE PICKUP DEVICE EQUIPPED WITH THE SAME | 1 |
Toru Hara | JP | Tokyo | 2009-10-22 / 20090260442 - Drum Inspecting Apparatus | 1 |
Kenichi Hara | JP | Nirasaki-Shi | 2013-11-21 / 20130306597 - PROCESSING APPARATUS AND METHOD FOR PROCESSING METAL FILM | 2 |
Daiji Hara | JP | Kanagawa | 2015-12-10 / 20150353588 - GROUP 5 METAL OXO-ALKOXO COMPLEX, METHOD FOR PRODUCING SAME, AND METHOD FOR MANUFACTURING GROUP 5 METAL OXIDE FILM | 3 |
Hirotaka Hara | JP | Tokyo | 2016-03-17 / 20160074001 - RADIATION IMAGE CAPTURING SYSTEM | 8 |
Hideki Hara | JP | Kanagawa | 2012-04-05 / 20120083112 - METHOD OF MANUFACTURING NONVOLATILE SEMICONDUCTOR MEMORY WITH BACKING WIRINGS | 1 |
Hidesato Hara | JP | Tokyo | 2011-02-10 / 20110033827 - Method of using dental material and composite dental material formed by using hydroxyapatite | 2 |
Masahiko Hara | JP | Saitama | 2016-02-11 / 20160042291 - SOLUTION SEARCH SYSTEM, SOLUTION SEARCH METHOD, AND SOLUTION SEARCH PROGRAM | 2 |
Taiyo Hara | JP | Kyoto | 2014-05-22 / 20140143832 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, STORAGE MEDIUM AND INFORMATION PROCESSING METHOD | 2 |
Katsushi Hara | JP | Yokohama-Shi | 2013-08-01 / 20130194327 - IMAGE PROCESSING METHOD AND IMAGE PROCESSING APPARATUS | 5 |
Takahiko Hara | JP | Tokyo | 2011-03-31 / 20110073719 - PIPE FIXING STRUCTURE FOR CYLINDER TUBE | 1 |
Terunobu Hara | US | Ome-Shi | 2014-12-11 / 20140366129 - ELECTRIC DEVICE, ANOTHER ELECTRIC DEVICE, METHOD OF CONTROLLING ELECTRIC DEVICE AND COMPUTER-READABLE MEDIUM FOR CONTROLLING ELECTRIC DEVICE | 1 |
Kenji Hara | JP | Iga | 2013-11-07 / 20130295447 - SECONDARY BATTERY WITH ORGANIC ELECTROLYTIC SOLUTION | 1 |
Yoshiaki Hara | JP | Tokyo | 2009-10-15 / 20090255153 - GROUP CALENDAR INTERFACE | 2 |
Kazukuni Hara | JP | Kasugai-City | 2014-05-08 / 20140123901 - SILICON CARBIDE SINGLE CRYSTAL MANUFACTURING APPARATUS | 5 |
Ryosuke Hara | JP | Shizuoka | 2011-12-01 / 20110292670 - VEHICULAR LAMP | 2 |
Yoshiyuki Hara | JP | Tsukuba-Shi | 2016-01-28 / 20160026411 - PRINTING APPARATUS | 1 |
Akitoshi Hara | JP | Nagano-Ken | 2009-04-16 / 20090095510 - ELECTRONIC DEVICE, ELECTRONIC MODULE, AND METHODS FOR MANUFACTURING THE SAME | 1 |
Yuuichi Hara | JP | Yokohama-Shi | 2012-06-14 / 20120146656 - APPARATUS AND METHOD FOR DETECTING ABNORMALITY OF HIGH VOLTAGE CIRCUIT | 1 |
Kazuhiro Hara | JP | Numazu Shizuoka | 2016-03-03 / 20160059564 - INKJET APPARATUS THAT CONTROLS A FLOW RATE OF LIQUID CIRCULATED THEREIN | 1 |
Toshiki Hara | JP | Suwa-Shi | 2011-07-14 / 20110169898 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 5 |
Ikunari Hara | JP | Saitama | 2011-05-26 / 20110122903 - Wide-Band Wavelength-Variable Laser Device | 1 |
Takafumi Hara | JP | Nagaizumi Shizuoka | 2016-02-11 / 20160041486 - REVERSIBLY ALLOCHROIC TONER, METHOD OF PRODUCING THE SAME, TONER CARTRIDGE, AND IMAGE FORMING APPARATUS | 3 |
Masazumi Hara | JP | Tokyo | 2014-03-06 / 20140061103 - OPTICAL SORTING MACHINE | 2 |
Yukihito Hara | JP | Nagoya-Shi | 2013-06-20 / 20130159491 - COMMUNICATION SYSTEM, NETWORK STORAGE, AND SERVER DEVICE | 9 |
Akiko Hara | JP | Oshitani | 2011-08-25 / 20110204531 - Method of Manufacturing Wafer Lens | 1 |
Akitoshi Hara | JP | Fujimi | 2014-07-24 / 20140202245 - PIEZOELECTRIC DEVICE WITH A PACKAGE INCLUDING A CONVEX PART | 6 |
Takafumi Hara | JP | Shizuoka | 2014-07-17 / 20140199622 - ERASABLE TONER | 2 |
Hidenori Hara | JP | Fukuoka | 2015-08-27 / 20150244287 - POWER CONVERSION APPARATUS AND POWER CONVERSION METHOD | 14 |
Michiko Hara | JP | Kanagawa-Ken | 2015-07-02 / 20150185296 - MAGNETO-RESISTIVE EFFECT DEVICE, MAGNETIC HEAD GIMBAL ASSEMBLY, MAGNETIC RECORDING/REPRODUCTION DEVICE, STRAIN SENSOR, PRESSURE SENSOR, BLOOD PRESSURE SENSOR, AND STRUCTURAL HEALTH MONITORING SENSOR | 27 |
Keiji Hara | JP | Fukuoka-Shi | 2012-04-19 / 20120091922 - TUNGSTEN CATHODE MATERIAL | 1 |
Takuma Hara | JP | Kamakura-Shi | 2015-11-26 / 20150340478 - SEMICONDUCTOR DEVICE | 4 |
Yasuhide Hara | JP | Kyoto | 2009-08-27 / 20090212400 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD AND MOUNTING METHOD THEREOF | 1 |
Keiji Hara | JP | Shiojiri-Shi | 2014-02-13 / 20140043402 - LIQUID EJECTING APPARATUS | 3 |
Zenichiro Hara | JP | Tokyo | 2012-12-06 / 20120309253 - METHOD FOR MANUFACTURING IMAGE DISPLAY ELEMENT | 7 |
Keiji Hara | JP | Yokohama | 2014-09-18 / 20140267503 - LIQUID EJECTING APPARATUS | 2 |
Shinji Hara | JP | Saitama | 2015-11-26 / 20150342025 - MOUNTING STRUCTURE OF ELECTRONIC COMPONENTS PROVIDED WITH HEAT SINK | 2 |
Yukihito Hara | JP | Ichikawa-Shi | 2010-04-22 / 20100100644 - Terminal device | 1 |
Isao Hara | JP | Tokai | 2012-10-11 / 20120255353 - Fluid Level Measurement Instrument by Using Solenoid Coil | 1 |
Isao Hara | JP | Ninomiya-Cho | 2011-04-07 / 20110082325 - TRANSITION METAL COMPLEX COMPOUNDS, OLEFIN OLIGOMERIZATION CATALYSTS INCLUDING THE COMPOUNDS, AND PROCESSES FOR PRODUCING OLEFIN OLIGOMERS USING THE CATALYSTS | 1 |
Motoyuki Hara | JP | Shizuoka | 2011-04-28 / 20110094819 - ALL TERRAIN VEHICLE | 3 |
Katsutaka Hara | JP | Toride-Shi | 2015-04-09 / 20150098783 - Work Vehicle | 1 |
Shinichiro Hara | JP | Tokyo | 2016-01-28 / 20160025969 - AN OPTICAL ELEMENT, AN OPTICAL SCANNING DEVICE, A MANUFACTURING METHOD OF THE OPTICAL ELEMENT, AND A MOLDING DIE | 3 |
Tatsunori Hara | JP | Saitama-Shi | 2009-10-08 / 20090251810 - Lens Drive Unit Installation Structure and Lens Drive Unit | 2 |
Yuji Hara | JP | Machida-Shi | 2016-02-25 / 20160057256 - INFORMATION PROCESSING APPARATUS, COMMUNICATION METHOD AND STORAGE MEDIUM | 13 |
Daisuke Hara | JP | Kyoto-Shi | 2015-04-02 / 20150094396 - DENTAL CURABLE COMPOSITION CONTAINING PARTICLES WITH DIFFERENT REFRACTIVE INDEXES | 1 |
Takeshi Hara | JP | Osaka | 2015-10-22 / 20150303307 - SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 10 |
Shoichi Hara | JP | Osaka | 2016-04-07 / 20160099649 - SWITCHING POWER SUPPLY APPARATUS FOR GENERATING CONTROL SIGNAL FOR LOWERING SWITCHING FREQUENCY OF SWITCHING DEVICES | 3 |
Atsuo Hara | JP | Fukuoka | 2010-03-04 / 20100052861 - SIMULATING DEVICE, SIMULATING METHOD AND RECORDING MEDIUM | 2 |
Hideaki Hara | JP | Ikoma-Shi | 2012-02-16 / 20120040994 - THERAPEUTIC AGENT FOR GLAUCOMA COMPRISING Rho KINASE INHIBITOR AND PROSTAGLANDIN | 6 |
Yosei Hara | JP | Hitachinaka | 2015-12-31 / 20150382501 - POWER CONVERTER | 4 |
Mieko Hara | JP | Tokyo | 2016-01-28 / 20160028055 - BATTERY LOADING AND UNLOADING MECHANISM | 13 |
Kentaro Hara | JP | Fukuoka | 2014-11-20 / 20140344612 - SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE TESTING METHOD, AND DATA PROCESSING SYSTEM | 2 |
Isao Hara | JP | Naka-Gun | 2011-11-24 / 20110288240 - NOVEL PHOSPHAZENE-SUPPORTED CATALYST, NOVEL COMPOUND THEREOF AND USE THEREOF | 1 |
Keiji Hara | JP | Minowa | 2012-09-27 / 20120241368 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 1 |
Shintarou Hara | JP | Fukuoka | 2008-10-23 / 20080259133 - PIEZOELECTRIC ELEMENT, INK JET HEAD, AND INK JET RECORDING DEVICE | 2 |
Keiji Hara | JP | Suwa-Shi | 2012-09-27 / 20120242753 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 1 |
Kanna Hara | JP | Fukuoka | 2009-05-28 / 20090138784 - CODING DEVICE AND CODING METHOD | 1 |
Takashi Hara | JP | Nagaokakyo-Shi | 2014-04-10 / 20140098574 - SWITCHING POWER SUPPLY DEVICE | 3 |
Yumi Hara | JP | Hyogo | 2012-03-15 / 20120062703 - INFORMATION DISPLAY DEVICE, REPRODUCTION DEVICE, AND STEREOSCOPIC IMAGE DISPLAY DEVICE | 1 |
Kiyonori Hara | JP | Yokohama | 2008-12-18 / 20080313244 - METHOD AND SYSTEM FOR DATA PROCESSING WITH DATABASE UPDATE FOR THE SAME | 1 |
Isao Hara | JP | Kyoto | 2011-05-05 / 20110104056 - NOVEL MOLECULAR ASSEMBLY, MOLECULAR PROBE FOR MOLECULAR IMAGING AND MOLECULAR PROBE FOR DRUG DELIVERY SYSTEM USING THE SAME, AND MOLECULAR IMAGING SYSTEM AND DRUG DELIVERY SYSTEM | 1 |
Takuma Hara | JP | Kanagawa-Ken | 2010-07-29 / 20100187598 - SEMICONDUCTOR DEVICE HAVING SWITCHING ELEMENT AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE HAVING SWITCHING ELEMENT | 1 |
Kazuhiro Hara | JP | Numazu-Shi | 2008-10-23 / 20080260424 - TRANSFER BELT UNIT FOR IMAGE FORMING APPARATUS | 1 |
Kazuyoshi Hara | JP | Itami-Shi | 2016-03-17 / 20160077470 - Image Forming Apparatus | 15 |
Kenji Hara | JP | Iga-Shi | 2012-03-15 / 20120062238 - Battery Controller and Voltage Abnormality Detection Method | 1 |
Hiroshi Hara | JP | Tokyo | 2015-06-11 / 20150163894 - SUPERCONDUCTING ACCELERATING CAVITY AND ELECTROPOLISHING METHOD FOR SUPERCONDUCTING ACCELERATING CAVITY | 8 |
Masahiro Hara | JP | Tokyo | 2013-11-14 / 20130305161 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, INFORMATION PROVIDING DEVICE, AND INFORMATION PROCESSING SYSTEM | 10 |
Yusuke Hara | JP | Kawasaki | 2013-12-05 / 20130326515 - DEVICE, RECORDING MEDIUM, AND METHOD | 1 |
Mitsuko Hara | JP | Saitama | 2013-02-21 / 20130045977 - TGF-beta SIGNAL TRANSDUCTION INHIBITOR | 1 |
Yoshihiko Hara | JP | Kanagawa | 2016-05-12 / 20160130618 - Method for Manufacturing Useful Substance | 5 |
Isao Hara | JP | Tokyo | 2015-08-13 / 20150228365 - INSTRUMENTATION EQUIPMENT FOR NUCLEAR POWER PLANT | 5 |
Masahi Hara | JP | Shiga | 2010-05-27 / 20100130083 - CATIONIC DYEABLE POLYURETHANE ELASTIC YARN AND METHOD OF PRODUCTION | 1 |
Yusuke Hara | JP | Fukuoka | 2010-06-10 / 20100143749 - SUBSTRATE MATERIAL FOR MAGNETIC HEAD AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kenji Hara | JP | Kariya-Shi | 2016-05-05 / 20160128204 - COMPONENT MOUNTING MACHINE | 1 |
Masahiro Hara | JP | Kanagawa | 2012-08-09 / 20120203048 - METHOD FOR MANUFACTURING CATALYST | 1 |
Yutaka Hara | JP | Kanagawa | 2013-12-05 / 20130326157 - CENTRAL PROCESSING UNIT AND DRIVING METHOD THEREOF | 1 |
Kenichiroh Hara | JP | Yokohama-Shi | 2016-01-28 / 20160029151 - COMMUNICATION APPARATUS, CONTROL METHOD FOR COMMUNICATION APPARATUS, AND PROGRAM | 9 |
Isao Hara | JP | Kyoto-Shi | 2015-07-23 / 20150202327 - MOLECULAR ASSEMBLY USING BRANCHED AMPHIPHILIC BLOCK POLYMER, AND DRUG TRANSPORTATION SYSTEM | 4 |
Yoshihisa Hara | JP | Kanagawa | 2014-10-02 / 20140294455 - GRINDING ROLLER, FIXING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Zenichiro Hara | JP | Chiyoda-Ku | 2014-10-09 / 20140300530 - DISPLAY APPARATUS | 3 |
Kayoko Hara | JP | Kanagawa | 2016-04-21 / 20160111000 - VEHICLE MANAGEMENT SYSTEM, AND VEHICLE MANAGEMENT METHOD | 1 |
Takeshi Hara | JP | Osaka-Shi | 2015-08-27 / 20150241724 - SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 20 |
Yoshitake Hara | JP | Otsu-Shi | 2011-01-20 / 20110014448 - Paste composition and dielectric composition using the same | 1 |
Makiko Hara | JP | Chiba | 2012-05-10 / 20120114518 - Method for Producing Inorganic Particle Composite Body | 2 |
Masaaki Hara | JP | Fukuoka | 2011-07-21 / 20110174793 - ROBOT SYSTEM HAVING POSITIONER | 2 |
Sunao Hara | JP | Kamakura | 2010-01-21 / 20100016319 - ARYLMETHYLENE UREA DERIVATIVE AND USE THEREOF | 1 |
Atsushi Hara | JP | Yokohama-Shi | 2010-10-21 / 20100266961 - MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD | 1 |
Katsuko Hara | JP | Nara | 2012-05-17 / 20120122242 - METHOD FOR ASSESSING ARTERIOSCLEROSIS AND DIABETIC NEPHROPATHY | 1 |
Takafumi Hara | JP | Chiyoda-Ku | 2015-04-30 / 20150115941 - MAGNETIC DETECTION DEVICE AND ON-VEHICLE ROTATION DETECTION DEVICE EQUIPPED WITH THE SAME | 2 |
Kunihiko Hara | JP | Aichi | 2013-11-07 / 20130292882 - TRANSPARENT POLYCRYSTALLINE MATERIAL AND PRODUCTION PROCESS FOR THE SAME | 2 |
Yoshitake Hara | JP | Shiga | 2011-03-03 / 20110053759 - HIGH DIELECTRIC CONSTANT PASTE COMPOSITION AND DIELECTRIC COMPOSITION USING THE SAME | 2 |
Eiji Hara | JP | Tokyo | 2016-01-07 / 20160002699 - METHOD FOR SCREENING FOOD INGREDIENTS AND FOOD COMPOSITIONS | 3 |
Takeshi Hara | JP | Osaka-Shi | 2015-08-27 / 20150241724 - SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 20 |
Terunobu Hara | JP | Tokyo | 2011-10-06 / 20110246802 - INFORMATION PROCESSOR | 3 |
Sadayoshi Hara | JP | Atsugi | 2009-03-05 / 20090056597 - MOVABLE TABLE | 1 |
Naoko Hara | JP | Chiba | 2011-08-18 / 20110201548 - THERAPEUTIC AGENT FOR ACUTE HEPATITIS OR PROPHYLACTIC/THERAPEUTIC AGENT FOR FULMINANT HEPATITIS | 1 |
Yoshimichi Hara | JP | Kuwana-City | 2015-03-26 / 20150084570 - MOTOR CONTROL APPARATUS | 3 |
Tetsuro Hara | US | Pasadena | / - | 1 |
Mariko Hara | US | San Francisco | 2009-05-14 / 20090124561 - DIHYDROXYPHENYL COMPOUNDS AND GLUCOSIDE COMPOUNDS THEREOF | 1 |
Mark Hara | US | Maitland | 2009-01-15 / 20090013854 - System and Method for Indicating Selective Regions of A Musical Instrument | 1 |
Susumu Hara | US | Austin | 2014-02-27 / 20140055179 - INTERPOLATIVE DIVIDER LINEARITY ENHANCEMENT TECHNIQUES | 8 |
Elmer H. Hara | US | Regina | 2010-10-28 / 20100269941 - PIPELINE LEAKAGE-SENSING DEVICE | 1 |
Takeshi Hara | JP | Ome-Shi | 2011-10-13 / 20110249354 - DISK STORAGE APPARATUS AND METHOD FOR SERVO CONTROLLING | 1 |
Jacques Hara | US | Barrington | 2011-11-03 / 20110268013 - INTERNET-ORIENTATED AD-HOC NETWORK | 2 |
Karma Hara | US | New York | 2011-09-08 / 20110219034 - SYSTEM AND METHOD FOR AGGREGATION AND ASSOCIATION OF PROFESSIONAL AFFILIATION DATA WITH COMMERCIAL DATA CONTENT | 1 |
Junichiro Hara | JP | Saitama | 2012-01-26 / 20120017637 - AIR CONDITIONING DEVICE FOR VEHICLE | 1 |
Christine Akiko Hara | US | Dublin | 2010-11-25 / 20100298170 - METHODS AND SYSTEMS FOR INTRODUCING FUNCTIONAL POLYNUCLEOTIDES INTO A TARGET POLYNUCLEOTIDE | 1 |
Kenichi Hara | JP | Tsukuba-Shi | 2014-07-24 / 20140206187 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takayuki Hara | JP | Kanagawa | 2016-05-19 / 20160140714 - CALIBRATION APPARATUS, CALIBRATION METHOD, AND PROGRAM | 11 |
Keiji Hara | JP | Tokyo | 2009-01-22 / 20090019623 - HARNESS TYPE SAFETY BELT | 1 |
Yuji Hara | JP | Fukuyama-Shi | 2009-03-26 / 20090078974 - Solid-state image capturing device; manufacturing method for the solid-state image capturing device; and electronic information device | 1 |
Takeshi Hara | JP | Kawasaki | 2010-05-27 / 20100128385 - INFORMATION STORAGE DEVICE AND COMPUTER PRODUCT | 5 |
Michiko Hara | JP | Yokohama-Shi | 2014-12-11 / 20140362477 - MAGNETO-RESISTANCE EFFECT DEVICE, AND MAGNETIC RECORDER | 8 |
Ryoma Hara | JP | Osaka | 2012-10-25 / 20120270865 - HETEROCYCLIC COMPOUND | 1 |
Yuichi Hara | JP | Hiratsuka-Shi, Kanagawa | 2015-10-15 / 20150290890 - Method for Manufacturing Pneumatic Tire | 1 |
Yukihiro Hara | JP | Tokyo | 2016-03-24 / 20160082697 - Infrared Shielding Sheet, Method For Manufacturing The Same, And Use Of The Same | 9 |
Ikuo Hara | JP | Kanagawa | 2014-08-21 / 20140235020 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 2 |
Jiro Hara | JP | Tokyo | 2016-04-28 / 20160118052 - VOCODER PROCESSING METHOD, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE | 3 |
Takahito Hara | JP | Tsukuba-Shi | 2014-09-11 / 20140256693 - PYRROLO [1,2-C] IMIDAZOLE DERIVATIVES FOR USE IN THE PROPHYLAXIS OR TREATMENT OF CANCER WHICH IS REFRACTORY TO KNOWN CANCER THERAPIES | 3 |
Katsutoshi Hara | JP | Tokyo | 2009-03-05 / 20090062890 - PHYSIOLOGY ENHANCING DEVICE | 1 |
Shoji Hara | JP | Tokyo | 2009-03-26 / 20090083072 - MEDICAL INFORMATION PROCESSING SYSTEM, MEDICAL INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 2 |
Mitsugu Hara | JP | Tokyo | 2009-03-26 / 20090080803 - IMAGE PROCESSING PROGRAM, COMPUTER-READABLE RECORDING MEDIUM RECORDING THE PROGRAM, IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Yuji Hara | JP | Chiyoda-Ku | 2013-08-08 / 20130202786 - COATING COMPOSITION FOR COATING SURFACE OF SOLAR HEAT-COLLECTING REFLECTIVE PLATE, AND PROCESS FOR PRODUCING SOLAR HEAT-COLLECTING REFLECTIVE PLATE | 3 |
Tomitaro Hara | JP | Tokyo | 2015-11-12 / 20150325884 - METHOD FOR PRODUCING NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 5 |
Tatuya Hara | JP | Gifu-Shi | 2013-07-11 / 20130175816 - HUMANOID ELECTRIC HAND | 1 |
Yuji Hara | JP | Kanagawa | 2011-09-29 / 20110233803 - METAL MOLD HOLDING METHOD, ANNULAR MEMBER MANUFACTURING METHOD AND ANNULAR MEMBER MANUFACTURING APPARATUS | 2 |
Yasushi Hara | JP | Kanagawa | 2015-12-10 / 20150353588 - GROUP 5 METAL OXO-ALKOXO COMPLEX, METHOD FOR PRODUCING SAME, AND METHOD FOR MANUFACTURING GROUP 5 METAL OXIDE FILM | 1 |
Hideo Hara | JP | Tokyo | 2009-04-09 / 20090091397 - OSCILLATING APPARATUS AND FREQUENCY CONVERT APPARATUS | 1 |
Kiyofumi Hara | JP | Yokohama | 2016-03-03 / 20160066285 - APPARATUS AND METHOD FOR SELECTING AN OPTIMUM BASE STATION WHOSE TRANSMISSION POWER IS TO BE CHANGED | 2 |
Michiko Hara | JP | Yokohama Kanagawa | 2015-03-26 / 20150082894 - PRESSURE SENSOR AND PRESSURE SENSOR MANUFACTURING METHOD | 2 |
Takashi Hara | JP | Obu-Shi | 2015-02-19 / 20150050420 - METHOD FOR MANUFACTURING METAL FILM | 2 |
Junichiro Hara | JP | Tokyo | 2013-12-19 / 20130336819 - CENTRIFUGAL BLOWER AND VEHICLE AIR CONDITIONER PROVIDED WITH THE SAME | 7 |
Hiroaki Hara | JP | Tokyo | 2011-06-09 / 20110136365 - ELECTRICAL CONNECTOR | 2 |
Michikazu Hara | JP | Kanagawa | 2010-08-26 / 20100216953 - METHOD FOR PRODUCING SULFONIC ACID GROUP-CONTAINING CARBONACEOUS MATERIAL, SOLID ACID CATALYST, METHOD FOR PRODUCING ALKYLATION REACTION PRODUCT, AND METHOD FOR PRODUCING OLEFIN POLYMER | 5 |
Kosuke Hara | JP | Tokyo | 2009-06-11 / 20090150024 - Location range setting apparatus, control method and controller for apparatus mounted in mobile object, and automotive air conditioner and control method for the same | 2 |
Toshita Hara | JP | Tokyo | 2015-12-24 / 20150370403 - ELECTRONIC APPARATUS AND METHOD FOR OPERATING THEREOF | 3 |
Haruya Hara | JP | Tokyo | 2008-10-16 / 20080253060 - Laminated Ceramic Capacitor | 1 |
Masanori Hara | JP | Niigata-Shi | 2012-12-20 / 20120322087 - METHOD FOR EXAMINING ACUTE RENAL DISORDER | 3 |
Zenichirou Hara | JP | Tokyo | 2014-03-20 / 20140078729 - IMAGE DISPLAY DEVICE AND DISPLAY UNIT FOR IMAGE DISPLAY DEVICE | 2 |
Sadahiro Hara | JP | Osaka | 2014-12-04 / 20140352474 - ROTATION POSITION DETECTION MECHANISM FOR TRUNNION AXIS | 1 |
Ai Hara | JP | Kanagawa | 2016-04-07 / 20160097046 - NITRILE HYDRATASE | 5 |
Yoshitaka Hara | JP | Koshi City | 2013-03-07 / 20130057836 - SUBSTRATE TREATMENT APPARATUS, SUBSTRATE TREATMENT METHOD AND NON-TRANSITORY STORAGE MEDIUM | 2 |
Michikazu Hara | JP | Yokohama-Shi | 2013-10-31 / 20130288311 - CELLULOSE SACCHARIFICATION APPARATUS, BIOMASS SACCHARIFICATION APPARATUS, FERMENTATION APPARATUS AND CELLULOSE SACCHARIFICATION METHOD | 8 |
Yoshihiko Hara | JP | Kawasaki-Shi | 2012-08-30 / 20120219996 - METHOD FOR PRODUCING L-AMINO ACIDS USING BACTERIA OF THE ENTEROBACTERIACEAE FAMILY | 11 |
Hideaki Hara | JP | Nara-Shi | 2012-02-02 / 20120029088 - ANTI-ANXIETY COMPOSITION | 1 |
Nagaharu Hara | JP | Osaka | 2014-12-04 / 20140356571 - COMPOSITION FOR HEAT INSULATOR, HEAT INSULATOR, AND SPACECRAFT EQUIPPED THEREWITH | 1 |
Keiji Hara | JP | Yokohama-Shi | 2014-09-25 / 20140285574 - HEAD UNIT AND LIQUID EJECTING APPARATUS | 1 |
Fujio Hara | JP | Hidaka-Shi | 2009-06-18 / 20090153146 - APPARATUS FOR INSPECTING CIRCUIT BOARD AND METHOD OF INSPECTING CIRCUIT BOARD | 1 |
Masamichi Hara | JP | Yamanashi | 2015-02-12 / 20150044368 - PLACING TABLE STRUCTURE | 10 |
Masashi Hara | JP | Ibaraki-Ken | 2013-10-24 / 20130278667 - PRINTER | 13 |
Hiroshi Hara | JP | Yamanashi | 2009-01-08 / 20090009246 - ELECTRONIC CIRCUIT | 1 |
Fumio Hara | JP | Yokohama | 2016-04-14 / 20160103478 - MEMORY SYSTEM AND MEMORY CONTROLLER | 1 |
Tomoka Hara | JP | Ebina | 2016-01-28 / 20160028027 - Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 3 |
Yoshihito Hara | JP | Osaka-Shi | 2015-10-08 / 20150287833 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 20 |
Takuya Hara | JP | Chiba | 2009-01-29 / 20090025835 - High Strength Steel Plate and High Strength Welded Pipe Excellent in Ductile Fracture Characteristic and Methods of Production of Same | 2 |
Junichi Hara | JP | Yokohama | 2010-05-06 / 20100115055 - VIRTUAL FILE SERVERS WITH STORAGE DEVICE | 1 |
Takuya Hara | JP | Hamamatsu-Shi | 2015-07-23 / 20150201828 - PERIMETER | 4 |
Takuya Hara | JP | Shizuoka-Ken | 2013-06-13 / 20130148082 - FIXATION LAMP FOR OPHTHALMOLOGICAL INSTRUMENTS | 5 |
Takuya Hara | JP | Osaka | 2012-02-23 / 20120045194 - Imaging apparatus | 2 |
Takuya Hara | JP | Tokyo | 2014-07-10 / 20140190597 - HOT COIL FOR LINE PIPE USE AND METHOD OF PRODUCTION OF SAME | 12 |
Hiroshi Hara | JP | Hokkaido | 2016-04-21 / 20160106668 - CHOLECYSTOKININ SECRETION-PROMOTING COMPOSITION | 4 |
Tokumasa Hara | JP | Kawasaki | 2016-03-17 / 20160077913 - METHOD OF CONTROLLING NONVOLATILE MEMORY | 6 |
Maiko Hara | JP | Hiroshima | 2012-12-27 / 20120329087 - CELL PREPARATION CONTAINING MESENCHYMAL STEM CELLS, AND METHOD FOR PRODUCING SAME | 1 |
Hiroshi Hara | JP | Kawasaki-Shi | 2009-10-08 / 20090251665 - OPHTHALMOLOGIC PHOTOGRAPHING APPARATUS | 1 |
Shigehiro Hara | JP | Kawasaki-Shi | 2016-04-14 / 20160103945 - METHOD OF GENERATING WRITE DATA, MULTI CHARGED PARTICLE BEAM WRITING APPARATUS, AND PATTERN INSPECTION APPARATUS | 1 |
Yuji Hara | JP | Machida-Shi | 2016-02-25 / 20160057256 - INFORMATION PROCESSING APPARATUS, COMMUNICATION METHOD AND STORAGE MEDIUM | 13 |
Hiroshi Hara | JP | Osaka | 2010-03-04 / 20100051643 - MATERIAL METERING SYSTEM | 1 |
Toko Hara | JP | Kanagawa | 2016-03-10 / 20160070214 - IMAGE FORMING APPARATUS | 6 |
Kazuhiko Hara | JP | Tokyo | 2010-12-02 / 20100301986 - REACTOR APPARATUS FOR A RAILWAY VEHICLE | 1 |
Masaaki Hara | JP | Kanagawa | 2014-12-04 / 20140353521 - LASER SCANNING MICROSCOPE SYSTEM | 1 |
Junichi Hara | JP | Sagamihara | 2015-08-27 / 20150242157 - STORAGE SYSTEM GROUP INCLUDING SCALE-OUT STORAGE SYSTEM AND MANAGEMENT METHOD THEREFOR | 19 |
Katsuo Hara | JP | Ichihara-Shi | 2012-12-06 / 20120308721 - MAGNETIC RECORDING MEDIUM MANUFACTURING APPARATUS | 2 |
Kiyonobu Hara | JP | Hagagun | 2010-10-28 / 20100274514 - VEHICLE WHEEL ALIGNMENT MEASURING METHOD AND APPARATUS | 1 |
Hiroshi Hara | JP | Iwakuni-Shi | 2012-03-08 / 20120058337 - CARBON FIBER AND METHOD FOR PRODUCING THE SAME | 3 |
Yasushi Hara | JP | Kunitachi | 2016-03-03 / 20160066205 - MOBILE COMMUNICATION DEVICE AND WIRELESS COMMUNICATION METHOD | 7 |
Hiroshi Hara | JP | Yamaguchi | 2010-04-29 / 20100104846 - PITCH-BASED CARBON FIBERS, AND MANUFACTURING METHOD AND MOLDED PRODUCT THEREOF | 6 |
Hiroshi Hara | JP | Hyogo | 2010-07-01 / 20100165166 - SOLID-STATE IMAGING DEVICE | 2 |
Hiroshi Hara | JP | Kanagawa | 2014-07-31 / 20140210684 - MODULE | 4 |
Kanefusa Hara | JP | Osaka-Shi | 2015-10-22 / 20150299876 - ANODE FOR USE IN ZERO-GAP BRINE ELECTROLYZER, BRINE ELECTROLYZER AND METHOD FOR ZERO-GAP BRINE ELECTROLYSIS EMPLOYING SAME | 1 |
Yasushi Hara | JP | Yamaguchi-Ken | 2008-08-28 / 20080203060 - ETCHING METHOD AND ETCHING COMPOSITION USEFUL FOR THE METHOD | 1 |
Makoto Hara | JP | Yokohama | 2015-11-26 / 20150338290 - SEMICONDUCTOR DEVICE AND TEST METHOD | 1 |
Yasushi Hara | US | 2008-09-04 / 20080212339 - Light-guiding plate, lighting device and display device | 1 | |
Yoshitaka Hara | FR | Rennes Cedex 7 | 2014-11-13 / 20140334428 - METHOD FOR OBTAINING INFORMATION REPRESENTATIVE OF THE CHANNEL QUALITY INDICATION ON AT LEAST ONE FREQUENCY SUBBAND | 6 |
Kiyonobu Hara | JP | Tochigi-Ken | 2009-01-01 / 20090000133 - Toe Angle Measuring Instrument and Toe Angle Measuring Method | 1 |
Yasushi Hara | JP | Shunan-Shi | 2009-01-08 / 20090008366 - ETCHING COMPOSITION AND METHOD FOR ETCHING A SUBSTRATE | 1 |
Yasushi Hara | JP | Kawasaki | 2013-03-07 / 20130059570 - MOBILE TERMINAL DEVICE, COMMUNICATION SYSTEM, COMMUNICATION PROGRAM, AND CONTROL METHOD | 10 |
Tokutaka Hara | JP | Funabashi-Shi | 2012-10-04 / 20120250136 - OPTICAL MODULATOR | 4 |
Nobukatsu Hara | JP | Osaka | 2015-10-01 / 20150274253 - BICYCLE CRANK ASSEMBLY | 15 |
Yasushi Hara | JP | Ichinomiya-Shi | 2010-05-27 / 20100130345 - SILICON NITRIDE-MELILITE COMPOSITE SINTERED BODY AND DEVICE UTILIZING THE SAME | 1 |
Takeshi Hara | JP | Tokyo | 2013-08-01 / 20130194691 - MAGNETIC DISK DRIVE AND METHOD FOR CONTROLLING THE SAME | 3 |
Satoshi Hara | JP | Tokyo | 2016-04-21 / 20160112633 - LOCATION INFORMATION DESIGNATING DEVICE, LOCATION INFORMATION DESIGNATING METHOD, AND NON-TRANSITORY STORAGE MEDIUM IN WHICH LOCATION INFORMATION DESIGNATING PROGRAM IS STORED | 10 |
Michikazu Hara | JP | Tokyo | 2015-08-27 / 20150239747 - METHOD FOR PRODUCING CONDUCTIVE MAYENITE COMPOUND POWDER | 3 |
Kazuyoshi Hara | JP | Aichi | 2013-03-28 / 20130076094 - RECLINING APPARATUS | 2 |
Tokutaka Hara | JP | Tokyo | 2015-02-12 / 20150043864 - OPITCAL PULSE-GENERATOR | 2 |
Tetsuya Hara | JP | Okazaki-City | 2010-10-21 / 20100268412 - CONTROL SYSTEM FOR VEHICLE AND METHOD FOR CONTROLLING IN-VEHICLE APPARATUS | 3 |
Yuuki Hara | JP | Kodaira-Shi | 2010-07-29 / 20100191415 - SYSTEM FOR SUPPORTING MAINTENANCE OF TRAVELLING PATH FOR CONSTRUCTION VEHICLE | 1 |
Junichi Hara | US | San Jose | 2012-05-10 / 20120117345 - METHOD AND APPARATUS FOR BACKUP AND RESTORE IN A DYNAMIC CHUNK ALLOCATION STORAGE SYSTEM | 9 |
Yoshitaka Hara | FR | Rennes Cedex | 2009-07-09 / 20090176456 - METHOD FOR TRANSFERRING POWER INFORMATION USED BY A TELECOMMUNICATION DEVICE FOR WEIGHTING AT LEAST ONE PILOT SIGNAL | 2 |
Tokutaka Hara | JP | Chiyoda-Ku, Tokyo | 2015-12-31 / 20150378237 - Optical Modulator | 2 |
Tomoshi Hara | JP | Kanagawa | 2015-09-17 / 20150264190 - IMAGE PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND IMAGE FORMING APPARATUS | 3 |
Norihiro Hara | JP | Kawasaki | 2013-06-20 / 20130159303 - COMPUTER SYSTEM, DATA RETRIEVAL METHOD AND DATABASE MANAGEMENT COMPUTER | 14 |
Takafumi Hara | JP | Sunto-Gun | 2015-12-03 / 20150350477 - COLOR ERASING APPARATUS, COLOR ERASING SYSTEM AND COLOR ERASING CONTROL METHOD | 1 |
Motoaki Hara | JP | Kawasaki | 2010-09-23 / 20100237750 - PIEZOELECTRIC THIN FILM RESONATOR, FILTER, COMMUNICATION MODULE AND COMMUNICATION DEVICE | 15 |
Yoshitaka Hara | JP | Hitachinaka | 2014-08-21 / 20140232567 - VEHICLE SUPPORT SYSTEMS FOR PEDESTRIANS TO CROSS ROADS AND SUPPORT METHODS FOR PEDESTRIANS TO CROSS ROADS | 4 |
Hiroshi Hara | JP | Machida-Shi | 2014-05-15 / 20140132926 - OPHTHALMIC IMAGING APPARATUS, CONTROL METHOD FOR OPHTALMIC IMAGING APPARATUS, AND STORAGE MEDIUM | 3 |
Yoshitaka Hara | JP | Koshi-Shi | 2013-10-17 / 20130273256 - WET PROCESSING APPARATUS, WET PROCESSING METHOD AND STORAGE MEDIUM | 6 |
Hiroshi Hara | JP | Sapporo-Shi | 2012-05-03 / 20120107449 - MINERAL-ABSORPTION PROMOTER, FOOD AND FEED | 2 |
Yujiro Hara | JP | Yokohama-Shi | 2011-07-14 / 20110168909 - X-RAY DETECTOR | 8 |
Kanefusa Hara | JP | Osaka | 2014-04-24 / 20140113007 - Chlorine Dioxide-Generating Product and Method for Generating Chlorine Dioxide | 1 |
Kouichi Hara | JP | Saitama | 2009-10-08 / 20090252983 - Crystal unit | 2 |
Tomoshi Hara | JP | Ebina-Shi | 2011-03-17 / 20110064436 - IMAGE GLOSS CONTROL APPARATUS, IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM, AND STORAGE MEDIUM STORING PROGRAM | 4 |
Takahito Hara | JP | Ibaraki | 2009-02-12 / 20090042857 - Novel Pharmaceutical | 1 |
Akio Hara | JP | Tokyo | 2010-11-25 / 20100296626 - X-RAY FLUOROSCOPE TABLE AND X-RAY FLUOROSCOPE SYSTEM | 2 |
Yoshitaka Hara | JP | Kumamoto-Ken | 2010-01-28 / 20100021621 - COATING AND DEVELOPING SYSTEM CONTROL METHOD OF CONTROLLING COATING AND DEVELOPING SYSTEM | 1 |
Takeshi Hara | JP | Gifu | 2010-01-28 / 20100022881 - Ultrasound Breast Diagnostic System | 3 |
Susumu Hara | JP | Osaka | 2014-06-19 / 20140170751 - METHOD FOR PREPARING CORNEAL ENDOTHELIAL CELL | 1 |
Takashi Hara | JP | Hamamatsu-Shi | 2011-12-08 / 20110296939 - BALL SCREW APPARATUS AND ELECTRIC POWER STEERING APPARATUS | 1 |
Yasuhiro Hara | JP | Shizuoka | 2015-10-22 / 20150302954 - INSERT BUS BAR PLATE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yoshihito Hara | JP | Osaka | 2015-07-30 / 20150214375 - CIRCUIT SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE | 8 |
Kazutaka Hara | JP | Yokohama-Shi | 2011-01-20 / 20110011670 - MUFFLING STRUCTURE OF VENT PIPE AND MUFFLING STRUCTURE OF CASE | 1 |
Saburo Hara | JP | Kanagawa | 2011-08-04 / 20110185701 - TURBINE EQUIPMENT AND POWER GENERATING PLANT | 1 |
Yoshitaka Hara | JP | Kumamoto | 2009-01-15 / 20090016860 - SUBSTRATE CONVEY PROCESSING DEVICE, TROUBLE COUNTERMEASURE METHOD IN SUBSTRATE CONVEY PROCESSING DEVICE, AND TROUBLE COUNTERMEASURES PROGRAM IN SUBSTRATE CONVEY PROCESSING DEVICE | 1 |
Kazuhiro Hara | JP | Shizuoka-Ken | 2012-04-26 / 20120098912 - CONVEYING DEVICE OF RECORDING MEDIUM AND IMAGE FORMING APPARATUS | 10 |
Daiji Hara | JP | Yokohama-Shi | 2010-03-04 / 20100052114 - CYCLIC SILOXANE COMPOUND, A MATERIAL FOR FORMING SI-CONTAINING FILM, AND ITS USE | 1 |
Keiji Hara | JP | Minowa-Machi | 2011-10-06 / 20110242239 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 11 |
Junko Hara | US | Corona Del Mar | 2009-06-18 / 20090155754 - COGNITIVE FUNCTION INDEX | 1 |
Yoshitaka Hara | JP | Fukuoka | 2012-11-08 / 20120283096 - AGENT FOR IMPROVING PLANT GROWTH, SEEDS, AND METHOD FOR IMPROVING PLANT GROWTH | 1 |
Nobukatsu Hara | JP | Izumisano City | 2010-12-30 / 20100327542 - APPARATUS FOR CONTROLLING A BICYCLE SUSPENSION ELEMENT | 2 |
Tetsuhiko Hara | JP | Suwa-Gun | 2015-10-01 / 20150276070 - REFRIGERANT VALVE DEVICE | 1 |
Takeyori Hara | JP | Kawasaki-Shi | 2015-02-26 / 20150055239 - DATA STORAGE APPARATUS AND METHOD FOR CORRECTING REPEATABLE RUNOUT | 5 |
Ryotaro Hara | JP | Tokyo | 2015-09-17 / 20150259715 - L-Lysine Hydroxylase and Production Method for Hydroxy-L-Lysine and Hydroxy-L-Pipecolic Acid Using Same | 3 |
Takahiro Hara | JP | Hamamatsu-Shi | 2014-12-04 / 20140359122 - SESSION TERMINAL APPARATUS AND NETWORK SESSION SYSTEM | 6 |
Takumi Hara | JP | Osaka | 2015-08-20 / 20150231088 - METHYLPHENIDATE PATCH PREPARATION | 2 |
Minako Hara | JP | Ashigarakami-Gun | 2011-03-17 / 20110064942 - PROTECTIVE FILM AND FRONT SHEET FOR SOLAR CELL | 1 |
Kazutaka Hara | JP | Osaka | 2011-11-03 / 20110267557 - BACK LIGHT AND LIQUID CRYSTAL DISPLAY DEVICE | 14 |
Shigeo Hara | JP | Hamamatsu-Shi | 2015-10-01 / 20150276206 - PROBE FOR BIOINSTRUMENTATION AND BIOINSTRUMENTATION DEVICE | 5 |
Nobuhiko Hara | JP | Hamamatsu-Shi | 2013-08-01 / 20130196522 - CHARGE CONNECTOR | 2 |
Ken-Ichiro Hara | JP | Mie | 2015-11-19 / 20150329874 - VACCINE PREPARED UTILIZING HUMAN PARAINFLUENZA VIRUS TYPE 2 VECTOR | 1 |
Kenichi Hara | JP | Yamanashi | 2013-11-07 / 20130295751 - THIN FILM FORMING DEVICE FOR SOLAR CELL AND THIN FILM FORMING METHOD | 5 |
Naoaki Hara | JP | Himeji-Shi | 2015-03-19 / 20150080539 - POLYMERIZATION REACTOR AND METHOD FOR PRODUCING WATER ABSORBENT RESIN | 1 |
Naohiro Hara | JP | Osaka | 2014-12-11 / 20140364018 - Ship Steering Device And Ship Steering Method | 1 |
Shinya Hara | JP | Saitama | 2013-06-27 / 20130162132 - RECORDING MATERIAL PROCESSING APPARATUS | 1 |
Takashi Hara | JP | Osaka | 2011-07-21 / 20110177451 - METHOD FOR MANUFACTURING CAPSULE TONER | 16 |
Nadia Harabech | CH | Marin | 2009-07-09 / 20090175074 - DEVICE FOR READING A LOW-CONSUMPTION NON-VOLATILE MEMORY AND ITS IMPLEMENTING METHOD | 1 |
Jiri Harabis | CZ | Koprivnice | 2009-07-30 / 20090190368 - PROJECTOR UNIT | 1 |
Benjamin David Harack | US | San Francisco | 2016-02-25 / 20160055419 - IDENTIFYING ELECTRIC VEHICLE OWNERS | 1 |
Tadakazu Harada | JP | Susono-Shi | 2013-01-10 / 20130009168 - SEMICONDUCTOR MODULE | 1 |
Takashi Harada | JP | Kariya-Shi | 2014-12-11 / 20140360820 - DISC ROTOR | 1 |
Motoko Harada | JP | Hitachi | 2013-10-17 / 20130270684 - POWER MODULE AND LEAD FRAME FOR POWER MODULE | 3 |
Hiroyuki Harada | JP | Osaka-Shi | 2014-06-05 / 20140153070 - IMAGE READING APPARATUS AND IMAGE FORMING APPARATUS | 12 |
Kaori Harada | JP | Osaka | 2015-03-19 / 20150078776 - Developer Collecting Device for Suppressing Decrease of Developer Collection Rate and Image Forming Apparatus | 1 |
Yoshikatsu Harada | JP | Yamaguchi | 2013-08-29 / 20130220934 - ULTRAVIOLET OXIDATION DEVICE, ULTRAPURE WATER PRODUCTION DEVICE USING SAME, ULTRAVIOLET OXIDATION METHOD, AND ULTRAPURE WATER PRODUCTION METHOD | 4 |
Daiki Harada | JP | Ashigarakami-Gun | 2016-03-24 / 20160086328 - RADIOGRAPHIC IMAGE ANALYZING DEVICE, METHOD, AND RECORDING MEDIUM | 5 |
Chikao Harada | JP | Nagoya-Shi | 2014-12-11 / 20140364729 - BLOOD VESSEL FUNCTION INSPECTING APPARATUS | 5 |
Naoaki Harada | JP | Yokosuka-Shi | 2009-05-07 / 20090117900 - RADIO CHANNEL CONTROLLER AND RADIO CHANNEL CONTROLLING METHOD | 1 |
Shinsuke Harada | JP | Tokyo | 2014-02-06 / 20140040462 - DEVICE MANAGEMENT SYSTEM, DEVICE MANAGEMENT APPARATUS, AND DEVICE MANAGEMENT METHOD | 1 |
Shinsuke Harada | JP | Tsukuba-Shi | 2015-11-26 / 20150340441 - HIGH VOLTAGE SEMICONDUCTOR APPARATUS | 10 |
Shinsuke Harada | JP | Tsukuba | 2014-01-09 / 20140008666 - SILICON CARBIDE VERTICAL FIELD EFFECT TRANSISTOR | 1 |
Yuya Harada | JP | Okayama | 2011-07-21 / 20110175269 - STABILIZER BUSH | 1 |
Nobuyasu Harada | JP | Ichihara | 2011-03-17 / 20110062351 - ELECTRON BEAM IRRADIATING APPARATUS WITH MONITORING DEVICE | 1 |
Ryosuke Harada | JP | Tsukuba-Shi, Ibaraki | 2016-04-28 / 20160115587 - CHEMICAL VAPOR DEPOSITION RAW MATERIAL CONTAINING ORGANIC NICKEL COMPOUND, AND CHEMICAL VAPOR DEPOSITION METHOD USING THE CHEMICAL VAPOR DEPOSITION RAW MATERIAL | 1 |
Shin Harada | JP | Hyogo | 2011-09-29 / 20110233562 - SUBSTRATE, SUBSTRATE WITH THIN FILM, SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hiroshi Harada | JP | Tokyo | 2013-03-21 / 20130070867 - COMMUNICATION DEVICE | 22 |
Hiroshi Harada | JP | Ohzu-Shi | 2015-03-12 / 20150069056 - CONTAINER SUPERIOR IN AIR-TIGHTNESS AND A METHOD OF KEEPING GAS MOLECULES OR VOLATILE COMPONENTS IN THE CONTAINER | 1 |
Osamu Harada | JP | Fukuoka | 2014-09-25 / 20140283642 - ROBOT | 2 |
Yasuhiro Harada | JP | Yokohama | 2015-05-14 / 20150129797 - PRODUCTION METHOD OF BATTERY ACTIVE MATERIAL, BATTERY ACTIVE MATERIAL, NONAQUEOUS ELECTROLYTE BATTERY AND BATTERY PACK | 1 |
Takashi Harada | JP | Minamitsuru-Gun | 2012-03-22 / 20120068637 - MOTOR DRIVING APPARATUS | 7 |
Takahiro Harada | JP | Hino-Shi | 2011-07-28 / 20110181571 - DISPLAY DRIVING DEVICE AND DISPLAY APPARATUS COMPRISING THE SAME | 1 |
Taku Harada | JP | Aichi-Ken | 2011-05-19 / 20110118951 - Control Device for Internal Combustion Engine | 2 |
Taku Harada | JP | Nisshin-Shi | 2015-12-24 / 20150367829 - DRIVE SYSTEM OF A HYBRID VEHICLE | 10 |
Yuji Harada | JP | Joetsu-Shi | 2015-07-16 / 20150197601 - METHOD FOR PRODUCING POLYALKYLENE GLYCOL DERIVATIVE WITH NARROW MOLECULAR WEIGHT DISTRIBUTION, AND ACETAL GROUP-CONTAINING ALCOHOL COMPOUND FOR USE THEREIN AND ALKALI METAL SALT THEREOF | 21 |
Yukako Harada | JP | Settsu-Shi | 2009-01-01 / 20090004601 - Chemically amplified positive resist composition | 1 |
Hiroshi Harada | JP | Nagakute-Shi | 2016-04-28 / 20160114799 - VEHICLE TRAVEL CONTROL APPARATUS | 1 |
Kenji Harada | JP | Moka-Shi | 2013-03-14 / 20130065080 - METHOD FOR MANUFACTURING CLAD MATERIAL AND EQUIPMENT FOR MANUFACTURING THE SAME | 4 |
Daiki Harada | JP | Ashigara-Kami-Gun | 2011-10-06 / 20110240872 - RADIOGRAPHIC IMAGING SYSTEM | 1 |
Naofumi Harada | JP | Yokohama-Shi | 2011-10-06 / 20110241299 - SUSPENSION CONTROL APPARATUS AND VEHICLE CONTROL APPARATUS | 1 |
Hiroshi Harada | JP | Tsukuba-Shi | 2014-08-21 / 20140231714 - METHOD OF PRODUCING A LIQUID-CRYSTALLINE POLYMER COMPOSITION AND MOLDED ARTICLE THEREOF | 31 |
Ken Harada | JP | Fuchu | 2014-11-13 / 20140332684 - Electron Beam Interference Device and Electron Beam Interferometry | 7 |
Ken Harada | JP | Wako | 2009-11-05 / 20090273789 - Interferometer | 4 |
Ken Harada | JP | Wako-Shi | 2009-01-22 / 20090021866 - Magnetization state control device and magnetic information recording device | 1 |
Nozomu Harada | JP | Tokyo | 2015-12-10 / 20150357438 - METHOD FOR MANURACTURING PILLAR-SHAPED SEMICONDUCTOR DEVICE | 22 |
Hiroshi Harada | JP | Himeji-Shi | 2011-09-08 / 20110214837 - Electromagnetic stirrer coil | 1 |
Maiko Harada | JP | Osaka | 2015-05-21 / 20150141447 - SOLID PREPARATION | 1 |
Yasuhiro Harada | JP | Sanda-Shi | 2014-09-25 / 20140287036 - SOFT-GELATIN CAPSULE FORMULATION | 6 |
Masaaki Harada | JP | Yokohama-Shi | 2014-08-07 / 20140219681 - CHARGING MEMBER, MANUFACTURING METHOD THEREFOR, AND ELECTROPHOTOGRAPHIC APPARATUS | 14 |
Kouichi Harada | JP | Kanagawa | 2014-12-11 / 20140362265 - SOLID-STATE IMAGING DEVICE, DRIVING METHOD THEREOF AND ELECTRONIC APPARATUS | 16 |
Hikaru Harada | JP | Isehara | 2016-04-28 / 20160118418 - SEMICONDUCTOR DEVICE | 4 |
Hiroyasu Harada | JP | Toyota-Shi | 2015-11-12 / 20150321661 - DRIVE CONTROL DEVICE FOR HYBRID VEHICLE | 10 |
Yuji Harada | JP | Jyoetsu | 2012-08-23 / 20120214100 - RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME | 2 |
Yuji Harada | JP | Joetsui-Shi | 2009-08-20 / 20090208867 - Resist Composition, Resist Protective Coating Composition, and Patterning Process | 1 |
Yoshikazu Harada | JP | Osaka | 2011-07-14 / 20110170886 - IMAGE FORMING APPARATUS | 1 |
Yuji Harada | JP | Niigata | 2009-08-06 / 20090197200 - Resist top coat composition and patterning process | 1 |
Yuji Harada | JP | Toyama | 2011-01-13 / 20110006339 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Yuji Harada | JP | Akashi-Shi | 2014-12-04 / 20140353340 - DIE CASTING MACHINE AND CONTROL METHOD OF DIE CASTING MACHINE | 1 |
Yuji Harada | JP | Higashihiroshima-Shi | 2015-08-27 / 20150240758 - GASOLINE DIRECT-INJECTION ENGINE | 3 |
Yoshimichi Harada | JP | Kanagawa | 2015-08-06 / 20150221690 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Takahiro Harada | JP | Uji-Shi | 2011-07-14 / 20110168883 - MASS SPECTROMETER | 1 |
Kumi Harada | JP | Chiba | 2014-04-24 / 20140114484 - APPLIANCE CONTROL METHOD, APPLIANCE CONTROL APPARATUS, CONTROL SERVER, AND CONTROL TERMINAL | 1 |
Nozomu Harada | JP | Tokyo | 2015-12-10 / 20150357438 - METHOD FOR MANURACTURING PILLAR-SHAPED SEMICONDUCTOR DEVICE | 22 |
Mitsunori Harada | JP | Tokyo | 2014-06-12 / 20140160782 - LIGHT EMITTING DEVICE AND VEHICLE LAMP | 18 |
Akinori Harada | JP | Saitama-Shi | 2011-09-01 / 20110211105 - LENS ARRAY | 2 |
Yasunari Harada | JP | Tokyo | 2011-09-01 / 20110210882 - ANALOG/DIGITAL CONVERSION CIRCUIT | 1 |
Yasuhiro Harada | JP | Isehara | 2016-03-24 / 20160087275 - ACTIVE MATERIAL, NONAQUEOUS ELECTROLYTE BATTERY AND BATTERY PACK | 7 |
Toru Harada | JP | Kanagawa | 2015-07-09 / 20150192762 - WIDE-ANGLE LENS AND IMAGING DEVICE | 11 |
Tsukasa Harada | JP | Hiroshima | 2010-07-22 / 20100182425 - VEHICLE INTERIOR STATE RECOGNITION DEVICE | 1 |
Shigeyoshi Harada | JP | Yonezawa-Shi | 2014-02-27 / 20140056565 - OPTICAL FIBER CABLE NETWORK AND METHOD OF CONSTRUCTION OF AN OPTICAL FIBER CABLE NETWORK | 1 |
Ryosuke Harada | JP | Ibaraki | 2015-03-26 / 20150087851 - METHOD FOR RECYCLING ORGANIC RUTHENIUM COMPOUND FOR CHEMICAL VAPOR DEPOSITION | 1 |
Rui Harada | JP | Toyama | 2009-05-28 / 20090137128 - Substrate Processing Apparatus and Semiconductor Device Producing Method | 1 |
Naoko Harada | JP | Osaka-Shi | 2014-09-11 / 20140251185 - COMPLEX INORGANIC COLORED PIGMENT WITH REDUCED ELUTION OF HEXAVALENT CHROMIUM THEREFROM | 1 |
Mamoru Harada | JP | Fukuoka-Ken | 2010-07-22 / 20100184699 - Peptide Derived From Prostate-Related Protein As Cancer Vaccine Candidate For Prostate Cancer Patient Who Is Positive For Hla-A3 Super-Type Allele Molecule | 2 |
Yoshikazu Harada | JP | Kanagawa-Ken | 2014-09-18 / 20140269097 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF CONTROLLING THE NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 3 |
Takashi Harada | JP | Yamanashi | 2015-05-28 / 20150145523 - POWER CONVERSION DEVICE INCLUDING ABNORMALITY DETECTION FUNCTION OF LC FILTER | 1 |
Yoshinao Harada | JP | Hiroshima | 2010-03-25 / 20100075017 - SALTY TASTE ENHANCER, FOOD OR DRINK AND METHOD FOR PRODUCING FOOD OR DRINK | 1 |
Tsutomu Harada | JP | Tokyo | 2016-03-31 / 20160093255 - DISPLAY DEVICE | 22 |
Takuji Harada | JP | Hekinan-City | 2012-04-19 / 20120093664 - CONTINUOUSLY VARIABLE TRANSMISSION APPARATUS AND AIR CONDITIONING SYSTEM HAVING THE SAME | 2 |
Shizu Harada | JP | Tokyo | 2015-10-01 / 20150273557 - CAM DEVICE | 4 |
Yuichi Harada | JP | Matsumoto-Shi | 2015-11-26 / 20150340441 - HIGH VOLTAGE SEMICONDUCTOR APPARATUS | 5 |
Tetsuhiro Harada | JP | Ibaraki | 2016-05-12 / 20160129568 - IMPACT TOOL | 4 |
Yuichi Harada | JP | Aichi | 2009-10-22 / 20090261638 - VEHICLE SEAT WITH MONITOR | 1 |
Yuichi Harada | JP | Tsukuba | 2014-01-09 / 20140008666 - SILICON CARBIDE VERTICAL FIELD EFFECT TRANSISTOR | 1 |
Junichi Harada | JP | Osaka | 2015-04-02 / 20150090143 - ORGANIC SOLVENT-BASED CONCENTRATED INK COMPOSITION FOR GRAVURE PRINTING AND GRAVURE PRINTING METHOD | 1 |
Yuichi Harada | JP | Matsumoto City | 2012-11-29 / 20120299108 - SEMICONDUCTOR DEVICE | 2 |
Minako Harada | JP | Kodaira-Shi | 2014-04-24 / 20140113344 - ISOPRENE SYNTHASE AND GENE ENCODING THE SAME, AND METHOD FOR PRODUCING ISOPRENE MONOMER | 1 |
Ken Harada | JP | Hagagun | 2013-06-27 / 20130160622 - LAMINATED BODY MANUFACTURING APPARATUS, AND LAMINATED BODY MANUFACTURING METHOD | 1 |
Shintaro Harada | JP | Shizuoka | 2011-01-27 / 20110018212 - SILICONE BOOT FOR CONSTANT VELOCITY UNIVERSAL JOINT AND CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Shintaro Harada | JP | Iwata-Shi | 2009-08-27 / 20090214286 - Boot for constant velocity universal joint | 1 |
Eric Takeshi Harada | US | San Jose | 2015-06-04 / 20150153302 - Process for Biosensor Well Formation | 2 |
Gaku Harada | JP | Kawanishi City | 2011-08-25 / 20110205691 - SOLID ELECTROLYTIC CAPACITOR AND A METHOD FOR MANUFACTURING THE SAME | 8 |
Kanako Harada | JP | Syunan-Shi (yamaguchi) | 2011-08-18 / 20110202070 - ENDOLUMINAL ROBOTIC SYSTEM | 1 |
Hiroyuki Harada | JP | Nagaokakyo-Shi | 2014-05-15 / 20140134487 - ELECTRIC STORAGE DEVICE AND METHOD FOR PRODUCING THE SAME | 6 |
Hiroshi Harada | JP | Aichi-Ken | 2011-08-18 / 20110200702 - FILM STRETCHER | 1 |
Hisataka Harada | JP | Fukuroi-Shi | 2011-12-01 / 20110295280 - Auxiliary Device for a Puncture Needle | 7 |
Hisashi Harada | JP | Tokyo | 2014-12-25 / 20140374624 - SENSITIVITY CORRECTION METHOD FOR DOSE MONITORING DEVICE AND PARTICLE BEAM THERAPY SYSTEM | 17 |
Norihito Harada | JP | Azumino | 2011-10-06 / 20110242211 - LIQUID EJECTION DEVICE | 2 |
Keizo Harada | JP | Hamamatsu-Shi | 2010-11-11 / 20100282048 - Drum Pad and Manufacturing Method Thereof | 1 |
Yuriko Harada | JP | Gunma | 2009-09-17 / 20090233851 - Insulin Resistance Improver | 1 |
Akihiro Harada | JP | Tokyo | 2012-09-13 / 20120229354 - MAGNETIC MATERIAL FOR ANTENNAS, ANTENNA, AND WIRELESS COMMUNICATION DEVICE | 2 |
Mitsuru Harada | JP | Osaka | 2015-03-05 / 20150059968 - DEVICE AND METHOD FOR PRINTING FUNCTIONAL MATERIAL ON BIOCOMPATIBLE THIN-FILM | 2 |
Shoichi Harada | JP | Hyogo | 2011-01-06 / 20110004389 - APPARATUS FOR CONTROLLING INTAKE AIR HEATING OF GAS TURBINE | 2 |
Takahiro Harada | JP | Kizugawa-Shi | 2011-11-03 / 20110266438 - Mass Spectrometer | 2 |
Masashi Harada | JP | Saitama | 2011-11-17 / 20110277664 - CELLULOSE RESIN COMPOSITION AND CELLULOSE RESIN FILM | 2 |
Kazuhiro Harada | JP | Tokyo | 2016-01-28 / 20160023114 - VIDEO GAME PROCESSING APPARATUS AND VIDEO GAME PROCESSING PROGRAM PRODUCT | 3 |
Yoshio Harada | JP | Hyogo | 2015-03-05 / 20150064406 - METHOD FOR FORMING FLUORIDE SPRAY COATING, AND FLUORIDE SPRAY COATING COVERED MEMBER | 8 |
Yasuo Harada | JP | Hyogo | 2013-04-25 / 20130100965 - SYSTEM AND METHOD FOR SPREAD SPECTRUM COMMUNICATION | 3 |
Muneo Harada | JP | Hyogo | 2010-02-11 / 20100032357 - CHROMATOGRAPHY COLUMN AND MANUFACTURING METHOD OF THE SAME | 4 |
Satoru Harada | JP | Hyogo | 2009-10-29 / 20090266372 - FIBER FOR ARTIFICIAL HAIR WITH IMPROVED PROCESSABILITY AND HAIR ACCESSORY USING THE SAME | 1 |
Naruki Harada | JP | Nagoya-Shi | 2015-12-24 / 20150369193 - HIGH PRESSURE FUEL DELIVERY PIPE ASSEMBLY FOR DIRECT INJECTION OF FUEL | 3 |
Shinsuke Harada | JP | Tsukuba-Shi | 2015-11-26 / 20150340441 - HIGH VOLTAGE SEMICONDUCTOR APPARATUS | 10 |
Yoshiharu Harada | JP | Toyota-Shi | 2009-07-02 / 20090170616 - Automatic transmission | 1 |
Yuji Harada | JP | Hyogo | 2010-01-07 / 20100001315 - SEMICONDUCTOR DEVICE | 3 |
Yoshinao Harada | JP | Hyogo | 2013-12-19 / 20130334608 - SEMICONDUCTOR DEVICE | 3 |
Katsuyuki Harada | JP | Yamatokoriyama-Shi | 2014-06-26 / 20140175862 - VEHICLE BEARING DEVICE | 11 |
Hiroomi Harada | JP | Kanagawa | 2011-10-27 / 20110262179 - CHARGING DEVICE, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS, AND TONER | 1 |
Kazumitsu Harada | JP | Toyokawa-Shi | 2015-06-11 / 20150158102 - THREAD-FORMING TAP | 1 |
Masanobu Harada | JP | Fukuoka | 2013-06-20 / 20130154447 - ENCODER AND SERVO MOTOR | 1 |
Yoshinao Harada | KR | Seongnam-Si | 2015-04-09 / 20150097250 - Semiconductor Devices and Methods for Fabricating the Same | 1 |
Masaaki Harada | JP | Kanagawa | 2013-05-16 / 20130124929 - DATA TRANSFER CONTROL DEVICE AND DATA TRANSFER CONTROL METHOD | 4 |
Yukio Harada | JP | Kanagawa | 2013-10-24 / 20130279876 - INFORMATION TERMINAL AND CONTROL METHOD | 4 |
Yui Harada | JP | Fukuoka | 2016-04-07 / 20160097035 - METHOD FOR PREPARING NK CELLS | 1 |
Taketo Harada | JP | Obu-City | 2010-09-30 / 20100245065 - Obstacle detection apparatus and method of controlling obstacle detection apparatus | 6 |
Minato Harada | JP | Tokyo | 2013-01-17 / 20130016447 - ELECTROSTATIC DISCHARGE (ESD) PROTECTION DEVICE | 1 |
Hisakazu Harada | JP | Chiyoda-Ku | 2013-03-07 / 20130059936 - POLYOXYALKYLENE POLYOL, POLYMER-DISPERSED POLYOL, FLEXIBLE POLYURETHANE FOAM AND PRODUCTION PROCESS THEREOF | 1 |
Katsushige Harada | JP | Nirasaki City | 2015-10-01 / 20150279683 - METHOD AND APPARATUS FOR FORMING TiSiN FILM | 10 |
Hisashi Harada | JP | Chiyoda-Ku | 2014-08-21 / 20140235922 - PARTICLE BEAM TREATMENT SYSTEM AND BEAM POSITION CORRECTING METHOD THEREOF | 5 |
Kensuke Harada | JP | Chiyoda-Ku | 2013-12-26 / 20130345331 - HIGH-CONCENTRATION POLYMER POLYOL AND METHOD FOR ITS PRODUCTION | 1 |
Tatsuo Harada | JP | Chiyoda-Ku | 2010-03-04 / 20100052011 - SEMICONDUCTOR DEVICE | 2 |
Yoshihiro Harada | JP | Chiyoda-Ku | 2013-08-22 / 20130214634 - AUTOMOTIVE ROTARY ELECTRIC MACHINE AND STATOR MANUFACTURING METHOD THAT IS USED THEREFOR | 2 |
Toshiyuki Harada | JP | Kitakyushu-Shi | 2014-09-25 / 20140288712 - ROBOT DEVICE | 8 |
Nobuhiro Harada | JP | Hitachi | 2013-11-28 / 20130314462 - INKJET RECORDING APPARATUS | 7 |
Nobuhiro Harada | JP | Chiba | 2012-03-08 / 20120055787 - Sputtering Target and Method of Processing a Sputtering Target | 1 |
Takeshi Harada | JP | Kariya-Shi | 2011-11-03 / 20110270568 - APPARATUS FOR CALCULATING TEMPERATURE OF CONDUCTIVE CARRIER OF CATALYST CONVERTER | 3 |
Kazuyuki Harada | JP | Gunma | 2014-09-04 / 20140246266 - STEERING COLUMN SUPPORT APPARATUS | 1 |
Shouji Harada | JP | Kawasaki | 2013-10-31 / 20130289992 - VOICE RECOGNITION METHOD AND VOICE RECOGNITION APPARATUS | 8 |
Sho Harada | JP | Yokohama-Shi | 2014-10-16 / 20140308119 - HYDRAULIC MACHINERY | 1 |
Kokichi Harada | JP | Tsukuba-Shi | 2010-07-01 / 20100168014 - Screening method | 1 |
Hiroo Harada | JP | Tokyo | 2016-05-05 / 20160125252 - IMAGE RECOGNITION APPARATUS, PROCESSING METHOD THEREOF, AND PROGRAM | 13 |
Shingo Harada | JP | Sennan-Gun | 2012-09-06 / 20120222796 - METHOD FOR PRODUCING FLUORORESIN-COATED ROLLER OR BELT | 2 |
Kenji Harada | JP | Tokyo | 2015-06-25 / 20150174938 - FLAME-RETARDANT LAMINATE AND FLAME-RETARDANT ADHESIVE SHEET | 4 |
Shingo Harada | JP | Hatsukaichi-Shi | 2015-03-05 / 20150059680 - GLOWPLUG CONTROL DEVICE AND METHOD FOR ESTIMATING TEMPERATURE OF GLOWPLUG | 2 |
Hiroshi Harada | JP | Ozu-Shi | 2011-11-03 / 20110268839 - Bread dough and bread product with reduced oil absorption, and production process thereof | 1 |
Kotaro Harada | JP | Ichikawa-Shi | 2010-04-08 / 20100086336 - TONER FIXING APPARATUS AND ELECTROPHOTOGRAPHIC PRINTING DEVICE | 2 |
Kotaro Harada | JP | Narashino-Shi | 2013-11-07 / 20130294790 - APPARATUS FOR DETECTING A TONER DENSITY OF A LIQUID DEVELOPER | 4 |
Yukako Harada | JP | Osaka | 2011-07-07 / 20110165519 - RESIN SUITABLE FOR AN ACID GENERATOR AND A CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION CONTAINING THE SAME | 1 |
Shingo Harada | JP | Nagano | 2015-07-02 / 20150185784 - INFORMATION PROCESSING DEVICE AND METHOD OF MANUFACTURING INFORMATION PROCESSING DEVICE | 5 |
Kazuki Harada | JP | Kawasaki-Shi | 2015-06-25 / 20150175191 - ELECTRONIC CONTROL UNIT FOR ELECTRIC POWER STEERING | 1 |
Tsutomu Harada | JP | Kanagawa | 2015-10-22 / 20150302565 - IMAGE PROCESSOR AND IMAGE PROCESSING METHOD | 35 |
Yoshinori Harada | JP | Kyoto | 2014-11-20 / 20140340675 - DISCRIMINATION METHOD AND APPARATUS OF CARDIAC TISSUE USING RAMAN SCATTERING | 3 |
Hironori Harada | JP | Nukata-Gun | 2013-09-05 / 20130230748 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, METHOD FOR MANUFACTURING NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, AND VEHICLE COMPRISING NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 6 |
Hironori Harada | JP | Chuo-Ku | 2009-10-29 / 20090270365 - BENZAMIDE DERIVATIVE OR SALT THEREOF | 1 |
Hironori Harada | JP | Aichi-Ken | 2013-08-01 / 20130196192 - SEALED SECONDARY BATTERY | 3 |
Hironori Harada | JP | Okazaki-Shi | 2013-02-14 / 20130038333 - DETERIORATION DEGREE CALCULATING APPARATUS FOR SECONDARY BATTERY, VEHICLE EQUIPPED WITH THE APPARATUS, AND DETERIORATION DEGREE CALCULATING METHOD FOR SECONDARY BATTERY | 3 |
Toru Harada | JP | Okazaki-Shi | 2008-08-28 / 20080207090 - METHOD FOR GRINDING JOURNAL SECTION OF WORKPIECE | 1 |
Toru Harada | JP | Minami-Ashigara-Shi | 2014-02-27 / 20140054577 - PHOTOELECTRIC CONVERSION ELEMENT AND SOLID-STATE IMAGING DEVICE | 2 |
Toru Harada | JP | Kanagawa | 2015-07-09 / 20150192762 - WIDE-ANGLE LENS AND IMAGING DEVICE | 11 |
Toru Harada | JP | Toyama-Shi | 2011-03-17 / 20110065288 - SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS | 1 |
Toru Harada | JP | Tokyo | 2011-02-10 / 20110035739 - Electronic apparatus, software update method, and storage medium | 3 |
Tatsuya Harada | JP | Yokohama-Shi | 2010-10-28 / 20100270414 - SEAT BELT RETRACTOR | 1 |
Takuto Harada | JP | Yokohama-Shi | 2014-11-20 / 20140339756 - PRINTING APPARATUS AND CONTROL METHOD THEREOF | 8 |
Susumu Harada | JP | Yokohama-Shi | 2011-10-06 / 20110241180 - METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE, METHOD FOR DETECTING A SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR CHIP PACKAGE | 4 |
Takuji Harada | JP | Yokohama-Shi | 2010-04-29 / 20100105323 - Electric-Field Communication Device | 1 |
Kohsuke Harada | JP | Yokohama-Shi | 2015-09-10 / 20150254131 - MEMORY CONTROLLER, STORAGE DEVICE AND MEMORY CONTROL METHOD | 6 |
Kentaro Harada | JP | Yokohama-Shi | 2012-11-22 / 20120293554 - IMAGE DISPLAY METHOD AND APPARATUS FOR SAME, AND RECORDING MEDIUM | 3 |
Hironori Harada | JP | Chiryu-Shi | 2015-12-31 / 20150378657 - SERVER RECEIVING IMAGE DATA FROM TERMINAL DEVICE AND GENERATING PRINT DATA BASED ON IMAGE DATA | 2 |
Yasuyuki Harada | JP | Hyogo | 2015-06-25 / 20150180083 - CYLINDRICAL BATTERY | 1 |
Yasukazu Harada | JP | Shizuoka | 2014-07-03 / 20140186516 - COATING METHOD AND COATING APPARATUS | 2 |
Momoko Harada | JP | Hyogo | 2015-06-25 / 20150180101 - STORAGE CELL SYSTEM | 1 |
Yoshio Harada | JP | Hyogo | 2015-03-05 / 20150064406 - METHOD FOR FORMING FLUORIDE SPRAY COATING, AND FLUORIDE SPRAY COATING COVERED MEMBER | 8 |
Kazunori Harada | JP | Kanagawa | 2015-02-26 / 20150059025 - SCANNING PROBE MICROSCOPE | 1 |
Shunji Harada | JP | Osaka | 2016-03-10 / 20160070938 - PROGRAM EXECUTION DEVICE | 29 |
Youji Harada | JP | Yokosuka-Shi | 2011-11-10 / 20110274818 - Acidic emulsified mayonnaise-like food | 1 |
Takeshi Harada | JP | Chiba-Shi | 2011-07-07 / 20110163349 - METHOD FOR MANUFACTURING GROUP III NITRIDE SEMICONDUCTOR LIGHT EMITTING ELEMENT, GROUP III NITRIDE SEMICONDUCTOR LIGHT EMITTING ELEMENT AND LAMP | 1 |
Machiko Harada | JP | Wako | 2013-05-30 / 20130133601 - DETENT STRUCTURE FOR ROCKER SHAFT | 2 |
Masahiko Harada | JP | Osaka | 2015-10-01 / 20150275030 - METHOD FOR PREPARING EMULSION FOR CATIONIC ELECTRODEPOSITION COATING COMPOSITION IN EMULSIFICATION FIELD AND TRANSPORTING METHOD FOR AMINATED RESIN | 2 |
Hiroshi Harada | JP | Chiba | 2008-09-11 / 20080216942 - Method for Continuous Production of Functional Film | 1 |
Masafumi Harada | JP | Anan-Shi | 2010-11-25 / 20100296024 - Liquid crystal display device and color filter for liquid crystal display device | 3 |
Hiroshi Harada | JP | Saitama | 2015-02-05 / 20150037461 - METHOD FOR PRODUCING FERMENTED MILK PRODUCT USING STERILE FULL-FAT SOYBEAN POWDER AS STARTING MATERIAL AND FERMENTED MILK PRODUCT | 2 |
Hiroshi Harada | JP | Hyogo | 2009-12-24 / 20090317285 - HIGH STRENGTH STEEL PLATE SUPERIOR IN STRETCH FLANGE FORMABILITY AND FATIGUE CHARACTERISTICS | 1 |
Hiroshi Harada | JP | Ageo-Shi | 2009-12-31 / 20090326118 - PROCESS FOR PRODUCING AQUEOUS PIGMENT LIQUID DISPERSION AND INK-JET RECORDING INK | 1 |
Hiroshi Harada | JP | Ibaraki | 2015-07-16 / 20150197833 - Ni-BASED SINGLE CRYSTAL SUPERALLOY | 15 |
Hiroshi Harada | JP | Osaka-Fu | 2010-09-30 / 20100249399 - AMIDE DERIVATIVE AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 1 |
Hirofumi Harada | JP | Chiba | 2015-08-27 / 20150243650 - SEMICONDUCTOR DEVICE WITH RESISTANCE CIRCUIT | 5 |
Hirofumi Harada | JP | Fuji-Shi | 2010-07-29 / 20100187862 - STRUCTURE OF MOUNTING COWL TOP COVER | 1 |
Hirofumi Harada | JP | Chiba-Shi | 2016-02-04 / 20160033981 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF REGULATING OUTPUT VOLTAGE THEREOF | 8 |
Kozo Harada | JP | Tokyo | 2015-12-10 / 20150357262 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, AND TRANSFER SHEET AND MANUFACTURING METHOD THEREOF | 11 |
Hiroshi Harada | JP | Nagoya-Shi | 2010-10-28 / 20100269307 - FIXING STRUCTURE FOR INTERIOR BASE MATERIAL | 1 |
Masauki Harada | JP | Kanagawa | 2014-04-03 / 20140093737 - POLYMERIZABLE COMPOSITION, ANTIREFLECTION FILM, POLARIZING PLATE AND IMAGE DISPLAY DEVICE EACH USING THE SAME, AND WATER-REPELLENT OR OIL-REPELLENT FILM | 1 |
Hiroshi Harada | JP | Aichi | 2011-11-24 / 20110287342 - Porous membrane for fuel cell electrolyte membrane and method for manufacturing the same | 3 |
Kazuki Harada | JP | Kanagawa | 2013-05-16 / 20130119908 - ELECTRONIC CONTROL UNIT FOR ELECTRIC POWER STEERING | 1 |
Hiroshi Harada | JP | Kyoto | 2015-07-30 / 20150215866 - DATA TRANSMITTING/RECEIVING METHOD | 4 |
Kouhei Harada | JP | Tokyo | 2014-10-09 / 20140303792 - SYSTEM AND METHOD FOR DERIVING STORAGE TANK OPERATION PLAN | 1 |
Hiroshi Harada | JP | Koganei-Shi ,tokyo | 2015-12-17 / 20150365832 - WIRELESS DEVICE | 4 |
Kenichi Harada | JP | Kyoto-Shi | 2016-02-11 / 20160042844 - CHIP RESISTOR AND METHOD FOR MAKING THE SAME | 3 |
Norimitsu Harada | JP | Tokyo | 2014-08-21 / 20140230973 - STEEL SHEET AND METHOD OF PRODUCING THE SAME | 1 |
Yasuhiro Harada | JP | Kawasaki-Shi | 2016-02-11 / 20160044223 - IMAGING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 2 |
Katsunori Harada | JP | Kanagawa | 2014-01-16 / 20140018226 - SHEET PROCESSING APPARATUS, AND IMAGE FORMING SYSTEM | 1 |
Yasuhiro Harada | JP | Kanagawa | 2015-09-24 / 20150270543 - ACTIVE MATERIAL, NONAQUEOUS ELECTROLYTE BATTERY, AND BATTERY PACK | 8 |
Yasuhiro Harada | JP | Osaka-Shi | 2015-10-22 / 20150302882 - OPTICAL INFORMATION RECORDING MEDIUM | 12 |
Yasuhiro Harada | JP | Chiyoda-Ku | 2010-04-08 / 20100087540 - PHARMACEUTICAL COMPOSITION | 1 |
Yasuhiro Harada | JP | Nara | 2010-01-21 / 20100014407 - OPTICAL INFORMATION RECORDING MEDIUM, REPRODUCING DEVICE FOR OPTICAL INFORMATION RECORDING MEDIUM, AND REPRODUCING METHOD FOR OPTICAL INFORMATION RECORDING MEDIUM | 1 |
Yasuhiro Harada | JP | Tokyo | 2015-05-07 / 20150126609 - PHARMACEUTICAL COMPOSITION COMPRISING 11-DEOXY -PROSTAGLANDIN COMPOUND AND METHOD FOR STABILIZING THE COMPOUND | 3 |
Takashi Harada | JP | Osaka | 2012-02-23 / 20120046114 - TORQUE LIMITER | 4 |
Takashi Harada | JP | Shijyonawate-Shi Osaka | / - | 1 |
Katsumi Harada | JP | Kanagawa | 2016-03-31 / 20160089925 - SHEET | 2 |
Takashi Harada | JP | Tokyo | 2016-02-25 / 20160056630 - ELECTRIC POWER CONTROL SYSTEM | 17 |
Takashi Harada | JP | Aichi-Ken | 2010-12-23 / 20100323279 - FUEL CELL SIMULATOR AND FUEL CELL | 1 |
Hidenori Harada | JP | Kobe-Shi | 2010-05-13 / 20100119031 - COUPLING STRUCTURE OF FUEL ASSEMBLY | 1 |
Takashi Harada | JP | Nara | 2010-02-11 / 20100034455 - SOLAR BATTERY MODULE EVALUATION APPARATUS, SOLAR BATTERY MODULE EVALUATING METHOD, AND SOLAR BATTERY MODULE MANUFACTURING METHOD | 1 |
Takashi Harada | JP | Yamaguchi | 2009-07-02 / 20090171083 - PROCESS FOR PRODUCING 4-AMINOQUINAZOLINE COMPOUND | 2 |
Koichiro Harada | JP | Higashi-Hiroshima-Shi | 2009-04-30 / 20090107124 - CATALYST-SUPPORTED PARTICULATE FILTER | 5 |
Koichiro Harada | JP | Hiroshima-Shi | 2016-05-05 / 20160121266 - EXHAUST GAS PURIFICATION SYSTEM AND EXHAUST GAS PURIFICATION METHOD | 6 |
Koichiro Harada | JP | Hiroshima | 2010-01-28 / 20100018190 - PARTICULATE FILTER REGENERATING SYSTEM | 3 |
Koichiro Harada | JP | Toyonaka-Shi | 2010-12-23 / 20100324095 - PHARMACEUTICAL COMPOSITION FOR INHIBITING AMYLOID-BETA PROTEIN ACCUMULATION | 1 |
Koichiro Harada | JP | Okayama | 2010-07-01 / 20100168096 - ACYLGUANIDINE DERIVATIVE OR SALT THEREOF | 2 |
Koichiro Harada | JP | Toyama-Shi | 2012-10-04 / 20120252220 - SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, METHOD FOR PROCESSING SUBSTRATES | 2 |
Koichiro Harada | JP | Higashihiroshima-Shi | 2009-10-01 / 20090241495 - PARTICULATE FILTER | 1 |
Masafumi Harada | JP | Tokushima | 2010-07-22 / 20100183202 - BRAIN-IMAGE DIAGNOSIS SUPPORTING METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Muneo Harada | JP | Hitachinaka-Shi | 2015-07-30 / 20150211209 - ENGINE UNIT | 3 |
Katsushige Harada | JP | Yamanashi | 2014-04-03 / 20140094027 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 1 |
Yasuhiro Harada | JP | Osaka-Shi | 2015-10-22 / 20150302882 - OPTICAL INFORMATION RECORDING MEDIUM | 12 |
Koji Harada | JP | Sakai-Shi | 2016-05-12 / 20160131526 - Spectroscopic Analysis System and Method | 1 |
Syunsuke Harada | JP | Kariya-City | 2014-05-15 / 20140131798 - SEMICONDUCTOR DEVICE | 1 |
Toshinobu Harada | JP | Tokyo | 2011-11-24 / 20110284679 - WIRE BODY TAKE-UP DEVICE AND WIRE BODY TAKE-UP METHOD | 1 |
Hidemi Harada | JP | Amagasaki-Shi | 2014-05-22 / 20140140863 - Variable Displacement Axial Piston Device | 1 |
Tomoo Harada | JP | Anjyo | 2011-03-24 / 20110070112 - PISTON PUMP ASSEMBLY | 1 |
Tomoo Harada | JP | Anjo-Shi | 2014-05-15 / 20140130925 - PRESSURE CONTROL RESERVOIR WITH CHECK VALVE | 5 |
Tomoo Harada | JP | Anjo-City | 2011-05-19 / 20110115283 - SEALING STRUCTURE FOR PISTON AND PISTON PUMP AND BRAKE HYDRAULIC PRESSURE CONTROL DEVICE INCORPORATING THE STRUCTURE | 2 |
Hiroyasu Harada | JP | Toyota-Shi | 2015-11-12 / 20150321661 - DRIVE CONTROL DEVICE FOR HYBRID VEHICLE | 10 |
Masafumi Harada | JP | Narashi-Shi | 2010-06-17 / 20100148120 - LIGHT-EMITTING APPARATUS, PHOSPHORESCENT PORTION, AND METHOD OF PRODUCING THE SAME | 2 |
Mitsuhiro Harada | JP | Toyota-Shi | 2013-06-27 / 20130161156 - CONVEYOR SYSTEM | 1 |
Yousuke Harada | JP | Kawasaki-Shi | 2014-08-07 / 20140223213 - MEMORY SYSTEM | 1 |
Shunji Harada | JP | Osaka-Shi | 2012-08-02 / 20120198243 - PROGRAM EXECUTION DEVICE | 2 |
Kazuo Harada | JP | Osaka-Shi | 2008-10-30 / 20080268217 - CLOTH HAVING PARTIALLY DIFFERENT CLOTH-STRETCHABILITY AND METHOD OF MANUFACTURING THEREOF | 1 |
Naohisa Harada | JP | Chita-Gun | 2015-07-09 / 20150195957 - ELECTRIC POWER CONVERTOR | 1 |
Yukinori Harada | JP | Anjo-City | 2010-09-30 / 20100244910 - Sinusoidal waveform generation circuit | 1 |
Kazuyoshi Harada | JP | Osaka-Shi | 2008-11-20 / 20080287905 - Medical valve | 1 |
Yoshikazu Harada | JP | Kawasaki-Shi | 2015-03-12 / 20150071006 - SEMICONDUCTOR STORAGE DEVICE | 3 |
Yoko Harada | JP | Osaka-Shi | 2010-08-26 / 20100218272 - Long-Chain Trans-Prenyl Diphosphate Synthase Gene | 1 |
Yutaka Harada | JP | Osaka-Shi | 2010-09-16 / 20100231210 - EDDY-CURRENT FLAW DETECTION METHOD, EDDY-CURRENT FLAW DETECTION DEVICE AND EDDY-CURRENT FLAW DETECTION PROBE | 1 |
Masaki Harada | JP | Toon-Shi | 2015-07-09 / 20150190801 - ISOLATOR | 2 |
Masaki Harada | JP | Aichi | 2012-11-08 / 20120279694 - HIGH-CORROSION-RESISTANT ALUMINUM ALLOY BRAZING SHEET, METHOD OF MANUFACTURING SUCH SHEET, AND CORROSIVE-RESISTANT HEAT EXCHANGER USING SUCH SHEET | 1 |
Masaki Harada | JP | Anjo-City | 2012-02-02 / 20120024511 - Intercooler | 3 |
Masaki Harada | JP | Yawata-Shi | 2011-02-03 / 20110027131 - STERILE SUBSTANCE SUPPLYING APPARATUS | 2 |
Masaki Harada | JP | Tokyo | 2013-01-31 / 20130027945 - OPTICAL ELEMENT, LIGHT SOURCE DEVICE, AND OPTICAL ELEMENT PRODUCTION METHOD | 1 |
Akihiro Harada | JP | Osaka-Shi | 2015-09-10 / 20150252923 - FLUID COUPLING AND RETAINER FOR FLUID COUPLING | 4 |
Nobuyoshi Harada | JP | Osaka | 2011-09-01 / 20110213269 - PORTABLE TERMINAL HAVING FUNCTION OF MEASURING MENTAL FATIGUE, METHOD FOR MEASURING SAME AND SERVER COMPUTER | 2 |
Hiroko Harada | JP | Osaka-Shi | 2011-03-17 / 20110065956 - Method For Producing A Purified Borazine Compound, Method For Filling A Borazine Compound, And Container For Preserving A Borazine Compound | 1 |
Masahiro Harada | US | Novi | 2016-05-19 / 20160139598 - AUTONOMOUS DRIVING VEHICLE SYSTEM | 3 |
Nobuhiro Harada | JP | Tokyo | 2016-02-18 / 20160046124 - Ink Jet Recording Device | 3 |
Kazuhito Harada | JP | Takatsuki | 2011-10-06 / 20110245223 - HETEROCYCLIC COMPOUNDS | 2 |
Lilian Harada | JP | Kawasaki | 2012-12-13 / 20120317072 - SYSTEM ANALYSIS PROGRAM, SYSTEM ANALYSIS METHOD, AND SYSTEM ANALYSIS APPARATUS | 9 |
Yoshiyuki Harada | JP | Saitama-Shi | 2014-01-23 / 20140022579 - INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 4 |
Masashi Harada | JP | Saitama-Shi | 2015-05-21 / 20150135990 - CELLULOSE RESIN COMPOSITION | 5 |
Koh Harada | JP | Tokyo | 2016-05-12 / 20160133032 - DEVICE AND METHOD FOR IMAGE RECONSTRUCTION AT DIFFERENT X-RAY ENERGIES, AND DEVICE AND METHOD FOR X-RAY THREE-DIMENSIONAL MEASUREMENT | 1 |
Shinichiro Harada | JP | Saitama-Shi | 2010-03-25 / 20100073784 - LENS MOVING FRAME AND LENS DEVICE | 1 |
Fumio Harada | JP | Saitama-Shi | 2014-11-27 / 20140347698 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM, AND COMPUTER READABLE MEDIUM | 5 |
Eriko Harada | JP | Kyoto-Shi | 2016-03-17 / 20160075819 - NOVEL POLYCARBOXYLIC ACID ANHYDRIDE AND USE THEREOF | 1 |
Manabu Harada | JP | Fukuoka | 2014-11-20 / 20140339935 - BOBBIN AND ROTARY ELECTRIC MACHINE | 2 |
Megumi Harada | JP | Kanagawa | 2015-07-23 / 20150205244 - IMAGE FORMING APPARATUS, AND MEDIUM TRANSPORTING DEVICE | 1 |
Takeshi Harada | JP | Tokyo | 2013-12-19 / 20130335613 - IMAGE PRODUCTION DEVICE, IMAGE PRODUCTION METHOD, AND PROGRAM FOR DRIVING COMPUTER TO EXECUTE IMAGE PRODUCTION METHOD | 9 |
Osamu Harada | JP | Toyota-Shi | 2012-06-14 / 20120150374 - CONTROL APPARATUS AND CONTROL METHOD FOR VEHICLE | 3 |
Takao Harada | JP | Setagaya-Ku | 2009-01-22 / 20090020716 - MOTOR DRIVEN VALVE AND COOLING/HEATING SYSTEM | 1 |
Takao Harada | JP | Tokyo | 2015-10-01 / 20150276082 - ELECTRICALLY OPERATED VALVE | 4 |
Takao Harada | JP | Hyogo | 2010-09-16 / 20100229685 - PROCESS FOR PRODUCING MOLTEN IRON | 6 |
Takao Harada | JP | Kobe-Shi | 2015-12-17 / 20150361515 - METHOD FOR PRODUCING REDUCED IRON AGGLOMERATE | 9 |
Taku Harada | JP | Toyota-Shi | 2012-05-10 / 20120115619 - DAMPER MECHANISM | 1 |
Tatsuhiro Harada | JP | Kyoto | 2011-12-08 / 20110300139 - GENERATION, EXPRESSION AND CHARACTERIZATION OF THE HUMANIZED K33N MONOCLONAL ANTIBODY | 1 |
Misaki Harada | JP | Aichi | 2015-07-16 / 20150200424 - LEAD-ACID BATTERY | 3 |
Daisuke Harada | JP | Kawasaki | 2015-08-13 / 20150228358 - INFORMATION PROCESSING DEVICE AND MEMORY TEST METHOD | 2 |
Ryoji Harada | JP | Osaka-Shi | 2015-11-26 / 20150336651 - BALLAST WATER TREATMENT APPARATUS AND REVERSE CLEANING METHOD FOR BALLAST WATER TREATMENT APPARATUS | 3 |
Masayuki Harada | JP | Fuchu-Shi | 2015-07-30 / 20150212515 - NUMERICAL CONTROL SYSTEM AND NUMBERICAL CONTROL DATA GENERATION METHOD | 1 |
Shin Harada | JP | Osaka | 2016-04-21 / 20160108553 - SILICON CARBIDE SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 34 |
Shunji Harada | JP | Osakaa-Shi | 2009-06-11 / 20090150685 - PROGRAM EXECUTION DEVICE | 1 |
Tatsuya Harada | JP | Tokyo | 2011-11-03 / 20110266692 - LAYERED CHIP PACKAGE AND METHOD OF MANUFACTURING SAME | 18 |
Takashi Harada | JP | Toyota | 2014-07-24 / 20140206498 - PLANETARY CARRIER | 1 |
Koji Harada | JP | Yokohama-Shi | 2012-05-24 / 20120127545 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 11 |
Masayuki Harada | JP | Yashio-Shi | 2015-08-06 / 20150218105 - METHOD FOR PRODUCING 1-SUBSTITUTED-3-FLUOROALKYLPYRAZOLE-4-CARBOXYLIC ACID ESTER | 1 |
Takashi Harada | JP | Yokohama-Shi | 2015-04-30 / 20150114539 - TIRE | 12 |
Hiroshi Harada | JP | Fukuoka | 2014-09-25 / 20140287785 - CORDLESS TELEPHONE SYSTEM AND HANDSET THEREOF | 1 |
Narumi Harada | JP | Yao-Shi | 2015-11-05 / 20150316369 - FILM THICKNESS MEASUREMENT DEVICE | 2 |
Masayuki Harada | JP | Kariya-Shi | 2008-12-18 / 20080309593 - Liquid Crystal Display Device | 2 |
Tomoaki Harada | JP | Toyota-Shi | 2016-02-11 / 20160042645 - VEHICLE DRIVING ASSISTANCE APPARATUS (AS AMENDED) | 2 |
Yasuo Harada | JP | Toyota-Shi | 2010-01-21 / 20100011745 - Vehicle Diesel Engine and Control Method of the Same | 1 |
Kouichi Harada | JP | Hyogo | 2010-09-02 / 20100219539 - NEGATIVE ION LIQUID MANUFACTURING APPARATUS | 1 |
Kouichi Harada | JP | Kanagawa | 2014-12-11 / 20140362265 - SOLID-STATE IMAGING DEVICE, DRIVING METHOD THEREOF AND ELECTRONIC APPARATUS | 16 |
Yoshifumi Harada | JP | Takasaki-Shi | 2009-01-22 / 20090020213 - SILICONE RUBBER COMPOSITION FOR SEALING STITCHED AIR BAG | 1 |
Yuji Harada | JP | Tokyo | 2015-08-06 / 20150221400 - SHAFT SEALING STRUCTURE AND REACTOR COOLANT PUMP | 1 |
Masayuki Harada | JP | Kanagawa | 2009-12-24 / 20090318650 - NOVEL FLUORINE-CONTAINING POLYMER AND METHOD OF PRODUCING FLUORINE-CONTAINING POLYMER | 2 |
Akitoshi Harada | JP | Kurokawa-Gun | 2015-08-27 / 20150243489 - CLEANING METHOD FOR PLASMA PROCESSING APPARATUS | 3 |
Kenji Harada | JP | Chiyoda-Ku | 2015-10-01 / 20150274894 - SURFACE-MODIFIED METAL OXIDE PARTICLE MATERIAL, DISPERSION LIQUID, SILICONE RESIN COMPOSITION, SILICONE RESIN COMPOSITE BODY, OPTICAL SEMICONDUCTOR LIGHT EMITTING DEVICE, LIGHTING DEVICE, AND LIQUID CRYSTAL IMAGING DEVICE | 2 |
Arata Harada | JP | Aichi-Ken | 2015-08-06 / 20150222046 - Electrical Connector | 2 |
Kohsuke Harada | JP | Yokohama | 2016-02-25 / 20160055055 - MEMORY SYSTEM AND ERROR CORRECTION DECODING METHOD | 4 |
Masayuki Harada | JP | Ashigarakami-Gun | 2010-09-16 / 20100231844 - FLUOROBICYCLO[2.2.2]OCTANE COMPOUND, METHOD OF PRODUCING THE SAME, AND APPLICATIONS THEREOF | 1 |
Yui Harada | JP | Higashi-Ku | 2014-05-01 / 20140120072 - METHOD FOR AMPLIFYING NK CELLS | 1 |
Amane Harada | JP | Kobe | 2016-04-21 / 20160109469 - METHOD OF MEASURING LIPOPROTEIN'S CAPACITY TO ACCEPT CHOLESTEROL | 1 |
Masahiko Harada | JP | Kanagawa | 2015-02-19 / 20150052396 - STATE INFORMATION RECORDING APPARATUS, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND STATE INFORMATION RECORDING METHOD | 1 |
Masayuki Harada | JP | Minami-Ashigara-Shi | 2012-09-13 / 20120231297 - LUBRICANT COMPOSITION, FLUORINE-BASED COMPOUND, AND USE THEREOF | 4 |
Makoto Harada | JP | Osaka | 2013-07-25 / 20130191853 - PROGRAM EXECUTION METHOD AND PROGRAM EXECUTION APPARATUS | 2 |
Ryusuke Harada | JP | Tokyo | 2013-01-31 / 20130030108 - CARBON BLACK, METHOD FOR PRODUCING CARBON BLACK, AND RUBBER COMPOSITION | 1 |
Norihito Harada | JP | Azumino-Shi | 2012-06-14 / 20120147076 - LIQUID EJECTING APPARATUS | 5 |
Masayuki Harada | JP | Tokyo | 2010-10-07 / 20100253861 - DISPLAY | 4 |
Eriko Harada | JP | Kanagawa | 2014-04-24 / 20140113013 - NOVEL APPLICATION OF SOYBEAN EMULSION COMPOSITION TO SOYBEAN-DERIVED RAW MATERIAL-CONTAINING FOOD OR BEVERAGE | 1 |
Masashige Harada | JP | Kodaira | 2014-10-23 / 20140313183 - DISPLAY DRIVER | 1 |
Hiroki Harada | JP | Zushi-Shi | 2014-10-16 / 20140307326 - EYEPIECE LENS, VIEWFINDER OPTICAL SYSTEM AND OPTICAL APPARATUS EQUIPPED WITH THE SAME, AND METHOD FOR MANUFACTURING EYEPIECE LENS | 8 |
Daijitsu Harada | JP | Joetsu-Shi | 2015-12-17 / 20150360997 - METHOD FOR PREPARING SYNTHETIC QUARTZ GLASS SUBSTRATE | 22 |
Masahiro Harada | JP | Shizuoka-Ken | 2011-12-22 / 20110313664 - APPARATUS FOR PREDICTING THE MOVEMENT OF A MOBILE BODY | 1 |
Fuminori Harada | JP | Hiroshima | 2014-12-18 / 20140370174 - METHOD FOR MANUFACTURING RETORT RICE, AND RETORT RICE | 2 |
Hiroshi Harada | JP | Hokkaido | 2013-04-11 / 20130089864 - METHODS FOR CHARACTERIZING KIDNEY FUNCTION | 1 |
Kohta Harada | JP | Toyota-Shi | 2010-08-26 / 20100212774 - PAINTING MATERIAL CHARGING DEVICE | 1 |
Nobuhiro Harada | JP | Yamaguchi | 2015-02-19 / 20150048692 - POWER TRANSMISSION APPARATUS AND METHOD, AND RESONANCE DEVICE USED THEREIN | 1 |
Kazumasa Harada | JP | Matsumoto-Shi | 2016-05-12 / 20160129696 - CARTRIDGE AND PRINTING MATERIAL SUPPLY SYSTEM | 24 |
Tamotsu Harada | JP | Fukushima | 2009-08-20 / 20090208842 - SEPARATOR, METHOD FOR MANUFACTURING SEPARATOR, AND NONAQUEOUS ELECTROLYTE BATTERY | 2 |
Wakahiro Harada | JP | Yamaguchi | 2011-02-10 / 20110033731 - FERRITIC STAINLESS STEEL | 1 |
Toshikazu Harada | JP | Kariya-City | 2009-10-29 / 20090266492 - Printed circuit board manufacturing equipment | 2 |
Saburou Harada | JP | Tokyo | 2015-08-13 / 20150224703 - IMPRINTING METHOD AND IMPRINTING APPARATUS | 1 |
Taketo Harada | JP | Kariya-City | 2016-03-10 / 20160069990 - OBSTACLE DETECTION DEVICE | 1 |
Takahiro Harada | JP | Nikaho-Shi | 2008-10-02 / 20080236723 - Production method of dielectric ceramic composition and production method of electronic device | 1 |
Tatsuyuki Harada | JP | Okayama | 2013-08-22 / 20130216730 - APPARATUS AND METHOD FOR MANUFACTURING OPTICAL RECORDING MEDIUM | 2 |
Takahiro Harada | JP | Toride-Shi | 2009-03-12 / 20090070080 - Method for constructing surface of fluid-body simulation based on particle method, program for the same, and storage medium for string program | 3 |
Takahiro Harada | JP | Kyoto-Shi | 2011-12-29 / 20110315874 - Mass Spectrometer | 2 |
Takahiro Harada | JP | Uji | 2009-09-17 / 20090230301 - MASS SPECTROMETRY APPARATUS AND METHOD | 1 |
Takahiro Harada | JP | Kyoto | 2015-12-31 / 20150380229 - MASS SPECTROMETER | 5 |
Takahiro Harada | JP | Chiryu-Shi | 2015-09-10 / 20150252749 - INTERNAL COMBUSTION ENGINE | 4 |
Takahiro Harada | JP | Tokyo | 2014-07-03 / 20140183715 - SEMICONDUCTOR DEVICE | 4 |
Tsunemichi Harada | JP | Kawasaki | 2012-10-04 / 20120250179 - STORAGE SYSTEM, STORAGE CONTROL APPARATUS, AND STORAGE CONTROL METHOD | 1 |
Atsushi Harada | CN | Beijing | 2016-05-19 / 20160143042 - RADIO BASE STATION, RADIO COMMUNICATION SYSTEM AND RADIO COMMUNICATION METHOD | 10 |
Atsushi Harada | JP | Kanagawa | 2013-01-03 / 20130007550 - RETRANSMISSION CONTROL METHOD AND RECEIVING SIDE APPARATUS | 45 |
Shin Harada | JP | Osaka-Shi | 2014-06-12 / 20140159057 - SILICON CARBIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 66 |
Tsubasa Harada | JP | Oita-Ken | 2011-04-14 / 20110084350 - SOLID STATE IMAGE CAPTURE DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Taiji Harada | JP | Tokyo | 2015-08-13 / 20150226849 - SIGNAL PROCESSING DEVICE AND SIGNAL PROCESSING METHOD IN WIND PROFILER | 1 |
Kenji Harada | JP | Hyogo | 2015-06-25 / 20150179967 - ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME | 6 |
Shigeki Harada | JP | Tokyo | 2014-04-10 / 20140097805 - ELECTRIC POWER SUPPLY SYSTEM | 8 |
Takeshi Harada | JP | Yamanashi-Ken | 2009-02-05 / 20090035093 - Bookbinding Method, and Bookbinding Unit and Image-Forming System Equipped with the Same | 1 |
Takeshi Harada | JP | Nakakoma-Gun | 2009-03-26 / 20090081003 - Bookbinding Unit | 3 |
Takeshi Harada | JP | Susono | 2009-06-18 / 20090154136 - Illumination device for an indicating needle | 1 |
Naoki Harada | JP | Machida-Shi | 2015-08-13 / 20150229888 - ELECTRONIC DEVICE, INFORMATION PROVIDING SYSTEM, CONTROL METHOD, AND CONTROL PROGRAM | 1 |
Takeshi Harada | JP | Shiga | 2009-12-10 / 20090302475 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 6 |
Hitoshi Harada | US | Foster City | 2015-12-31 / 20150379078 - PARALLEL PROCESSING DATABASE TREE STRUCTURE | 3 |
Takeshi Harada | JP | Nagoya-Shi | 2009-12-24 / 20090319158 - POWER OUTPUT APPARATUS, CONTROL METHOD THEREOF, AND VEHICLE | 3 |
Toshiharu Harada | JP | Yamaguchi-Ken | 2012-02-23 / 20120042750 - PROCESS FOR PRODUCING MAGNETIC METAL PARTICLES FOR MAGNETIC RECORDING, AND MAGNETIC RECORDING MEDIUM | 3 |
Akinori Harada | JP | Osaka | 2015-08-20 / 20150234324 - SEALING STRUCTURE, DEVELOPING DEVICE, CLEANING DEVICE, PROCESS UNIT, AND IMAGE FORMING APPARATUS | 1 |
Toshiharu Harada | JP | Sanyo Onoda-Shi | 2009-09-03 / 20090220823 - FERROMAGNETIC METAL PARTICLES AND PROCESS FOR PRODUCING THE SAME, AND MAGNETIC RECORDING MEDIUM | 1 |
Takeshi Harada | JP | Niigata | 2011-09-01 / 20110210445 - SEMICONDUCTOR DEVICE HAVING VIA CONNECTING BETWEEN INTERCONNECTS | 3 |
Takeshi Harada | JP | Nagoya-City | 2014-11-13 / 20140331657 - CATALYST WARMING-UP CONTROL APPARATUS FOR VEHICLE | 4 |
Takeshi Harada | JP | Tokyo | 2013-12-19 / 20130335613 - IMAGE PRODUCTION DEVICE, IMAGE PRODUCTION METHOD, AND PROGRAM FOR DRIVING COMPUTER TO EXECUTE IMAGE PRODUCTION METHOD | 9 |
Katsuyuki Harada | JP | Yamatokoriyama-Shi | 2014-06-26 / 20140175862 - VEHICLE BEARING DEVICE | 11 |
Yoshihiro Harada | JP | Tokyo | 2015-12-10 / 20150357889 - AUTOMOTIVE ROTARY ELECTRIC MACHINE AND STATOR MANUFACTURING METHOD THAT IS USED THEREFOR | 2 |
Tetsuro Harada | JP | Otsu-Shi | 2009-12-03 / 20090295503 - VARIABLE-FREQUENCY BAND-ELIMINATION FILTER | 4 |
Ryutaro Harada | JP | Tokyo-To | 2013-08-01 / 20130196059 - METHOD FOR PRODUCING COLOR FILTER | 3 |
Masanori Harada | JP | Fukuoka | 2013-06-20 / 20130158602 - Chiropractic Apparatus Capable of Forming a Release Surface | 2 |
Shuuji Harada | JP | Niigata | 2009-12-17 / 20090309603 - Hydrogen Quantity Sensor and Hydrogen Storage Device Using the Same | 2 |
Yasuhiro Harada | JP | Osaka | 2014-06-12 / 20140160910 - OPTICAL RECORDING MEDIUM ON WHICH INFORMATION IS RECORDED USING TRAIN OF PREPITS, AND METHOD FOR REPRODUCING OPTICAL RECORDING MEDIUM | 25 |
Katsuyuki Harada | JP | Nara | 2010-02-25 / 20100046873 - SEALING DEVICE AND ROLLING BEARING APPARATUS | 1 |
Hisanobu Harada | JP | Kawasaki-Shi | 2009-11-12 / 20090280438 - METHOD OF FORMING PATTERN | 2 |
Atsushi Harada | JP | Kawasaki-Shi | 2012-10-11 / 20120257493 - COMMUNICATION APPARATUS, COMMUNICATION METHOD AND PROGRAM | 3 |
Kouji Harada | JP | Kawasaki-Shi | 2013-07-25 / 20130187999 - LIQUID COMPOSITION, INK JET RECORDING METHOD, INK JET RECORDING APPARATUS AND RECORDED IMAGE | 6 |
Takumi Harada | JP | Kawasaki-Shi | 2010-05-06 / 20100109424 - Axle bearing apparatus | 2 |
Atsuhiro Harada | JP | Gifu | 2012-01-05 / 20120005497 - Terminal apparatus updating method, data writing apparatus, and terminal apparatus | 1 |
Tsuyoshi Harada | JP | Kawasaki | 2010-01-07 / 20100002694 - ROUTE DATA COLLECTION TECHNIQUE IN NETWORK | 1 |
Minoru Harada | JP | Kawasaki-Shi | 2009-12-31 / 20090326213 - PROCESS FOR PRODUCTION OF CRYSTAL OF PURINE NUCLEOSIDE COMPOUND | 1 |
Daisuke Harada | JP | Kawasaki-Shi | 2010-08-05 / 20100199018 - DATA TRANSFER SYSTEM, DATA TRANSMITTING APPARATUS, DATA RECEIVING APPARATUS, AND DATA TRANSFER METHOD | 1 |
Satoshi Harada | JP | Kawasaki-Shi | 2010-12-30 / 20100328834 - POLYMER SURGE ARRESTER | 1 |
Eri Harada | JP | Kawasaki-Shi | 2011-04-07 / 20110082109 - NOVEL ACYL GUANIDINE DERIVATIVES | 1 |
Ikuma Harada | JP | Wako-Shi | 2016-03-31 / 20160090145 - SADDLE TYPE VEHICLE | 1 |
Junya Harada | JP | Wako-Shi | 2013-01-10 / 20130009411 - VEHICLE BUMPER STRUCTURE | 1 |
Motoki Harada | JP | Wako-Shi | 2015-07-02 / 20150184690 - STRUCTURE OF CRANKSHAFT FOR INTERNAL COMBUSTION ENGINE | 2 |
Yoshihiro Harada | JP | Wako-Shi | 2013-05-09 / 20130115831 - OUTBOARD MOTOR | 4 |
Tomoki Harada | JP | Kawasaki | 2009-01-08 / 20090009967 - Fan unit and electronic apparatus and method of controlling the same | 1 |
Yutaka Harada | JP | Kyoto | 2011-04-14 / 20110083322 - OPTICAL DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Toshihiko Harada | JP | Kyoto | 2011-06-02 / 20110130681 - SAMPLE COLLECTION IMPLEMENT | 2 |
Norihiro Harada | JP | Kawasaki | 2009-02-05 / 20090037855 - SIMULATION METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Masaki Harada | JP | Kyoto | 2014-07-24 / 20140205507 - STERILIZER | 2 |
Atsushi Harada | JP | Kyoto | 2009-11-19 / 20090284225 - INFORMATION PROCESSING EQUIPMENT AND THE INTEGRATED CIRCUIT | 1 |
Shin-Ichi Harada | JP | Kyoto | 2009-07-09 / 20090176749 - OIL-IN-WATER EMULSION LOTION CONTAINING 22-OXA-1ALPHA, 25-DIHYDROXYVITAMIN D3 AND METHOD OF TREATMENT OF SKIN DISORDER USING THE SAME | 1 |
Tamotsu Harada | JP | Kyoto | 2009-06-04 / 20090140449 - Process for Producing a Hyper-Elastic, High Strength Dilatation Balloon made from Multi-Block Copolymers | 1 |
Akira Harada | JP | Kyoto | 2009-04-16 / 20090098025 - REACTION CONTAINER KIT | 1 |
Masatomi Harada | JP | Kyoto | 2009-03-19 / 20090073158 - SEMICONDUCTOR ELEMENT AND DEVICE USING THE SAME | 1 |
Tatsurou Harada | JP | Fukuoka | 2012-01-12 / 20120006025 - THERMAL POWER PLANT USING LOW-GRADE COAL AS FUEL | 1 |
Akihiko Harada | JP | Kawasaki | 2010-03-04 / 20100052726 - POWER SOURCE NOISE MEASURING DEVICE, INTEGRATED CIRCUIT, AND SEMICONDUCTOR DEVICE | 2 |
Shouji Harada | JP | Kawasaki | 2013-10-31 / 20130289992 - VOICE RECOGNITION METHOD AND VOICE RECOGNITION APPARATUS | 8 |
Kurumi Harada | JP | Kawasaki | 2012-03-15 / 20120063170 - MOBILE TERMINAL DEVICE | 4 |
Noriyuki Harada | JP | Kawasaki | 2013-01-31 / 20130029730 - MOBILE ELECTRONIC APPARATUS, DANGER NOTIFYING METHOD, AND MEDIUM FOR STORING PROGRAM | 4 |
Hiromi Harada | JP | Kawasaki | 2010-12-30 / 20100330957 - MOBILE TERMINAL AUTHENTICATION METHOD AND SYSTEM | 1 |
Tohru Harada | JP | Kawasaki | 2012-05-10 / 20120111886 - DISCHARGE VOLUME CONTROL METHOD, DISCHARGE PRESSURE CONTROL METHOD, AND MICROBODY FORMING METHOD | 7 |
Maki Harada | JP | Kawasaki | 2011-03-10 / 20110058552 - Multicast Control Technique Using MPLS | 1 |
Yasuhiro Harada | JP | Kanagawa-Ken | 2014-04-17 / 20140103934 - BATTERY DIAGNOSIS DEVICE AND METHOD | 2 |
Tooru Harada | JP | Kawasaki | 2013-10-31 / 20130284707 - APPARATUS OF MOUNTING AND REMOVING COMPONENT, METHOD OF MOUNTING COMPONENT AND METHOD OF REMOVING COMPONENT | 2 |
Tomoyuki Harada | JP | Kawasaki | 2011-03-10 / 20110058501 - NODE APPARATUS AND ROUTE CALCULATION METHOD | 2 |
Kazuyuki Harada | JP | Ishikawa-Gun | 2009-04-16 / 20090096946 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Kazuyuki Harada | JP | Ishikawa-Ken | 2012-09-13 / 20120229745 - LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Kazuyuki Harada | JP | Nonoichi-Shi | 2015-02-26 / 20150055075 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Masaaki Harada | JP | Hyogo | 2014-12-25 / 20140375273 - DRIVE CONTROL DEVICE, DRIVE CONTROL METHOD AND DRIVE CONTROL PROGRAM | 4 |
Hiroshi Harada | JP | Ibaraki | 2015-07-16 / 20150197833 - Ni-BASED SINGLE CRYSTAL SUPERALLOY | 15 |
Yoshiyuki Harada | JP | Tokyo | 2016-03-24 / 20160085484 - NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM ON WHICH A UI PROGRAM IS RECORDED, PRINT CONTROL APPARATUS AND CONTROL METHOD OF THE SAME | 43 |
Shigeyuki Harada | JP | Osaka-Shi | 2015-03-26 / 20150083931 - ION GENERATING APPARATUS | 2 |
Tomokazu Harada | JP | Otawara-Shi | 2010-03-18 / 20100064437 - MAT FOR MEDICAL IMAGE DIAGNOSIS APPARATUS AND BED FOR MEDICAL IMAGE DIAGNOSIS APPARATUS | 2 |
Akira Harada | JP | Tokyo | 2016-05-05 / 20160121282 - MEANS AND METHOD FOR STIRRING LIQUIDS IN LONG THIN CONTAINERS | 2 |
Eiichi Harada | JP | Tokyo | 2015-12-31 / 20150380855 - ELECTRONIC DEVICE | 3 |
Hikaru Harada | JP | Kariya | 2013-01-17 / 20130016143 - DISPLAY DEVICE AND METHOD FOR DRIVING THE DISPLAY DEVICEAANM KOYAMA; JunAACI SagamiharaAACO JPAAGP KOYAMA; Jun Sagamihara JPAANM MIYAKE; HiroyukiAACI AtsugiAACO JPAAGP MIYAKE; Hiroyuki Atsugi JPAANM TOYOTAKA; KouheiAACI AtsugiAACO JPAAGP TOYOTAKA; Kouhei Atsugi JPAANM HARADA; HikaruAACI KariyaAACO JPAAGP HARADA; Hikaru Kariya JPAANM KANEYASU; MakotoAACI HadanoAACO JPAAGP KANEYASU; Makoto Hadano JP | 1 |
Susumu Harada | JP | Tokyo | 2015-05-21 / 20150140388 - BATTERY MODULE | 1 |
Susumu Harada | JP | Anan-Shi | 2016-03-10 / 20160072257 - SEMICONDUCTOR LASER ELEMENT, METHODS OF MANUFACTURING THE SAME AND SEMICONDUCTOR LASER DEVICE | 1 |
Susumu Harada | JP | Kobe-Shi | 2011-04-21 / 20110092334 - CONSTRUCTION MACHINE AND CONTROL METHOD THEREOF | 1 |
Susumu Harada | JP | Kanagawa-Ken | 2012-05-31 / 20120136569 - METHOD, DEVICE AND COMPUTER PROGRAM FOR MAPPING MOVING DIRECTION BY SOUNDS | 2 |
Susumu Harada | JP | Hitachinaka | 2013-01-10 / 20130011713 - Battery Module and Power Supply Apparatus | 1 |
Kenji Harada | JP | Nomi-Shi | 2012-09-27 / 20120242634 - DISPLAY APPARATUS AND METHOD OF DRIVING THE SAME | 3 |
Susumu Harada | JP | Hitachinaka-Shi | 2012-08-23 / 20120214041 - ELECTRICITY STORAGE MODULE | 2 |
Kenji Harada | JP | Japan | 2009-09-17 / 20090231014 - LEVEL SHIFTER CIRCUIT | 1 |
Kenji Harada | JP | Osaka | 2013-06-06 / 20130140543 - ORGANIC EL ELEMENT, DISPLAY DEVICE, AND LIGHT-EMITTING DEVICE | 10 |
Nobuyoshi Harada | JP | Ikeda-Shi | 2012-07-05 / 20120169997 - MEASUREMENT DEVICE AND MEASUREMENT METHOD FOR FLICKERING PERCEPTION THRESHOLD | 3 |
Takashi Harada | JP | Yokohama-Shi | 2015-04-30 / 20150114539 - TIRE | 12 |
Masamichi Harada | JP | Susono-Shi | 2012-03-15 / 20120064716 - FILM FORMING APPARATUS AND A BARRIER FILM PRODUCING METHOD | 2 |
Hiroki Harada | JP | Koshi City | 2013-06-27 / 20130166064 - TRANSFER APPARATUS AND TRANSFER METHOD | 1 |
Masamichi Harada | JP | Kitakatsuragi-Gun | 2009-08-06 / 20090194781 - WAVELENGTH CONVERSION MEMBER, LIGHT-EMITTING DEVICE AND PHOSPHOR | 2 |
Masamichi Harada | JP | Osaka | 2011-04-14 / 20110084609 - ILLUMINATION DEVICE, AUTOMOTIVE LIGHTING EQUIPMENT, AND VEHICLE | 1 |
Kenji Harada | JP | Osaka-Fu | 2010-01-21 / 20100015101 - NOVEL TUMOR ANTIGEN PEPTIDES | 1 |
Masamichi Harada | JP | Shizuoka | 2011-05-05 / 20110104890 - METHOD FOR FORMING CU ELECTRICAL INTERCONNECTION FILM | 3 |
Masamichi Harada | JP | Shizuoka-Ken | 2009-09-17 / 20090232984 - Apparatus and Method of Film Formation | 1 |
Kazuhiro Harada | JP | Tsukaba-Shi | 2008-12-11 / 20080305633 - Manufacturing method of a semiconductor device and substrate processing apparatus | 1 |
Kazuhiro Harada | JP | Toyama | 2012-02-23 / 20120045903 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, AND SUBSTRATE PROCESSING APPARATUS | 3 |
Kazuhiro Harada | JP | Shiga | 2009-05-07 / 20090115364 - ELECTRIC MOTOR DRIVING DEVICE, AND AIR CONDITIONER USING THE SAME | 1 |
Kazuhiro Harada | JP | Akita | 2011-02-03 / 20110023773 - VITREOUS SILICA CRUCIBLE AND METHOD OF MANUFACTURING THE SAME | 4 |
Yukinobu Harada | JP | Osaka | 2011-06-16 / 20110143924 - PHOTOCATALYST COATING COMPOSITION | 1 |
Junko Harada | US | 2011-01-06 / 20110000105 - Shoe with Retractable Lace Guard | 1 | |
Kenji Harada | JP | Ishikawa-Ken | 2014-11-13 / 20140333862 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 4 |
Kazuhiro Harada | JP | Toyama-Shi | 2016-02-25 / 20160056044 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 11 |
Masataka Harada | JP | Osaka | 2008-10-09 / 20080248041 - Novel Ligands for G Protein-Coupled Receptor Protein and Use Thereof | 1 |
Suehiro Harada | JP | Osaka | 2010-06-24 / 20100157192 - LIQUID CRYSTAL MODULE | 1 |
Hideki Harada | JP | Osaka | 2014-04-10 / 20140098274 - ELECTRONIC DEVICE | 6 |
Hiroji Harada | JP | Osaka | 2010-06-03 / 20100132589 - BODY FRAME STRUCTURE OF RAILWAY VEHICLE | 2 |
Ayako Harada | JP | Osaka | 2008-08-28 / 20080207560 - Composition For External Use | 1 |
Ushio Harada | JP | Fujimino-Shi | 2008-10-09 / 20080248395 - Electrolyte-Electrode Joined Assembly and Method for Producing the Same | 1 |
Ushio Harada | JP | Wako-Shi | 2011-03-31 / 20110076594 - Ceria-based electrolytes in solid oxide fuel cells | 4 |
Tadaaki Harada | JP | Osaka | 2009-01-15 / 20090015781 - Optical Resin Sheet and Liquid Crystal Cell Substrate Including the Same, Liquid Crystal Display Device, Substrate for an Image Display Device, and Image Display Device | 1 |
Taiji Harada | JP | Chiyoda-Ku | 2016-02-11 / 20160041452 - OPTICAL DEVICE, LIDAR DEVICE AND IMAGING DEVICE | 1 |
Chika Harada | JP | Osaka | 2011-10-27 / 20110262849 - PHOTOMASK AND PATTERN FORMATION METHOD USING THE SAME | 2 |
Kenichi Harada | JP | Osaka | 2015-08-20 / 20150234114 - OPTICAL WAVEGUIDE SHEET, BACKLIGHT UNIT, AND PORTABLE TERMINAL | 3 |
Hiroki Harada | JP | Yokosuka-Shi | 2012-08-23 / 20120213102 - WIRELESS STATION, INTERFERENCE AVOIDANCE METHOD, AND SYSTEM FOR INTERFERENCE AVOIDANCE | 6 |
Hiroki Harada | JP | Zushi-Shi | 2014-10-16 / 20140307326 - EYEPIECE LENS, VIEWFINDER OPTICAL SYSTEM AND OPTICAL APPARATUS EQUIPPED WITH THE SAME, AND METHOD FOR MANUFACTURING EYEPIECE LENS | 8 |
Yutaka Harada | JP | Osaka | 2009-07-02 / 20090171016 - Carbodiimide Compound and Waterborne Curable Resin Composition Containing Thereof | 1 |
Tetsuya Harada | JP | Osaka | 2010-01-21 / 20100015497 - SEPARATOR FOR FUEL CELL, METHOD FOR PRODUCING THE SAME, AND FUEL CELL | 2 |
Kazuhito Harada | JP | Osaka | 2010-03-11 / 20100062972 - TRIAZOLE COMPOUNDS AND USES RELATED THERETO | 2 |
Hiroomi Harada | JP | Kawasaki-Shi | 2012-09-20 / 20120234188 - LUBRICANT SUPPLY DEVICE, IMAGE FORMING APPARATUS, AND PRESSING DEVICE | 1 |
Akira Harada | JP | Osaka | 2010-03-18 / 20100069792 - BIOSENSOR CARTRIDGE, BIOSENSOR DEVICE, SAMPLE COLLECTING METHOD, MANUFACTURING METHOD OF BIOSENSOR CARTRIDGE, AND NEEDLE INTEGRAL SENSOR | 2 |
Miwa Harada | JP | Tokushima | 2014-03-13 / 20140073051 - METHOD FOR PRODUCING SHEET-LIKE PANCREATIC ISLET | 1 |
Sayaka Harada | JP | Saitama-Shi | 2015-07-02 / 20150186001 - CHARACTER INPUT APPARATUS | 2 |
Kenji Harada | JP | Tochigi | 2009-05-21 / 20090130481 - PROCESS FOR PRODUCING CLAD MATERIAL AND EQUIPMENT THEREFOR | 1 |
Naoki Harada | JP | Ibaraki | 2010-01-14 / 20100006499 - SUPPORT FOR HOLDING A COMPLEXED ACCUMULATION OF DEGRADING BACTERIA AND MANUFACTURING METHOD THEREOF, NOVEL BACTERIA, AND METHOD OF CLEANING POLLUTED ENVIRONMENT AND DEVICE THEREOF | 2 |
Naoki Harada | JP | Kamakura-Shi | 2011-04-21 / 20110092700 - Novel Coumarin Derivative Having Antitumor Activity | 1 |
Naoki Harada | JP | Kanagawa | 2011-01-13 / 20110009398 - p27 Protein Inducer | 3 |
Eriya Harada | JP | Osaka | 2010-03-18 / 20100064649 - WORK VEHICLE HAVING CONVEYANCE MECHANISM WITH BLOWER | 1 |
Naoki Harada | JP | Tsukuba-Shi | 2010-03-18 / 20100068788 - NOVEL MICROORGANISM CAPABLE OF MICROBIALLY DECOMPOSING CHLORO-, METHYLTHIO- AND METHOXY-TRIAZINE AGRICHEMICALS | 2 |
Hidehiko Harada | JP | Shiga | 2012-01-26 / 20120018216 - High-Pressure-Resistant Hermetic Seal Terminal and Method of Manufacturing the Same | 1 |
Naoki Harada | JP | Hamamatsu-Shi | 2008-10-30 / 20080264666 - ELECTRICAL COMPONENT BOX FOR WATER VEHICLE | 1 |
Kenji Harada | JP | Aichi-Ken | 2009-10-22 / 20090261682 - STATOR OF ROTATING ELECTRIC MACHINE, AND COMPONENT FOR USE IN STATOR | 3 |
Mituru Harada | JP | Osaka | 2012-07-19 / 20120181480 - BLUE PHOSPHOR, LIGHT-EMITTING DEVICE, AND PLASMA DISPLAY PANEL | 5 |
Masatomi Harada | JP | Osaka | 2014-03-20 / 20140077756 - ELECTRIC POWER CONVERSION APPARATUS, POWER STORAGE SYSTEM AND POWER STORAGE METHOD | 7 |
Hisashi Harada | JP | Osaka | 2010-06-10 / 20100139819 - METHOD FOR PRODUCING STEEL PART HAVING LONG ROLLING CONTACT FATIGUE LIFE | 1 |
Yasuyuki Harada | JP | Osaka | 2010-07-01 / 20100167122 - ALKALINE STORAGE BATTERY | 1 |
Junko Harada | JP | Osaka | 2011-11-03 / 20110265239 - ANTI-SHOCK BATTING GLOVES | 2 |
Hajime Harada | JP | Osaka | 2010-08-26 / 20100216954 - Modified Thermoplastic Resin | 1 |
Shuhei Harada | JP | Chino-Shi | 2016-04-28 / 20160114589 - WASTE LIQUID CONTAINER, LIQUID EJECTION DEVICE, AND WASTE LIQUID COLLECTION SYSTEM | 12 |
Daiki Harada | JP | Kanagawa-Ken | 2011-08-11 / 20110192966 - Radiographic imaging apparatus, method and program | 2 |
Kazuo Harada | JP | Saitama | 2008-11-06 / 20080271352 - Traffic Sign Apparatus | 1 |
Yohei Harada | JP | Saitama | 2013-11-28 / 20130317157 - VINYL CHLORIDE RESIN COMPOSITION | 2 |
Mitsuru Harada | JP | Saitama | 2011-03-03 / 20110055965 - CYCLE SINGLE-STRANDED NUCLEIC ACID COMPLEX AND METHOD FOR PRODUCING THE SAME | 1 |
Hiroki Harada | JP | Kanagawa | 2011-01-13 / 20110009141 - RADIO STATION, TRANSMITTING STATION, AND FREQUENCY BAND SHARING METHOD | 1 |
Atsushi Harada | JP | Saitama | 2013-05-09 / 20130112534 - SWITCH DEVICE | 3 |
Yasuo Harada | JP | Osaka | 2015-04-16 / 20150105432 - 4-(METHYLAMINOPHENOXY)PYRDIN-3-YL-BENZAMIDE DERIVATIVES FOR TREATING CANCER | 3 |
Yui Harada | JP | Saitama | 2012-02-16 / 20120040458 - METHOD FOR PRODUCING DENDRITIC CELLS | 2 |
Noriji Harada | JP | Saitama | 2010-01-21 / 20100013259 - MOUNTING STRUCTURE OF CONTAINER DEVICE FOR USE IN VEHICLE | 1 |
Tomohito Harada | JP | Osaka | 2010-11-11 / 20100286320 - Flame-retardant polyolefin resin composition and adhesive tape substrate composed of the composition, and adhesive tape | 1 |
Toshifumi Harada | JP | Saitama | 2008-12-04 / 20080298185 - Information Recording Device, Information Recording Method, and Information Recording Program | 1 |
Kouji Harada | JP | Saitama | 2011-03-31 / 20110073209 - FLEXIBLE VIBRATION ABSORBING TUBE | 1 |
Takeya Harada | JP | Saitama | 2011-01-13 / 20110005500 - TIMING TRANSMISSION MECHANISM IN ENGINE | 1 |
Chihiro Harada | JP | Saitama | 2010-09-23 / 20100238142 - ORGANIC ELECTROLUMINESCENT DISPLAY PANEL AND MANUFACTURING METHOD THEREOF | 5 |
Takuji Harada | JP | Hekinan-Shi | 2011-07-21 / 20110174037 - METHOD AND APPARATUS FOR MANUFACTURING STATOR COIL FOR ELECTRIC ROTATING MACHINE | 2 |
Chiaki Harada | JP | Osaka | 2010-12-23 / 20100320143 - COMPOSITE SEMIPERMEABLE MEMBRANES AND PROCESS FOR PRODUCTION THEREOF | 5 |
Hiroyuki Harada | JP | Osaka | 2016-05-12 / 20160134768 - IMAGE PROCESSING APPARATUS | 6 |
Naoaki Harada | JP | Kanagawa | 2012-01-26 / 20120021793 - MOBILE COMMUNICATION METHOD AND RADIO BASE STATION | 1 |
Masaki Harada | JP | Osaka | 2011-03-10 / 20110058986 - ISOLATOR | 1 |
Yukihide Harada | JP | Gifu-Pref. | 2012-09-13 / 20120230792 - CUTTING MACHINING METHOD AND CUTTING MACHINING APPARATUS | 1 |
Shin Harada | JP | Osaka | 2016-04-21 / 20160108553 - SILICON CARBIDE SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 34 |
Tatsuo Harada | JP | Osaka | 2010-08-12 / 20100199624 - FINISH FOR SYNTHETIC FILAMENT YARN PROCESSED IN FRICTION FALSE-TWIST TEXTURING AND APPLICATION THEREOF | 1 |
Naomoto Harada | JP | Ibaraki | 2010-03-25 / 20100074888 - ANIMAL HAVING MODIFICATION IN MGAT2 GENE | 1 |
Masataka Harada | JP | Ibaraki | 2009-01-08 / 20090012093 - Receptor Function Regulator | 1 |
Mioko Harada | JP | Ibaraki | 2008-12-11 / 20080305998 - Ligand to GPR8 and DNA thereof | 1 |
Hideo Harada | JP | Ibaraki | 2010-08-26 / 20100215137 - Method and apparatus for producing radioisotope | 1 |
Shinsuke Harada | JP | Ibaraki | 2010-01-21 / 20100012951 - Silicon carbide semiconductor device and method for producing the same | 6 |
Hitoshi Harada | JP | Ibaraki | 2013-03-14 / 20130065925 - PYRROLIDIN-3-YLACETIC ACID DERIVATIVE | 3 |
Haruo Harada | JP | Ebina-Shi | 2011-06-16 / 20110141383 - WRITING DEVICE | 10 |
Yasuhiro Harada | JP | Isehara-Shi | 2015-08-27 / 20150243979 - TITANIUM-NIOBIUM COMPOSITE OXIDE-BASED ELECTRODE ACTIVE MATERIAL AND LITHIUM SECONDARY BATTERY USING THE SAME | 1 |
Takamitsu Harada | JP | Kitakyushu-Shi | 2015-09-03 / 20150249248 - Li-Ni COMPOSITE OXIDE PARTICLES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Masanobu Harada | JP | Kitakyushu-Shi | 2015-05-07 / 20150123588 - ENCODER, MOTOR WITH ENCODER, AND SERVO SYSTEM | 6 |
Hiroki Harada | JP | Saitama | 2008-10-30 / 20080264597 - Die Casting Mold and Improved Vent Structure Used Therein | 1 |
Manabu Harada | JP | Kitakyushu-Shi | 2014-02-06 / 20140035424 - ROTATING ELECTRICAL MACHINE | 1 |
Takakazu Harada | JP | Tokyo | 2012-09-13 / 20120228265 - VACUUM VALVE | 1 |
Koushi Harada | JP | Tokyo | 2015-11-26 / 20150338554 - SPECTACLE LENS | 3 |
Naozumi Harada | JP | Tokyo | 2014-10-30 / 20140322344 - VACCINE PREPARATION FOR CANCER TREATMENT | 2 |
Hideaki Harada | JP | Tokyo | 2012-02-02 / 20120025988 - WIRELESS TAG COLLECTIVE READING DEVICE, AND NETWORK ARTICLE MANAGEMENT SYSTEM | 1 |
Shun Harada | JP | Okayama | 2010-03-18 / 20100069443 - COMPOUND WITH BENZAMIDE SKELETON HAVING CYCLOOXYGENASE-1 (COX-1)-SELECTIVE INHIBITORY ACTIVITY | 1 |
Kenji Harada | JP | Aichi | 2010-01-07 / 20100000624 - EDGEWISE BENDING PROCESSING METHOD FOR RECTANGULAR WIRE AND BENDING PROCESSING APPARATUS | 1 |
Hirotake Harada | JP | Fujisawa-Shi | 2008-10-02 / 20080238068 - VEHICLE STEERING COLUMN STRUCTURE | 1 |
Hirotake Harada | JP | Fijisawa-Shi | 2008-10-02 / 20080238069 - VEHICLE STEERING COLUMN STRUCTURE | 1 |
Shuhei Harada | JP | Nagano-Ken | 2016-04-07 / 20160096370 - LIQUID RECOVERY CONTAINERS AND LIQUID EJECTION APPARATUS | 5 |
Shuhei Harada | JP | Chino-Shi | 2016-04-28 / 20160114589 - WASTE LIQUID CONTAINER, LIQUID EJECTION DEVICE, AND WASTE LIQUID COLLECTION SYSTEM | 12 |
Takakazu Harada | JP | Chiyoda-Ku, Tokyo | 2016-02-04 / 20160035519 - VACUUM VALVE | 1 |
Kenji Harada | JP | Osaka-Shi | 2010-02-11 / 20100034780 - TUMOR ANTIGEN PEPTIDE DERIVED FROM AMACR | 1 |
Kenji Harada | JP | Nishikamo-Gun | 2010-03-18 / 20100066198 - INSERTION OF PRE-FABRICATED CONCENTRATED WINDINGS INTO STATOR SLOTS | 3 |
Kentaro Harada | US | Sunnyvale | 2013-08-29 / 20130220930 - CLEANING METHOD OF IMMERSION LIQUID, IMMERSION LIQUID CLEANING COMPOSITION, AND SUBSTRATE | 2 |
Yusaku Harada | JP | Fukuoka | 2013-06-13 / 20130149530 - ALUMINUM NITRIDE SUBSTRATE FOR CIRCUIT BOARD AND PRODUCTION METHOD THEREOF | 1 |
Kenji Harada | JP | Miyoshi-Shi | 2016-03-10 / 20160067838 - PRESS-FITTING DEVICE | 5 |
Mitsunori Harada | JP | Osaka-Shi | 2016-02-11 / 20160041444 - ACTIVE MATRIX SUBSTRATE AND DISPLAY DEVICE | 5 |
Naoyuki Harada | JP | Osaka | 2015-10-29 / 20150308708 - CONTROL METHOD OF TERMINAL DEVICE IN AIR CONDITIONING CONTROL SYSTEM WITH SELECTABLE OPERATING MODE ACCORDING TO TIME AND CHARGES, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM STORING A PROGRAM | 2 |
Akira Harada | JP | Akita-Shi | 2009-11-05 / 20090275958 - CLIP AND CLIPPING INSTRUMENT FOR BIOLOGICAL TISSUES | 1 |
Takeshi Harada | JP | Toyama | 2013-04-18 / 20130095652 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 2 |
Kouji Harada | JP | Osaka | 2014-01-16 / 20140017833 - MANUFACTURING METHOD FOR LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Akira Harada | JP | Suita-Shi | 2015-09-17 / 20150259579 - MATERIAL SELF-ASSEMBLY METHOD AND SELECTIVE ADHESION METHOD BASED ON MOLECULAR RECOGNITION | 3 |
Kazuya Harada | JP | Osaka | 2014-05-08 / 20140126341 - LENS DRIVING DEVICE AND OPTICAL PICKUP | 2 |
Haruo Harada | JP | Kanagawa | 2016-03-10 / 20160067924 - FORMING APPARATUS, MANUFACTURING METHOD OF MOLDED ARTICLE, AND COATING PORTION | 17 |
Nakaba Harada | JP | Osaka | 2012-02-09 / 20120033047 - IMAGING DEVICE | 1 |
Naoki Harada | JP | Shizuoka | 2016-02-04 / 20160031538 - JET PROPELLED WATERCRAFT | 1 |
Susumu Harada | JP | Ibaraki | 2012-02-09 / 20120034507 - Electricity Storage Module and Electricity Storage Device Equipped Therewith | 1 |
Akira Harada | JP | Yokkaichi-Shi | 2015-08-13 / 20150225517 - CARBON FIBER BUNDLE FOR RESIN REINFORCEMENT PURPOSES AND METHOD FOR PRODUCING SAME, AND CARBON-FIBER-REINFORCED THERMOPLASTIC RESIN COMPOSITION AND MOLDED PRODUCT THEREOF | 1 |
Hirofumi Harada | JP | Chiba-Shi | 2016-02-04 / 20160033981 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF REGULATING OUTPUT VOLTAGE THEREOF | 8 |
Muneo Harada | JP | Nirasaki-Shi | 2013-04-11 / 20130089983 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Takashi Harada | JP | Osaka-Shi | 2016-01-28 / 20160028912 - IMAGE FORMING APPARATUS | 1 |
Muneo Harada | JP | Nirasaki City | 2015-07-30 / 20150214088 - PICKUP METHOD AND PICKUP DEVICE | 3 |
Yasunari Harada | JP | Ebina-Shi | 2015-11-19 / 20150333715 - INSTRUMENTATION AMPLIFIER | 9 |
Muneo Harada | JP | Nishinomiya-Shi | 2010-04-01 / 20100077597 - PROBE NEEDLE, METHOD FOR MANUFACTURING THE PROBE NEEDLE AND METHOD FOR CONSTRUCTING A THREE-DIMENSIONAL STRUCTURE | 1 |
Kurumi Harada | JP | Sapporo | 2013-03-14 / 20130063915 - ELECTRONIC DEVICE | 1 |
Akira Harada | JP | Kanazawa-Shi | 2012-08-02 / 20120192607 - SERVO PRESS SYSTEM | 1 |
Muneo Harada | JP | Iwate | 2015-03-26 / 20150087158 - METHOD FOR DEPOSITING A FILM AND FILM DEPOSITION APPARATUS | 4 |
Hideo Harada | JP | Kyoto | 2013-03-21 / 20130071665 - RESIN PARTICLE AND METHOD FOR PRODUCING SAME | 1 |
Hiroshi Harada | JP | Koganei-Shi | 2015-10-08 / 20150289250 - COMMUNICATION DEVICE AND COMMUNICATION CONTROL METHOD | 6 |
Akinori Harada | JP | Kanagawa-Ken | 2009-06-25 / 20090162163 - Article Installation Device | 1 |
Yushi Harada | JP | Kanagawa | 2013-09-05 / 20130229693 - INFORMATION MANAGEMENT APPARATUS, INFORMATION MANAGEMENT SYSTEM, NON-TRANSITORY COMPUTER READABLE MEDIUM THAT STORES INFORMATION MANAGEMENT PROGRAM AND INFORMATION MANAGEMENT METHOD | 1 |
Minoru Harada | JP | Kanagawa | 2009-06-04 / 20090139541 - Gas dissolved water producing apparatus and method thereof and ultrasonic cleaning equipment and method thereof | 1 |
Mitsuru Harada | JP | Kanagawa | 2009-07-02 / 20090169197 - Imaging apparatus and open/close device | 1 |
Shoji Harada | JP | Kawasaki-Shi | 2012-02-23 / 20120044413 - IMAGING APPARATUS | 1 |
Akira Harada | JP | Kanagawa | 2015-09-03 / 20150245711 - FIXING DEVICE, FIXING STRUCTURE OF APPARATUS, AND FIXING METHOD OF APPARATUS | 6 |
Yoshio Harada | JP | Kanagawa | 2009-09-03 / 20090220825 - Battery Pack and Battery Protection Method | 2 |
Haruo Harada | JP | Kanagawa | 2016-03-10 / 20160067924 - FORMING APPARATUS, MANUFACTURING METHOD OF MOLDED ARTICLE, AND COATING PORTION | 17 |
Kiyoshi Harada | JP | Kanagawa | 2009-11-12 / 20090279192 - LENS DEVICE AND IMAGING DEVICE | 2 |
Takenori Harada | JP | Kanagawa | 2010-04-15 / 20100090708 - ELECTRIC DISCHARGE DETECTION METHOD AND ELECTRIC DISCHARGE MACHINING APPARATUS | 1 |
Yoshimichi Harada | JP | Kanagawa | 2015-08-06 / 20150221690 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Tomonori Harada | JP | Kanagawa | 2011-02-03 / 20110027542 - EXPOSURE APPARATUS AND EXPOSURE METHOD | 1 |
Edwin Y. Harada | US | Irving | 2009-08-20 / 20090210316 - BUSINESS RATING PLACEMENT HEURISTIC | 1 |
Masaaki Harada | JP | Yokohama-Shi | 2014-08-07 / 20140219681 - CHARGING MEMBER, MANUFACTURING METHOD THEREFOR, AND ELECTROPHOTOGRAPHIC APPARATUS | 14 |
John A. Harada | US | Mountain View | 2012-08-23 / 20120211878 - CHIP PACKAGE WITH PLANK STACK OF SEMICONDUCTOR DIES | 2 |
Kenson Tamotsu Harada | US | Laguna Niguel | 2011-09-08 / 20110215794 - APPARATUS AND METHOD FOR MONITORING OUTPUT WITHIN A POWER STRIP | 1 |
Kevin J. Harada | US | Junction City | 2012-03-22 / 20120067047 - SYSTEM AND METHOD FOR STORING ENERGY AND PURIFYING FLUID | 1 |
Larry T. Harada | US | Mountain View | 2013-07-25 / 20130191905 - SECURE DATA EXCHANGE BETWEEN DATA PROCESSING SYSTEMS | 6 |
Makoto Harada | US | Lawrenceville | 2009-02-19 / 20090046996 - IMAGE SYNTHESIS DEVICE | 1 |
Takayoshi Harada | US | Commerce | 2011-09-01 / 20110209935 - VEHICLE RADIATOR STRUCTURE | 1 |
Toshinori Harada | JP | Hiroshima | 2010-09-02 / 20100219260 - Method for Manufacturing a Hydrogen-Added Water and a Device for the Same | 1 |
Masutaka Harada | JP | Kanagawa | 2008-10-02 / 20080239901 - Disk drive device and data rewrite method thereof | 1 |
Akiko Harada | JP | Kanagawa | 2008-10-02 / 20080239014 - Liquid discharging head and liquid discharging apparatus | 1 |
Tooru Harada | JP | Kanagawa | 2008-10-16 / 20080250887 - Ball Screw Mechanism and Assembling Method of the Same | 1 |
Eiji Harada | JP | Kanagawa | 2011-05-26 / 20110126063 - METHOD FOR INSERTING TEST POINTS FOR LOGIC CIRCUITS AND LOGIC CIRCUIT TESTING APPARATUS | 2 |
Tomofumi Harada | JP | Kanagawa | 2009-01-22 / 20090021758 - IMAGE PROCESSING APPARATUS | 2 |
Juji Harada | JP | Kanagawa | 2009-02-26 / 20090052826 - ROLLING BEARING UNIT FOR ROTATION SUPPORT UNIT | 1 |
Osamu Harada | JP | Kanagawa | 2014-01-02 / 20140006990 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 2 |
Tsubasa Harada | JP | Kanagawa | 2010-07-22 / 20100181637 - SOLID-STATE IMAGE PICKUP DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Gaku Harada | JP | Kawanishi-Shi | 2013-07-18 / 20130182370 - CAPACITOR | 2 |
Yoshitomo Harada | JP | Iwate | 2009-03-05 / 20090062128 - SUPERCONDUCTING MAGNESIUM BORIDE THIN-FILM AND PROCESS FOR PRODUCING THE SAME | 1 |
Yoshihito Harada | TW | Yokohama-Shi | 2016-03-24 / 20160088247 - METHOD FOR DRIVING PHOTOELECTRIC CONVERSION DEVICE | 1 |
Koji Harada | JP | Shiojiri-Shi | 2014-09-25 / 20140285589 - LIQUID EJECTING APPARATUS | 6 |
Koji Harada | JP | Yokohama-Shi | 2012-05-24 / 20120127545 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 11 |
Koji Harada | JP | Neyagawa-Shi | 2010-12-23 / 20100321588 - AV SYSTEM, POWER FEEDING APPARATUS AND POWER RECEIVING APPARATUS | 1 |
Takaaki Harada | JP | Kanagawa | 2015-10-15 / 20150293653 - MOBILE DEVICE, NETWORK SYSTEM, AND CONTROL METHOD FOR THE SAME | 6 |
Tomoko Harada | JP | Kanagawa | 2014-01-30 / 20140029564 - COMMUNICATION SYSTEM, COMMUNICATION APPARATUS AND RADIO RESOURCE ALLOCATING METHOD | 2 |
Koji Harada | JP | Matsumoto-Shi | 2010-12-09 / 20100309239 - PRINTING APPARATUS AND METHOD OF SWITCHING FILLED STATES | 1 |
Koji Harada | JP | Kariya-Shi | 2010-09-16 / 20100235039 - WEIGHT AND GRADIENT ESTIMATION APPARATUS AND VEHICLE CONTROL APPARATUS USING THE SAME | 1 |
Koji Harada | JP | Kyoto | 2009-11-19 / 20090283181 - STEEL WIRE FOR HARD DRAWN SPRING EXCELLENT IN FATIGUE STRENGTH AND RESISTANCE TO SETTLING, AND HARD DRAWN SPRING | 1 |
Koji Harada | JP | Tokyo | 2009-10-15 / 20090258399 - METHOD FOR PRODUCTION OF AMINO ACID | 1 |
Tsutomu Harada | JP | Aichi | 2016-05-19 / 20160139725 - METHOD OF DRIVING TOUCH PANEL, CAPACITANCE-TYPE TOUCH PANEL, AND DISPLAY APPARATUS WITH TOUCH DETECTION FUNCTION | 12 |
Shingo Harada | JP | Kanagawa | 2015-07-30 / 20150214932 - DUTY RATIO CORRECTION CIRCUIT AND PHASE SYNCHRONIZATION CIRCUIT | 3 |
Kazumasa Harada | JP | Nagano-Ken | 2012-07-12 / 20120175838 - Recording Apparatus | 4 |
Kazumasa Harada | JP | Matsumoto-Shi | 2016-05-12 / 20160129696 - CARTRIDGE AND PRINTING MATERIAL SUPPLY SYSTEM | 24 |
Tomohiro Harada | JP | Saitama | 2012-08-16 / 20120209506 - NAVIGATION DEVICE, PROGRAM, AND DISPLAY METHOD | 1 |
Tadakatsu Harada | JP | Kanagawa | 2014-12-18 / 20140371359 - RECYCLED RESIN COMPOSITION | 6 |
Kinya Harada | JP | Fujinomiya-Shi | 2012-08-16 / 20120209366 - STENT AND STENT DELIVERY SYSTEM | 1 |
Hisanobu Harada | JP | Kanagawa | 2009-09-03 / 20090220889 - PHOTOSENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN | 2 |
Yoko Harada | JP | Kanagawa | 2010-12-23 / 20100323364 - MODIFIED tRNA CONTAINING UNNATURAL BASE AND USE THEREOF | 1 |
Shundo Harada | JP | Kanagawa | 2009-05-28 / 20090136638 - METHOD AND DEVICE FOR IMPROVING FLAVOR OF SMOKE AND PROCESS AND DEVICE FOR PRODUCING SMOKED FOOD | 1 |
Tomohiro Harada | JP | Kanagawa | 2009-05-28 / 20090133804 - MINIATURE CELL ARRAY STRUCTURE AND MANUFACTURING METHOD OF MINIATURIZED COMPOSITE COMPONENT USING SUCH A MINIATURE CELL ARRAY STRUCTURE | 1 |
Masayuki Harada | JP | Nishio-Shi | 2012-08-16 / 20120208939 - Oil Type Release Agent for Die Casting Method for Getting Solvent Mixing Ratio, Casting Method, and Spray Unit | 1 |
Noboru Harada | JP | Kanagawa | 2015-07-09 / 20150194163 - DECODING METHOD, DECODING APPARATUS, PROGRAM, AND RECORDING MEDIUM THEREFOR | 17 |
Yoshinori Harada | JP | Kanagawa | 2010-02-18 / 20100041538 - FUSED SILICA GLASS AND PROCESS FOR PRODUCING THE SAME | 1 |
Kohsuke Harada | JP | Kanagawa | 2010-04-01 / 20100079910 - MAGNETIC DISK APPARATUS AND INFORMATION REPRODUCING METHOD | 1 |
Toshimitsu Harada | JP | Okayama | 2009-03-12 / 20090068914 - NONWOVEN FABRICS AND LAMINATES MADE BY USING THE SAME | 3 |
Toshimitsu Harada | JP | Okayama-Shi | 2010-04-01 / 20100080988 - RESINOUS-SUBSTANCE-IMPREGNATED PLANAR PAPER AND ADHESIVE TAPE USING THE SAME | 1 |
Kentaro Harada | JP | Ibaraki | 2015-05-14 / 20150129422 - Ruthenium Sputtering Target and Ruthenium Alloy Sputtering Target | 2 |
Naoki Harada | JP | Aichi | 2015-09-17 / 20150258702 - AUXILIARY PLATE FOR SHEET-MEMBER PROCESSING DEVICE AND SHEET-MEMBER PROCESSING DEVICE USING THE SAME | 1 |
Hirotake Harada | US | Novi | 2013-09-12 / 20130233068 - EXTENDED VALVE STEM CAP | 1 |
Hiroshi Harada | JP | Toyota-Shi | 2016-03-31 / 20160093908 - MANUFACTURING METHOD FOR REINFORCED ELECTROLYTE MEMBRANE AND MANUFACTURING APPARATUS OF MANUFACTURING THE SAME | 2 |
Keiji Harada | JP | Yokohama-Shi | 2015-10-01 / 20150273896 - PRINTING APPARATUS, METHOD FOR CONTROLLING PRINTING APPARATUS, AND STORAGE MEDIUM | 2 |
Takahiro Harada | JP | Taito-Ku | 2016-01-28 / 20160026298 - ELECTRODES FOR A TOUCH SENSOR, TOUCH PANEL AND DISPLAY UNIT | 1 |
Yoshihito Harada | JP | Yokohama-Shi | 2013-08-22 / 20130215306 - METHOD FOR DRIVING PHOTOELECTRIC CONVERSION DEVICE | 1 |
Hirofumi Harada | JP | Funabashi | 2015-09-17 / 20150262159 - MERCHANDISE SALES DATA PROCESSING DEVICE AND PROGRAM | 1 |
Daishi Harada | US | Oakland | 2014-03-13 / 20140075286 - DISPLAY AND NAVIGATION OF STRUCTURED ELECTRONIC DOCUMENTS | 2 |
Daijitsu Harada | JP | Niigata | 2010-09-30 / 20100243950 - POLISHING AGENT FOR SYNTHETIC QUARTZ GLASS SUBSTRATE | 1 |
Daijitsu Harada | JP | Joetsu-Shi | 2015-12-17 / 20150360997 - METHOD FOR PREPARING SYNTHETIC QUARTZ GLASS SUBSTRATE | 22 |
Masatomi Harada | JP | Osaka-Shi | 2015-12-24 / 20150372165 - PHOTOELECTRIC CONVERTING ELEMENT | 5 |
Yuko Harada | JP | Yokohama-Shi | 2015-04-30 / 20150115526 - SHEET CONVEYING APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Katsuya Harada | JP | Osaka | 2014-11-13 / 20140336165 - DIHYDROXY AROMATIC HETEROCYCLIC COMPOUND | 1 |
Taichi Harada | JP | Yokohama-Shi | 2014-09-11 / 20140255323 - OIL-IN-WATER EMULSION SUNSCREEN COSMETIC | 3 |
Yasuhiro Harada | JP | Kanagawa | 2015-09-24 / 20150270543 - ACTIVE MATERIAL, NONAQUEOUS ELECTROLYTE BATTERY, AND BATTERY PACK | 8 |
Akikazu Harada | JP | Saitama-Ken | 2013-04-25 / 20130098904 - HEATING SYSTEM UTILIZING MICROWAVE | 1 |
Takaaki Harada | JP | Osaka | 2013-09-12 / 20130235298 - DISPLAY DEVICE | 1 |
Arata Harada | JP | Gamagori-Shi | 2015-01-29 / 20150028464 - LEAD FRAME, ELECTRIC POWER CONVERTING DEVICE, SEMICONDUCTOR APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS | 1 |
Noriaki Harada | JP | Osaka | 2015-08-27 / 20150245102 - Method and Apparatus for Accessing Content | 6 |
Tamotsu Harada | JP | Tochigi | 2012-03-15 / 20120061120 - FLAME RETARDANT FLEXIBLE THERMOPLASTIC COMPOSITION, METHOD OF MAKING, AND ARTICLES THEREOF | 1 |
Kenji Harada | JP | Kanagawa | 2012-08-09 / 20120202038 - VIBRATION-DAMPING FILM | 1 |
Yuya Harada | JP | Kawasaki | 2014-05-01 / 20140120933 - WIRELESS COMMUNICATION APPARATUS, WIRELESS COMMUNICATION SYSTEM, AND WIRELESS COMMUNICATION METHOD | 3 |
Yasunari Harada | JP | Ebina-Shi | 2015-11-19 / 20150333715 - INSTRUMENTATION AMPLIFIER | 9 |
Takuto Harada | JP | Yokohama-Shi | 2014-11-20 / 20140339756 - PRINTING APPARATUS AND CONTROL METHOD THEREOF | 8 |
Toyoo Harada | JP | Okazaki-Shi | 2013-03-21 / 20130067711 - DEVICE FOR FASTENING SCREW ONTO WORKPIECE AND METHOD OF JUDGING LOOSENING OF SCREW | 1 |
Masayuki Harada | JP | Haibara-Gun | 2016-03-03 / 20160062235 - COLORING COMPOSITION, COLORED CURED FILM, COLOR FILTER, SOLID-STATE IMAGE SENSOR AND IMAGE DISPLAY DEVICE | 2 |
Gaku Harada | JP | Kawanishi City | 2011-08-25 / 20110205691 - SOLID ELECTROLYTIC CAPACITOR AND A METHOD FOR MANUFACTURING THE SAME | 8 |
Koichiro Harada | JP | Osaka | 2012-03-15 / 20120065231 - THIOPHENE DERIVATIVE | 1 |
Tetsuya Harada | JP | Hyogo | 2016-01-07 / 20160002379 - METAL FINE PARTICLE DISPERSANT, METAL FINE PARTICLE DISPERSION LIQUID, AND CURED FILM | 1 |
Genta Harada | JP | Saitama | 2015-12-10 / 20150353513 - PROCESS FOR PRODUCING PYROPHOSPHATE | 2 |
Masaki Harada | JP | Kyoto-Shi | 2016-02-04 / 20160029910 - BLOOD PRESSURE MEASUREMENT CUFF AND METHOD FOR MANUFACTURING BLOOD PRESSURE MEASUREMENT CUFF | 2 |
Kumi Harada | JP | Osaka | 2015-03-19 / 20150077395 - INFORMATION INPUT APPARATUS, INFORMATION INPUT METHOD, AND PROGRAM | 6 |
Tomokazu Harada | US | Irvine | 2014-02-27 / 20140056401 - COMPUTED TOMOGRAPHY APPARATUS | 1 |
Kazuki Harada | JP | Osaka | 2014-01-23 / 20140023708 - LAMINATED TABLET AND MANUFACTURING METHOD THEREFOR | 1 |
Yoshiteru Harada | JP | Akashi-Shi | 2012-03-22 / 20120067122 - BANK ANGLE DETECTING DEVICE FOR VEHICLE | 1 |
Masaaki Harada | JP | Osaka | 2015-09-24 / 20150268810 - PORTABLE TERMINAL DEVICE, LUMINANCE CONTROL METHOD, AND LUMINANCE CONTROL PROGRAM | 1 |
Susumu Harada | JP | Saitama | 2012-03-22 / 20120067253 - Pallet apparatus | 1 |
Toru Harada | JP | Kawasaki-Shi | 2016-01-07 / 20160006907 - IMAGING SYSTEM | 8 |
Masamichi Harada | JP | Osaka-Shi | 2016-03-24 / 20160084451 - LIGHT EMITTING DEVICE | 6 |
Toru Harada | JP | Kawasaki-Shi | 2016-01-07 / 20160006907 - IMAGING SYSTEM | 8 |
Keisuke Harada | JP | Saitama | 2016-01-07 / 20160004064 - ENDOSCOPE OBJECTIVE LENS AND ENDOSCOPE | 1 |
Keisuke Harada | JP | Himeji-Shi | 2010-03-18 / 20100066233 - ELECTRODELESS DISCHARGE LAMP | 2 |
Keisuke Harada | JP | Saitama-Ken | 2015-05-14 / 20150131171 - ENDOSCOPIC OBJECTIVE LENS AND ENDOSCOPE | 5 |
Keisuke Harada | JP | Saitama-Shi | 2014-11-20 / 20140340763 - IMAGING LENS AND IMAGING APPARATUS INCLUDING THE SAME | 1 |
Aya Harada | JP | Kyoto | 2015-03-12 / 20150072224 - VALVE REGULATED LEAD-ACID BATTERY, METHOD FOR PRODUCING THE SAME, AND MOTORCYCLE | 3 |
Mitsuhiro Harada | JP | Osaka | 2013-01-31 / 20130025252 - CABLE PROTECTION AND GUIDE APPARATUS | 1 |
Takanori Harada | JP | Hyogo | 2014-01-16 / 20140017528 - RACK-MOUNT POWER SUPPLY DEVICE AND BATTERY PACK INCLUDING DETACHABLE CONNECTOR | 1 |
Kazuhiro Harada | JP | Toyama-Shi | 2016-02-25 / 20160056044 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 11 |
Akinori Harada | JP | Kanagagwa | 2010-04-29 / 20100101046 - PIVOTING CONTROL DEVICE FOR PIVOTING BODY | 1 |
Dai Harada | JP | Wako-Shi | 2015-04-16 / 20150101441 - FRONT STRUCTURE OF SADDLE-RIDE TYPE VEHICLE | 2 |
Mitsunori Harada | JP | Kashiwa-Shi | 2016-04-28 / 20160114058 - POLYMER MICELLE PHARMACEUTICAL COMPOSITION | 2 |
Nagatoshi Harada | JP | Osaka | 2012-03-22 / 20120071799 - MASSAGE MACHINE | 1 |
Masahiro Harada | JP | Kanagawa | 2012-03-22 / 20120072104 - ROUTE EVALUATION DEVICE | 1 |
Takashi Harada | JP | Toyota-Shi | 2013-07-11 / 20130175126 - DISC ROTOR | 1 |
Seiji Harada | JP | Tokyo | 2015-12-31 / 20150377779 - EVALUATION METHOD OF DEVICE WAFER | 8 |
Kozo Harada | JP | Fukuyama | 2009-04-02 / 20090086209 - Method for marking defect and device therefor | 1 |
Chiaki Harada | JP | Ibaraki-Shi | 2013-09-26 / 20130248442 - METHOD FOR PRODUCING POROUS EPOXY RESIN SHEET | 1 |
Teruhiro Harada | JP | Tokyo | 2013-03-28 / 20130077398 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND PROGRAMMING METHOD | 1 |
Hidekazu Harada | JP | Oita | 2011-05-12 / 20110112731 - CRANE APPARATUS | 1 |
Yasuharu Harada | JP | Matsumoto-Shi | 2014-02-20 / 20140048578 - METHOD FOR TRANSPORTING A LONG MEDIUM | 6 |
Kiyoe Harada | JP | Fukuoka | 2013-10-10 / 20130263379 - MATTRESS | 1 |
Daiki Harada | JP | Kanagawa | 2012-03-29 / 20120076275 - RADIOGRAPHIC IMAGING APPARATUS AND RADIOGRAPHIC IMAGING METHOD AND PROGRAM | 2 |
Ayako Harada | JP | Osaka-Fu | 2010-01-28 / 20100021405 - EXTERNAL PREPARATION FOR SKIN | 1 |
Masahide Harada | JP | Yokohama | 2009-04-02 / 20090086437 - ELECTRONIC CONTROL DEVICE USING LC MODULE STRUCTURE | 2 |
Keitaro Harada | JP | Yamagata | 2011-03-03 / 20110049286 - WIRE BOBBIN HOLDER OF BOBBIN CASSETTE IN WIRING APPARATUS | 3 |
Yoshimichi Harada | JP | Minato-Ku | 2010-06-17 / 20100151675 - WIRING STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Naofumi Harada | JP | Kawasaki-Shi | 2015-10-01 / 20150276000 - SHOCK ABSORBER | 1 |
Yoshikazu Harada | JP | Kanagawa | 2015-03-19 / 20150078083 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 3 |
Kazuyuki Harada | JP | Osaka | 2016-03-17 / 20160075115 - POLYOLEFIN-BASED FIBER-REINFORCED RESIN MULTILAYERED SHEET AND METHOD FOR MANUFACTURING THE SAME | 2 |
Fumiko Harada | JP | Kawasaki-Shi | 2015-01-15 / 20150017306 - EMULSIFIED DISPERSANT AND EMULSIFIED COMPOSITION | 1 |
Kenichi Harada | US | 2015-12-24 / 20150369091 - POPPET VALVE | 1 | |
Shigeyoshi Harada | JP | Kobe | 2015-10-01 / 20150276740 - METHOD FOR DETERMINING KINASE ACTIVITY | 1 |
Yoshie Harada | JP | Kyoto-Shi | 2015-10-01 / 20150276754 - NANODIAMOND PARTICLE AND METHOD OF MANUFACTURING THE SAME, AND FLUORESCENT MOLECULAR PROBE AND METHOD OF ANALYZING STRUCTURE OF PROTEIN | 1 |
Takuto Harada | US | Yorktown | 2015-10-01 / 20150277325 - PRINTING APPARATUS, METHOD FOR CONTROLLING PRINTING APPARATUS, AND STORAGE MEDIUM | 1 |
Seiji Harada | JP | Hino-Shi | 2012-05-31 / 20120133980 - PRINTING INSTRUCTION PROGRAM, IMAGE FORMING APPARATUS AND METHOD FOR DISPLAYING PREVIEW | 4 |
Tatsuhito Harada | JP | Hino-Shi | 2011-03-24 / 20110070799 - DISPLAY DEVICE INTEGRAL WITH PROTECTION PLATE, AND DISPLAY APPARATUS USING THE SAME | 5 |
Aiko Harada | JP | Kanagawa | 2012-07-19 / 20120180697 - CELLULOSE ACYLATE FILM, RETARDATION FILM, POLARIZER AND LIQUID-CRYSTAL DISPLAY DEVICE | 1 |
Kenichi Harada | JP | Susono-Shi | 2016-01-07 / 20160001259 - MATERIAL FOR TRAPPING TARGET SUBSTANCE, FILTER FOR TRAPPING TARGET SUBSTANCE, CONTAINER FOR LIQUID ORGANIC COMPOUND, AND ENGINE OIL | 9 |
Shinji Harada | JP | Osaka | 2012-11-08 / 20120279795 - ACOUSTIC WAVE DEVICE | 1 |
Katsushige Harada | JP | Nirasaki-Shi | 2010-07-29 / 20100186667 - VERTICAL HEAT PROCESSING APPARATUS AND COMPONENT FOR SAME, FOR FORMING HIGH DIELECTRIC CONSTANT FILM | 1 |
Hiroshi Harada | JP | Kitaadachi-Gun | 2014-11-27 / 20140349037 - RECORDING MEDIUM FOR INK JET INK, INK JET PRINTED MATERIAL, AND METHOD FOR PRODUCING THE SAME | 1 |
Katsushige Harada | JP | Nirasaki City | 2015-10-01 / 20150279683 - METHOD AND APPARATUS FOR FORMING TiSiN FILM | 10 |
Hiroki Harada | JP | Tokyo | 2016-03-24 / 20160088579 - RADIO COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 4 |
Hiroki Harada | JP | Chiyoda-Ku, Tokyo | 2015-10-01 / 20150282133 - RADIO COMMUNICATION METHOD, RADIO COMMUNICATION SYSTEM, RADIO BASE STATION AND USER TERMINAL | 1 |
Eri Harada | JP | Kawasaki-Shi, Kanagawa | 2012-04-12 / 20120088737 - NOVEL ACYL GUANIDINE DERIVATIVES | 1 |
Noboru Harada | JP | Kanagawa | 2015-07-09 / 20150194163 - DECODING METHOD, DECODING APPARATUS, PROGRAM, AND RECORDING MEDIUM THEREFOR | 17 |
Minoru Harada | JP | Fujisawa | 2014-02-06 / 20140037188 - DEFECT REVIEW METHOD AND APPARATUS | 15 |
Toyoji Harada | JP | Shizuoka-Ken | 2009-03-26 / 20090079232 - DEVICE FOR REINFORCING VEHICLE BODY OF VEHICLE | 1 |
Kenji Harada | JP | Osaka | 2013-06-06 / 20130140543 - ORGANIC EL ELEMENT, DISPLAY DEVICE, AND LIGHT-EMITTING DEVICE | 10 |
Kouichi Harada | JP | Tokyo | 2010-03-11 / 20100060539 - CORE-SHELL MAGNETIC MATERIAL, METHOD OF MANUFACTURING CORE-SHELL MAGNETIC MATERIAL, DEVICE, AND ANTENNA DEVICE | 8 |
Hiroyuki Harada | JP | Otsu-Shi | 2014-04-24 / 20140114306 - ABLATION CATHETER WITH BALLOON | 2 |
Hiroyuki Harada | JP | Kanonji-Shi | 2012-08-30 / 20120220971 - ABSORBENT ARTICLE | 1 |
Taku Harada | JP | Nisshin-Shi | 2015-12-24 / 20150367829 - DRIVE SYSTEM OF A HYBRID VEHICLE | 10 |
Atsushi Harada | JP | Yamato-Shi | 2009-01-08 / 20090013088 - Data Communication Method, Data Communication System and Program | 1 |
Atsushi Harada | JP | Sakai-Shi | 2010-06-03 / 20100137512 - Polyethylene glycol/polycation block copolymers | 1 |
Atsushi Harada | JP | Matsumoto | 2015-07-30 / 20150212514 - ENTRY DETECTION DEVICE, ROBOT, AND ENTRY DETECTION METHOD | 2 |
Atsushi Harada | CN | Beijing | 2016-05-19 / 20160143042 - RADIO BASE STATION, RADIO COMMUNICATION SYSTEM AND RADIO COMMUNICATION METHOD | 10 |
Hiroyuki Harada | JP | Kagawa | 2013-10-10 / 20130267926 - ABSORBENT ARTICLE | 4 |
Hiroyuki Harada | JP | Shizuoka | 2012-10-04 / 20120248733 - SADDLE TYPE VEHICLE | 1 |
Tetsuhiro Harada | JP | Hitachinaka, Ibaraki | 2015-12-10 / 20150357612 - Electric Device Body And Electric Device | 1 |
Shigeyuki Harada | JP | Shizuoka | 2016-05-12 / 20160130452 - INK, INK CARTRIDGE, INKJET RECORDING DEVICE, AND COPOLYMER | 13 |
Ryosuke Harada | JP | Koka-Shi | 2014-12-25 / 20140377537 - SPACER PARTICLE FOR RESIN COMPOSITION LAYER AND USE THEREOF | 5 |
Hiroyuki Harada | JP | Nagano | 2010-11-25 / 20100297703 - Lytic Enzyme Inhibitor, Lysis Inhibitor, Inhibitor of Poly-y-glutamic Acid Degradation, and Method for Producing Poly- y-glutamic Acid | 1 |
Ryosuke Harada | JP | Shiga | 2011-11-24 / 20110287076 - DEFORMED SHAPED PARTICLES AND METHOD FOR PRODUCING THE SAME | 1 |
Kenichi Harada | JP | Kitakyushu-Shi | 2011-03-17 / 20110062193 - METHOD OF CONTROLLING SLIDING NOZZLE DEVICE AND PLATE USED THEREFOR | 1 |
Kenichi Harada | JP | Chino | 2011-06-30 / 20110156331 - NON-CONTACT HOLDER AND NON-CONTACT HOLDING HAND | 1 |
Ryosuke Harada | JP | Tsukuba-Shi | 2015-10-15 / 20150291497 - METHOD FOR EXTRACTING ASYMMETRIC B-DIKETONE COMPOUND FROM B-DIKETONE COMPOUND | 2 |
Kenichi Harada | JP | Wako-Shi | 2012-12-27 / 20120330521 - CONTROL APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Taku Harada | US | 2015-11-12 / 20150321659 - CONTROL DEVICE FOR HYBRID VEHICLE | 2 | |
Kenichi Harada | JP | Susono-Shi | 2016-01-07 / 20160001259 - MATERIAL FOR TRAPPING TARGET SUBSTANCE, FILTER FOR TRAPPING TARGET SUBSTANCE, CONTAINER FOR LIQUID ORGANIC COMPOUND, AND ENGINE OIL | 9 |
Kenichi Harada | JP | Suwa | 2010-10-28 / 20100270452 - SUCKING AND HOLDING APPARATUS, SUCKING AND HOLDING METHOD, TRANSPORTING APPARATUS, AND TRANSPORTING METHOD | 1 |
Masakazu Harada | JP | Saitama | 2013-01-24 / 20130020911 - PIEZOELECTRIC VIBRATING PIECE AND PIEZOELECTRIC DEVICE | 4 |
Satoko Harada | JP | Kuwana-Shi | 2015-10-15 / 20150291533 - NOVEL BENZOAZEPINE DERIVATIVE AND MEDICAL USE THEREOF | 1 |
Hiroshi Harada | JP | Tajimi-Shi | 2016-03-17 / 20160076971 - PRESSURE SENSOR | 3 |
Tetsuhiro Harada | JP | Hitachinaka | 2015-07-30 / 20150214520 - ELECTRIC DEVICE, BATTERY PACK, AND ELECTRIC APPARATUS | 7 |
Sachiko Harada | JP | Tokyo | 2013-04-18 / 20130096822 - NAVIGATION DEVICE | 1 |
Takanori Harada | JP | Kawasaki | 2013-10-10 / 20130266155 - OPERATION DEVICE, REPRODUCTION SYSTEM, OPERATION METHOD OF OPERATION DEVICE AND PROGRAM | 1 |
Toyoko Harada | JP | Yamaguchi | 2012-04-26 / 20120101158 - Surface Anesthetic Agent | 1 |
Haruhiko Harada | JP | Nanae | 2011-04-28 / 20110097854 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING ELECTRONIC DEVICE | 1 |
Koichi Harada | JP | Chiba-Ken | 2009-04-02 / 20090084141 - Single Mode Optical Fiber and Manufacturing Method Therefor | 1 |
Koichi Harada | JP | Sakura-Shi | 2010-04-01 / 20100077800 - METHOD FOR FABRICATING POROUS SILICAPREFORM AND POROUS SILICA PREFORM | 2 |
Koichi Harada | JP | Bunkyo-Ku | 2014-12-25 / 20140374644 - MAGNETIC MATERIAL AND DEVICE | 1 |
Koichi Harada | JP | Bunkyo | 2016-03-24 / 20160086728 - METHOD FOR PRODUCING MAGNETIC MATERIAL | 7 |
Koichi Harada | JP | Okazaki-City | 2015-04-23 / 20150107566 - EXHAUST GAS RECIRCULATION DEVICE | 1 |
Fumiko Harada | JP | Kanagawa | 2012-12-27 / 20120328760 - EMULSION COMPOSITION | 1 |
Takahito Harada | JP | Matsumoto-City | 2012-04-26 / 20120098085 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Sanae Harada | JP | Nasushiobara | 2014-12-04 / 20140355736 - X-RAY COMPUTED TOMOGRAPHY APPARATUS, HIGH VOLTAGE GENERATION DEVICE, AND RADIOLOGICAL IMAGE DIAGNOSTIC APPARATUS | 1 |
Tadakazu Harada | US | Boulder | 2015-10-22 / 20150303815 - MODULAR DC-DC CONVERTER | 1 |
Takeya Harada | JP | Tochigi-Ken | 2013-08-29 / 20130220265 - CYLINDER HEAD | 1 |
John Harada | US | Kailua | 2015-10-29 / 20150306449 - EXERCISE DEVICE | 1 |
Kunio Harada | JP | Hachioji | 2015-11-12 / 20150324626 - Personal Identification System | 11 |
Daijiro Harada | JP | Kanagawa | 2015-11-05 / 20150317261 - SEMICONDUCTOR DEVICE | 2 |
Toru Harada | JP | Yokohama-Shi | 2015-10-22 / 20150301316 - IMAGING OPTICAL SYSTEM, IMAGING DEVICE AND IMAGING SYSTEM | 4 |
Satoko Harada | JP | Aichi | 2012-04-26 / 20120101137 - NOVEL THIOPHENECARBOXAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 1 |
Koji Harada | JP | Yamaguchi | 2012-04-26 / 20120101158 - Surface Anesthetic Agent | 1 |
Yasunobu Harada | JP | Tokyo | 2008-12-18 / 20080309265 - Motor Control Device | 1 |
Hiroaki Harada | JP | Tokyo | 2009-01-08 / 20090009818 - Communication device | 1 |
Kouji Harada | JP | Tokyo | 2009-02-12 / 20090040266 - LIQUID-DISCHARGING HEAD AND METHOD OF PRODUCING THE SAME | 2 |
Masanori Harada | JP | Tokyo | 2009-05-14 / 20090122059 - PART IDENTIFICATION IMAGE GENERATION DEVICE, PART IDENTIFICATION IMAGE GENERATION METHOD, PART IDENTIFICATION IMAGE DISPLAY DEVICE, PART IDENTIFICATION IMAGE DISPLAY METHOD, AND RECORDING MEDIUM | 1 |
Nobumi Harada | JP | Tokyo | 2009-05-21 / 20090128254 - High frequency electronic component | 2 |
Shigeru Harada | JP | Tokyo | 2012-12-20 / 20120320038 - THREE-DIMENSIONAL IMAGE PROCESSING APPARATUS, METHOD FOR PROCESSING THREE-DIMENSIONAL IMAGE, DISPLAY APPARATUS, AND COMPUTER PROGRAM | 6 |
Koichi Harada | JP | Tokyo | 2014-10-30 / 20140319406 - MAGNETIC MATERIAL, METHOD FOR PRODUCING MAGNETIC MATERIAL, AND INDUCTOR ELEMENT | 7 |
Hironori Harada | JP | Tokyo | 2012-07-12 / 20120178735 - 2H-CHROMENE COMPOUND AND DERIVATIVE THEREOF | 5 |
Shigeki Harada | JP | Tokyo | 2014-04-10 / 20140097805 - ELECTRIC POWER SUPPLY SYSTEM | 8 |
Masahiro Harada | JP | Atsugi-Shi Kanagawa | 2015-10-29 / 20150307092 - ROUTE EVALUATION DEVICE | 1 |
Kunihito Harada | JP | Tokyo | 2010-08-26 / 20100216262 - METHOD FOR PRODUCING BONDED WAFER | 1 |
Kanae Harada | JP | Tokyo | 2010-09-09 / 20100225587 - ELECTRONIC DEVICE AND PROGRAM FOR ENTERING CHARACTER | 3 |
Takunori Harada | JP | Tokyo | 2011-03-17 / 20110063617 - Method and Device for Measuring Circular Dichroism Spectra | 1 |
Satoshi Harada | JP | Tokyo | 2012-05-03 / 20120103637 - WATER FLOW DETECTION DEVICE | 2 |
Tanemasa Harada | JP | Tokyo | 2008-10-09 / 20080245483 - ADHESIVE-FILM EXFOLIATING DEVICE AND MANUFACTURING METHOD OF LIQUID CYRSTAL DISPLAY PANEL USING THE DEVICE | 2 |
Hidefumi Harada | JP | Tokyo | 2015-11-12 / 20150322203 - PROCESS FOR PREPARING HIGHLY POLYMERIZED AROMATIC POLYCARBONATE RESIN | 7 |
Shoichiro Harada | JP | Tokyo | 2009-01-08 / 20090012194 - Foam | 1 |
Nobuyuki Harada | JP | Tokyo | 2012-12-27 / 20120331213 - TECHNIQUE AND APPARATUS FOR IDENTIFYING CACHE SEGMENTS FOR CACHING DATA TO BE WRITTEN TO MAIN MEMORY | 4 |
Takashi Harada | JP | Tokyo | 2016-02-25 / 20160056630 - ELECTRIC POWER CONTROL SYSTEM | 17 |
Hajime Harada | JP | Tokyo | 2009-03-19 / 20090076582 - PACKAGED BIOMEDICAL ELECTRODE UNIT AND METHOD OF INSPECTING QUALITY THEREOF | 1 |
Chihiro Harada | JP | Tokyo | 2015-03-26 / 20150088496 - MINUTES MAKING ASSISTANCE DEVICE, ELECTRONIC CONFERENCE DEVICE, ELECTRONIC CONFERENCE SYSTEM, MINUTES MAKING ASSISTANCE METHOD, AND STORAGE MEDIUM STORING MINUTES MAKING ASSISTANCE PROGRAM | 4 |
Yoshihiro Harada | JP | Saitama | 2013-05-02 / 20130109256 - OUTBOARD ENGINE UNIT | 1 |
Noriaki Harada | JP | Tokyo | 2009-07-16 / 20090183250 - APPARATUS, SYSTEM, AND METHOD FOR TRANSFERRING AUTHORITY | 1 |
Yushi Harada | JP | Ushiku | 2013-06-06 / 20130143234 - SAMPLE ANALYZING DEVICE AND SAMPLE ANALYZING METHOD | 1 |
Mitsuo Harada | JP | Tokyo | 2009-07-23 / 20090185268 - BOX-TYPE MICROSCOPE APPARATUS | 3 |
Shinichiro Harada | JP | Saitama | 2014-01-23 / 20140022654 - LENS APPARATUS | 1 |
Ryutaro Harada | JP | Tokyo | 2011-08-11 / 20110194054 - COLOR FILTER FOR TRANSFLECTIVE TYPE LIQUID CRYSTAL DISPLAY | 2 |
Nozomu Harada | JP | Chuo-Ku | 2012-05-03 / 20120104478 - SOLID STATE IMAGING DEVICE | 1 |
Yutaka Harada | JP | Tokyo | 2010-03-25 / 20100071476 - CAPACITIVE ELECTROMAGNETIC FLOWMETER | 2 |
Hidehisa Harada | JP | Saitama | 2013-11-14 / 20130300749 - IMAGE GENERATING DEVICE, IMAGE GENERATING METHOD, AND INFORMATION STORAGE MEDIUM | 1 |
Koji Harada | JP | Kobe | 2014-09-04 / 20140247187 - ESTIMATION OF TIME DIFFERENCE OF ARRIVAL (TDOA) IN MULTIPATH ENVIRONMENTS BASED ON SUB-NYQUIST RATE SAMPLING | 2 |
Shigekazu Harada | JP | Tokyo | 2010-06-10 / 20100142953 - Wavelength Division Multiplexing Transmission system and Remote Apparatus and Station Apparatus Used Therein | 2 |
Chie Harada | JP | Osaka | 2015-02-12 / 20150044583 - HYDROGEN PURIFIER, HYDROGEN GENERATION APPARATUS, AND FUEL CELL SYSTEM | 5 |
Tateki Harada | JP | Tokyo | 2010-07-22 / 20100185873 - SYSTEM AND METHOD FOR FILE PROCESSING AND FILE PROCESSING PROGRAM | 2 |
Takaaki Harada | JP | Yokohama-Shi | 2015-07-30 / 20150208648 - PERACETIC ACID TYPE STERILIZING COMPOSITION SOLUTION AND METHOD FOR STERILIZING CONTAINERS | 3 |
Kozo Harada | JP | Tokyo | 2015-12-10 / 20150357262 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, AND TRANSFER SHEET AND MANUFACTURING METHOD THEREOF | 11 |
Seiji Harada | JP | Tokyo | 2015-12-31 / 20150377779 - EVALUATION METHOD OF DEVICE WAFER | 8 |
Shin Harada | JP | Tokyo | 2010-08-19 / 20100208630 - HALF-DUPLEX COMMUNICATION SYSTEM, HALF-DUPLEX COMMUNICATION APPARATUS, COMMUNICATION CONTENT CONFIRMING METHOD, AND PROGRAM THEREOF | 1 |
Tomohiro Harada | JP | Tokyo | 2015-10-08 / 20150285559 - DEVICE FOR MONITORING INSIDE OF HIGH-TEMPERATURE FURNACE, AND SYSTEM FOR MONITORING INSIDE OF HIGH-TEMPERATURE FURNACE PROVIDED WITH SAME | 3 |
Takehiko Harada | JP | Funabashi-Shi | 2011-05-19 / 20110115953 - SOLID-STATE IMAGE SENSING DEVICE | 1 |
Saki Harada | JP | Tokyo | 2011-09-15 / 20110223537 - RADIATION-SENSITIVE RESIN COMPOSITION AND POLYMER | 2 |
Tatsuhito Harada | JP | Tokyo | 2010-09-30 / 20100245707 - PROTECTION PLATE INTEGRATED DISPLAY APPARATUS | 1 |
Kentarou Harada | JP | Tokyo | 2014-06-12 / 20140162190 - FLUORINE-CONTAINING POLYMER, PURIFICATION METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION | 3 |
Noboru Harada | JP | Tokyo | 2011-11-17 / 20110282657 - CODING METHOD, DECODING METHOD, AND APPARATUSES, PROGRAMS AND RECORDING MEDIA THEREFOR | 4 |
Yasunari Harada | JP | Kanagawa | 2016-04-21 / 20160107467 - PRINTER, METHOD OF PRINTING, AND NON-TRANSITORY RECORDING MEDIUM | 2 |
Minoru Harada | JP | Tokyo | 2015-11-19 / 20150332445 - DEFECT OBSERVATION METHOD AND DEFECT OBSERVATION DEVICE | 8 |
Kenichi Harada | JP | Tokyo | 2011-01-13 / 20110010730 - Disc apparatus | 2 |
Hisashi Harada | JP | Tokyo | 2014-12-25 / 20140374624 - SENSITIVITY CORRECTION METHOD FOR DOSE MONITORING DEVICE AND PARTICLE BEAM THERAPY SYSTEM | 17 |
Tamotsu Harada | JP | Oita-Shi | 2011-05-19 / 20110115513 - WAFER PROBER AND FAILURE ANALYSIS METHOD USING THE SAME | 1 |
Keita Harada | JP | Tokyo | 2013-09-26 / 20130247375 - PROBE AND METHOD OF MANUFACTURING PROBE | 2 |
Genki Harada | JP | Tokyo | 2011-03-24 / 20110070532 - Color filter manufacturing method, patterned substrate manufacturing method, and small photomask | 3 |
Sanae Harada | JP | Nasushiobara-Shi | 2014-06-12 / 20140161221 - X-RAY COMPUTED TOMOGRAPHY APPARATUS | 2 |
Yoshiyuki Harada | JP | Tokyo | 2016-03-24 / 20160085484 - NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM ON WHICH A UI PROGRAM IS RECORDED, PRINT CONTROL APPARATUS AND CONTROL METHOD OF THE SAME | 43 |
Tohru Harada | JP | Tokyo | 2011-04-28 / 20110094433 - UNDERWATER POSTURE STABILIZATION SYSTEM AND DIVING APPARATUS EQUIPPED WITH THE SAME | 1 |
Takuya Harada | JP | Tokyo | 2014-12-25 / 20140374146 - METAL NANONETWORK AND METHOD FOR PRODUCING THE SAME, AND CONDUCTIVE FILM AND CONDUCTIVE SUBSTRATE USING METAL NANONETWORK | 5 |
Osamu Harada | JP | Tokyo | 2008-10-16 / 20080255931 - Print Ink System and Print Method Used For Print 'It' Shopping-Linked Printed Matter and Print 'It' Shopping-Linked Printed Matter | 1 |
Akimitsu Harada | JP | Tokyo | 2009-02-12 / 20090043196 - ULTRASOUND DIAGNOSIS APPARATUS | 1 |
Ryuuji Harada | JP | Toyohashi-City | 2009-04-23 / 20090105925 - Vehicle travel history provision system | 1 |
Michihiko Harada | JP | Matsumoto | 2010-10-21 / 20100266545 - OBLIGATELY ANAEROBIC MUTANT LACTIC ACID BACTERIUM AND PREPARATION METHOD THEREFOR, AND EXPRESSION VECTOR FUNCTIONING IN OBLIGATELY ANAEROBIC LACTIC ACID BACTERIUM | 1 |
Katsuyoshi Harada | JP | Tokyo | 2009-04-23 / 20090104100 - METHOD FOR DETOXIFYING HCD GAS AND APPARATUS THEREFOR | 1 |
Yohei Harada | JP | Tokyo | 2012-07-05 / 20120171909 - Electrical Contact | 2 |
Ryotaro Harada | JP | Tokyo | 2015-07-09 / 20150194903 - POWER CONVERTER | 5 |
Yasuhiro Harada | JP | Yokohama-Shi | 2016-05-05 / 20160126546 - POWER SUPPLY SYSTEM AND MOTOR CAR | 50 |
Tsuyoshi Harada | JP | Tokyo | 2016-02-04 / 20160030378 - COMPOSITIONS AND METHODS FOR TREATING NON-ALCOHOLIC STEATOHEPATITIS | 7 |
Keitaro Harada | JP | Yamagata-Shi | 2013-10-24 / 20130280855 - METHOD FOR PRODUCING COMPOUND HAVING CHALCOPYRITE STRUCTURE | 1 |
Yusuke Harada | JP | Tokyo | 2010-10-28 / 20100270675 - SEMICONDUCTOR DEVICE HAVING DAMASCENE INTERCONNECTION STRUCTURE THAT PREVENTS VOID FORMATION BETWEEN INTERCONNECTIONS HAVING TRANSPARENT DIELECTRIC SUBSTRATE | 1 |
Yuichi Harada | JP | Tokyo | 2011-04-28 / 20110099673 - STAGE FOR SCANNING PROBE MICROSCOPY AND SAMPLE OBSERVATION METHOD | 1 |
Akitoshi Harada | JP | Miyagi | 2015-04-30 / 20150114930 - PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS | 2 |
Toshiaki Harada | JP | Kawasaki-Shi | 2015-01-22 / 20150022958 - PART ENGAGING STRUCTURE AND APPARATUS HAVING THE SAME | 3 |
Hidefumi Harada | JP | Hyogo | 2015-10-08 / 20150284509 - AROMATIC POLYCARBONATE RESIN COMPOSITION | 5 |
Nozomu Harada | JP | Kawasaki-Shi | 2013-10-17 / 20130276003 - OPTICAL DISC UNIT | 1 |
Kozo Harada | JP | Kawasaki-Shi | 2015-04-30 / 20150118801 - SEMICONDUCTOR DEVICE | 2 |
Keiko Harada | US | Forest Hills | 2009-09-03 / 20090222415 - EVALUATING RISK OF INFORMATION MISMANAGEMENT IN COMPUTER STORAGE | 1 |
Keita Harada | JP | Shinagawa | 2011-11-03 / 20110267044 - Position detection device | 4 |
Kinya Harada | JP | Shizuoka | 2012-05-10 / 20120116493 - STENT DELIVERY SYSTEM | 1 |
Hiromi Harada | JP | Aichi | 2010-09-23 / 20100236578 - CYLINDER HEAD CLEANING METHOD AND CYLINDER HEAD CLEANING DEVICE | 1 |
Shiro Harada | JP | Koga-Shi | 2010-02-25 / 20100047005 - LIGHT-EMITTING WRITING INSTRUMENT AND LIGHT-EMITTING INDICATOR USING THE SAME | 1 |
Koji Harada | JP | Fuchu-Shi | 2013-03-07 / 20130057880 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 1 |
Yoshiyuki Harada | JP | Kusatsu-Shi | 2013-02-14 / 20130036759 - REFRIGERATION APPARATUS | 1 |
Kunio Harada | JP | Hachioji-Shi | 2009-01-08 / 20090011413 - Method for screening colon cancer cells and gene set used for examination of colon cancer | 1 |
Yoshiyuki Harada | JP | Koriyama-Shi | 2009-12-24 / 20090314036 - Method and apparatus for producing glass fiber | 3 |
Yoshiyuki Harada | JP | Ishikawa | 2015-11-05 / 20150318435 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE | 2 |
Yoshiyuki Harada | JP | Ishikawa-Ken | 2014-07-03 / 20140183447 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yoshiyuki Harada | JP | Shiga | 2015-10-22 / 20150303825 - POWER CONVERTER | 1 |
Kunio Harada | JP | Hachioji | 2015-11-12 / 20150324626 - Personal Identification System | 11 |
Yoshihiro Harada | JP | Kanagawa | 2014-12-25 / 20140374604 - DEVICE AND METHOD FOR MEASURING INFILTRATION | 1 |
Shigetoshi Harada | JP | Kyoto-Shi | 2013-07-18 / 20130181127 - TANDEM QUADRUPOLE MASS SPECTROMETER | 1 |
Kentaro Harada | JP | Fukuoka | 2010-03-18 / 20100065833 - Organic field-effect transistor and circuit | 1 |
Toshiyuki Harada | JP | Fukuoka | 2015-09-17 / 20150261206 - ROBOT SYSTEM | 7 |
Dai Harada | JP | Fukuoka | 2009-10-08 / 20090250435 - GAS INSULATING SWITCHGEAR EQUIPPED WITH GROUNDING SWITCHGEAR | 1 |
Ken Harada | JP | Fukuoka | 2014-12-18 / 20140371124 - CLEANING LIQUID FOR SEMICONDUCTOR DEVICE AND METHOD FOR CLEANING SUBSTRATE FOR SEMICONDUCTOR DEVICE | 3 |
Ken Harada | JP | Tokyo | 2014-07-24 / 20140204463 - ZONE PLATE | 4 |
Yoshihiro Harada | JP | Fukuoka | 2009-11-05 / 20090276171 - Data processing apparatus and method | 1 |
Shiro Harada | JP | Fukuoka | 2016-05-05 / 20160123540 - CHEMICAL ILLUMINANT | 3 |
Mitsunori Harada | JP | Sagamihara-Shi | 2013-03-07 / 20130056757 - LED ARRAY CAPABLE OF REDUCING UNEVEN BRIGHTNESS DISTRIBUTION | 1 |
Mamoru Harada | JP | Fukuoka | 2010-03-25 / 20100074912 - HILA-A24-BINDING KEF-DERIVED PEPTIDE | 1 |
Keisuke Harada | JP | Fukuoka | 2015-04-30 / 20150117871 - OPTICAL LEVEL CONTROL APPARATUS AND OPTICAL RECEPTION APPARATUS | 7 |
Ayako Harada | JP | Fukuoka | 2010-04-01 / 20100077544 - FLUSH TOILET | 1 |
Tatsuro Harada | JP | Fukuoka | 2010-07-15 / 20100175364 - INTEGRATED COAL GASIFICATION COMBINED CYCLE FACILITY | 1 |
Yuya Harada | JP | Fukuoka | 2015-05-14 / 20150131594 - BASE STATION APPARATUS, COMMUNICATION SYSTEM, COMMUNICATION METHOD | 3 |
Shigeru Harada | JP | Chigasaki-Shi | 2014-12-25 / 20140375503 - DUMP TRUCK WITH OBSTACLE DETECTION MECHANISM AND METHOD FOR DETECTING OBSTACLE | 8 |
Hiroshi Harada | JP | Kyoto, Kyoto | 2015-11-05 / 20150319607 - METHOD FOR TRANSMITTING AND RECEIVING DATA | 2 |
Takao Harada | JP | Kobe-Shi | 2015-12-17 / 20150361515 - METHOD FOR PRODUCING REDUCED IRON AGGLOMERATE | 9 |
Kiyotsugu Harada | JP | Toyota-Shi | 2012-06-14 / 20120148371 - PUSH RIVET | 1 |
Tomomi Harada | JP | Hanno-Shi | 2014-03-13 / 20140070769 - BRUSHLESS MOTOR CONTROL APPARATUS AND BRUSHLESS MOTOR CONTROL METHOD | 5 |
Hiroshi Harada | SG | Singapore | 2015-03-12 / 20150073068 - LIQUID CRYSTAL POLYESTER COMPOSITION, METHOD FOR PRODUCING LIQUID CRYSTAL POLYESTER COMPOSITION, AND MOLDED ARTICLE | 2 |
Masahiro Harada | JP | Saitama | 2015-06-04 / 20150152269 - ANTIFOG SHEET | 2 |
Haruo Harada | JP | Kobe | 2009-02-12 / 20090040297 - Display Apparatus and Display Method | 1 |
Masahiro Harada | JP | Tokyo | 2012-08-09 / 20120202081 - LIGHT-BLOCKING MEMBER FOR OPTICAL INSTRUMENT | 2 |
Masahiro Harada | JP | Susono-Shi | 2013-10-31 / 20130289868 - HOST-VEHICLE RISK ACQUISITION DEVICE AND METHOD | 6 |
Masahiro Harada | JP | Osaka-Shi | 2013-12-19 / 20130334932 - VIBRATING BODY, METHOD OF MANUFACTURING THE SAME AND VIBRATION TYPE DRIVE DEVICE | 1 |
Takahiro Harada | JP | Shinagawa-Ku | 2012-06-07 / 20120142879 - COMPOSITION FOR FILM FORMATION, INSULATING FILM AND SEMICONDUCTOR DEVICE | 1 |
Masahiro Harada | JP | Osaka | 2009-11-26 / 20090291790 - Pulley Assembly and Auto-Tensioner | 2 |
Masaki Harada | JP | Nagoya-Shi | 2014-07-24 / 20140206804 - AGENT FOR IMPARTING IMPACT RESISTANCE TO POLYLACTIC ACID RESIN | 1 |
Hisashi Harada | JP | Chiyoda-Ku,tokyo | 2016-05-19 / 20160136461 - PARTICLE BEAM THERAPY SYSTEM | 1 |
Masahiro Harada | JP | Shizuoka | 2010-08-26 / 20100217476 - VEHICLE TRAVELING CONTROLLER | 1 |
Masahiro Harada | JP | Atsugi-Shi | 2015-07-02 / 20150187214 - DRIVE ASSIST DEVICE | 6 |
Tetsuo Harada | JP | Hyogo | 2014-12-18 / 20140367486 - LIQUID CONTAINER, ULTRASONIC ATOMIZATION DEVICE, AND ABSORPTION BODY | 1 |
Shoichiro Harada | JP | Chiyoda-Ku | 2012-06-07 / 20120141794 - EXPANDABLE BEADS, MOLDED BODY USING THE SAME, AND PRODUCTION METHOD FOR MOLDED BODY | 1 |
Yuriko Harada | JP | Hyogo | 2012-05-24 / 20120129762 - MOTILIN-LIKE PEPTIDE COMPOUND HAVING TRANSMUCOSAL ABSORBABILITY IMPARTED THERETO | 1 |
Yoshinao Harada | KR | Hwaseong-Si | 2015-11-12 / 20150325683 - METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES | 1 |
Minoru Harada | JP | Tokyo | 2015-11-19 / 20150332445 - DEFECT OBSERVATION METHOD AND DEFECT OBSERVATION DEVICE | 8 |
Hisashi Harada | JP | Kashiwara-Shi | 2008-10-30 / 20080264528 - ROLLING, SLIDING PART AND PROCESS FOR PRODUCING SAME | 1 |
Hisashi Harada | JP | Toyokawa-Shi | 2015-05-28 / 20150144289 - CORE SAND FILLING METHOD | 5 |
Hisashi Harada | JP | Mie | 2012-05-03 / 20120109364 - Mask Conveying System And Mask Conveying Adapter | 1 |
Hisashi Harada | JP | Chiyoda-Ku, Tokyo | 2015-11-19 / 20150328483 - PARTICLE THERAPY APPARATUS | 1 |
Toru Harada | JP | Fujisawa-Shi | 2015-10-08 / 20150283600 - BALL SCREW AND MANUFACTURING METHOD OF NUT FOR BALL SCREW | 4 |
Koji Harada | JP | Hitachinaka | 2013-05-16 / 20130119831 - STARTER | 1 |
Nozomu Harada | JP | Kawasaki | 2011-08-11 / 20110197211 - OPTICAL DISC DRIVE | 12 |
Shigeyuki Harada | JP | Numazu-Shi | 2008-09-25 / 20080231785 - LIQUID CRYSTAL ALIGNMENT FILM COMPOSITION, LIQUID CRYSTAL DEVICE AND DISPLAY APPARATUS | 1 |
Shigeyuki Harada | JP | Shizuoka | 2016-05-12 / 20160130452 - INK, INK CARTRIDGE, INKJET RECORDING DEVICE, AND COPOLYMER | 13 |
Hiroko Harada | JP | Osaka | 2015-12-17 / 20150364790 - ANION CONDUCTING MATERIAL AND CELL | 3 |
Lkue Harada | JP | Kawasaki-Shi | 2015-11-19 / 20150329832 - CULTURE METHOD FOR STABLE PROLIFERATION OF PLURIPOTENT STEM CELL WHILE MAINTAINING UNDIFFERENTIATED STATE | 1 |
Mitsunori Harada | JP | Chiba | 2012-04-19 / 20120093881 - DISRUPTIVE POLYMER MICELLE COMPOSITION | 7 |
Daiki Harada | JP | Minami-Ashigara-Shi | 2009-10-01 / 20090244352 - RADIATION IMAGE CAPTURING SYSTEM | 8 |
Mitsunori Harada | JP | Mie | 2010-02-11 / 20100033670 - METAL MATERIAL AND ITS MANUFACTURING METHOD, THIN-FILM DEVICE AND ITS MANUFACTURING METHOD, ELEMENT-SIDE SUBSTRATE AND ITS MANUFACTURING METHOD, AND LIQUID CRYSTAL DISPLAY AND ITS MANUFACTURING METHOD | 2 |
Yukihisa Harada | JP | Hiroshima-Shi | 2014-12-11 / 20140363531 - TIRE VULCANIZER AND MAINTENANCE METHOD OF TIRE VULCANIZER | 1 |
Yoshikazu Harada | JP | Osaka-Shi | 2014-12-11 / 20140363177 - IMAGE FORMING APPARATUS, IMAGE ADJUSTMENT METHOD FOR THE IMAGE FORMING APPARATUS, AND ADJUSTMENT PATTERN IMAGE | 1 |
Hiroki Harada | JP | Chiyoda-Ku | 2015-06-04 / 20150156737 - RADIO COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 2 |
Hironori Harada | JP | Wako-Shi | 2014-12-11 / 20140362380 - INTEGRATING SPHERE | 1 |
Hiroyuki Harada | JP | Kariya-City | 2015-11-19 / 20150330514 - SOLENOID VALVE | 1 |
Arata Harada | JP | Gamagoori-Shi | 2014-12-11 / 20140362540 - SEMICONDUCTOR DEVICE | 1 |
Takahiro Harada | US | Santa Clara | 2013-12-12 / 20130328873 - FORWARD RENDERING PIPELINE WITH LIGHT CULLING | 1 |
Yoshikazu Harada | JP | Nara-Shi | 2009-10-15 / 20090255359 - ROTATIONAL DRIVE TRANSMISSION MECHANISM AND IMAGE FORMING APPARATUS USING THE SAME | 6 |
Yoshikazu Harada | JP | Nara | 2012-02-23 / 20120042586 - FLOOR TYPE MULTI-DISPLAY APPARATUS AND FLOOR STRUCTURE FOR INSTALLATION OF A FLOOR TYPE MULTI-DISPLAY APPARATUS | 4 |
Yoshikazu Harada | JP | Nishitama-Gun | 2009-04-16 / 20090094750 - Pillow device | 1 |
Robert Haraden | US | 2013-08-22 / 20130216973 - Coating for a dental matrix band | 1 | |
Ryan Haraden | US | Duvall | 2015-07-09 / 20150192950 - CONTROL OF PREDICATION ACROSS CLOCK DOMAINS | 1 |
Ryan Scott Haraden | US | Rochester | 2011-05-19 / 20110119439 - Spacing Periodic Commands to a Volatile Memory for Increased Performance and Decreased Collision | 4 |
Robert E. Haraden | US | Libertyville | 2016-04-07 / 20160095667 - Coating for a Dental Matrix Band | 3 |
Ryan S. Haraden | US | Rochester | 2012-01-26 / 20120023368 - Compressed Replay Buffer | 10 |
Isao Haraga | JP | Jonan-Ku | 2015-10-22 / 20150300385 - FLUID TRANSPORTATION DEVICE AND FLUID TRANSPORTATION METHOD | 1 |
Isao Haraga | JP | Fukuoka | 2016-02-25 / 20160051279 - ULTRASOUND-GUIDED PUNCTURE ASSIST DEVICE AND ULTRASOUND-GUIDED PUNCTURE METHOD USING THE SAME | 2 |
Hisato Haraga | JP | Fukuoka | 2010-08-19 / 20100210447 - PHOTOCATALYST ELEMENT, METHOD AND DEVICE FOR PREPARING THE SAME | 2 |
Tomoko Haraga | JP | Naka-Gun | 2013-08-22 / 20130217135 - COMPOUND FOR FORMING FLUORESCENT URANIUM COMPLEX, METHOD FOR SYNTHESIZING THEREOF, FLUORESCENT PROBE FOR DETECTING URANIUM AND METHOD FOR ANALYZING URANIUM | 1 |
Christy Haragan | GB | Hampshire | 2012-08-09 / 20120204105 - Generating User Help Information for Customized User Interfaces | 2 |
Motoji Haragashira | JP | Utsunomiya | 2015-12-10 / 20150355089 - OPTICAL MEASUREMENT SYSTEM | 1 |
Motoji Haragashira | JP | Utsunomiya-Shi | 2009-07-09 / 20090175418 - RADIOTHERAPY SUPPORT APPARATUS | 3 |
Masahiro Haraguchi | JP | Daito-City | 2012-08-16 / 20120206696 - PROJECTION DISPLAY APPARATUS AND IMAGE ADJUSTING METHOD | 10 |
Yuji Haraguchi | JP | Tokyo | 2013-01-31 / 20130028813 - Cell Isolation Instrument | 1 |
Naoyuki Haraguchi | JP | Saga | 2015-08-27 / 20150238069 - ENDOSCOPE AND MANUFACTURING METHOD OF ENDOSCOPE | 2 |
Hitoshi Haraguchi | JP | Osaka | 2015-10-22 / 20150296762 - CLUTCH OPERATING MEMBER OF A DUAL-BEARING REEL | 1 |
Kazuhiro Haraguchi | KR | Yongin-City | 2014-05-29 / 20140146119 - SUBSTRATE PRINTING DEVICE AND SUBSTRATE PRINTING METHOD | 1 |
Yoshimasa Haraguchi | JP | Kanagawa | 2013-12-12 / 20130329065 - IMAGE BLUR CORRECTION UNIT, IMAGE BLUR CORRECTION DEVICE, AND OPTICAL APPARATUS | 1 |
Yoshiki Haraguchi | SG | Singapore | 2010-07-29 / 20100189560 - Method for De-Icing A Blade Of A Wind Turbine, A Wind Turbine And Use Thereof | 2 |
Naoki Haraguchi | JP | Tokyo | 2016-03-24 / 20160085638 - COMPUTER SYSTEM AND METHOD OF IDENTIFYING A FAILURE | 3 |
Daisuke Haraguchi | JP | Tokyo | 2015-11-05 / 20150313619 - Forceps Manipulator and Forceps System Comprising Forceps Manipulator | 2 |
Yoshihiro Haraguchi | JP | Hitachi | 2012-02-16 / 20120038239 - DRY MICA TAPE AND INSULATION COILS MANUFACTURED THEREWITH | 1 |
Shinsuke Haraguchi | JP | Aichi | 2012-03-29 / 20120077911 - AQUEOUS COATING MATERIAL AND PAINTED ARTICLE | 1 |
Hidetaka Haraguchi | JP | Tokyo | 2015-12-31 / 20150377043 - STEAM TURBINE VANE MANUFACTURING METHOD | 1 |
Yuichi Haraguchi | JP | Fukuoka | 2015-02-12 / 20150043722 - COMMUNICATION CONTROL DEVICE, COMMUNICATION CONTROL METHOD, AND COMMUNICATION CONTROL SYSTEM | 1 |
Takahiro Haraguchi | JP | Matsudo-Shi | 2015-12-31 / 20150378294 - IMAGE FORMING APPARATUS, AND METHOD FOR CONTROLLING IMAGE FORMING APPARATUS | 1 |
Mitsuhiro Haraguchi | JP | Fukuoka | 2012-08-30 / 20120219147 - TELEPHONE APPARATUS | 1 |
Yoichi Haraguchi | JP | Sakura-Shi | 2014-05-22 / 20140138054 - COOLING APPARATUS, AND MANUFACTURING APPARATUS AND MANUFACTURING METHOD OF HOT-ROLLED STEEL SHEET | 4 |
Kazuma Haraguchi | JP | Osaka | 2014-02-13 / 20140043444 - STEREO CAMERA DEVICE AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Masahiro Haraguchi | JP | Osaka | 2014-10-02 / 20140292836 - PROJECTION-TYPE IMAGE DISPLAY DEVICE, IMAGE PROJECTION CONTROL DEVICE, AND IMAGE PROJECTION CONTROL METHOD | 13 |
Kazuki Haraguchi | JP | Yokohama-Shi | 2015-10-08 / 20150285618 - IMAGE CAPTURING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Yuki Haraguchi | JP | Osaka | 2015-10-22 / 20150302258 - OBJECT DETECTION DEVICE | 9 |
Mitsuhiro Haraguchi | JP | Shiraoka-Shi | 2014-01-23 / 20140023986 - MOTORIZED SYRINGE FOR USE WITH TWO TYPES OF DENTAL ANESTHETIC SOLUTION-CONTAINING CARTRIDGES | 2 |
Takashi Haraguchi | JP | Sagamihara-Shi | 2014-11-13 / 20140335146 - GROWTH FACTOR ANCHORING TYPE BONE GRAFT MATERIAL, METHOD FOR PRODUCING GROWTH FACTOR ANCHORING TYPE BONE GRAFT MATERIAL, KIT FOR PRODUCING GROWTH FACTOR ANCHORING TYPE BONE GRAFT MATERIAL, AND METHOD FOR FORMING BONE | 1 |
Yoshinori Haraguchi | JP | Tokyo | 2015-04-02 / 20150092490 - SEMICONDUCTOR DEVICE | 5 |
Tomoko Haraguchi | JP | Yokohama-Shi | 2012-04-19 / 20120091150 - DRAW-IRONED ALUMINUM CAN AND METHOD OF PRODUCING THE SAME | 2 |
Kazunori Haraguchi | JP | Akashi-Shi | 2013-05-02 / 20130108382 - END MILL | 1 |
Masayuki Haraguchi | JP | Funabashi-Shi | 2016-04-21 / 20160108250 - CURABLE COMPOSITION INCLUDING SILOXANE OLIGOMER AND INORGANIC FINE PARTICLES | 16 |
Yukinari Haraguchi | JP | Kitakyushu-Shi | 2013-03-07 / 20130056788 - PACKAGE FOR SEMICONDUCTOR LIGHT-EMITTING DEVICE AND LIGHT-EMITTING DEVICE | 1 |
Takeshi Haraguchi | JP | Tokyo | 2011-10-06 / 20110245481 - METHOD FOR INHIBITING FUNCTION OF micro-RNA | 5 |
Yoshihiro Haraguchi | JP | Hitachi-Shi | 2013-06-13 / 20130147307 - Dry Mica Tape, Electrically insulated Coil Using the Same, and Electrical Rotating Machine Using the Same | 1 |
Keiichi Haraguchi | JP | Tokyo | 2013-05-09 / 20130113035 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 3 |
Hirokazu Haraguchi | JP | Osaka | 2011-09-29 / 20110233832 - AIR SPRING FOR VEHICLE AND RAILWAY TRUCK FOR VEHICLE | 1 |
Tsukasa Haraguchi | JP | Sakai-Shi | 2013-10-03 / 20130255911 - WORKING MACHINE | 1 |
Tomoharu Haraguchi | JP | Saitama | 2013-10-17 / 20130271078 - CHARGING DEVICE, CONTROL METHOD OF CHARGING DEVICE, ELECTRIC-POWERED VEHICLE, ENERGY STORAGE DEVICE AND POWER SYSTEM | 1 |
Nobuyuki Haraguchi | JP | Kanagawa | 2014-05-22 / 20140141076 - SOFT CAPSULE PREPARATION, COMPOSITION FOR SOFT CAPSULE PREPARATION, AND METHOD OF PRODUCING SOFT CAPSULE PREPARATION | 2 |
Masashi Haraguchi | JP | Sakura-Shi | 2013-02-14 / 20130040819 - ELECTRODE UNIT JOINING STRUCTURE FOR SUPERCONDUCTING WIRE, SUPERCONDUCTING WIRE, AND SUPERCONDUCTING COIL | 1 |
Hideaki Haraguchi | JP | Wako | 2014-02-06 / 20140035269 - FUEL-TANK SUPPORT STRUCTURE FOR MOTORCYCLE | 1 |
Naotsugu Haraguchi | JP | Osaka | 2013-03-14 / 20130065243 - METHOD FOR PRODUCING INDUCED PLURIPOTENT STEM CELLS | 1 |
Hirotsugu Haraguchi | JP | Fukuoka | 2013-09-26 / 20130249288 - POWER SUPPLY CIRCUIT, POWER SUPPLY METHOD AND POWER SUPPLY SYSTEM | 1 |
Tomoko Haraguchi | JP | Kanagawa | 2012-01-26 / 20120018341 - RESIN-COATED METAL SHEET AND SEAMLESS CAN MADE THEREFROM | 1 |
Takashi Haraguchi | JP | Tokyo | 2014-07-31 / 20140212795 - REFLECTIVE MASK BLANK AND REFLECTIVE MASK, AND METHODS FOR MANUFACTURING REFLECTIVE MASK BLANK AND REFLECTIVE MASK | 9 |
Yoshikazu Haraguchi | JP | Osaka-Shi | 2014-01-30 / 20140031342 - 6,7-DIHYDROIMIDAZO [2,1-b] [1,3]OXAZINE BACTERICIDES | 2 |
Masatoshi Haraguchi | JP | Fuji | 2015-10-01 / 20150277874 - COMPILER METHOD AND COMPILER APPARATUS | 1 |
Yoichi Haraguchi | JP | Chiyoda-Ku | 2013-09-26 / 20130249150 - COOLING SYSTEM FOR HOT-ROLLED STEEL STRIP | 1 |
Syuichi Haraguchi | JP | Fukuoka | 2016-02-04 / 20160036715 - WIRELESS COMMUNICATION DEVICE, WIRELESS COMMUNICATION SYSTEM, AND COMMUNICATION CONTROL METHOD | 1 |
Masahiro Haraguchi | JP | Daito-Shi | 2012-12-13 / 20120313910 - PROJECTION TYPE IMAGE DISPLAY DEVICE | 1 |
Kazutoshi Haraguchi | JP | Sakura-Shi | 2014-11-20 / 20140343209 - NONDRYING POLYMER HYDROGEL | 1 |
Takayuki Haraguchi | JP | Kawasaki-Shi | 2014-03-27 / 20140087313 - STRIPPING SOLUTION FOR PHOTOLITHOGRAPHY AND PATTERN FORMATION METHOD | 6 |
Manabu Haraguchi | JP | Tokyo | 2013-05-09 / 20130114136 - PHASE DIFFERENCE FILM LAYERED BODY USED IN STEREOSCOPIC IMAGE DEVICE | 3 |
Takayuki Haraguchi | JP | Kawasak-Shi | 2010-03-04 / 20100056411 - Treating liquid for photoresist removal and method for treating substrate | 1 |
Takayuki Haraguchi | JP | Kanagawa | 2011-06-02 / 20110128383 - MONITORING SYSTEM | 5 |
Kazunori Haraguchi | JP | Tokyo | 2014-01-30 / 20140028264 - METHOD FOR RECOVERING CAPACITY OF LITHIUM ION BATTERY | 1 |
Satoshi Haraguchi | JP | Toyota-Shi | 2016-03-17 / 20160075230 - FILLER PIPE | 1 |
Takayuki Haraguchi | JP | Tokyo | 2011-03-03 / 20110050936 - IMAGE RECORD APPARATUS AND IMAGE RECORD METHOD | 2 |
Shinya Haraguchi | JP | Tokyo | 2013-03-14 / 20130064393 - SOUND REPRODUCING DEVICE AND SOUND REPRODUCING METHOD | 2 |
Satoshi Haraguchi | JP | Tokyo | 2013-07-25 / 20130187086 - PHOSPHORUS-ADSORBING MATERIAL AND PHOSPHORUS RECOVERY SYSTEM | 3 |
Kenji Haraguchi | JP | Tokyo | 2009-10-15 / 20090258128 - Hydrogenated oil flavor-imparting agent | 2 |
Kouichirou Haraguchi | JP | Tokyo | 2010-04-22 / 20100098887 - OPTICAL RECORDING MEDIUM AND INK COMPOSITION | 1 |
Hidekazu Haraguchi | JP | Tokyo | 2014-02-27 / 20140058097 - PHARMACEUTICAL COMPOSITION FOR TREATING ALZHEIMER'S DISEASE | 2 |
Kazuaki Haraguchi | JP | Fukuoka | 2014-12-18 / 20140371051 - Dry-sprayable unshaped refractory material | 1 |
Takayuki Haraguchi | JP | Fukuoka | 2015-11-05 / 20150319401 - IMAGE RECORDING APPARATUS AND IMAGE RECORDING METHOD | 4 |
Tomonori Haraguchi | JP | Isesaki-Shi | 2016-03-17 / 20160076403 - Exhaust Heat Recovery Device | 6 |
Tomohiko Haraguchi | JP | Kawasaki-Shi | 2016-04-21 / 20160112582 - IMAGING SYSTEM, IMAGING CONTROL METHOD THEREFOR, IMAGING CONTROL APPARATUS, RADIATION DETECTOR, AND STORAGE MEDIUM | 3 |
Shin Haraguchi | JP | Osaka | 2016-02-25 / 20160056463 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Masayuki Haraguchi | JP | Funabashi-Shi | 2016-04-21 / 20160108250 - CURABLE COMPOSITION INCLUDING SILOXANE OLIGOMER AND INORGANIC FINE PARTICLES | 16 |
Mitsuhiro Haraguchi | JP | Chuo-Ku | 2012-04-12 / 20120088206 - LINEARLY MOTORIZED DENTAL SYRINGE | 1 |
Kenji Haraguchi | JP | Kanagawa | 2014-12-25 / 20140377434 - NOVEL DECANOIC ACID DERIVATIVES AND FLAVORING COMPOSITIONS | 3 |
Masahiro Haraguchi | JP | Daito | 2009-04-30 / 20090109409 - LIGHTING UNIT AND PROJECTION DISPLAY APPARATUS | 1 |
Kazutoshi Haraguchi | JP | Tokyo | 2012-03-22 / 20120071808 - PATCH MATERIAL | 1 |
Masahiro Haraguchi | JP | Daito-City | 2012-08-16 / 20120206696 - PROJECTION DISPLAY APPARATUS AND IMAGE ADJUSTING METHOD | 10 |
Masahiro Haraguchi | JP | Osaka | 2014-10-02 / 20140292836 - PROJECTION-TYPE IMAGE DISPLAY DEVICE, IMAGE PROJECTION CONTROL DEVICE, AND IMAGE PROJECTION CONTROL METHOD | 13 |
Shuichi Haraguchi | JP | Machida-Shi | 2012-12-27 / 20120330047 - COMPOUND | 2 |
Tsuyoshi Haraguchi | JP | Nagasaki-Shi | 2013-05-09 / 20130115054 - TOWER-INTERNAL-EQUIPMENT BRACKET STRUCTURE AND WIND TURBINE GENERATOR | 1 |
Yuki Haraguchi | JP | Osaka | 2015-10-22 / 20150302258 - OBJECT DETECTION DEVICE | 9 |
Manami Haraguchi | JP | Yokohama-Shi | 2015-12-31 / 20150378271 - TONER AND METHOD OF PRODUCING THE TONER | 21 |
Hiroyuki Haraguchi | JP | Atsugi-Shi | 2014-09-25 / 20140288289 - METHOD FOR DETECTING AND QUANTIFYING WHEAT ENDOGENOUS GENE | 4 |
Takashi Haraguchi | JP | Toyota-Shi | 2014-09-25 / 20140286028 - VEHICLE SUN VISOR | 1 |
Hirokazu Haraguchi | JP | Osaka-Shi | 2015-08-06 / 20150219177 - AIR SPRING | 3 |
Masaru Haraguchi | JP | Kanagawa | 2015-04-02 / 20150095684 - DATA PROCESSING SYSTEM, MICROCONTROLLER AND SEMICONDUCTOR DEVICE | 4 |
Makoto Haraguchi | JP | Hyogo | 2015-07-02 / 20150184703 - PULLEY STRUCTURE | 1 |
Kazutoshi Haraguchi | JP | Chiba-Shi | 2014-08-21 / 20140235748 - BLOCK COPOLYMER AND ANTITHROMBOTIC COATING AGENT | 1 |
Takashi Haraguchi | JP | Tokyo | 2014-07-31 / 20140212795 - REFLECTIVE MASK BLANK AND REFLECTIVE MASK, AND METHODS FOR MANUFACTURING REFLECTIVE MASK BLANK AND REFLECTIVE MASK | 9 |
Takahiro Haraguchi | JP | Minamitsuru-Gun | 2016-03-24 / 20160085232 - NUMERICAL CONTROL DEVICE | 4 |
Tomomi Haraguchi | JP | Matsuura-Shi | 2015-08-06 / 20150217490 - HEAT-RESISTANT LAMINATED CONVEYER BELT | 2 |
Akihiro Haraguchi | JP | Chiryu-City | 2011-05-19 / 20110114739 - Vehicular air conditioner | 1 |
Taku Haraguchi | JP | Mie | 2015-09-17 / 20150264821 - TERMINAL DEVICE | 6 |
Koshi Haraguchi | JP | Hidaka | 2013-05-23 / 20130128273 - OPTICAL ELEMENT AND INTERFEROMETER | 1 |
Nobuyuki Haraguchi | JP | Ashigarakami-Gun | 2012-07-19 / 20120183672 - PROCESS FOR PRODUCTION OF COMPOSITION CONTAINING COLLAGEN PEPTIDE | 3 |
Yukiyoshi Haraguchi | JP | Saitama | 2008-11-20 / 20080285430 - Information Recording Apparatus and Information Reproducing Apparatus, Information Recording Method and Information Reproducing Method, Information Recording Program and Information Reproducing Program, Information Recording Medium and Recording Medium | 1 |
Hidekazu Haraguchi | JP | Osaka | 2009-03-26 / 20090082560 - AMINODIHYDROTHIAZINE DERIVATIVES | 1 |
Hiroshi Haraguchi | JP | Kariya-City | 2009-05-21 / 20090132152 - FUEL INJECTION CONTROLLER AND FUEL INJECTION SYSTEM USING THE SAME | 2 |
Yoko Haraguchi | JP | Makinohara-Shi | 2009-07-30 / 20090191319 - Method of manufacturing tea drink | 1 |
Hiroshi Haraguchi | JP | Kariya-Shi | 2009-08-06 / 20090198456 - DETECTION OF FUEL PROPERTY BASED ON CHANGE IN ROTATIONAL SPEED OF ENGINE | 1 |
Masatoshi Haraguchi | JP | Kawasaki | 2009-09-24 / 20090240887 - INFORMATION PROCESSING UNIT, PROGRAM, AND INSTRUCTION SEQUENCE GENERATION METHOD | 1 |
Manabu Haraguchi | JP | Gunma-Ken | 2009-09-24 / 20090236954 - Medication Storage | 2 |
Kazutoshi Haraguchi | JP | Chiba-Ken | 2009-11-26 / 20090291500 - PRODUCTION PROCESS OF AN ORGANIC/INORGANIC COMPOSITE HYDROGEL, CELL CULTURE SUBSTRATE COMPRISING AN ORGANIC/INORGANIC COMPOSITE HYDROGEL, AND ANTIFOGGING MATERIAL COMPRISING A DRIED ORGANIC/INORGANIC COMPOSITE HYDROGEL | 1 |
Yoichi Haraguchi | JP | Tokyo | 2015-08-13 / 20150224551 - NOZZLE HEADER, COOLING APPARATUS, MANUFACTURING APPARATUS OF HOT-ROLLED STEEL SHEET, AND METHOD FOR MANUFACTURING HOT-ROLLED STEEL SHEET | 2 |
Hidekazu Haraguchi | JP | Osaka-Shi | 2015-07-30 / 20150210680 - AMINODIHYDROTHIAZINE DERIVATIVES | 5 |
Kazuya Haraguchi | JP | Shiga | 2009-11-26 / 20090290986 - IMPELLER OF MULTIBLADE BLOWER AND METHOD OF MANUFACUTURING THE SAME | 1 |
Masafumi Haraguchi | JP | Hachioji-Shi | 2010-01-21 / 20100013877 - IMAGE RECORDING APPARATUS | 1 |
Tetsunori Haraguchi | JP | Tagata-Shi | 2010-02-25 / 20100049401 - PARKING ASSIST APPARATUS | 1 |
Manabu Haraguchi | JP | Gunma | 2015-04-16 / 20150101291 - MEDICINE SUPPLY APPARATUS | 4 |
Junichi Haraguchi | JP | Tokyo | 2012-12-27 / 20120329539 - DRIVING APPARATUS FOR TRAVELING OBJECTS | 1 |
Takahiro Haraguchi | JP | Kawasaki-Shi | 2015-09-03 / 20150248156 - INFORMATION PROCESSING APPARATUS | 4 |
Daiki Haraguchi | JP | Aichi | 2010-07-08 / 20100170354 - LOCK RELEASING MECHANISM | 1 |
Yoshikazu Haraguchi | JP | Osaka | 2010-08-26 / 20100217005 - EPOXY COMPOUND AND METHOD FOR MANUFACTURING THE SAME | 1 |
Naoki Haraguchi | JP | Kawasaki | 2015-05-14 / 20150134849 - ACCESS RELAY METHOD AND ACCESS GATEWAY DEVICE | 6 |
Hirotake Haraguchi | JP | Osaka | 2010-09-02 / 20100219223 - MANUAL BREAKER | 2 |
Masafumi Haraguchi | JP | Tokyo | 2015-09-10 / 20150250498 - TROCAR | 2 |
Tetsunori Haraguchi | JP | Shizuoka-Ken | 2010-09-16 / 20100235050 - PARKING ASSIST APPARATUS | 2 |
Yoshizumi Haraguchi | JP | Kanagawa | 2010-10-21 / 20100265376 - SEMICONDUCTOR IMAGE DEVICE | 2 |
Satoshi Haraguchi | JP | Kodaira-Shi | 2013-09-26 / 20130248432 - WATER TREATMENT EQUIPMENT | 4 |
Hirokazu Haraguchi | JP | Kanagawa | 2011-03-17 / 20110062613 - FOAM MOLDING MOLD AND FOAM MOLDING METHOD | 1 |
Yasuhiro Haraguchi | JP | Shizuoka | 2011-04-07 / 20110081457 - Roasted barley, barley tea bags using the same and method of producing roasted barley | 1 |
Kazutoshi Haraguchi | JP | Chiba | 2011-04-28 / 20110097802 - ORGANIC-INORGANIC COMPOSITE DISPERSION, CELL CULTURE SUBSTRATE MANUFACTURED USING THE SAME, AND METHODS FOR PREPARING THE SAME | 1 |
Kazuya Haraguchi | JP | Osaka | 2010-04-08 / 20100084861 - BITE TYPE PIPE COUPLING, REFRIGERATION DEVICE, AND WATER HEATING DEVICE | 1 |
Yoshinori Haraguchi | JP | Chuo-Ku | 2010-05-27 / 20100131724 - SEMICONDUCTOR DEVICE | 2 |
Kenji Haraguchi | JP | Yokkaichi-Shi | 2010-08-19 / 20100209689 - EXPANDED STYRENE RESIN BEADS AND MOLDED ARTICLE FORMED FROM EXPANDED STYRENE RESIN BEADS | 1 |
Kenichi Harai | JP | Tokyo | 2015-12-03 / 20150349296 - Optical-Member Adhesive Composition, Optical-Member Adhesive Layer, and Surface Light Source Device | 8 |
Yosuke Harai | JP | Fukuyama | 2015-10-29 / 20150306649 - STEEL-SHEET SNAKING PREVENTING DEVICE AND STEEL-SHEET SNAKING PREVENTING METHOD FOR VERTICAL LOOPER | 1 |
Takehiro Harai | JP | Ushiku | 2009-03-05 / 20090058437 - Method and apparatus for reviewing defects by detecting images having voltage contrast | 1 |
Motohiro Harai | JP | Yokohama-Shi | 2014-09-18 / 20140273149 - LONG-TERM STORAGE METHOD FOR CARTILAGE-CELL-ADHERING POROUS BODY | 2 |
Takuji Harai | JP | Ichikawa | 2012-11-08 / 20120279081 - DEVICE AND METHOD FOR PROCESSING TOBACCO | 1 |
Kenichi Harai | JP | Chiyoda-Ku | 2014-01-16 / 20140014938 - LIGHT-EMITTING ELEMENT AND ILLUMINATING APPARATUS | 1 |
Satoshi Harai | JP | Tokyo | 2008-09-04 / 20080214079 - Double-Coated Pressure-Sensitive Adhesive Tape | 1 |
Kenichi Harai | JP | Tokyo | 2015-12-03 / 20150349296 - Optical-Member Adhesive Composition, Optical-Member Adhesive Layer, and Surface Light Source Device | 8 |
Mitsuru Harai | JP | Tokyo | 2009-03-05 / 20090059755 - Method for adjusting a focus position on an optical disc and an optical disc apparatus applying the same therein | 1 |
Hiroaki Harai | JP | Tokyo | 2014-10-09 / 20140304785 - METHOD FOR SECURING NAME REGISTRIES, NETWORK ACCESS AND DATA COMMUNICATION IN ID/LOCATOR SPLIT-BASE NETWORKS | 1 |
Norihiko Haraikawa | JP | Mishima-Shi | 2010-09-23 / 20100236767 - HYDROGEN GAS STORING DEVICE | 3 |
Robert Lawrence Hara, Jr. | US | San Diego | 2011-08-25 / 20110205935 - Frequency Scanning to Form a Communication Network | 1 |
George N. Harakas | US | Blue Hill | 2015-11-19 / 20150329794 - Biodiesel Glycerol Emulsion Fuel Mixtures | 1 |
Naoki Harakawa | JP | Fujieda-Shi | 2009-02-12 / 20090042721 - INORGANIC FIBER CATALYST, PRODUCTION METHOD THEREOF AND CATALYST STRUCTURE | 1 |
Takuya Harakawa | JP | Edogawa-Ku | 2011-06-23 / 20110154186 - METHOD, PROGRAM AND TERMINAL DEVICE FOR RENDERING WEB PAGE | 1 |
Hideaki Harakawa | JP | Kanagawa-Ken | 2012-10-04 / 20120248517 - MAGNETIC MEMORY DEVICE | 1 |
Masaya Harakawa | JP | Chiyoda-Ku | 2013-11-21 / 20130307500 - POWER CONVERSION APPARATUS | 2 |
Tetsuhiro Harakawa | JP | Kumamoto-Ken | 2011-05-05 / 20110105940 - METHOD FOR QUANTIFICATION OF TITER OF NEUTRALIZING ANTIBODY TO NEUROTOXIN | 3 |
Takuya Harakawa | JP | Tokyo | 2010-11-04 / 20100281403 - BROWSER PROGRAM AND TERMINAL DEVICE | 1 |
Toshiro Harakawa | JP | Iwanuma-Shi | 2009-12-17 / 20090311129 - ABRASION RESISTANT SINTERED COPPER BASE CU-NI-SN ALLOY AND BEARING MADE FROM THE SAME | 1 |
Kenichi Harakawa | JP | Chiba | 2015-03-05 / 20150061405 - POWER SUPPLY SYSTEM, AND FIXED BODY AND MOVABLE BODY THEREFOR | 3 |
Hideaki Harakawa | JP | Kawasaki-Shi | 2011-10-13 / 20110248368 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Hideaki Harakawa | JP | Yokohama-Shi | 2013-01-03 / 20130001652 - MAGNETORESISTIVE ELEMENT AND METHOD OF MANUFACTURING THE SAME | 1 |
Hideaki Harakawa | JP | Gifu-Shi | 2013-11-28 / 20130316536 - SEMICONDUCTOR MANUFACTURING DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Toshiro Harakawa | JP | Utsunomiya-Shi | 2013-10-03 / 20130256574 - MAGNETIC-ANISOTROPIC PLASTICALLY DEFORMED BODY, METHOD FOR PRODUCING THE SAME, AND ELECTROMAGNETIC APPARATUS USING THE SAME | 1 |
Shoichi Harakawa | JP | Yokohama-Shi | 2009-11-05 / 20090276078 - Process control system, process control method, and method of manufacturing electronic apparatus | 1 |
Hiromi Harakawa | JP | Kanagawa-Ken | 2009-08-06 / 20090197094 - Polyester resin and thermosetting water-borne coating compositions | 1 |
Hiromi Harakawa | JP | Hiratsuka-Shi | 2009-04-30 / 20090111935 - Aqueous urethane resin compositions | 3 |
Hiromi Harakawa | JP | Kanagawa | 2011-12-01 / 20110293844 - PAINT COMPOSITION AND COATING FILM FORMATION METHOD | 1 |
Kazuya Harakawa | JP | Shizuoka | 2015-08-13 / 20150224945 - WIRE HARNESS AND METHOD FOR INSTALLING WIRE HARNESS IN VEHICLE | 1 |
Shoichi Harakawa | JP | Susono-Shi | 2014-09-18 / 20140260800 - METHOD FOR PRODUCING MAGNETIC POWDER FOR FORMING SINTERED BODY THAT IS PRECURSOR OF RARE-EARTH MAGNET | 1 |
Takashi Harakawa | JP | Yokohama | 2011-03-31 / 20110074241 - ROTATING ELECTRICAL MACHINE AND COIL | 1 |
Masaya Harakawa | JP | Tokyo | 2016-04-14 / 20160105061 - STATOR AND ELECTRIC MOTOR USING SAME | 3 |
Yu Haraki | JP | Osaka | 2015-11-12 / 20150323226 - HEAT PUMP APPARATUS | 5 |
Toshihisa Haraki | JP | Shizuoka-Ken | 2012-11-22 / 20120296504 - IN-VEHICLE CHARGING SYSTEM | 1 |
Kenjiro Haraki | JP | Tokyo-To | 2009-02-26 / 20090053665 - Vertical heat treatment apparatus and method for operating the same | 1 |
Nikolaos Haralabidis | GR | Athens | 2015-11-05 / 20150319721 - Method for Suppression of Spurs From a Free Running Oscillator in Frequency Division Duplex (FDD) and Time Division Duplex (TDD) Wireless Systems | 13 |
Nikolaos Haralabidis | GR | Athens | 2015-11-05 / 20150319721 - Method for Suppression of Spurs From a Free Running Oscillator in Frequency Division Duplex (FDD) and Time Division Duplex (TDD) Wireless Systems | 13 |
Nikos Haralabidis | GR | Athens | 2009-04-02 / 20090088086 - MODIFIED DUAL BAND DIRECT CONVERSION ARCHITECTURE THAT ALLOWS EXTENSIVE DIGITAL CALIBRATION | 1 |
Constantine Haralambopoulos | US | Rochester | 2014-08-21 / 20140230117 - DISPOSABLE DENTAL BIB WITH BUILT-IN SANITARY COLLAR | 1 |
Kosmas Haralampidis | GR | Athens | 2008-10-02 / 20080244791 - ROOT SPECIFIC PROMOTERS | 1 |
Harry A. Haralampopoulos | US | Oak Lawn | 2014-09-18 / 20140272792 - CONVERSION ABUTMENT FOR DENTAL IMPLANTS | 1 |
Stephen G. Haralampu | US | Belmont | 2013-06-20 / 20130153422 - STOPPED-FLOW, MICRO-FLUIDIC DEVICE AND METHOD FOR THE CHARGE-BASED SEPARATION OF COMPLEX ANALYTE MIXTURES | 1 |
Langeder Harald | AT | Pettenbach | 2009-09-17 / 20090230096 - Vapor plasma burner | 1 |
Wagner Harald | DE | Oberaudorf | 2013-08-15 / 20130205623 - BOOT, ESPECIALLY SKI BOOT OR SNOWBOARD BOOT | 2 |
Heckmann Harald | DE | Lonfelden | 2013-10-17 / 20130274684 - HINGED SHIELD ASSEMBLIES AND RELATED METHODS | 1 |
Sutterlutti Harald | AT | Fussach | 2008-09-04 / 20080209674 - Damper arrangement | 1 |
Jari-Jukka Harald | FI | Jarvenpaa | 2014-06-19 / 20140173690 - METHOD AND APPARATUS FOR SECURITY MECHANISM FOR PROXIMITY-BASED ACCESS REQUESTS | 2 |
Gottlieb Harald | DE | Balingen | 2009-08-20 / 20090206816 - CONTROLLER FOR A DOMESTIC APPLIANCE, DOMESTIC APPLIANCE AND ASSOCIATED METHOD | 1 |
Jacobsson Harald | SE | Vastra Frolunda | 2009-01-29 / 20090027132 - Varactor Device with Reduced Temperature Dependence | 1 |
Horchler K. Harald | DE | Wiehl | 2009-12-10 / 20090306611 - WOUND COVER | 1 |
Britta Haraldsen | NO | Horten | 2008-11-27 / 20080292967 - Method and Device for Producing a Battery and Battery | 1 |
Chad A. Haraldson | US | Apple Valley | 2015-01-22 / 20150023990 - ALKOXY SUBSTITUTED IMIDAZOQUINOLINES | 10 |
Chad A. Haraldson | US | Apple Valley | 2015-01-22 / 20150023990 - ALKOXY SUBSTITUTED IMIDAZOQUINOLINES | 10 |
Karin Haraldsson | SE | Lund | 2012-03-29 / 20120077730 - Method for in Vitro Molecular Evolution of Protein Function | 4 |
Rune Haraldsson | US | Gamer | 2009-08-06 / 20090194452 - UNIT DOSE PACKAGING SYSTEM WITH REUSABLE ELECTRONICS COMPONENT | 2 |
Börje Haraldsson | SE | Landvetter | 2012-03-29 / 20120077846 - Treatment of renal cell carcinoma | 2 |
Martin Haraldsson | SE | Stockholm | 2014-12-04 / 20140357623 - NEW ENZYME INHIBITOR COMPOUNDS | 2 |
Tage Haraldsson | SE | Smalandsstenar | 2009-07-09 / 20090175674 - Device at a Binder | 2 |
Peder Haraldsson | SE | Orebro | 2011-09-15 / 20110220419 - ACCUMULATOR MEMBRANE UNIT, METHOD FOR PRODUCTION THEREOF AND ROCK DRILLING MACHINE INCLUDING SUCH AN ACCUMULATOR MEMBRANE UNIT | 1 |
Tommy Haraldsson | SE | Jarfalla | 2015-07-23 / 20150203687 - MODIFICATION OF POLYMER SURFACE PROPERTIES | 3 |
Börje Haraldsson | SE | Landvetter | 2013-04-25 / 20130101507 - Treatment of Renal Cell Carcinoma | 2 |
Tore Haraldsson | SE | Smalandsstenar | 2009-07-09 / 20090175674 - Device at a Binder | 3 |
Klas Tommy Haraldsson | SE | Jarfalla | 2009-07-23 / 20090186306 - Polymeric microfluidic devices from liquid thermoset precursors | 1 |
Kajsa Haraldsson | SE | Malmo | 2012-10-11 / 20120255115 - SHOWER STRETCHER | 1 |
Martin Haraldsson | SE | Taby | 2015-08-27 / 20150239905 - IMIDAZOPYRIDINE COMPOUNDS | 4 |
Gudmundur G. Haraldsson | IS | Reykjavik | 2013-08-01 / 20130196393 - PROCESS FOR SEPARATING POLYUNSATURATED FATTY ACIDS FROM LONG CHAIN UNSATURATED OR LESS SATURATED FATTY ACIDS | 1 |
Rune K. Haraldsson | US | Garner | 2012-03-22 / 20120067882 - Fin Seal Container and Method | 2 |
Rune K. Haraldsson | US | Ashland | 2014-08-07 / 20140218196 - SECURITY PACKAGING | 5 |
Oscar Haraldsson | SE | Lund | 2015-07-23 / 20150207408 - SWITCH PROTECTION I AUXILIARY RESONANT CIRCUIT | 1 |
Göran Haraldsson | SE | Horby | 2013-08-15 / 20130205773 - TURBO COMPOUND TRANSMISSION AND A METHOD FOR CONTROLLING A TURBO COMPOUND TRANSMISSION | 1 |
Göran Haraldsson | SE | Horby | 2013-08-15 / 20130205773 - TURBO COMPOUND TRANSMISSION AND A METHOD FOR CONTROLLING A TURBO COMPOUND TRANSMISSION | 1 |
Hans H. Haraldsted | DK | Farum | 2010-07-29 / 20100191203 - System and equipment for persons with stoma | 1 |
Helle Haraldsted | DK | Glostrup | 2014-07-10 / 20140194841 - Catheter Activation by Handle Removal | 2 |
Aadesh Harale | SA | Dhahran | 2015-10-22 / 20150298972 - SULFUR RECOVERY PROCESS FOR TREATING LOW TO MEDIUM MOLE PERCENT HYDROGEN SULFIDE GAS FEEDS WITH BTEX IN A CLAUS UNIT | 3 |
Aadesh Harale | SA | Abq | 2013-02-14 / 20130041186 - Olefin Hydration Process with an Integrated Membrane Reactor | 1 |
Aadesh Harale | SA | Abqaiq | 2015-02-05 / 20150038748 - HYDROPHILIC MEMBRANE INTEGRATED OLEFIN HYDRATION PROCESS | 5 |
Gregory Martin Haralson | US | Laguna Niguel | 2011-05-05 / 20110101059 - Personal storage device mountable to a bicycle | 1 |
Darron Haralson | US | Franklin | 2014-04-17 / 20140103144 - SEAL MEMBER FOR PLURAL COMPONENT SPRAY GUN | 1 |
Gregory Haralson | US | Laguna Niguel | 2011-11-10 / 20110275448 - INTERCHANGEABLE SHAFT SYSTEM | 6 |
Jessica L. Haralson | US | Lake Orion | 2010-09-30 / 20100245122 - Unit Configuration/Reactivation Through VDU Services | 2 |
Gurulingamurthy Haralur | IN | Karnataka | 2010-06-17 / 20100147548 - ELECTRICAL WIRE COMPRISING AN AROMATIC POLYKETONE AND POLYSILOXANE/POLYIMIDE BLOCK COPOLYMER COMPOSITION | 1 |
Gurulingamurthy M. Haralur | US | Evansville | 2015-11-26 / 20150337187 - POLYETHERIMIDES, METHODS OF MANUFACTURE, AND ARTICLES FORMED THEREFROM | 8 |
Gurulingamurthy Haralur | US | Mt. Vernon | / - | 1 |
Gurulingamurthy Haralur | US | Evansville | 2015-03-19 / 20150080489 - POLYETHERIMIDES, METHODS OF MANUFACTURE, AND ARTICLES FORMED THEREFROM | 2 |
Gurulingamurthy M. Haralur | US | Evansville | 2015-11-26 / 20150337187 - POLYETHERIMIDES, METHODS OF MANUFACTURE, AND ARTICLES FORMED THEREFROM | 8 |
Gurulingamurthy M. Haralur | IN | Bangalore | 2013-05-02 / 20130109815 - PROCESS FOR MAKING POLYSILOXANE/POLYIMIDE COPOLYMER BLENDS | 5 |
Sharon Haramati | IL | Rehovot | 2016-01-07 / 20160000821 - MICRO-RNAS AND COMPOSITIONS COMPRISING SAME FOR THE TREATMENT AND DIAGNOSIS OF SEROTONIN-, ADRENALIN-, NORADRENALIN-, GLUTAMATE-, AND CORTICOTROPIN-RELEASING HORMONE- ASSOCIATED MEDICAL CONDITIONS | 4 |
Yaniv Haramati | CA | Port Moody | 2012-05-24 / 20120131116 - CONTROLLING DATA TRANSFER ON MOBILE DEVICES | 1 |
Lior Haramaty | US | Tenafly | 2011-11-24 / 20110288374 - METHOD AND ENDOSCOPIC DEVICE FOR EXAMINING OR IMAGING AN INTERIOR SURFACE OF A CORPOREAL CAVITY | 1 |
Liti Haramaty | US | East Brunswick | 2011-06-02 / 20110129851 - Methods for Obtaining Bioactive Compounds from Phytoplankton | 2 |
Zachy Haramaty | IL | Hemed | 2016-01-28 / 20160028613 - Dragonfly Plus: Communication Over Bipartite Node Groups Connected by a Mesh Network | 10 |
Zachy Haramaty | IL | Ramat Gan | 2013-07-25 / 20130188946 - SYSTEM FOR REDUNDANCY IN ETHERNET PASSIVE OPTICAL NETWORKS (EPONS) | 5 |
Lior Haramaty | US | Bergenfield | 2014-11-13 / 20140337694 - METHOD FOR AUTOMATICALLY OPTIMIZING THE EFFECTIVENESS OF A WEBSITE | 1 |
Zachi Haramaty | IL | Hemed | 2013-09-19 / 20130243418 - FIBER PROTECTION AND POWER SAVE WITH SECURITY | 1 |
Zachy Haramaty | IL | Hemed | 2016-01-28 / 20160028613 - Dragonfly Plus: Communication Over Bipartite Node Groups Connected by a Mesh Network | 10 |
Yaniv Haramaty | US | Orlando | 2014-11-27 / 20140345996 - SUITCASE SUPPORT APPARATUS, KIT AND METHOD OF INSTALLING THE SAME | 1 |
Lior Haramaty | US | Cresskill | 2011-12-01 / 20110295061 - METHOD AND DEVICE FOR IMAGING AN INTERIOR SURFACE OF A CORPOREAL CAVITY | 1 |
Carlos E. Haramboure | US | Houston | 2013-05-02 / 20130105680 - METHOD AND SYSTEM OF DETERMINING A PARAMETER ASSOCIATED WITH A FORMATION CORRECTED FOR NEUTRONS PRODUCED | 1 |
Carlos Haramboure | US | Houston | 2013-03-21 / 20130069656 - Borehole Resistivity Imager Using Discrete Energy Pulsing | 1 |
Mario Haramboure | US | Travelers Rest | 2014-03-06 / 20140065933 - SAND BLASTER WITH REDUCED VIBRATION AND WEAR | 1 |
David L. Harame | US | Essex Junction | 2016-04-14 / 20160104770 - PROFILE CONTROL OVER A COLLECTOR OF A BIPOLAR JUNCTION TRANSISTOR | 47 |
David Louis Harame | US | Essex Junction | 2012-10-04 / 20120248573 - TUNABLE SEMICONDUCTOR DEVICE | 1 |
Nassim Haramein | US | Holualoa | 2016-03-31 / 20160090666 - Precision Cut High Energy Crystals | 8 |
Nassim Haramein | US | Holualoa | 2016-03-31 / 20160090666 - Precision Cut High Energy Crystals | 8 |
Kiichi Haramiishi | JP | Tokyo | 2013-11-07 / 20130292447 - STAPLE REFILL, STAPLER, AND CARTRIDGE | 9 |
Yoshihisa Haramiishi | JP | Osaka | / - | 1 |
Kiichi Haramiishi | JP | Tokyo | 2013-11-07 / 20130292447 - STAPLE REFILL, STAPLER, AND CARTRIDGE | 9 |
Hiroaki Haramiishi | JP | Hadano | 2011-04-28 / 20110099544 - INFORMATION PROCESSING APPARATUS AND SYSTEM SETTING METHOD | 1 |
Kiichi Haramiishi | JP | Gunma | 2011-06-30 / 20110155754 - BOX BODY | 4 |
Christopher C. Haramis | US | Wayne | 2011-11-24 / 20110284122 - Drink layering potion machine | 2 |
Matthew N. Haramis | US | Wayne | 2011-11-24 / 20110284122 - Drink layering potion machine | 2 |
Satoshi Haramizu | JP | Haga-Gun | 2012-12-06 / 20120309716 - Motor Function Improver | 2 |
Satoshi Haramizu | JP | Tochigi | 2009-11-12 / 20090281174 - SENESCENCE INHIBITOR | 2 |
Satoshi Haramizu | JP | Tokyo | 2010-05-27 / 20100130693 - GRAFTED SILICONE POLYMER AND PRODUCTS MADE THEREWITH | 1 |
Saloshi Haramizu | JP | Tokyo | 2010-11-11 / 20100284957 - Powder Treated with (Meth)Acrylic-Grafted Silicone Polymer and Product Using the Same | 1 |
Takayuki Haramoto | JP | Wako-Shi | 2015-07-16 / 20150197298 - SEAT PERIPHERAL STRUCTURE FOR SADDLE TYPE VEHICLE | 1 |
Hideki Haramoto | JP | Kasumigaura-Shi | 2012-03-22 / 20120067661 - CONSTRUCTION MACHINE | 2 |
Yuichiro Haramoto | JP | Kofu-Shi | 2011-01-06 / 20110003902 - PROTON TRANSPORTING MATERIAL, STARTING MATERIAL THEREOF, ION EXCHANGE MEMBRANE, MEMBRANE ELECTROLYTE ASSEMBLY, AND FUEL CELL USING THE SAME | 2 |
Atsushi Haramoto | JP | Sakai-Shi | 2016-03-03 / 20160059862 - Work Vehicle | 1 |
Rie Haramoto | JP | Minamikoma-Gun, Yamanashi | 2016-01-28 / 20160023474 - COLOR IMAGE PROCESSING DEVICE | 1 |
Cary Haramoto | US | Germantown | 2012-03-15 / 20120060959 - COUPLING FOR USE WITH ULTRA LOW PERMEATION HOSE | 1 |
Yoshiaki Haramoto | JP | Tokyo | 2014-09-18 / 20140266231 - IONIZED GAS DETECTOR AND IONIZED GAS DETECTING METHOD | 1 |
Yuichiro Haramoto | JP | Yamanashi | 2014-07-31 / 20140212080 - COMPONENT FOR USE IN A BEARING DEVICE AND A METHOD FOR FORMING A LUBRICANT LAYER | 6 |
Atsushi Haramoto | JP | Osaka | 2012-12-20 / 20120322615 - Work Vehicle | 2 |
Mari Haramoto | JP | Kyoto-Shi | 2013-10-17 / 20130274201 - SELECTIVE ANTICANCER CHIMERIC PEPTIDE | 3 |
Masayuki Haramura | JP | Kamakura-Shi | 2011-08-04 / 20110189789 - NOVEL TARGET PROTEIN OF ANTICANCER AGENT AND NOVEL ANTICANCER AGENT (SPNAL) CORRESPONDING THERETO | 2 |
Masayuki Haramura | JP | Kanagawa | 2009-09-24 / 20090239916 - NOVEL DRUG DISCOVERY TARGET AND MEDICINE ACTING ON THE SAME | 2 |
Yoshiaki Haramura | JP | Osaka | 2009-03-05 / 20090060244 - Face-to-face communication apparatus | 1 |
Yossi Haran | IL | Modi'In-Macabim-Re'Ut | 2013-12-19 / 20130334337 - SINGLE SCENT ENGINE ARRANGED TO PRODUCE A VARIABLE SCENT OUTPUT | 2 |
Kiruba S. Haran | US | Clifton Park | 2011-06-09 / 20110133469 - WIND TURBINE DRIVETRAIN SYSTEM | 1 |
Edna Haran | IL | Ness-Ziona | 2011-10-06 / 20110245657 - LUNG CANCER DIAGNOSIS USING MAGNETIC RESONANCE IMAGING DATA OBTAINED AT THREE TIME POINTS | 1 |
Edward William Haran | US | Northville | 2015-07-16 / 20150197153 - VEHICLE HIGH VOLTAGE INTERLOCK STARTUP | 2 |
Linda A. Haran | US | Santa Ana | 2011-06-09 / 20110137824 - CREDIT PORTFOLIO BENCHMARKING SYSTEM AND METHOD | 2 |
On Haran | IL | Kefar Sava | 2011-04-21 / 20110089348 - METHOD AND APPARATUS FOR THIN FILM QUALITY CONTROL | 1 |
Kiruba Sivasubramaniam Haran | US | Clifton Park | 2015-10-01 / 20150275870 - PUMPING SYSTEM FOR A WELLBORE AND METHODS OF ASSEMBLING THE SAME | 24 |
Onn Haran | IL | Bnei Dror | 2015-12-31 / 20150381751 - METHOD AND APPARATUS FOR PROXY OPERATION IN VEHICULAR COMMUNICATIONS | 10 |
Frank Martin Haran | CA | N. Vancouver | 2016-04-28 / 20160117426 - PULSE SHAPE CHANGE FOR INTERFACE DETERMINATION | 1 |
Narayanan Haran | US | Hoffman Estates | 2013-12-19 / 20130337769 - SYSTEM AND METHOD FOR USING NETWORK IDENTIFICATION INFORMATION TO MANAGE MOBILE WIRELESS USER SERVICE POLICIE | 2 |
Onn Haran | IL | Bnei Dror | 2015-12-31 / 20150381751 - METHOD AND APPARATUS FOR PROXY OPERATION IN VEHICULAR COMMUNICATIONS | 10 |
Pranatharthi Subbaratnam Haran | US | Fremont | 2016-02-25 / 20160056985 - ENERGY EFFICIENT HIGHWAY ADDRESSABLE REMOTE TRANSDUCER SOFT MODEM | 1 |
Balasubramanian S. Haran | US | Mount Kisco | 2014-06-05 / 20140151803 - Inducing Channel Stress in Semiconductor-on-Insulator Devices by Base Substrate Oxidation | 1 |
Kiruba Sivasubramaniam Haran | US | Clifton Park | 2015-10-01 / 20150275870 - PUMPING SYSTEM FOR A WELLBORE AND METHODS OF ASSEMBLING THE SAME | 24 |
Edward W. Haran | US | Northville | 2015-10-22 / 20150303837 - CONTROLLING MAGNETIC FLUX IN AN AUTOMOTIVE ELECTRIC MACHINE | 2 |
Ramakrishnan Haran | US | 2015-11-05 / 20150313831 - NAIL COMPOSITIONS | 1 | |
Balasubramanian Haran | US | Watervliet | 2009-09-24 / 20090239062 - METHOD AND STRUCTURE OF INTEGRATED RHODIUM CONTACTS WITH COPPER INTERCONNECTS | 1 |
Frank Martin Haran | CA | North Vancouver | 2016-04-07 / 20160098500 - MODEL-BASED ECHO CURVE PREDICTION FOR PULSED RADAR | 10 |
Yossi Haran | IL | Modiin | 2014-12-11 / 20140361095 - ULTRASONIC MICROVALVE ARRAY UNIT FOR PRODUCTION OF MIST | 4 |
Frank M. Haran | CA | North Vancouver | 2013-10-31 / 20130289918 - SYSTEM AND METHOD FOR CORRECTING CALIPER MEASUREMENTS OF SHEET PRODUCTS IN SHEET MANUFACTURING OR PROCESSING SYSTEMS | 10 |
Onn Haran | US | San Jose | 2012-09-27 / 20120243872 - OPERATION METHODS IN AN ETHERNET PASSIVE OPTICAL NETWORK THAT INCLUDES A NETWORK UNIT WITH MULTIPLE ENTITIES | 5 |
Balasubramanian S. Haran | US | Watervliet | 2016-05-05 / 20160126352 - HYBRID ORIENTATION FIN FIELD EFFECT TRANSISTOR AND PLANAR FIELD EFFECT TRANSISTOR | 84 |
Terence Haran | US | Smyrna | 2013-07-25 / 20130187777 - CONTAINER STATUS MONITORING SYSTEMS AND METHODS | 2 |
Frank M. Haran | CA | Vancouver | 2011-02-03 / 20110026026 - APPARATUS FOR CHARACTERIZING FIBROUS MATERIALS USING STOKES PARAMETERS | 4 |
Balasubramanian S. Haran | US | Watervliet | 2016-05-05 / 20160126352 - HYBRID ORIENTATION FIN FIELD EFFECT TRANSISTOR AND PLANAR FIELD EFFECT TRANSISTOR | 84 |
Balasubramanian Pranathari Haran | US | Albany | 2015-03-05 / 20150064856 - SEMICONDUCTOR STRUCTURE WITH DEEP TRENCH THERMAL CONDUCTION | 1 |
Bala S. Haran | US | Watervliet | 2014-01-23 / 20140024181 - SEMICONDUCTOR STRUCTURE HAVING NFET EXTENSION LAST IMPLANTS | 1 |
Shoshan Haran | IL | Kibbutz Beeri | 2009-09-03 / 20090222942 - GENERATION OF PLANTS WITH IMPROVED PATHOGEN RESISTANCE | 1 |
Yossi Haran | IL | Modiln | 2011-06-23 / 20110151400 - DENTAL BONE IMPLANT, METHODS FOR IMPLANTING THE DENTAL BONE IMPLANT AND METHODS AND SYTEMS FOR MANUFACTURING DENTAL BONE IMPLANTS | 2 |
Bala S. Haran | US | Elmsford | 2011-07-07 / 20110163449 - SUPERFILLED METAL CONTACT VIAS FOR SEMICONDUCTOR DEVICES | 1 |
Balasubramanian S. Haran | US | Watervliert | 2013-04-04 / 20130082308 - SEMICONDUCTOR DEVICES WITH RAISED EXTENSIONS | 1 |
Onn Haran | IL | Even Yehuda | 2011-04-14 / 20110087925 - GPON ROGUE-ONU DETECTION BASED ON ERROR COUNTS | 4 |
Kiruba Sivasubramaniam Haran | US | Niskayuna | 2011-11-24 / 20110285219 - Electrical Machine with Superconducting Armature Coils and Other Components | 2 |
Balasubramanian S. Haran | US | Albany | 2015-05-28 / 20150147853 - CMOS WITH DUAL RAISED SOURCE AND DRAIN FOR NMOS AND PMOS | 13 |
Francis Martin Haran | CA | North Vancouver | 2008-09-25 / 20080229728 - Method and system for the simultaneous measurement of a plurality of properties associated with an exhaust gas mixture | 1 |
Frank M. Haran | CA | North Vancouver | 2013-10-31 / 20130289918 - SYSTEM AND METHOD FOR CORRECTING CALIPER MEASUREMENTS OF SHEET PRODUCTS IN SHEET MANUFACTURING OR PROCESSING SYSTEMS | 10 |
Todd Haran | US | Bloomfield | 2011-06-16 / 20110141584 - LENS ACTUATOR MODULE | 1 |
Frank Martin Haran | CA | North Vancouver | 2016-04-07 / 20160098500 - MODEL-BASED ECHO CURVE PREDICTION FOR PULSED RADAR | 10 |
Bala Subramanian Pranatharthi Haran | US | Watervliet | 2015-07-23 / 20150206844 - INTEGRATED CIRCUITS HAVING GATE CAP PROTECTION AND METHODS OF FORMING THE SAME | 1 |
Balasubramanian S. Haran | US | Albany | 2015-05-28 / 20150147853 - CMOS WITH DUAL RAISED SOURCE AND DRAIN FOR NMOS AND PMOS | 13 |
Patrick Haran | US | Holbrook | 2014-04-10 / 20140097629 - Integrated Two Dimensional Robotic Palm for Variable Pitch Positioning of Multiple Transfer Devices | 1 |
Bala Subramanian Haran | US | Albany | 2012-11-22 / 20120292706 - SCHEME TO ENABLE ROBUST INTEGRATION OF BAND EDGE DEVICES AND ALTERNATIVE CHANNELS | 2 |
Milane Haran | IL | Kibbutz Shfayim | 2012-03-15 / 20120064013 - FOAMABLE TOPICAL COMPOSITION | 1 |
On Haran | IL | Kfar-Saba | 2013-11-14 / 20130300696 - METHOD FOR IDENTIFYING PALM INPUT TO A DIGITIZER | 2 |
Balasubramanian S. Pranatharthi Haran | US | Albany | 2011-10-20 / 20110254015 - METHOD FOR IMPROVING DEVICE PERFORMANCE USING EPITAXIALLY GROWN SILICON CARBON (SiC) OR SILICON-GERMANIUM (SiGe) | 1 |
Saori Haranaka | JP | Fukuoka | 2009-12-10 / 20090301609 - High-Strength Non-Oriented Electrical Steel Sheet | 1 |
Ali Harandi | SE | Molndal | 2010-12-30 / 20100330101 - BIFUNCTIONAL CpG OR OLIGO-/POLYNUCLEOTIDE AND TOXIN OR ENTEROTOXIN CONTAINING COMPOSITION | 1 |
Mohsen N. Harandi | US | The Woodlands | 2016-04-14 / 20160102032 - APPARATUS AND PROCESS FOR PRODUCING GASOLINE, OLEFINS AND AROMATICS FROM OXYGENATES | 3 |
Mohsen N. Harandi | CA | Calgary | 2011-06-23 / 20110147267 - RAPID CYCLE REFORMING PROCESS | 2 |
Jenna Harang | US | Waltham | 2009-05-07 / 20090118220 - SUBSTITUTED ADENINES AND THE USES THEREOF | 1 |
Matej Harangozo | US | Columbia | 2012-11-08 / 20120284124 - BUILDING ENERGY PERFORMANCE/IMPROVEMENTS | 1 |
Riichiro Harano | JP | Kanagawa | 2009-02-12 / 20090041980 - Electrostatic Chuck and Electrode Sheet for Electrostatic Chuck | 1 |
Hiroyuki Harano | JP | Kurate-Gun | 2013-01-10 / 20130010981 - MICROPHONE | 2 |
Fumiki Harano | JP | Osaka | 2010-11-04 / 20100279972 - COMPOSITION FOR EXTERNAL APPLICATION | 1 |
Takuji Harano | JP | Mie | 2015-09-24 / 20150270050 - MAGNETIC CORE AND PROCESS FOR PRODUCING SAME | 2 |
Junichi Harano | JP | Matsudo-Shi | 2009-12-31 / 20090322188 - SMALL-SIZED MOTOR | 1 |
Riichiro Harano | JP | Tokyo | 2009-10-08 / 20090250077 - Apparatus for removing foreign material from substrate and method for removing foreign material from substrate | 1 |
Yuichi Harano | JP | Tokyo | 2010-03-04 / 20100055879 - METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Yuuki Harano | JP | Minato-Ku | 2012-08-16 / 20120208627 - GAME SYSTEM AND COMPUTER PROGRAM THEREFOR | 1 |
Yuzo Harano | JP | Sagamihara-Shi | 2015-09-24 / 20150268910 - IMAGE FORMING APPARATUS THAT PRINTS REPORT PAGE COINCIDING WITH PRINT JOB EXECUTION RESULT, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM | 11 |
Hiroyuki Harano | JP | Osaka | 2012-08-02 / 20120195453 - Unidirectional Microphone | 1 |
Tomokazu Harano | JP | Tsu-Shi | 2008-08-28 / 20080205826 - Photoelectric Transforming Connector for Optical Fibers | 1 |
Seigo Harano | JP | Chiyoda-Ku | 2015-01-15 / 20150017970 - RADIO BASE STATION APPARATUS, RADIO BASE STATION CONTROLLER, AND TRANSITION CONTROL METHOD | 8 |
Yasushi Harano | JP | Sakura-Shi | 2011-12-08 / 20110299817 - METHOD FOR MANUFACTURING OPTICAL MODULE | 2 |
Nobuya Harano | JP | Kakegawa-Shi | 2009-04-16 / 20090096685 - ANTENNA DEVICE FOR PORTABLE TERMINALS AND RADIO UNIT FOR RECEIVING BROADCAST WAVES | 2 |
Yuichi Harano | JP | Kanagawa | 2011-06-02 / 20110127158 - MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Yousuke Harano | JP | Shinjuku | 2012-12-13 / 20120317420 - ELECTRONIC SIGNATURE DEVICE AND ELECTRONIC SIGNATURE METHOD | 1 |
Kenichi Harano | JP | Kobe-Shi | 2015-05-28 / 20150143723 - Molded Foam Article, Foamed Sole, and Shoe | 2 |
Seigo Harano | JP | Chiyoda-Ku, Tokyo | 2014-04-24 / 20140112304 - RADIO RESOURCE MANAGEMENT APPARATUS | 1 |
Kenichi Harano | JP | Hyogo | 2008-09-25 / 20080229622 - Shoe Sole Component | 1 |
Shinya Harano | JP | Kagoshima | 2015-09-17 / 20150262963 - SEMICONDUCTOR DEVICE AND WIRE BONDING INTERCONNECTION METHOD | 1 |
Kenji Harano | JP | Hachioji-Shi | 2012-11-22 / 20120296159 - SUPPORTING APPARATUS FOR MEDICAL DEVICE | 3 |
Nobuya Harano | JP | Shizuoka | 2010-02-04 / 20100026595 - ANTENNA DEVICE AND MOBILE WIRELESS TERMINAL | 1 |
Kenichi Harano | JP | Kobe | 2015-07-02 / 20150181975 - Foamed Sole and Shoe | 3 |
Takeshi Harano | JP | Ibaraki | 2013-03-21 / 20130070116 - IMAGE PROCESSING DEVICE, METHOD OF CONTROLLING IMAGE PROCESSING DEVICE AND PROGRAM CAUSING COMPUTER TO EXECUTE THE METHOD | 3 |
Satomi Harano | JP | Koshi-Shi, Kumamoto | 2016-02-25 / 20160051731 - HYBRID GEL COMPRISING PARTICULATE DECELLULARIZED TISSUE | 1 |
Satomi Harano | JP | Kikuchi-Shi | 2012-07-12 / 20120177718 - WOUND-COVERING MATERIAL | 1 |
Fumiki Harano | JP | Osaka-Shi | 2013-04-11 / 20130090301 - AGENT FOR SUPPRESSING THE FORMATION OF ABNORMAL SKIN CELLS CAUSED BY EXPOSURE TO LIGHT | 1 |
Seigo Harano | JP | Yokohama-Shi | 2013-01-31 / 20130029679 - BASE STATION APPARATUS AND METHOD | 4 |
Takuji Harano | JP | Kameyama | 2016-02-04 / 20160033303 - MAGNETIC ENCODER AND PRODUCTION METHOD THEREFOR | 1 |
Hiroaki Harano | JP | Nagano | 2012-11-15 / 20120289756 - ASBESTOS-TREATING AGENT AND METHOD FOR TREATING ASBESTOS | 1 |
Yuzo Harano | JP | Toride-Shi | 2016-03-03 / 20160063366 - IMAGE PROCESSING APPARATUS, PRINT PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Seigo Harano | JP | Chiyoda-Ku | 2015-01-15 / 20150017970 - RADIO BASE STATION APPARATUS, RADIO BASE STATION CONTROLLER, AND TRANSITION CONTROL METHOD | 8 |
Seigo Harano | JP | Kanagawa | 2011-09-15 / 20110223883 - BASE STATION APPARATUS, MOBILE COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 2 |
Fumiki Harano | JP | Shiga | 2011-09-15 / 20110224163 - GEL COMPOSITION FOR EXTERNAL APPLICATION CONTAINING AN ADENINE COMPOUND (As Amended) | 2 |
Yuzo Harano | JP | Kanagawa | 2008-11-06 / 20080275961 - CONTROL APPARATUS AND ITS METHOD, AND CONTROL PROGRAM AND STORAGE MEDIUM HOLDING IT | 1 |
Arinori Harano | JP | Chiba | 2016-03-24 / 20160082135 - PRECURSOR COMPOUND OF RADIOACTIVE HALOGEN-LABELED ORGANIC COMPOUND | 4 |
Kenji Harano | JP | Tokyo | 2009-01-08 / 20090009595 - SCATTERING MEDIUM INTERNAL OBSERVATION APPARATUS, IMAGE PICKUP SYSTEM, IMAGE PICKUP METHOD AND ENDOSCOPE APPARATUS | 1 |
Yuzo Harano | JP | Sagamihara-Shi | 2015-09-24 / 20150268910 - IMAGE FORMING APPARATUS THAT PRINTS REPORT PAGE COINCIDING WITH PRINT JOB EXECUTION RESULT, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM | 11 |
Adam W. Harant | US | Louisville | 2013-07-04 / 20130169706 - Methods for Measurement of Microdisplay Panel Optical Performance Parameters | 1 |
Tatsunori Harao | JP | Kani-Shi | 2012-09-27 / 20120241245 - ELECTRIC POWER STEERING APPARATUS | 1 |
Michuko Harao | JP | Kumamoto | 2011-06-23 / 20110152199 - CDCA1 PEPTIDE AND PHARMACEUTICAL AGENT COMPRISING THE SAME | 1 |
Michiko Harao | JP | Kumamoto | 2012-12-06 / 20120308590 - IMP-3 OLIGOPEPTIDES AND VACCINES INCLUDING THE SAME | 1 |
Akio Harao | JP | Osaka | 2012-01-26 / 20120022217 - PROCESS FOR MANUFACTURING COMPOSITION OF SOLVOLYSIS PRODUCT OF ETHYLENE-VINYL ESTER COPOLYMER | 4 |
Noriyuki Harao | JP | Nara | 2010-02-25 / 20100047645 - COGENERATION SYSTEM | 1 |
Akira Harao | JP | Makinohara-Shi | 2014-09-18 / 20140268581 - WIRING SUBSTRATE AND MANUFACTURING METHOD THEREOF | 6 |
Mutsumu Haraoka | JP | Toyota-Shi | 2010-11-04 / 20100280718 - OCCUPANT PROTECTION DEVICE | 2 |
Kazuo Haraoka | JP | Tokyo | 2010-09-02 / 20100220954 - SIGNAL PROCESSING APPARATUS | 4 |
Takashi Haraoka | JP | Tokyo | 2010-05-13 / 20100115773 - PREFORM, HYDROFORMING METHOD, AND HYDROFORMED PRODUCT | 2 |
Ravi Kumar Harapanahalli | IN | Bangalore | 2014-08-28 / 20140240148 - SYSTEM AND METHOD FOR DISPLAYING VISUAL FLIGHT REFERENCE POINTS | 1 |
Ravi S. Harapanhalli | US | Silver Spring | 2014-06-26 / 20140178298 - METHODS FOR TUMOR DIAGNOSIS AND THERAPY | 3 |
Agron Haraqia | NZ | Auckland | 2013-06-20 / 20130152536 - LAWNMOWER SAFETY | 3 |
Mordechai Harari | IL | Rishon Lezion | 2016-03-17 / 20160076293 - SYSTEM AND DEVICE FOR SOFT CLOSING | 5 |
Daniel Harari | IL | Rehovot | 2011-09-29 / 20110236975 - GROWTH FACTOR WHICH ACTS THROUGH ERB B-4 RTK | 2 |
Boaz Harari | IL | Haifa | 2015-06-25 / 20150173897 - PROSTHETIC MITRAL VALVE | 3 |
Albert Harari | FR | Nice | 2008-09-04 / 20080215977 - SYSTEM IN AN OFFICE APPLICATION FOR PROVIDING CONTENT DEPENDENT HELP INFORMATION | 1 |
Eran Harari | IL | Maagan Michael | 2013-03-07 / 20130060234 - INTUSSUSCEPTING BALLOON CATHETER AND METHODS FOR CONSTRUCTING AND USING THEREOF | 4 |
Paul M. Harari | US | Madison | 2009-10-08 / 20090253721 - Combined treatment with radiation and an epidermal growth factor receptor kinase inhibitor | 1 |
Ron Harari | IL | Petach-Tikva | 2014-03-20 / 20140082494 - METHOD FOR DISPLAYING E-MAIL MESSAGES TO A USER | 2 |
Sagi Harari | IL | Tel Aviv | 2014-05-08 / 20140128143 - SYSTEM FOR COMPUTERIZED REEL-BASED GAMING AND A METHOD OF OPERATING THEREOF | 2 |
Tzach Harari | IL | Givatayim | 2014-04-10 / 20140097620 - FLUID POWER CONVERSION DEVICE | 2 |
Micha Harari | IL | Gan Yavne | 2012-06-14 / 20120144735 - CULTIVATION OF TAMARIX TREE FOR BIOMASS FUEL | 1 |
Eliyahou Harari | US | Saratoga | 2014-09-04 / 20140250348 - Controller and Method for Interfacing Between a Host Controller in a Host and a Flash Memory Device | 17 |
David Harari | US | San Diego | 2016-02-25 / 20160051135 - 4-WAY CYSTOSCOPY CATHETER | 2 |
Jacob Harari | US | Brooklyn | 2014-09-18 / 20140261148 - MAGNETIC FLAG HOLDER APPARATUS | 2 |
Alexandre Harari | CH | Lausanne | 2013-12-19 / 20130338059 - Methods for Differentiating Between Disease States | 2 |
Alexander Harari | CH | Lausanne | 2009-03-05 / 20090060947 - Immunological compositions | 1 |
Abraham Harari | US | Chatsworth | 2010-06-24 / 20100154663 - Universal manual trash compactor | 1 |
Olivier Alfred Harari | GB | London | 2014-02-27 / 20140056885 - SUBCUTANEOUSLY ADMINISTERED ANTI-IL-6 RECEPTOR ANTIBODY | 3 |
Marke Harari | FR | Roz Landrieux | 2009-01-15 / 20090013650 - METHOD FOR MAKING A CONTAINER COMPRISING TWO OR MORE COMPARTMENTS | 1 |
Boaz Harari | IL | Ganey Tikvah | 2011-05-12 / 20110112559 - SURGICAL COMPRESSION CLIPS | 1 |
Alexander Harari | US | Santa Monica | 2008-09-25 / 20080231630 - Web Enabled Three-Dimensional Visualization | 2 |
Boaz Harari | IL | Tel Aviv | 2014-11-27 / 20140350565 - APPARATUS AND METHODS FOR DELIVERING DEVICES FOR REDUCING LEFT ATRIAL PRESSURE | 7 |
Sagi Harari | GB | Isle Of Man | 2010-08-12 / 20100203956 - METHOD AND SYSTEM FOR CONVERTING LANDBASED PLAYERS TO ON-LINE PLAYERS | 1 |
Yuval Harari | IL | D.n. Emek Soreq | 2010-12-16 / 20100317002 - METHODS AND KITS FOR DIAGNOSING LUNG CANCER | 1 |
Boaz Harari | IL | Ganey Tikva | 2015-07-30 / 20150209562 - TREATMENT OF CAVITIES IN A HUMAN BODY | 3 |
Mordechai Harari | IL | Rishon Le Zion | 2010-07-22 / 20100180506 - COUPLING MECHANISM FOR SLIDING DOORS | 1 |
Boaz Harari | IL | Ganei-Tikva | 2016-01-07 / 20160000455 - METHODS AND DEVICES FOR URETHRAL TREATMENT | 5 |
Eli Harari | US | Saratoga | 2011-12-22 / 20110309425 - Air Gap Isolation In Non-Volatile Memory | 2 |
Daniel Harari | IL | Rechovot | 2010-02-25 / 20100048863 - GROWTH FACTOR WHICH ACTS THROUGH ERB B-4 RTK | 1 |
Nadav Or Harari | IL | Kibbutz Yorvata | 2009-12-03 / 20090299822 - SYSTEM AND METHOD FOR OPTIMIZED SHOPPING TRANSACTIONS | 1 |
Eliyahou Harari | US | Los Gatos | 2012-03-15 / 20120061459 - Memory Cards Including a Standard Security Function | 2 |
Shahar Harari | IL | Tel Aviv | 2015-09-03 / 20150245828 - TISSUE RETRACTOR | 2 |
Sagi Harari | GB | Douglas | 2012-09-27 / 20120244943 - METHOD AND APPARATUS FOR ONLINE GAMING ON TERMINALS | 4 |
Orit Harari-Shteinberg | IL | Raanana | 2011-12-22 / 20110311494 - METHODS OF REPROGRAMMING RENAL CELLS | 1 |
Orit Harari-Steinberg | IL | Raanana | 2015-05-21 / 20150139963 - ISOLATED POPULATIONS OF RENAL STEM CELLS AND METHODS OF ISOLATING AND USING SAME | 2 |
Ronnen Harary | CA | Toronto | 2012-12-06 / 20120309257 - Interconnectable and Transformable Toy Building Element | 1 |
Sivan Harary | IL | Haifa | 2014-10-30 / 20140325409 - Active & Efficient Monitoring of a Graphical User Interface | 2 |
Yoram Harary | IL | Tel Mond | 2016-05-19 / 20160139188 - METHOD AND SYSTEM FOR ANALYSIS OF ENERGY SAVINGS IN AN ELECTRICAL NETWORK | 1 |
Oren Harary | IL | Tel Mond | 2016-05-19 / 20160139188 - METHOD AND SYSTEM FOR ANALYSIS OF ENERGY SAVINGS IN AN ELECTRICAL NETWORK | 1 |
Gabriel Haras | DE | Muecke | 2012-06-07 / 20120143659 - COMPUTED TOMOGRAPHY DEVICE AND METHOD AND DATA STORAGE MEDIUM FOR OPERATION THEREOF | 4 |
Gabriel Haras | DE | Mücke | 2013-05-09 / 20130114785 - METHOD FOR THE MEDICAL IMAGING OF A BODY PART, IN PARTICULAR THE HAND | 13 |
Gabriel Haras | DE | Mücke | 2009-11-19 / 20090285357 - Automatic Patient Positioning System | 1 |
Gabriel Haras | DE | Mücke | 2013-05-09 / 20130114785 - METHOD FOR THE MEDICAL IMAGING OF A BODY PART, IN PARTICULAR THE HAND | 13 |
Kazuhiro Harasaka | JP | Miyagi | 2015-02-26 / 20150054591 - HEATER SUBSTRATE, ALKALI METAL CELL UNIT AND ATOMIC OSCILLATOR | 22 |
Kazumi Harasaki | JP | Aichi | 2012-05-31 / 20120136516 - Traveling Vehicle System | 2 |
Kazumi Harasaki | JP | Inuyama-Shi | 2015-07-02 / 20150187218 - TRAVELLING VEHICLE SYSTEM AND METHOD FOR CONTROLLING TRAVEL OF TRAVELLING VEHICLE IN CURVED SECTION | 3 |
Kazuhiko Harasaki | JP | Tokyo | 2009-06-18 / 20090154396 - MOBILE COMMUNICATION MANAGEMENT SYSTEM | 1 |
Takaaki Harasaki | JP | Toyama-Shi | 2011-05-19 / 20110114045 - SLIDING MEMBER AND SURFACE TREATMENT METHOD FOR THE SAME | 1 |
Shunsuke Harasaki | JP | Tokyo | 2009-10-15 / 20090257661 - Information processing apparatus and method, and program | 2 |
Shinichirou Harasawa | JP | Kawasaki | 2009-07-09 / 20090175616 - Automatic power restoring method and optical communication system | 2 |
Takeshi Harasawa | JP | Kanagawa | 2011-12-08 / 20110299198 - MAGNETIC RECORDING MEDIUM, MAGNETIC SIGNAL REPRODUCTION SYSTEM AND MAGNETIC SIGNAL REPRODUCING METHOD | 4 |
Hirokazu Harasawa | JP | Saitama | 2012-07-19 / 20120181924 - UV ENHANCER FOR DISCHARGE LAMP AND MANUFACTURING METHOD THEREOF | 1 |
Akinori Harasawa | JP | Kunitachi-Shi | 2014-09-04 / 20140250277 - MEMORY SYSTEM | 4 |
Takehiko Harasawa | JP | Minami-Ashigara-Shi | 2012-08-30 / 20120219721 - METHOD FOR PRODUCING FILMS HAVING PARTICLE-CONTAINING LAYER | 1 |
Akinori Harasawa | JP | Tokyo | 2014-01-16 / 20140019672 - MEMORY SYSTEM AND CONTROL METHOD THEREOF | 1 |
Masanori Harasawa | JP | Kumamoto | 2015-04-09 / 20150098007 - SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS | 2 |
Yoshiaki Harasawa | JP | Maebashi | 2009-03-12 / 20090068967 - COMMUNICATION SEMICONDUCTOR INTEGRATED CIRCUIT, COMMUNICATION ELECTRONIC COMPONENT AND WIRELESS COMMUNICATION SYSTEM | 1 |
Takeshi Harasawa | JP | Odawara-Shi | 2010-02-25 / 20100046117 - MAGNETIC RECORDING MEDIUM AND METHOD OF PRODUCING THE SAME | 1 |
Shinichiro Harasawa | JP | Kawasaki | 2009-11-26 / 20090290874 - MONITORING APPARATUS AND METHOD FOR POLARIZATION SCRAMBLER AND OPTICAL TRANSMISSION APPARATUS | 1 |
Masaaki Harasawa | JP | Kanagawa-Ken | 2014-02-06 / 20140038475 - Electrical Terminal | 1 |
Takeshi Harasawa | JP | Sagamihara-Shi | 2010-08-12 / 20100200357 - ALARM DEVICE FOR CLUTCH | 1 |
Takeshi Harasawa | JP | Minami-Ashigara-Shi | 2012-09-27 / 20120243120 - MAGNETIC TAPE AND METHOD OF MANUFACTURING THE SAME, AND MAGNETIC RECORDING DEVICE | 1 |
Yoshiaki Harasawa | JP | Kanagawa | 2013-02-14 / 20130038505 - SEMICONDUCTOR DEVICE AND RADIO COMMUNICATION DEVICE | 3 |
Akinori Harasawa | JP | Kunitachi | 2016-03-03 / 20160062829 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Yoshiaki Harasawa | JP | Kawasaki-Shi | 2012-03-15 / 20120064952 - Radio Frequency Module Having an Isolation Mode Between Transmission Mode and Power Saving Mode | 1 |
Hirokazu Harasawa | JP | Gyoda-Shi | 2012-12-20 / 20120319558 - ELECTRODE FOR DISCHARGE LAMP AND METHOD FOR MANUFACTURING SAME | 3 |
Ryo Harasawa | JP | Morioka-Shi | 2012-05-31 / 20120135067 - VACCINE FOR MYCOPLASMA INFECTION | 1 |
Yusuke Harasawa | JP | Saitama | 2012-08-16 / 20120206753 - IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM, AND COMPUTER PROGRAM PRODUCT | 4 |
Eugeny Harash | IL | Haifa | 2011-12-01 / 20110291526 - PIEZOELECTRIC STACK COMPRESSION GENERATOR | 5 |
Toshikazu Harashima | JP | Tama | 2015-05-28 / 20150147215 - Scroll-Type Fluid Machine with Grease-Lubricated Orbiting Bearing | 5 |
Takashi Harashima | JP | Ota-Shi | 2008-12-25 / 20080317445 - Motor Speed Control Circuit | 1 |
Takashi Harashima | JP | Gunma | 2009-01-08 / 20090009122 - FAN MOTOR SPEED CONTROL CIRCUIT, FAN APPARATUS, AND ELECTRONIC APPARATUS | 1 |
Hiromitsu Harashima | JP | Tokyo | 2009-09-24 / 20090236672 - SEMICONDUCTOR DEVICE | 1 |
Toshikazu Harashima | JP | Tokyo | 2015-11-26 / 20150337834 - Scroll-Type Fluid Machine | 3 |
Terasu Harashima | JP | Aichi-Ken | 2010-02-11 / 20100032260 - FRICTIONAL ENGAGEMENT DEVICE FOR AUTOMATIC TRANSMISSION | 1 |
Hideyoshi Harashima | JP | Kita-Ku | 2010-06-10 / 20100143482 - METHOD OF PRODUCING MICROCAPSULES | 1 |
Ichiro Harashima | JP | Hitachiohta | 2010-05-06 / 20100114355 - METHOD AND SYSTEM FOR MANAGEMENT OF MANUFACTURING INFORMATION | 1 |
Terasu Harashima | JP | Toyota-Shi | 2012-11-29 / 20120299419 - LUBRICATION STRUCTURE OF POWER TRANSMISSION APPARATUS | 7 |
Keiichi Harashima | JP | Kanagawa | 2010-10-14 / 20100258873 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Ichiro Harashima | JP | Ibaraki | 2010-11-11 / 20100287523 - DESIGN RULE MANAGEMENT METHOD, DESIGN RULE MANAGEMENT PROGRAM, RULE MANAGEMENT APPARATUS, AND RULE VERIFICATION APPARATUS | 2 |
Takashi Harashima | JP | Toyokawa-Shi | 2009-03-12 / 20090067860 - IMAGE FORMING APPARATUS, TONE CORRECTION METHOD, AND COMPUTER-READABLE RECORDING MEDIUM RECORDED WITH TONE CORRECTION PROGRAM | 1 |
Takashi Harashima | JP | Aichi-Ken | 2009-03-12 / 20090067857 - IMAGE FORMING APPARATUS AND TONE CORRECTION METHOD | 1 |
Ichiro Harashima | JP | Hitachiota | 2012-08-30 / 20120221298 - Design Assist Device, Method, and Program | 4 |
Hideyoshi Harashima | JP | Sapporo | 2009-09-03 / 20090221953 - COMPOSITION COMPRISING PROTEIN-LIPOSOME COMPLEX FOR IONTOPHORESIS | 2 |
Masaru Harashima | JP | Fussa-Shi | 2011-02-03 / 20110026230 - ELECTRONIC APPARATUS | 1 |
Takashi Harashima | JP | Sagamihara-Shi | 2014-05-15 / 20140133875 - IMAGE FORMING APPARATUS | 7 |
Ichiro Harashima | JP | Hitachioota | 2011-03-10 / 20110060712 - METHOD AND SYSTEM FOR DESIGN CHECK KNOWLEDGE CONSTRUCTION | 2 |
Shiro Harashima | JP | Tokyo | 2015-04-02 / 20150091170 - SEMICONDUCTOR DEVICE | 9 |
Toshiyuki Harashima | JP | Chichibu-Shi | 2012-01-26 / 20120020683 - DEVELOPER STORAGE DEVICE | 1 |
Masayuki Harashima | JP | Yamanashi | 2012-05-24 / 20120128892 - SURFACE PROCESSING METHOD AND SURFACE PROCESSING APPARATUS | 2 |
Isao Harashima | JP | Tokyo | 2013-12-26 / 20130343789 - Electrically Conductive Roller, Development Apparatus, and Image-Forming Device | 1 |
Masaru Harashima | JP | Tokyo | 2012-10-04 / 20120250279 - STORAGE DEVICE, ELECTRONIC DEVICE, AND CIRCUIT BOARD ASSEMBLY | 1 |
Takashi Harashima | JP | Osaka-Shi | 2013-03-14 / 20130061557 - ASEPTIC FILLING SYSTEM | 1 |
Shiro Harashima | JP | Sagamihara-Shi | 2015-02-19 / 20150049448 - SEMICONDUCTOR DEVICE | 2 |
Takashi Harashima | JP | Tokyo | 2013-10-03 / 20130258367 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Shiro Harashima | JP | Tokyo | 2015-04-02 / 20150091170 - SEMICONDUCTOR DEVICE | 9 |
Takashi Harashima | JP | Osaka | 2015-03-26 / 20150082752 - SEALING DEVICE AND SEALING METHOD | 1 |
Shingo Harashima | JP | Tokyo | 2015-05-07 / 20150127837 - RELAY APPARATUS AND DATA TRANSFER METHOD | 1 |
Keita Harashima | JP | Saitama | 2013-10-10 / 20130265735 - METAL NANOPARTICLE PASTE, ELECTRONIC COMPONENT ASSEMBLY USING METAL NANOPARTICLE PASTE, LED MODULE, AND METHOD FOR FORMING CIRCUIT FOR PRINTED WIRING BOARD | 1 |
Yuichi Harashima | JP | Saitama | 2012-04-26 / 20120098187 - Image reading apparatus and image forming apparatus | 1 |
Yasumitsu Harashima | JP | Kanagawa | 2016-03-10 / 20160070214 - IMAGE FORMING APPARATUS | 10 |
Hideyoshi Harashima | JP | Hokkaido | 2015-07-23 / 20150202154 - LIPID MEMBRANE STRUCTURE HAVING INTRANUCLEAR MIGRATING PROPERTY | 13 |
Hideyoshi Harashima | JP | Sapporo-Shi | 2015-09-03 / 20150245997 - LIPID MEMBRANE STRUCTURE INCLUDING BACTERIAL CELL COMPONENT HAVING DISPERSIBILITY IN NON-POLAR SOLVENT, AND METHOD FOR PRODUCING SAME | 4 |
Yasumitsu Harashima | JP | Kanagawa | 2016-03-10 / 20160070214 - IMAGE FORMING APPARATUS | 10 |
Yasuyoshi Harashima | JP | Hitachi | 2012-12-06 / 20120308390 - STEAM TURBINE | 1 |
Seigo Harashima | JP | Kanagawa | 2011-12-01 / 20110291976 - TOUCH PANEL DEVICE, DISPLAY DEVICE EQUIPPED WITH TOUCH PANEL DEVICE, AND CONTROL METHOD OF TOUCH PANEL DEVICE | 2 |
Shiro Harashima | JP | Sagamihara Kanagawa | 2016-03-10 / 20160073489 - MODULE | 1 |
Yutaka Harashima | JP | Tokyo | 2015-11-05 / 20150318117 - CAPACITOR AND MANUFACTURING METHOD THEREFOR | 1 |
Yuichi Harashima | JP | Kanagawa | 2015-09-24 / 20150268626 - PAPER CONVEYANCE DEVICE, FIXING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Nobuyasu Harashima | JP | Tokyo | 2013-05-30 / 20130133992 - DIAPHRAGMS FOR VEHICLE BRAKES | 1 |
Asao Harashima | JP | Ichihara-Shi | 2012-02-09 / 20120035275 - Emulsion, Method For Producing The Same, And Cosmetic Raw Material Formed From The Same | 2 |
Takashi Harashima | JP | Kanagawa | 2015-08-13 / 20150227093 - IMAGE-FORMING APPRATUS | 2 |
Nanae Harashima | US | Rockville | 2015-06-04 / 20150152384 - COMPOSITIONS AND METHODS FOR PREVENTION OR TREATMENT OF NEOPLASTIC DISEASE IN A MAMMALIAN SUBJECT | 1 |
Masaharu Harashima | JP | Tokyo | 2015-03-19 / 20150077780 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND PROGRAM | 2 |
Kenichi Harashina | JP | Okaya-Shi | 2013-06-06 / 20130139767 - COOLING SYSTEM FOR PISTON OF INTERNAL COMBUSTION ENGINE | 1 |
Hiromasa Harashina | JP | Numazu Shizuoka | 2016-04-21 / 20160107458 - PRINTER AND METHOD OF CONTROLLING A PRINTER | 1 |
Hatsuhiko Harashina | JP | Shizuoka | 2008-08-21 / 20080200639 - Unstable Terminal Group Decomposer, and Stabilized Polyacetal Resin, Manufacturing Method, Composition and Molded Article Using the Same | 1 |
Hatsuhiko Harashina | JP | Fuji-Shi | 2009-06-04 / 20090143506 - Aldehyde inhibitor composition and polyacetal resin composition | 2 |
Hiromasa Harashina | JP | Numazu-Shi | 2010-09-30 / 20100244370 - SHEET PROCESSING APPARATUS | 1 |
Hiromasa Harashina | JP | Shizuoka | 2011-03-10 / 20110057978 - PRINTING APPARATUS | 2 |
Hiromasa Harashina | JP | Shizuoka-Ken | 2008-12-25 / 20080315504 - SHEET DISCHARGE APPARATUS, IMAGE FORMING APPARATUS AND SHEET DISCHARGING METHOD | 1 |
Stanley Michael Harasimowitz | US | Colchester | 2014-07-03 / 20140188524 - System And Method For Generating Insurance Coverage Packages | 3 |
Lori Haraske | US | Amesbury | 2014-05-08 / 20140123910 - Folding Pet Ramp and Steps Device With Telescoping Handle | 2 |
Louis P. Harasta | US | Webster | 2008-10-23 / 20080259634 - DOUBLE-SIDED TURNING FILM | 1 |
Tracy J. Harasti | US | Arnold | 2011-04-28 / 20110095865 - Underwater RFID Arrangement for Optimizing Underwater Operations | 1 |
Troy Harasym | CA | Vancouver | 2012-05-10 / 20120114831 - HIGH EFFICIENCY ENCAPSULATION OF CHARGED THERAPEUTIC AGENTS IN LIPID VESICLES | 2 |
Troy Harasym | CA | North Vancouver | 2016-04-28 / 20160113871 - COMPOSITIONS FOR DELIVERY OF DRUG COMBINATIONS | 3 |
Donald Edward Harasyn | US | Eden Prairie | 2016-03-31 / 20160091379 - DIFFERENTIAL PRESSURE SENSOR WITH HIGH PRESSURE CAPABILITIES | 1 |
György Haraszati | HU | Budapest | 2012-10-18 / 20120261848 - METHOD TO CREATE REMOVABLE DENTAL PROSTHESIS, AND THE DENTAL PROSTHESIS MAKING THEREOF | 1 |
György Haraszati | HU | Budapest | 2012-10-18 / 20120261848 - METHOD TO CREATE REMOVABLE DENTAL PROSTHESIS, AND THE DENTAL PROSTHESIS MAKING THEREOF | 1 |
Zsolt Haraszti | US | Santa Rosa | 2015-04-23 / 20150113452 - GRAPHICAL USER INTERFACE | 3 |
Masataka Harata | JP | Ueda-Shi | 2015-09-10 / 20150252288 - METHOD FOR PRODUCING COMPOSITION CONTAINING HIGHLY UNSATURATED FATTY ACID ALKYL ESTER | 1 |
Shinetsu Harata | JP | Akita-Shi | 2016-04-28 / 20160113705 - HIGH-FREQUENCY TREATMENT TOOL | 3 |
Hideaki Harata | JP | Tokyo | 2012-05-31 / 20120133469 - TRANSFORMER | 1 |
Masanori Harata | JP | Kariya-Shi | 2015-12-10 / 20150357641 - ACTIVE MATERIAL EXCELLING IN HIGH-VOLTAGE CHARACTERISTICS | 3 |
Yuzo Harata | JP | Chiryu-Shi | 2011-06-30 / 20110160934 - On-vehicle fault detecting device | 1 |
Yuzo Harata | JP | Chiryu-City | 2015-10-22 / 20150301822 - IN-VEHICLE PROGRAM UPDATE APPARATUS | 13 |
Yuuzou Harata | JP | Anjo-City | 2008-09-04 / 20080211642 - ANTITHEFT DEVICE FOR VEHICLE | 1 |
Yuzo Harata | JP | Chiryu-City | 2015-10-22 / 20150301822 - IN-VEHICLE PROGRAM UPDATE APPARATUS | 13 |
Hidehiro Harata | JP | Tokyo | 2008-09-25 / 20080230820 - SEMICONDUCTOR DEVICE | 1 |
Ryoji Harata | JP | Tsuruta | 2015-06-04 / 20150155227 - SEMICONDUCTOR DEVICE | 2 |
Hitoshi Harata | JP | Utsunomiya-Shi | 2009-02-26 / 20090049931 - Magnetostrictive Torque Sensor | 1 |
Hitoshi Harata | JP | Tochigi | 2008-12-25 / 20080314163 - Magnetostrictive Torque Sensor and Electric Power Steering Apparatus | 2 |
Masataka Harata | JP | Fujimino-Shi | 2010-05-13 / 20100120667 - COMPOSITION FOR PREVENTING AND/OR TREATING PEPTIC ULCERS | 1 |
Takaji Harata | JP | Nagano | 2010-06-03 / 20100133928 - MOTOR | 1 |
Tsuyoshi Harata | JP | Kanagawa | 2015-03-26 / 20150085161 - IMAGING APPARATUS, METHOD OF CONTROLLING IMAGING APPARATUS, AND PROGRAM FOR CONTINUOUS IMAGE CAPTURING | 3 |
Tsugumi Harata | JP | Kanagawa | 2010-09-23 / 20100241738 - DEVICE SETTING MANAGEMENT SYSTEM, DEVICE SETTING MANAGEMENT METHOD AND COMPUTER-READABLE RECORDING MEDIUM FOR DEVICE SETTING MANAGEMENT | 1 |
Shinetsu Harata | JP | Akita | 2012-03-22 / 20120071724 - ENDOSCOPE HOOD AND ENDOSCOPE WITH THE SAME MOUNTED THEREON | 3 |
Akira Harata | JP | Toyoake-Shi | 2011-05-19 / 20110113814 - Water Spray Device for Ice Making Machine | 2 |
Kana Harata | JP | Sakura-Shi | 2015-07-23 / 20150203014 - VEHICLE FLOOR MAT | 3 |
Masakazu Harata | JP | Kawasaki | 2013-01-17 / 20130019144 - WIRELESS COMMUNICATION SYSTEM, WIRELESS COMMUNICATION APPARATUS, AND WIRELESS COMMUNICATION METHODAANM HARATA; MasakazuAACI KawasakiAACO JPAAGP HARATA; Masakazu Kawasaki JPAANM SHIRASAWA; HidetoshiAACI KawasakiAACO JPAAGP SHIRASAWA; Hidetoshi Kawasaki JP | 1 |
Akinori Haratake | US | New York | 2012-12-20 / 20120322888 - ANTIWRINKLE AGENT, LIPOLYSIS PROMOTER, EXTERNAL COMPOSITION FOR SKIN AND FOOD AND BEVERAGE COMPOSITION | 8 |
Akinori Haratake | US | New York | 2012-12-20 / 20120322888 - ANTIWRINKLE AGENT, LIPOLYSIS PROMOTER, EXTERNAL COMPOSITION FOR SKIN AND FOOD AND BEVERAGE COMPOSITION | 8 |
Akinori Haratake | JP | Kanagawa | 2011-05-26 / 20110124586 - EXTERNAL PREPARATION FOR SKIN, AND WRINKLE-REPAIRING AGENT | 1 |
Mamoru Haratake | JP | Nagasaki | 2010-11-04 / 20100278733 - COMPOSITION FOR DIAGNOSIS OF AMYLOID-RELATED DISEASE | 1 |
Mamoru Haratake | JP | Nagasaki-Shi | 2014-09-18 / 20140263074 - GE ADSORBENT FOR 68Ge-68Ga GENERATOR | 1 |
Krishna Harathi | US | Portland | 2010-01-21 / 20100017536 - Method and Apparatus for Audit Logging and Role Based Security Using One Way Proxy Architecture | 1 |
Dorit Harati | IL | Jerusalem | 2012-06-07 / 20120141434 - Methods for Selecting Expanded Stem Cell Populations | 1 |
Dror Harats | IL | Ramat-Gan | 2014-06-05 / 20140155467 - PROMOTERS EXHIBITING ENDOTHELIAL CELL SPECIFICITY AND METHODS OF USING SAME FOR REGULATION OF ANGIOGENESIS | 24 |
Yehuda Harats | IL | Jerusalem | 2014-07-03 / 20140182576 - System for Improved Hybridization of Thermal Solar and Biomass and Fossil Fuel Based Energy Systems | 1 |
Erich F. Haratsch | US | Bethlem | 2014-06-12 / 20140164880 - ERROR CORRECTION CODE RATE MANAGEMENT FOR NONVOLATILE MEMORY | 1 |
Erich F. Haratsch | US | San Jose | 2016-04-28 / 20160118093 - MULTIPLE RETRY READS IN A READ CHANNEL OF A MEMORY | 39 |
Erich F. Haratsch | US | Northampton County | 2011-12-15 / 20110305082 - METHODS AND APPARATUS FOR SOFT DATA GENERATION FOR MEMORY DEVICES | 6 |
Erich F. Haratsch | US | Bethlehem | 2015-10-15 / 20150294739 - ONLINE HISTOGRAM AND SOFT INFORMATION LEARNING | 62 |
Erich Franz Haratsch | US | Bethlehem | 2013-08-29 / 20130223199 - STORAGE MEDIA INTER-TRACK INTERFERENCE CANCELLATION | 11 |
Erich F. Haratsch | US | Bethlehlem | 2011-09-29 / 20110239089 - Methods and Apparatus for Soft Data Generation for Memory Devices Using Decoder Performance Feedback | 1 |
Erich F. Haratsch | US | Bethlehem | 2015-10-15 / 20150294739 - ONLINE HISTOGRAM AND SOFT INFORMATION LEARNING | 62 |
Erich Franz Haratsch | US | Bradley Beach | 2009-05-21 / 20090129519 - METHOD AND APPARATUS FOR JOINT EQUALIZATION AND DECODING OF MULTILEVEL CODES | 2 |
Erich F. Haratsch | US | San Jose | 2016-04-28 / 20160118093 - MULTIPLE RETRY READS IN A READ CHANNEL OF A MEMORY | 39 |
Erioh Haratsch | US | Holmdel | 2013-01-03 / 20130007209 - Interaction Modalities for Multimedia Delivery and Presentation | 1 |
Erich Haratsch | US | San Jose | 2015-08-27 / 20150242268 - PERIODICALLY UPDATING A LOG LIKELIHOOD RATIO (LLR) TABLE IN A FLASH MEMORY CONTROLLER | 3 |
Erich F. Haratsch | US | Allentown | 2014-08-07 / 20140219028 - Compensation Loop for Read Voltage Adaptation | 1 |
Erich Haratsch | US | Holmdel | 2015-07-30 / 20150215366 - INTERACTION MODALITIES FOR MULTIMEDIA DELIVERY AND PRESENTATION | 3 |
Erich Franz Haratsch | US | Bethlehem | 2013-08-29 / 20130223199 - STORAGE MEDIA INTER-TRACK INTERFERENCE CANCELLATION | 11 |
Dror Haratz | IL | Ramat-Gan | 2009-05-21 / 20090130121 - Synthetic Peptide Copolymers for Treatment and Prevention of Cardiovascular Disorders | 1 |
Kenta Haraya | JP | Shizuoka | 2016-02-18 / 20160046693 - Antigen-Binding Molecule for Promoting Disappearance of Antigen via Fc gamma RIIB | 4 |
Kenji Haraya | JP | Tsukuba-Shi | 2013-12-19 / 20130333569 - HYDROGEN SEPARATION DEVICE | 3 |
Nana Haraya | JP | Kanagawa | 2015-04-23 / 20150111859 - Moisturizer and Cosmetic Agent Containing Same | 1 |
Kenji Haraya | JP | Ibaraki | 2010-08-26 / 20100212503 - HOLLOW FIBER CARBON MEMBRANE AND METHOD FOR PRODUCTION THEREOF | 1 |
Masahiko Harayama | JP | Tokyo | 2009-12-31 / 20090321958 - SEMICONDUCTOR DEVICE HAVING A SIMPLIFIED STACK AND METHOD FOR MANUFACTURING THEREOF | 2 |
Yusuke Harayama | JP | Kanagawa | 2010-05-13 / 20100117399 - CAB STRUCTURE OF CONSTRUCTION EQUIPMENT | 1 |
Shingo Harayama | JP | Suzaka-Shi, Nagano | 2016-02-11 / 20160040669 - TWO-SHAFT ROTARY PUMP | 1 |
Kunihiro Harayama | JP | Kanagawa | 2016-03-10 / 20160072522 - DATA PROCESSING APPARATUS AND METHOD OF PROCESSING DATA | 1 |
Takashi Harayama | JP | Tokyo-Shi | 2012-06-21 / 20120156536 - BATTERY, VEHICLE, AND BATTERY-MOUNTING DEVICE | 1 |
Kunihiro Harayama | JP | Ibaraki | 2011-06-30 / 20110157120 - DRIVE CIRCUIT AND DISPLAY DEVICE | 1 |
Yoichi Harayama | JP | Nagano | 2015-09-03 / 20150250051 - WIRING SUBSTRATE, METHOD FOR MANUFACTURING WIRING SUBSTRATE, AND METHOD FOR MODIFYING SURFACE OF INSULATING LAYER | 1 |
Takashi Harayama | JP | Toyota-Shi | 2016-05-12 / 20160133985 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 15 |
Shigeaki Harayama | JP | Bunkyo-Ku, Tokyo | 2015-11-26 / 20150337255 - CULTURE METHOD AND CULTURE SYSTEM FOR MICROALGAE | 1 |
Hiroto Harayama | JP | Kawachinagano-Shi | 2013-10-10 / 20130267564 - BENZYLOXYPYRIMIDINE DERIVATIVE, AGRICULTURAL/ HORTICULTURAL INSECTICIDE COMPRISING DERIVATIVE AND METHOD FOR USING SAME | 1 |
Kazuya Harayama | JP | Tokyo | 2012-12-20 / 20120323376 - AIR CONDITIONING CONTROLLING DEVICE AND METHOD | 3 |
Hiroshi Harayama | JP | Chikuma-Shi | 2012-05-31 / 20120135096 - RESIN MOLDING MACHINE | 1 |
Masami Harayama | JP | Kanagawa | 2012-08-23 / 20120212174 - QUICK CHARGING DEVICE | 1 |
Kenji Harayama | JP | Nagano | 2012-07-19 / 20120182586 - PROGRAM, IMAGE PROCESSING APPARATUS, AND IMAGE PROCESSING METHOD | 1 |
Yu Harayama | JP | Tokyo | 2010-05-13 / 20100120672 - CYCLIC PEPTIDE COMPOUNDS | 1 |
Yoshiyuki Harayama | JP | Kanagawa | 2014-10-23 / 20140314447 - SUPPORTING MECHANISM AND IMAGE FORMING APPARATUS | 2 |
Takashi Harayama | JP | Toyota-Shi | 2016-05-12 / 20160133985 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 15 |
Yoichi Harayama | JP | Nagano-Shi | 2011-01-06 / 20110003433 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Yusuke Harayama | JP | Onomichi | 2010-11-11 / 20100283290 - GUIDE-RAIL STRUCTURE OF FRONT WINDOW IN WORKING VEHICLE | 1 |
Takeshi Harayama | JP | Mobara | 2015-07-30 / 20150212370 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Kunihiro Harayama | JP | Yokohama | 2014-05-01 / 20140118040 - SYNCHRONIZING CIRCUIT AND CLOCK DATA RECOVERY CIRCUIT INCLUDING THE SAME | 1 |
Hiroto Harayama | JP | Kawachinagano | 2009-04-30 / 20090111861 - Phthalamide Derivative, Agricultural or Horticultural Pesticide, and Use of the Pesticide | 1 |
Yusuke Harayama | JP | Onomichi-Shi | 2012-02-16 / 20120038186 - REINFORCEMENT FOR CAB IN CONSTRUCTION MACHINE | 2 |
Hiroto Harayama | JP | Osaka | 2009-05-07 / 20090118375 - Optically active phthalamides | 1 |
Tadashi Harayama | JP | Kashiwa-Shi | 2009-10-01 / 20090246526 - FERRITE PARTICLES AND PRODUCTION METHOD THEREOF | 1 |
Tomohiro Harayama | JP | Utsunomiya-Shi | 2014-04-17 / 20140104590 - EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE | 6 |
Masahiko Harayama | JP | Kanagawa | 2012-04-05 / 20120083096 - SEMICONDUCTOR DEVICE HAVING A SIMPLIFIED STACK AND METHOD FOR MANUFACTURING TEHREOF | 5 |
Bjorn Harazdsen | NO | Horten | 2009-11-19 / 20090286146 - Pole Bridge for a Battery | 1 |
Richard R. Harazin | US | Lombard | 2015-10-22 / 20150301194 - GUARD EFFICIENCY COMPENSATION SYSTEM AND METHOD | 5 |
Richard Raymond Harazin | US | Lombard | 2009-03-19 / 20090071245 - METHOD AND APPARATUS FOR SENSING A LIQUID LEVEL | 1 |
Fumikazu Harazono | JP | Kanagawa | 2010-04-29 / 20100103296 - SOLID-STATE IMAGING APPARATUS AND MANUFACTURING METHOD THEREOF | 2 |
Kohei Harazono | JP | Yamaguchi | 2009-12-31 / 20090323254 - CAPACITOR LEAD WIRE, ITS MANUFACTURING METHOD, AND CAPACITOR USING THE SAME | 1 |
Masaaki Harazono | JP | Shiga | 2010-06-03 / 20100136284 - Fiber-Reinforced Resin and Method for Manufacturing the Same | 2 |
Masaaki Harazono | JP | Yasu | 2012-05-31 / 20120132462 - CIRCUIT BOARD AND MOUNTING STRUCTURE USING THE SAME | 3 |
Masaaki Harazono | JP | Yasu-Shi, Shiga | 2013-04-04 / 20130081861 - PRINTED CIRCUIT BOARD, MOUNT STRUCTURE THEREOF, AND METHODS OF PRODUCING THESE | 1 |
Koichi Harazono | JP | Fukuchiyama-Shi | 2015-10-08 / 20150284759 - METHOD FOR PRODUCING D-ALLOSE | 1 |
Manabu Harazono | JP | Tokyo | 2015-04-16 / 20150106056 - SURFACE SHAPE MEASURING METHOD AND SURFACE SHAPE MEASURING DEVICE | 1 |
Kouhei Harazono | JP | Yamaguchi | 2010-05-06 / 20100108358 - ELECTRONIC COMPONENT, LEAD-WIRE AND THEIR PRODUCTION METHODS | 1 |
Masaaki Harazono | JP | Kirishima-Shi | 2013-08-01 / 20130192882 - WIRING BOARD AND MOUNTING STRUCTURE USING THE SAME | 1 |
Toyohiro Harazono | JP | Osaka | 2012-06-07 / 20120139052 - Semiconductor device manufacturing method and semiconductor device | 2 |
Fumikazu Harazono | JP | Yokohama-Shi | 2015-07-23 / 20150206864 - Semiconductor Device | 1 |
Yasunobu Harazono | JP | Shizuoka | 2015-04-02 / 20150090546 - STEERING DAMPER, A SADDLE RIDING TYPE VEHICLE HAVING THE SAME, AND A METHOD OF MANUFACTURING THE SAME | 3 |
Zaher G. Harb | US | Round Rock | 2009-12-31 / 20090323241 - Input Protection Method with Variable Tripping Threshold and Low Parasitic Elements | 3 |
Salam Harb | US | Los Gatos | 2014-12-11 / 20140362366 - METHOD AND SYSTEM FOR GAS FLOW MITIGATION OF MOLECULAR CONTAMINATION OF OPTICS | 6 |
John Harb | US | Woodland Hills | 2011-07-28 / 20110183206 - APPARATUS, SYSTEM, AND METHOD FOR CARBON NANOTUBE TEMPLATED BATTERY ELECTRODES | 1 |
Ahmad Harb | JO | Zarqa | 2011-09-22 / 20110229806 - PHASE MASK AND METHOD OF FABRICATION | 1 |
Boulos Harb | US | New Haven | 2014-12-18 / 20140372119 - Compounded Text Segmentation | 1 |
Charlie Harb | AU | Cranebrook | 2013-03-21 / 20130067628 - Resistance Exercise Clothing Device | 1 |
Walter Harb | AT | Weiz | 2012-02-02 / 20120025641 - METHOD AND DEVICE FOR COOLING AN ELECTRIC MACHINE | 2 |
Jean Harb | FR | Nantes | 2012-02-23 / 20120046181 - Biomarkers for the Diagnosis of Renal Allograft and Kidney Status | 2 |
Catherine Harb | FR | Nantes | 2011-06-30 / 20110158938 - IL-15 BINDING SITE FOR IL 15-RALPHA AND SPECIFIC IL-15 MUTANTS HAVING AGONISTS / ANTAGONISTS ACTIVITY | 2 |
Charles Charbel Harb | AU | Bungendore | 2015-08-13 / 20150226666 - MULTIPLEXED SPECTROSCOPIC ABSORBANCE FROM CRDS WAVE FORMS | 2 |
Joseph Harb | US | Woodinville | 2015-07-09 / 20150193516 - REAL-TIME BROADCAST CONTENT SYNCHRONIZATION DATABASE SYSTEM | 6 |
Maher Harb | SE | Sanby | 2012-07-19 / 20120182548 - NANOFLUIDIC CELL | 1 |
Boulos Harb | US | Brooklyn | 2009-07-02 / 20090171870 - System and method of feature selection for text classification using subspace sampling | 1 |
Shadi Harb | US | Gainesville | 2012-12-27 / 20120331431 - Symbolic Switch/Linear Circuit Simulator Systems and Methods | 1 |
Rachid Harba | FR | La Ferte Saint Aubin | 2010-09-09 / 20100226576 - CARD-COUNTING DEVICE | 1 |
Ryan Allen Harbach | US | Lakewood | 2016-05-05 / 20160122041 - EXTENDABLE SOLAR ARRAY | 1 |
Andrew Paul Harbach | US | Argyle | 2016-03-24 / 20160082963 - PREDICTIVE CRUISE CONTROL SYSTEM WITH SELECTABLE SPEED CONTROL BANDS | 2 |
Andrew P. Harbach | US | Kokomo | 2012-09-27 / 20120243070 - DISPLAY USING A TRANSREFLECTIVE ELECTROWETTING LAYER | 13 |
Roy A. Harbach | US | Highlands Ranch | 2011-09-15 / 20110224695 - Method and System for Ligating a Body Part | 1 |
Andrew P. Harbach | US | Kokomo | 2012-09-27 / 20120243070 - DISPLAY USING A TRANSREFLECTIVE ELECTROWETTING LAYER | 13 |
Lenka Harbach | DE | Hahnstaetten | 2015-10-29 / 20150305895 - ORTHOPEDIC DEVICE | 1 |
Andrew P. Harback | US | Argyle | 2012-03-08 / 20120056758 - VEHICLE PARKING SPOT LOCATOR SYSTEM AND METHOD USING CONNECTED VEHICLES | 1 |
Hakki Harbalioglu | TR | Gaziantep | 2013-08-08 / 20130202768 - INSTANT POWDER FORM TURKISH COFFEE MIXTURE NOT REQUIRING COOKING AND ITS PRODUCTION METHOD | 1 |
Kris Harbarenko | US | Saugatuck | 2012-12-27 / 20120324948 - Jewelry incorporating visual images | 1 |
Kerry Harbarenko | CN | Zhongshan City | 2009-05-28 / 20090133188 - SPA JET WITH SCREW IN JET BARREL | 1 |
Jurgen Harbarth | DE | Darmstadt | 2010-04-01 / 20100082633 - Database index and database for indexing text documents | 1 |
Jürgen Harbarth | DE | Taunusstein | 2010-09-30 / 20100250519 - XML database management system for an XML database comprising access protected XML data | 1 |
Jürgen Harbarth | DE | Taunusstein | 2010-09-30 / 20100250519 - XML database management system for an XML database comprising access protected XML data | 1 |
Juliane Harbarth | DE | Griesheim | 2016-02-11 / 20160042442 - SYSTEM AND METHOD FOR EVALUATING INPUT BASED ON DYNAMIC GRAMMARS | 11 |
Juliane Harbarth | DE | Griesheim | 2016-02-11 / 20160042442 - SYSTEM AND METHOD FOR EVALUATING INPUT BASED ON DYNAMIC GRAMMARS | 11 |
C. Timothy Harbaugh | US | Derby | 2012-12-13 / 20120312471 - MODULAR HEAD AUTOMATED FABRIC LAMINATING APPARATUS | 1 |
Brent K. Harbaugh | US | Bradenton | 2014-09-18 / 20140283266 - Caladium plant named 'UF 4424' | 7 |
Kenneth A. Harbaugh | US | Desstin | 2012-10-18 / 20120260570 - INJECTION-MOLDED PLANTER HAVING UNDERCUTS AND PROCESS FOR MANUFACTURING | 1 |
Daniel Aaron Harbaugh | US | Newcastle | 2016-03-31 / 20160092121 - UNMAP STORAGE SPACE | 1 |
Mark Harbaugh | US | Richfield | 2010-02-04 / 20100026223 - METHOD AND APPARATUS FOR IDENTIFYING ORIENTATION OF A STATIONARY ROTOR IN A SENSOR-LESS PMSM | 1 |
Mark M. Harbaugh | US | Richfield | 2015-04-02 / 20150091485 - SYSTEMS AND METHODS FOR CONTROLLING A DISCONNECT SWITCH VIA A NETWORK | 9 |
Robert Harbaugh | US | Hummelstown | 2009-02-19 / 20090048542 - Methods and devices for treatment of medical conditions and monitoring physical movements | 1 |
Jeremy Thomas Harbaugh | US | Cranberry Twp | 2016-02-04 / 20160030655 - MEDICAL TUBING INSTALLATION DETECTION | 4 |
Mark M. Harbaugh | US | Richfield | 2015-04-02 / 20150091485 - SYSTEMS AND METHODS FOR CONTROLLING A DISCONNECT SWITCH VIA A NETWORK | 9 |
Joseph Harbaugh | US | Weston | 2014-07-31 / 20140214712 - METHOD FOR ADMITTING AN ADMISSIONS APPLICANT INTO AN ACADEMIC INSTITUTION | 2 |
Kyle Harbaugh | US | Moreland Hills | 2015-04-30 / 20150115017 - WELDING FIXTURE FOR ROBOTIC WELDING SYSTEMS | 1 |
Edith Harbaugh | US | Oakland | 2015-08-20 / 20150234797 - METHOD AND SYSTEM FOR SHARING DIFFERENT WEB COMPONENTS BETWEEN DIFFERENT WEB SITES IN A PORTAL FRAMEWORK | 5 |
Kenneth A. Harbaugh | US | Douglasville | 2013-04-25 / 20130098409 - Umbrella with Wind-Compliant Canopy Attachment | 2 |
Kenneth A. Harbaugh | US | Villa Rica | 2013-05-30 / 20130133252 - PLANTER WITH SNAP-IN RIM INSERT | 2 |
Richard L. Harbaugh | US | South Lyon | 2016-02-04 / 20160031351 - Thoracic Support Assembly for a Seat Back | 4 |
Kenneth A. Harbaugh | US | Destin | 2015-08-13 / 20150223406 - MOLDED PLANTER WITH WIDE UPPER RIM | 3 |
Brent K. Harbaugh | US | 2012-11-15 / 20120291166 - Gerbera plant named 'UFGE 7015' | 6 | |
C. Tim Harbaugh | US | Derby | 2010-11-18 / 20100288050 - MECHANISM FOR ADAPTIVE CONTOUR COMPLIANCE | 3 |
Brent Harbaugh | US | Bradenton | 2010-09-30 / 20100251444 - CALADIUM PLANT NAMED 'UF404' TITLE AMENDED BY EXAMINER /SBME/ 6-20-10 | 4 |
J. Kent Harbaugh | US | Burbank | 2011-05-05 / 20110103642 - Multipass Data Integration For Automatic Detection And Classification Of Objects | 1 |
Brent Harbaugh | US | Wimauma | 2009-12-31 / 20090328261 - CALADIUM PLANT NAMED 'CRANBERRY STAR' | 4 |
Steve Harbaugh | US | Boulder | 2013-12-12 / 20130327157 - PROCESS FLUID FLOW TRANSMITTER WITH FINNED COPLANAR PROCESS FLUID FLANGE | 1 |
Steven R. Harbaugh | US | Westfield | 2009-04-02 / 20090084245 - Musical instrument harness | 1 |
Nadia Harbeck | DE | Offerfing | 2008-10-16 / 20080254447 - Method and Nucleic Acids for the Improved Treatment of Breast Cell Proliferative Disorders | 1 |
Nadia Harbeck | DE | Otterfing | 2012-07-19 / 20120184455 - Method and Nucleic Acids for the Improved Treatment of Breast Cell Proliferative Disorders | 2 |
Hartmut Harbeck | DE | Wedel | 2010-07-22 / 20100185319 - Device and Method for Separating Bulk Material | 1 |
Ronald J. Harbeck | US | Denver | 2013-07-18 / 20130183248 - METHOD AND KIT FOR DETECTION OF AUTOIMMUNE CHRONIC URTICARIA | 2 |
Michael W. Harbeck | US | Santa Clarita | 2013-10-17 / 20130270391 - AIRCRAFT FUSELAGE DRAG REDUCTION BLIVET | 1 |
Günter Harbecke | DE | Mombris | 2013-09-26 / 20130252482 - Subsea Container Electrical Through Connector | 1 |
Günter Harbecke | DE | Mombris | 2013-09-26 / 20130252482 - Subsea Container Electrical Through Connector | 1 |
Ulrich Harbecke | DE | Oberhausen | 2011-05-12 / 20110110786 - Rotor Blade and Flow Engine Comprising a Rotor Blade | 1 |
Dwyn V. Harben | US | Bryn Mawr | 2014-08-21 / 20140235540 - ANDROGEN AND GONADOTROPIN TREATMENT IN FEMALES | 4 |
Dwyn V. Harben | US | 2010-02-25 / 20100048525 - ANDROGEN TREATMENT IN FEMALES | 1 | |
Adam Russell Harben | US | Wilsonville | 2010-03-25 / 20100075732 - WINNER AWARENESS DATA DISTRIBUTION SYSTEMS AND METHODS | 1 |
Jason Harber | US | Pittsburgh | 2016-03-10 / 20160070863 - INTERCONNECTED MEDICAL SYSTEMS AND CLINICIAN MOBILE DEVICE APPLICATIONS | 2 |
Dustin Harber | US | San Ramon | 2015-10-08 / 20150289120 - System for Dynamic Content Recommendation Using Social Network Data | 4 |
David Harber | US | Austin | 2014-10-30 / 20140325210 - APPARATUS AND METHODS FOR ACTIVATION OF COMMUNICATION DEVICES | 4 |
James Christopher Harber | US | Shorewood | 2015-05-07 / 20150127047 - SLING SUSPENSION SYSTEM FOR SUPPORTING THE THUMB OF A PATIENT AFTER BASAL JOINT ARTHROPLASTY | 1 |
Edward L. Harber | US | Portland | 2009-03-05 / 20090062892 - Article Of Apparel For Temperature Moderation | 1 |
Dustin Harber | US | Santa Clara | 2016-04-21 / 20160112864 - PROACTIVE TRANSFER OF NETWORK SERVICES DATA USING NETWORK MAP DATA | 1 |
Alyson Harber | US | Bellevue | 2012-03-15 / 20120060263 - Lightweight Decorative Belt | 1 |
William Spence Harber | US | Warwick | 2016-04-14 / 20160104086 - SYSTEM FOR SELECTING ENVIRONMENTALLY-SUSTAINABLE BUILDING PRODUCTS | 1 |
Edward Louis Harber | US | Portland | 2014-03-27 / 20140082815 - VARIABLE COMPRESSION GARMENT | 1 |
Benjamin A. Harber | US | Costa Mesa | 2012-10-04 / 20120248258 - Flight Path Control System For Aircraft | 1 |
Neil V. Harber | US | Holy Cross | 2015-11-26 / 20150336609 - DRIVE SYSTEM WITH SERIALLY ARRANGED VARIABLE DISPLACEMENT COMPONENTRY | 5 |
Chris Harber | US | Mound | 2012-08-23 / 20120215320 - METATARSAL HEMIARTHROPLASTY IMPLANT | 1 |
Neil Vincent Harber | US | Holy Cross | 2012-06-21 / 20120152574 - ARRANGEMENT OF STEERING WHEEL AND OPERATOR SEAT ASSEMBLY | 8 |
Chadwick John Harber | US | San Francisco | 2014-02-06 / 20140035756 - Wide Viewing Angle Indicators for Network Devices | 3 |
Neil V. Harber | US | Holly Cross | 2012-10-04 / 20120247087 - Increased Fan Speed To Assist DPF Regeneration | 1 |
Dan Harber | US | Greeley | 2010-08-12 / 20100200693 - Apparatus for Generation and Use of Lift Gas | 1 |
Neil Vincent Harber | US | Holy Cross | 2012-06-21 / 20120152574 - ARRANGEMENT OF STEERING WHEEL AND OPERATOR SEAT ASSEMBLY | 8 |
Chadwick Harber | US | San Francisco | 2016-03-31 / 20160091935 - HINGE CONFIGURATION FOR AN ELECTRONIC DEVICE | 10 |
Edward Harber | US | Portland | 2013-05-30 / 20130138044 - APPARATUS FOR SUPPORTING MEDICAL LINES | 2 |
Chadwick Harber | US | San Francisco | 2016-03-31 / 20160091935 - HINGE CONFIGURATION FOR AN ELECTRONIC DEVICE | 10 |
Blair Lawrence Harber | CA | St. Davids | 2015-04-30 / 20150113924 - PORTABLE AIR FILTRATION UNIT | 1 |
David Harber | US | Cedar Park | 2016-01-28 / 20160027001 - SYSTEMS FOR PROVISIONING UNIVERSAL INTEGRATED CIRCUIT CARDS | 3 |
Chadwick J. Harber | US | San Francisco | 2011-03-10 / 20110057780 - Wide Viewing Angle Indicators for Network Devices | 1 |
Gregory M. Harbers | US | Valencia | 2012-08-09 / 20120203199 - Method and apparatus for providing access to an internal body organ | 1 |
Rik Harbers | CH | Cham | 2014-10-02 / 20140291557 - LIGHT SOURCE LIFETIME EXTENSION IN AN OPTICAL SYSTEM | 2 |
Petrus Johannes Harbers | NL | Sintjohannesga | 2009-07-23 / 20090186979 - Slip-and leveling agent | 1 |
Gerard Harbers | US | Sunnyvale | 2016-03-10 / 20160069559 - REFLECTOR ATTACHMENT TO AN LED-BASED ILLUMINATION MODULE | 79 |
Greg Harbers | US | Valencia | 2015-12-17 / 20150365738 - TELEMETRY ARRANGEMENTS FOR IMPLANTABLE DEVICES | 1 |
Adriana Jeanne Harbers | US | Martinez | 2015-04-02 / 20150090343 - INNOVATION TO ASSAY MIXING | 1 |
Petrus Johannes Harbers | CN | Shanghai | 2011-07-07 / 20110166284 - SILICONE FREE DEFOAMER FOR SOLVENT BASED COATINGS | 2 |
Rik Harbers | CH | Zurich | 2012-04-19 / 20120093378 - VISUALIZATION OF PHYSIOLOGICAL PARAMETERS | 5 |
Gregory Harbers | US | Valencia | 2015-07-09 / 20150194236 - WELDING WASHERS FOR MICROWIRE WELDING | 3 |
Rik Harbers | CH | Zuerich | 2012-05-17 / 20120120388 - System for Performing Scattering and Absorbance Assays | 1 |
Matthias Harbers | JP | Yokohama-Shi | 2011-03-10 / 20110059869 - Method for Increasing Enzymatic Reactivity | 2 |
Christopher John Harbert | US | Carlsbad | 2016-03-03 / 20160059093 - GOLF CLUB HEAD | 7 |
Emily G. Harbert | US | Chicago | 2012-12-20 / 20120323059 - PROCESS OF SEPARATING GASES USING POLYIMIDE MEMBRANES | 4 |
Stuart John Harbert | US | Houston | 2011-03-31 / 20110074074 - Compliant Banding System | 2 |
Sim Harbert | US | Decatur | 2011-03-03 / 20110050872 - Augmented reality industrial overline systems and methods | 1 |
Richard H. Harbert | US | Mukilteo | 2009-10-01 / 20090241879 - EXPOSED COIL ROCKER ARM COVER ASSEMBLY HAVING EXTERNAL MULTI-COIL MOUNTING BRACKET | 2 |
Mark Douglas Harbert | US | Kingwood | 2014-05-01 / 20140116782 - METHOD AND APPARATUS FOR SHAPING A WELL HOLE | 1 |
Christopher J. Harbert | US | Carlsbad | 2011-12-22 / 20110312437 - GOLF CLUB HEAD | 1 |
John H. Harberts | US | Macomb | 2009-04-23 / 20090102241 - VEHICLE ACCESSORY ATTACHMENT CLIP | 3 |
John Harberts | US | Farmington Hills | / - | 1 |
Maike Harberts | DE | Koln | 2012-09-06 / 20120226979 - Navigation of a Graphical User Interface Using Multi-Dimensional Menus and Modes | 2 |
Dirk Willem Harberts | NL | Eindhoven | 2012-07-26 / 20120191168 - Medical Device for Electrical Stimulation | 4 |
John Henry Harberts | US | Clinton Township | 2010-11-04 / 20100276558 - MOUNTING SYSTEMS FOR SOLAR PANELS | 2 |
Scott L. Harbeson | US | Lexington | 2016-03-03 / 20160060263 - PYRAZINOISOQUINOLINE COMPOUNDS | 2 |
Peter Harbeson | US | Hampton | 2009-01-01 / 20090002324 - Method, Apparatus and Computer Program Product for Providing a Scrolling Mechanism for Touch Screen Devices | 1 |
Scott Harbeson | US | Cambridge | 2010-03-04 / 20100056529 - SUBSTITUTED TRIAZOLO-PYRIDAZINE DERIVATIVES | 3 |
Scott Harbeson | US | Lexington | 2009-08-06 / 20090197899 - 3-(Dihydro-1H-pyrazolo[4,3-d]pyrimidin-5-yl)-4-propoxybenzenesulfonamide Derivatives and Methods of Use | 1 |
Scott L. Harbeson | US | Cambridge | 2016-03-24 / 20160083385 - PYRAZINOISOQUINOLINE COMPOUNDS | 61 |
Andrew V. Harbick | US | Shoreline | 2013-09-26 / 20130254065 - PLAY HISTORY BASED DETECTION OF SIMILAR DIGITAL WORKS OR WORK CREATORS | 2 |
Andrew Harbick | US | Bellevue | 2012-01-26 / 20120023122 - USER-DIRECTED PRODUCT RECOMMENDATIONS | 1 |
Andrew Harbick | US | Harrisonburg | 2012-03-22 / 20120070808 - TEACHING SYSTEM COMBINING LIVE AND AUTOMATED INSTRUCTION | 1 |
Anja Harbig | DE | Renningen | 2012-03-29 / 20120073989 - METHOD FOR OPERATING A MEASURING DEVICE HAVING AT LEAST ONE PROBE, WHICH HAS AT LEAST ONE ION SELECTIVE ELECTRODE | 1 |
Johannes Andreas Harbig | CH | Unteraegeri | 2014-06-19 / 20140172678 - INSTITUTION SIMULATION | 1 |
Roland Harbig | DE | Sindelfingen | 2008-10-16 / 20080255531 - Superabsorbents, Nanofiber Nonwovens Finished Therewith and Use Thereof | 1 |
Laurence Harbige | GB | Chatham | 2014-06-05 / 20140155403 - CYCLIC TRIAZO AND DIAZO SODIUM CHANNEL BLOCKERS | 1 |
Laurence S. Harbige | GB | London | 2012-06-07 / 20120142775 - TREATMENT OF NEURODEGENERATIVE CONDITIONS | 4 |
Laurence Harbige | GB | Chatham Kent | 2014-08-28 / 20140243343 - CYCLIC TRIAZO SODIUM CHANNEL BLOCKERS | 3 |
Lawrence S. Harbige | GB | London | 2010-05-06 / 20100113595 - Treatment of neurodegenerative conditions | 1 |
Laurence S. Harbige | GB | Kent | 2010-11-25 / 20100297196 - Cytokine modulators using cyclic glycerides of essential polyunsaturated fatty acids | 3 |
Laurence Harbige | GB | Kent | 2013-01-03 / 20130005732 - NEW MEDICAL USE OF TRIAZINE DERIVATIVES | 3 |
David Harbige | GB | Norfolk | 2013-05-09 / 20130117573 - METHOD FOR VERIFYING A PASSWORD | 1 |
Lee Melvin Harbin | US | Murfreesboro | 2009-10-29 / 20090268385 - Mobile workstation having power system with removable battery configured for drop-in engagement therewith | 2 |
Thomas Harbin | US | Westminster | 2012-12-06 / 20120308389 - LOCKING NUT ASSEMBLY | 1 |
Lawrence Harbin | US | Alexandria | 2014-08-28 / 20140238291 - Self-Powered Slip Plate To Reduce Skin-Friction Drag on a Marine Vessel | 4 |
Etta Harbin | US | Miramar | 2012-12-27 / 20120325904 - Emergency Time Cash Machine | 1 |
Daniel Harbin | GB | Tamworth | 2011-06-23 / 20110145978 - ARTICLE OF HEADGEAR | 1 |
Steven A. Harbin | US | Austin | 2015-08-20 / 20150236742 - DYNAMIC POWER CLASS RE-REGISTRATION OF MOBILE DEVICES | 7 |
Edward A. Harbin | US | Dallas | 2013-10-31 / 20130287018 - ROUTING AROUND INTRA-NETWORK ELEMENT DISCONNECTIVITY | 1 |
Christopher Harbin | CA | Kanata | 2008-08-28 / 20080205287 - APPARATUS AND METHOD FOR CONTROLLING THE TRANSFER OF COMMUNICATION TRAFFIC TO MULTIPLE LINKS OF A MULTI-LINK SYSTEM | 1 |
Benjamin F. Harbin, Iii | US | Athens | 2015-05-07 / 20150127184 - Water Heater Demand Side Management System | 3 |
David Harbinson | GB | Derbyshire | 2009-07-09 / 20090175673 - BINDERS FOR HOLDING LAMINAR OBJECTS | 1 |
Patrick William Harbinson | CA | Toronto | 2008-11-13 / 20080277131 - EXCAVATING METHOD AND APPARATUS | 1 |
David Harbinson | GB | Derbsyhire | 2012-03-15 / 20120060400 - PHOTOGRAPH RETENTION ASSEMBLY | 1 |
Trent Harbison | US | Larchwood | 2015-10-15 / 20150293118 - CROSS-REACTIVE DETERMINANTS AND METHODS FOR THEIR IDENTIFICATION | 2 |
Christopher T. Harbison | US | Hamilton | 2009-06-04 / 20090143240 - Novel Methods for Genome-Wide Location Analysis | 1 |
Carole Harbison | US | Ithaca | 2012-07-19 / 20120183995 - ENHANCED PROTEIN EXPRESSION IN BACILLUS | 2 |
James Gregg Harbison | US | Mccomb | 2008-10-02 / 20080237328 - Triangular shaped container and associated container blank | 1 |
Kyle Harbison | US | Winona Lake | 2015-03-05 / 20150066040 - DUAL-PLANE FEMORAL GUIDE ASSEMBLY | 2 |
Sean Harbison | US | Los Gatos | 2010-11-25 / 20100293810 - Apparatus and Method for Drying a Substrate | 1 |
Andrew F. Harbison | US | Glenside | 2014-10-30 / 20140319218 - DIMENSIONING AND BARCODE READING SYSTEM | 1 |
William Harbison | US | Valparaiso | 2008-10-16 / 20080255837 - Method for locating an audio segment within an audio file | 1 |
Austin Harbison | GB | Lancashire | 2015-03-26 / 20150086276 - CLADDING | 2 |
Charles Harbison | US | Alexander City | 2009-07-02 / 20090165964 - SEALING CURTAIN ASSEMBLY FOR DOOR OR WINDOW OPENINGS | 1 |
Gavin J. Harbison | US | Marina Del Rey | 2009-07-02 / 20090170255 - INTEGRATED CIRCUIT MODIFICATION USING WELL IMPLANTS | 1 |
Marianne Kirsten Harboe | DK | Lyngby | 2011-01-13 / 20110008492 - COAGULATION OF MILK | 1 |
Henrik Harboe | DK | Holte | 2016-01-28 / 20160022190 - MULTI LUMEN CATHETER | 3 |
Niels Harboe | DK | Hadsund | 2014-11-13 / 20140333008 - SYSTEM AND METHOD FOR FEEDING A FLUID TO A MOLD FOR MOLDING A REINFORCED COMPOSITE STRUCTURE | 2 |
Gunnar Harboe | US | Evanston | 2010-07-01 / 20100169917 - System and Method for Customizing Communication in a Social Television Framework | 1 |
Gunnar F. Harboe | US | Evanston | 2009-01-22 / 20090021474 - SYSTEM AND METHOD FOR DISPLAYING STATUS INFORMATION OF A MULTIMEDIA BROADCAST RECEIVER ON AN AMBIENT DEVICE | 1 |
Corey T. Harbold | US | Tyler | 2012-12-06 / 20120310349 - EXPANDABLE ARTICULATING INTERVERTEBRAL IMPLANT WITH LIMITED ARTICULATION | 2 |
Corey Harbold | US | Noonday | 2015-01-22 / 20150025534 - APPARATUS AND METHOD FOR BONE HARVESTING | 1 |
Keith Harbold | US | York | 2013-10-24 / 20130277481 - CRUSHING ROLL WITH EDGE PROTECTION | 3 |
Anthony Ray Harbor, Sr. | US | Azle | 2009-11-12 / 20090278917 - Providing A Collaborative Immersive Environment Using A Spherical Camera and Motion Capture | 3 |
Gregory John Harbort | AU | Queensland | 2009-01-08 / 20090008336 - Improvements to a Fluid Jet Flotation Apparatus | 1 |
Andrew Harbottle | GB | Pandon Quays | 2009-07-30 / 20090192047 - Mitochondrial mutations and rearrangements as a diagnostic tool for the detection of sun exposure, prostate cancer and other cancers | 1 |
Bruce David Harbottle | ZA | Douglasdale | 2011-12-29 / 20110314617 - AUTOMATIC POOL CLEANERS AND COMPONENTS THEREOF | 1 |
Andrew Harbottle | GB | Tyne And Wear | 2015-11-12 / 20150322516 - UV Associated mtDNA Fusion Transcripts and Methods and Uses Thereof | 6 |
Andrew Harbottle | GB | Newcastle Upon Tyne | 2015-10-08 / 20150284805 - MITOCHONDRIAL MUTATIONS AND REARRANGEMENTS AS A DIAGNOSTIC TOOL FOR THE DETECTION OF SUN EXPOSURE, PROSTATE CANCER AND OTHER CANCERS | 2 |
Stephen Harbottle | GB | Cambridge | 2010-11-18 / 20100291664 - Laboratory Apparatus with Incubator | 1 |
Gareth William Harbottle | GB | Nottingham | 2014-10-02 / 20140296208 - KINASE INHIBITORS | 1 |
Andrew Harbottle | UK | Newcastle Upon Tyne | 2011-08-18 / 20110201011 - METHODS FOR ASSAYING MC1R VARIANTS AND MITOCHONDRIAL MARKERS IN SKIN SAMPLES | 1 |
David Harbottle | GB | Hasland | 2011-01-06 / 20110000285 - Apparatus for Inspection of a Fluid and Method | 1 |
Bruce David Harbottle | ZA | Robindale | 2014-10-23 / 20140310894 - OMNIDIRECTIONAL AUTOMATIC SWIMMING POOL CLEANERS | 1 |
Bruce David Harbottle | ZA | Johannesburg | 2011-09-22 / 20110226361 - IDLER MECHANISMS FOR HYDRAULIC DEVICES | 1 |
Robert K. Harbour | US | Springfield | 2013-04-25 / 20130098889 - Freeze prevention mobile equipment system | 2 |
Nick J. Harbour | US | Alexandria | 2014-08-21 / 20140237600 - SYSTEM AND METHOD FOR DETECTING EXECUTABLE MACHINE INSTRUCTIONS IN A DATA STREAM | 2 |
Peter Joseph Harbour | US | Framingham | 2010-10-14 / 20100262410 - SCALABLE ARCHITECTURE FOR TESTING WIRELESS DEVICES | 1 |
Colin Harbour | AU | The University Of Sydney | 2010-03-11 / 20100062424 - Assessment of Infectious Bacteria | 1 |
J. William Harbour | US | St. Louis | 2016-02-25 / 20160053330 - COMPOSITIONS AND METHODS FOR DETECTING CANCER METASTASIS | 4 |
Cyrus David Harbourt | US | Roanoke | 2015-07-30 / 20150214830 - SYSTEM AND METHOD OF POWER CONVERSION | 11 |
Cyrus David Harbourt | US | Roanoke | 2015-07-30 / 20150214830 - SYSTEM AND METHOD OF POWER CONVERSION | 11 |
Cyrus David Harbourt | US | Salem | 2014-09-18 / 20140265972 - Load Commutated Inverter Drive Systems for High Power Drive Applications | 2 |
Brian A. Harbrecht | US | Janesville | 2012-01-19 / 20120012719 - ADJUSTABLE CARRIAGE HOLDER FOR SUPPORT APPARATUS | 1 |
Theron Harbs | BR | Pomerode - Sc | 2010-05-27 / 20100127108 - Agitating Ball Mill | 1 |
Henning Harbs | DE | Wolfsburg | 2013-10-17 / 20130275784 - NETWORK AND METHOD FOR OPERATING A NETWORK | 2 |
Theron Harbs | BR | Pomerode | 2013-08-01 / 20130196047 - Process For The Production Of Fat-Containing Masses | 1 |
Brandon T. Harbuck | US | Hampton | 2010-09-30 / 20100250003 - DETECTION PLATFORMS | 2 |
Daniel V. Harburg | US | Brookline | 2013-10-03 / 20130256122 - ELECTROCHEMICALLY FUNCTIONAL MEMBRANES | 1 |
Daniel Vincent Harburg | US | Urbana | 2014-10-30 / 20140323968 - MATERIALS, ELECTRONIC SYSTEMS AND MODES FOR ACTIVE AND PASSIVE TRANSIENCE | 1 |
Daniel V. Harburg | US | Norwich | 2013-12-12 / 20130328165 - MICROFABRICATED MAGNETIC DEVICES AND ASSOCIATED METHODS | 1 |
Pehr A.b. Harbury | US | San Francisco | 2015-11-05 / 20150315569 - METHOD FOR SCREENING A NUCLEIC ACID-PROGRAMMED SMALL MOLECULE LIBRARY | 1 |
Pehr A.b. Harbury | US | Stanford | 2009-05-21 / 20090131675 - Methods for structural analysis of proteins | 1 |
Pehr Harbury | US | Portola Valley | 2015-12-03 / 20150344872 - METHODS OF SYNTHESIS OF OLIGONUCLEOTIDE TAGGED COMBINATORIAL LIBRARIES AND USES THEREOF | 3 |
Richard Harbutt | GB | Hampshire | 2014-07-24 / 20140202479 - SUPPORT STRUCTURE | 1 |
Richard P. Harbutt | GB | Rowly | 2012-04-12 / 20120085816 - DISPLAY CARTON | 1 |
Richard Paul Harbutt | GB | Surrey | 2010-07-08 / 20100170905 - CONTAINER FOR A SLUSH BEVERAGE | 1 |
Richard Harbutt | GB | Surrey | 2014-07-03 / 20140182607 - ADDITIVE RELEASE COMPONENT | 1 |
Richard Harbutt | GB | Windsor | 2009-04-30 / 20090108001 - CONTAINER | 1 |
Bogdan Harbuzaru | FR | Lyon | / - | 1 |
Miroslava Harca | HR | Krizevci | 2010-09-23 / 20100240926 - PROCESS FOR PREPARING (R)-N-BENZYL-2-(BENYLOXYCARBONYLAMINO)-3-METHOXYPROPIONAMIDE | 1 |
Frantisek Harcar | SK | Lipany | 2013-02-21 / 20130043052 - HAMMER DRILL | 8 |
Frantisek Harcar | SK | Lipany | 2013-02-21 / 20130043052 - HAMMER DRILL | 8 |
Zaid Harchaoui | FR | Grenoble | 2014-12-25 / 20140376804 - LABEL-EMBEDDING VIEW OF ATTRIBUTE-BASED RECOGNITION | 1 |
Abdelkrim Harchi | IT | Castelnuovo Del Garda | 2015-02-19 / 20150050652 - COMPOSITION FOR PROCESSING HISTOLOGICAL, POSTMORTEM, CYTOLOGICAL SAMPLES | 1 |
Itamar Harchol | IL | Kibbutz Mishmar Ha'Emek | 2014-12-04 / 20140352263 - HINGED COVERING FOR ADHESIVE SURFACE | 1 |
Mor Harchol-Balter | US | Pittsburgh | 2012-10-04 / 20120254444 - DYNAMIC CAPACITY MANAGEMENT OF MULTIPLE PARALLEL-CONNECTED COMPUTING RESOURCES | 5 |
Anthony Thomas Harcombe | GB | Surrey | 2012-04-19 / 20120091233 - ACTUATOR ARRANGEMENT | 2 |
Anthony Thomas Harcombe | GB | Richmond | 2015-07-30 / 20150211459 - PUMP UNIT AND METHOD OF OPERATING THE SAME | 6 |
Anthony T. Harcombe | GB | Surrey | 2009-03-19 / 20090072054 - Fuel injection apparatus | 1 |
Judd Harcombe | US | Seattle | 2012-10-25 / 20120271818 - SEARCHING APPARATUS AND A METHOD OF SEARCHING | 2 |
Anthony Harcombe | GB | Surrey | 2008-10-09 / 20080245904 - Valve Arrangement | 1 |
Nichola Robyn Harcourt | NZ | Hamilton | 2013-12-19 / 20130337095 - ANTIMICROBIAL COMPOSITION AND ITS METHOD OF USE | 1 |
Tim Harcourt | US | Smyrna | 2014-12-25 / 20140379560 - Automatic interest conversion program | 1 |
John A. Harcourt | US | Oklahoma City | 2009-04-02 / 20090085385 - CHILD RESTRAINT APPARATUS FOR A VEHICLE | 1 |
Michael J. Harcourt | US | Sicklerville | 2011-02-03 / 20110025551 - Burnout time estimation and early thrust termination determination for a boosting target | 1 |
Jefferson Grey Harcourt | AU | Victoria | 2010-10-28 / 20100269670 - Foot-Operated Audio Effects Device | 1 |
Rebecca Harcourt | AU | North Ryde | 2013-02-14 / 20130040367 - PHOSPHOTRIESTERASE FROM AGROBACTERIUM RADIOBACTER P230 | 1 |
Karen Aiko Harcourt | US | Lebanon | 2014-06-19 / 20140171837 - VACUUM CAST ("VAC-CAST") AND METHODS FOR TREATMENT OF PLANTAR WOUNDS | 1 |
Jason Peter Harcup | US | Trumbull | 2011-12-01 / 20110293552 - HAIR CARE COMPOSITION COMPRISING A DENDRITIC MACROMOLECULE | 1 |
Anthony Harcup | GB | Surrey | 2016-02-25 / 20160052633 - PASSENGER MODULE AND SEATING ARRAY FOR AN AIRCRAFT CABIN | 1 |
Jason Peter Harcup | GB | Wirral | 2008-12-25 / 20080317696 - Hair Care Compositions Based On A Dendritic Macromolecule Built Up From Anhydride Units | 2 |
Anthony Harcup | GB | London | 2012-11-01 / 20120274109 - Array of Aircraft Seats | 2 |
Tamás Harczos | HU | Vaskut | 2009-12-17 / 20090312819 - DEVICE, METHOD AND COMPUTER PROGRAM FOR ANALYZING AN AUDIO SIGNAL | 1 |
Tamas Harczos | DE | Wumbach | 2013-09-12 / 20130238054 - METHOD AND APPARATUS FOR GENERATING AN ELECTRODE STIMULATION SIGNAL IN A NEURAL AUDITORY PROSTHESIS | 2 |
Tamás Harczos | HU | Vaskut | 2009-12-17 / 20090312819 - DEVICE, METHOD AND COMPUTER PROGRAM FOR ANALYZING AN AUDIO SIGNAL | 1 |
Tamás Harczos | HU | Vaskut | 2009-12-17 / 20090312819 - DEVICE, METHOD AND COMPUTER PROGRAM FOR ANALYZING AN AUDIO SIGNAL | 1 |
Tamás Harczos | HU | Vaskut | 2009-12-17 / 20090312819 - DEVICE, METHOD AND COMPUTER PROGRAM FOR ANALYZING AN AUDIO SIGNAL | 1 |
Torleif Hard | SE | Savedalen | 2011-03-17 / 20110064741 - STABLE AMYLOID BETA MONOMERS AND OLIGOMERS | 1 |
John Hard | SE | Malmo | 2010-03-18 / 20100070921 - DICTIONARY CATEGORIES | 7 |
Mike Hard | US | Ann Arbor | 2009-04-23 / 20090102270 - SEAT BACKS FOR VEHICULAR SEATS | 2 |
Marjie L. Hard | US | Lexington | 2016-02-18 / 20160045495 - ARIPIPRAZOLE PRODRUG COMPOSITIONS | 1 |
Madhav Anant Hard | IN | Nashik | 2013-10-31 / 20130283770 - Integrated Exhaust Gas After-Treatment System for Diesel Fuel Engines | 1 |
Patrick Hard | US | Redwood City | 2016-01-07 / 20160001079 - NEUROSTIMULATOR SYSTEM, APPARATUS AND METHOD FOR CONDUCTING A CLINICAL TRIAL | 4 |
Louis Hard | US | Denver | 2013-04-11 / 20130087021 - TIRE CHAIN INSTALLATION RAMP | 1 |
Peter Harda | SE | Goeteborg | 2012-10-11 / 20120256404 - Method and control device for the activation of a passenger safety arrangement of a vehicle | 1 |
Peter Harda | SE | Torslanda | 2016-05-12 / 20160129908 - CONTROL ARRANGEMENT ARRANGED TO CONTROL AN AUTONOMOUS VEHICLE, AUTONOMOUS DRIVE ARRANGEMENT, VEHICLE AND METHOD | 11 |
Peter Harda | SE | Torslanda | 2016-05-12 / 20160129908 - CONTROL ARRANGEMENT ARRANGED TO CONTROL AN AUTONOMOUS VEHICLE, AUTONOMOUS DRIVE ARRANGEMENT, VEHICLE AND METHOD | 11 |
Robert Hardacker | US | Escondido | 2015-03-26 / 20150086021 - TECHNIQUES FOR PERSONALIZING AUDIO LEVELS | 58 |
Robert L. Hardacker | US | Escondido | 2014-05-22 / 20140139748 - RAPID TELEVISION CHANNEL SCAN USING FREQUENCY PLANS TO IDENTIFY CHANNELS | 18 |
Christopher Hardacre | GB | Belfast | 2014-12-18 / 20140371495 - CORROSION INHIBITION | 3 |
Chris Hardacre | GB | Belfast | 2012-05-31 / 20120132564 - PROCESS FOR REMOVING ORGANIC ACIDS FROM CRUDE OIL AND CRUDE OIL DISTILLATES | 1 |
Christopher Hardacre | GB | Northern Ireland | 2012-12-27 / 20120329644 - CATALYST COMPOSITION AND CATALYTIC REDUCTION SYSTEM | 1 |
Michael Hardage | US | Kingwood | 2011-11-17 / 20110282226 - CARDIAC ANALYSIS SYSTEM FOR COMPARING CLINICAL AND INDUCED VENTRICULAR TACHYCARDIA EVENTS | 1 |
George E. Hardage | US | Olathe | 2010-12-09 / 20100311513 - GOLF PUTTING AND SWING AID APPARATUS | 1 |
Kenneth Hardage | CA | Ottawa | 2014-09-18 / 20140262777 - BIOSENSOR STRUCTURES FOR IMPROVED POINT OF CARE TESTING AND METHODS OF MANUFACTURE THEREOF | 1 |
Bob A. Hardage | US | Burnet | 2013-05-23 / 20130128692 - EXTRACTING SV SHEAR DATA FROM P-WAVE MARINE DATA | 3 |
Kenneth Harold Hardage | CA | Ottawa | 2016-03-31 / 20160091455 - CARTRIDGE DEVICE IDENTIFICATION FOR COAGULATION ASSAYS IN FLUID SAMPLES | 1 |
James Nolan Hardage | US | Austin | 2015-03-19 / 20150082007 - REGISTER MAPPING WITH MULTIPLE INSTRUCTION SETS | 11 |
Mike Hardage | US | Redwood City | 2014-09-18 / 20140276195 - METHODS OF USING NERVE EVOKED POTENTIALS TO MONITOR A SURGICAL PROCEDURE | 1 |
James Matthew Hardage | US | Benton | 2015-09-24 / 20150271934 - Repositionable Orbiting Outlet Electrical Box Assembly | 1 |
James Nolan Hardage | US | Austin | 2015-03-19 / 20150082007 - REGISTER MAPPING WITH MULTIPLE INSTRUCTION SETS | 11 |
Elizabeth Louise Hardaker | GB | London | 2015-08-27 / 20150240242 - Compositions And Methods For Inhibiting Expression Of IKK-B Gene | 1 |
Trevor Hardaker | GB | Bradford | 2014-04-24 / 20140111937 - MEDIA CONTENT DEVICE CHASSIS WITH INTERNAL EXTENSION MEMBERS | 4 |
Yannis Hardalupas | GB | London | 2016-01-07 / 20160003728 - METHOD OF AND APPARATUS FOR ASCERTAINING THE SIZE OF PARTICLES | 1 |
Bhushan Hardas | US | 2010-08-05 / 20100197792 - 1-Amino-Alkylcyclohexane derivatives for the treatment of inflammatory skin diseases | 1 | |
Bhushan Hardas | US | Summerfield | 2016-04-28 / 20160114041 - TOPICAL COMPOSITIONS AND METHODS FOR MAKING AND USING SAME | 3 |
Bhushan Hardas | US | Summerville | 2016-04-28 / 20160113943 - TOPICAL COMPOSITIONS AND METHODS FOR MAKING AND USING SAME | 5 |
Anthony H. Hardaway | US | Stevensville | 2012-12-20 / 20120317730 - LAUNDRY TREATING APPLIANCE WITH BIOFILM TREATING CYCLE | 3 |
Alistair Hardaway | GB | Surrey | 2014-05-08 / 20140128174 - GOLF TRAINING AID | 1 |
Jason Michael Hardaway | US | Portland | 2011-03-03 / 20110054935 - SYSTEM AND METHOD FOR CACHED REPLENISHMENT OF PHARMACEUTICALS | 5 |
Ian Robert Hardcasctle | GB | Hexham | 2011-09-15 / 20110224274 - New Therapeutic Agents | 1 |
Ian Hardcastle | US | Santa Cruz | 2015-09-24 / 20150268407 - LIGHTING ASSEMBLY | 8 |
Ian Robert Hardcastle | GB | Hexham | 2014-07-10 / 20140194486 - THERAPEUTIC AGENTS | 1 |
Ian Hardcastle | US | Sunnyval | 2012-06-21 / 20120154714 - Systems And Method For Displaying Images With Reduced Power Consumption | 1 |
Philip Hobson Hardcastle | AU | Bentley | 2015-07-09 / 20150190175 - ORTHOPAEDIC STABILISATION DEVICE | 1 |
Ian Robert Hardcastle | GB | Newcastle Upon Tyne | 2011-06-02 / 20110130386 - DNA-PK INHIBITORS | 2 |
Ian Robert Hardcastle | GB | Tyne | 2008-10-23 / 20080261917 - Isoindolin-1-One Derivatives | 1 |
David Hardcastle | US | Fresno | 2015-12-31 / 20150379820 - METHOD AND APPARATUS THAT FACILITATES POOLING LOTTERY WINNINGS VIA A RELATIONAL STRUCTURE | 2 |
Ian Robert Hardcastle | GB | Tyne And Wear | 2009-12-31 / 20090326223 - SYNTHESIS OF 2-AMINO-SUBSTITUTED 4-OXO-4H-CHROMEN-8.YL-TRIFLUORO-METHANESULFONIC ACID ESTERS | 1 |
Ian Hardcastle | US | Santa Cruz | 2015-09-24 / 20150268407 - LIGHTING ASSEMBLY | 8 |
Karl Hardcastle | US | Saratoga Springs | 2013-01-10 / 20130011308 - QUENCH CHAMBER ASSEMBLY FOR A GASIFIER | 4 |
Peter William Hardcastle | GB | Bradford | 2010-07-01 / 20100166000 - Broadbrand Switched Multiplexer | 1 |
Ian Hardcastle | US | Sunnyvale | 2013-02-07 / 20130033900 - LIGHTING ASSEMBLY WITH CONFIGURABLE ILLUMINATION PROFILE | 13 |
Nicholas Gordon Lance Hardcastle | GB | Clifton Hill | 2014-02-20 / 20140049555 - CORRELATED IMAGE MAPPING POINTER | 1 |
Philip Hobson Hardcastle | AU | Cottesloe | 2015-09-03 / 20150245940 - CERVICAL BRACE | 1 |
Nicholas Gordon Lance Hardcastle | US | Madison | 2013-01-03 / 20130004034 - Reduction of Transitivity Errors in Radiotherapy Image Registration | 1 |
Henry K. Hardcastle, Iii | US | Phoenix | 2011-09-15 / 20110224905 - METHODS AND APPARATUS FOR ACCURATE SERVICE LIFE PREDICTION | 1 |
Jason D. Hardebeck | US | Baltimore | 2008-09-11 / 20080216808 - Tile saw | 1 |
Jason D. Hardebeck | US | Phoenix | 2011-02-03 / 20110023678 - MITER SAW WITH INCREASED CUTTING CAPACITY | 1 |
Ed Hardebeck | US | Brookline | 2011-02-17 / 20110041085 - Method and Apparatus for the Transmission of Changed Host Display Information | 2 |
Edward F. Hardebeck | US | Brookline | 2015-05-28 / 20150149916 - Integrating Co-Browsing with Other Forms of Information Sharing | 4 |
Kim C. Hardee | US | Colorado Springs | 2012-01-12 / 20120008445 - DUAL BIT LINE PRECHARGE ARCHITECTURE AND METHOD FOR LOW POWER DYNAMIC RANDOM ACCESS MEMORY (DRAM) INTEGRATED CIRCUIT DEVICES AND DEVICES INCORPORATING EMBEDDED DRAM | 5 |
H. Lenora Hardee | US | Fort Wayne | 2008-10-30 / 20080264194 - INTEGRATED AUTOMATIC MANUAL TRANSMISSION LEVER-TYPE SHIFT SELECTOR | 1 |
Donna Hardee | US | Raleigh | 2010-03-25 / 20100075756 - Secondary controller for emulating a console controller | 1 |
Donna Casteel Hardee | US | Raleigh | 2009-09-17 / 20090231429 - INFORMING A DRIVER OR AN OWNER OF A VEHICLE OF VISIBLE PROBLEMS DETECTED BY OUTSIDE VIDEO SOURCES | 1 |
Greg Hardee | US | Del Mar | 2012-12-06 / 20120309815 - COMPOSITIONS AND METHODS FOR TOPICAL DELIVERY OF OLIGONUCLEOTIDES | 1 |
Jim Hardee | US | Tulsa | 2011-05-12 / 20110110803 - GAS/FLUID INHIBITOR TUBE SYSTEM | 1 |
Lee J. Hardee | US | Charlotte | 2015-07-02 / 20150186818 - PORTFOLIO ANALYSIS ENHANCEMENT TO ENTITY MOBILITY/PRODUCTIVITY OPPORTUNITIES | 3 |
Kenneth L. Hardee | US | Middlefield | 2012-12-20 / 20120318748 - ELECTROCHLORINATION METHOD FOR ABOVE-GROUND SWIMMING POOLS | 4 |
Gregory E. Hardee | US | Ranacho Santa Fe | 2009-12-31 / 20090326045 - COMPOSITIONS AND METHODS FOR TOPICAL DELIVERY OF OLIGONUCLEOTIDES | 1 |
Patrice L. Hardee | US | Beaufort | 2009-12-24 / 20090313795 - Reclosable locking tape | 1 |
Gregory E. Hardee | US | Del Mar | 2013-10-17 / 20130274309 - COMPOSITIONS AND METHODS FOR NON-PARENTERAL DELIVERY OF OLIGONUCLEOTIDES | 3 |
Gregroy Hardee | US | Del Mar | 2016-02-04 / 20160032289 - Oral Delivery of Therapeutically Effective LNA Oligonucleotides | 2 |
Christopher J. Hardee | US | Research Triangle Park | 2015-12-17 / 20150363909 - Scaling Content on Touch-Based Systems | 4 |
Glenn C. Hardee | US | Amelia | 2011-08-04 / 20110185583 - MULTIPURPOSE TOOL FOR MARKING A SUBSTRATE | 1 |
Christopher J. Hardee | US | Raleigh | 2016-05-12 / 20160129642 - MATCHING THE SCALE OF INDEPENDENT OBJECTS OR INTELLIGENTLY SCALING OBJECTS WHEN 3D PRINTING | 152 |
Chistopher J. Hardee | US | Raleigh | 2014-07-03 / 20140185083 - NETWORK PRINTER SELECTION BASED ON PRINTER STATUS AND PROFILES | 2 |
Christopher J. Hardee | US | Raleigh | 2016-05-12 / 20160129642 - MATCHING THE SCALE OF INDEPENDENT OBJECTS OR INTELLIGENTLY SCALING OBJECTS WHEN 3D PRINTING | 152 |
Donna C. Hardee | US | Raleigh | 2012-08-09 / 20120199337 - Heat Sink for Dissipating a Thermal Load | 4 |
Christopher James Hardee | US | Raleigh | 2015-10-22 / 20150302298 - TOOLING FOR IMPLEMENTING BUSINESS PROCESSES USING WEB SERVICES | 4 |
Randy Hardee | US | Sunset Beach | 2014-09-04 / 20140245817 - AIRBORNE PARTICLE COLLECTION DEVICE APPLICATION | 2 |
Fred Hardee | US | Asheville | 2009-07-23 / 20090183296 - CUT, OIL & FLAME RESISTANT GLOVE AND A METHOD THEREFOR | 1 |
Christoper J. Hardee | US | Raleigh | 2014-06-19 / 20140170614 - PROVIDING A USER-SPECIFIC EFFORT VALUE ASSOCIATED WITH DIGITAL TEXTUAL CONTENT | 1 |
Gregory Hardee | US | Del Mar | 2012-09-20 / 20120238937 - METHOD OF TREATING KELOIDS OR HYPERTROPHIC SCARS USING ANTISENSE COMPOUNDS TARGETING CONNECTIVE TISSUE GROWTH FACTOR (CTGF) | 1 |
Donald Ray Hardee | US | Sumter | 2015-10-29 / 20150311683 - ELECTRICAL SYSTEM, AND SUPPORT ASSEMBLY AND METHOD OF RACKING ELECTRICAL SWITCHING APPARATUS THEREFOR | 1 |
Lee Hardee | US | Charlotte | 2011-07-07 / 20110167015 - CONCENTRATION RISK MODELING | 1 |
Martin Hardegger | CH | Sargans | 2016-05-05 / 20160124089 - ABSOLUTE DISTANCE MEASUREMENT FOR TIME-OF-FLIGHT SENSORS | 16 |
Gary G. Hardel | US | Wayne | 2008-12-11 / 20080306897 - System for Providing Healthcare Operation Specific User Interface Display Images | 1 |
Ruediger Hardeland | DE | Rosdorf | 2008-08-28 / 20080206881 - DIAGNOSTIC MARKER FOR NEURODEGENERATIVE DISEASES | 1 |
David A. Hardell | US | San Jose | 2016-03-31 / 20160091960 - CONTROL SYSTEMS FOR REDUCING CURRENT TRANSIENTS | 8 |
Dave Hardell | US | San Jose | 2009-07-23 / 20090186527 - MICRODVI CONNECTOR | 1 |
Thomas Jason Hardell | US | Point Pleasant | 2009-08-06 / 20090194009 - BUMPER ASSEMBLIES FOR MODULAR BARGES AND METHODS THEREFOR | 1 |
Dave Hardell | US | Cupertino | 2009-07-09 / 20090173534 - I/O CONNECTORS WITH EXTENDABLE FARADAY CAGE | 1 |
Wesley D. Hardell | US | San Antonio | 2009-09-24 / 20090240928 - CHANGE IN INSTRUCTION BEHAVIOR WITHIN CODE BLOCK BASED ON PROGRAM ACTION EXTERNAL THERETO | 1 |
David A. Hardell | US | San Jose | 2016-03-31 / 20160091960 - CONTROL SYSTEMS FOR REDUCING CURRENT TRANSIENTS | 8 |
James Lee Hardeman | US | Carlsbad | 2011-03-17 / 20110066474 - Method and System for for Financial Planning | 2 |
Barend Dinant Hardeman | NL | Lunteren | 2013-03-07 / 20130056329 - Apparatus for Conveying Eggs | 1 |
Kristine J. Hardeman | US | Westerly | 2012-08-23 / 20120216318 - Nucleic acid molecules and other molecules associated with plants | 4 |
Lon Hardeman | US | Foster City | 2012-07-19 / 20120185542 - REGISTERING EMAIL ADDRESSES FOR ONLINE COMMUNICATION SESSIONS | 1 |
Wilhelmina Maria Hardeman | NL | Eindhoven | 2016-05-12 / 20160131813 - Light Emitting Device | 5 |
Kristine Hardeman | US | Westerly | 2010-11-18 / 20100293663 - Nucleic Acid Molecules and Other Molecules Associated with Plants and Uses Thereof for Plant Improvement | 3 |
Toon Hardeman | NL | 'S-Hertogenbosch | 2013-07-18 / 20130182236 - IMPRINT LITHOGRAPHY | 2 |
John Harden | US | Tallmadge | 2012-05-24 / 20120127136 - DISPLAY DEVICE INCLUDING PIEZOELECTRIC AND LIQUID CRYSTAL LAYERS | 1 |
Peter Michael Harden | IE | County Limerick | 2010-07-29 / 20100186304 - Fine Grained Polycrystalline Abrasive Material | 1 |
Phillip Harden | BB | Cane Vale | 2010-11-11 / 20100283249 - SYSTEM AND METHOD FOR CONVERSION OF OCEAN WAVES INTO USABLE MECHANICAL ENERGY | 1 |
Aaron J. Harden | GB | Olney | 2009-10-29 / 20090271737 - METHOD AND SYSTEM FOR GRAPHICALLY EMPHASIZING A PATH THROUGH A DIAGRAM | 1 |
Peter Harden | FI | Poitsila | 2009-07-02 / 20090165973 - Web-Forming Section and Method for Manufacturing Multi-Layer Web | 1 |
Kathleen Harden | US | Dunlap | 2013-11-07 / 20130295830 - Swivel spike cone | 1 |
Daniel Harden | US | San Jose | 2012-11-01 / 20120275843 - ORAL CARE SYSTEM, KIT AND METHOD | 1 |
Deanna K. Harden | US | Fontana | 2011-04-07 / 20110080897 - Communications resource management | 1 |
Matthew J. Harden | US | Powell | 2012-03-08 / 20120056459 - VEHICLE SEAT | 2 |
Paul Harden | US | Brentwood | 2015-02-05 / 20150037874 - CHIMERIC ADENOVIRUSES FOR USE IN CANCER TREATMENT | 6 |
Jeremy Harden | US | Norman | 2013-08-22 / 20130213007 - EXHAUST GAS RECIRCULATION VALVE CONTAMINANT REMOVAL | 2 |
Chris W. Harden | US | Griffin | 2010-12-30 / 20100328466 - INFRARED ILLUMINATOR WITH VARIABLE BEAM ANGLE | 1 |
Daniel K. Harden | US | Palo Alto | 2015-04-16 / 20150104238 - SINGLE BOOSTER BINDER MECHANISM | 4 |
Eric Lee Harden | US | Cypress | 2014-10-23 / 20140311748 - Apparatus and Methods for Inspecting and Cleaning Subsea Flex Joints | 2 |
Leslie A. Harden | US | Richmond | 2010-03-04 / 20100057372 - RAPID IDENTIFICATION OF PROTEINS AND THEIR CORRESPONDING SOURCE ORGANISMS BY GAS PHASE FRAGMENTATION AND IDENTIFICATION OF PROTEIN BIOMARKERS | 1 |
Michael Carlton Harden | US | Arvada | 2012-12-06 / 20120307076 - SYSTEMS AND METHODS FOR TESTING VIDEO HARDWARE BY EVALUATING OUTPUT VIDEO FRAMES CONTAINING EMBEDDED REFERENCE CHARACTERISTICS | 1 |
Kristin Harden | US | Pacifica | 2013-09-26 / 20130251720 - NOVEL COMPOSITIONS AND METHODS FOR THE TREATMENT OF IMMUNE RELATED DISEASES | 2 |
Chris W. Harden | US | Griffn | 2009-08-20 / 20090207249 - CLIMATE CONTROLLED SURVEILLANCE SYSTEM | 1 |
James Philip Harden | US | Lexington | 2014-09-18 / 20140270846 - Transfer Roll Assembly for an Electrophotographic Image Forming Device | 2 |
Jeffrey S. Harden | US | Omaha | 2009-01-22 / 20090024508 - METHOD AND SYSTEM FOR HANDLING METHOD LEVEL PROCESSING IN CONNECTION WITH CARDHOLDER ACCOUNT PROCESSING | 1 |
John Harden | US | Raleigh | 2013-09-19 / 20130244876 - Synergistic compositions comprising ethephon and saflufenacil or cyclanilide and saflufenacil | 2 |
Joel Harden | US | Philadelphia | 2008-10-16 / 20080252008 - Method of playing a mathematical game | 1 |
Johnnie M. Harden | US | Frostproof | 2008-10-02 / 20080241323 - AGRICULTURAL PRODUCT DISINFECTING SYSTEM | 1 |
Lewis Wayne Harden | US | Corpus Christi | 2008-09-04 / 20080211245 - Sport bike tow sling II | 1 |
Chris Harden | US | Griffin | 2012-12-20 / 20120319468 - POWER OVER ETHERNET PRIORITIZATION SYSTEM AND METHOD FOR SURVEILLANCE CAMERAS | 1 |
Charles Stephen Harden | US | Bel Air | 2014-10-23 / 20140312235 - METHOD OF DETECTING NUCLEAR RADIATION OR RADIOACTIVE MATERIAL IN A CONTAINER | 1 |
Joseph Childs Harden | US | Yemassee | 2014-10-23 / 20140312235 - METHOD OF DETECTING NUCLEAR RADIATION OR RADIOACTIVE MATERIAL IN A CONTAINER | 1 |
Brittany Harden | US | Marne | 2016-02-25 / 20160050854 - MOVABLE HEAT APPLICATOR FOR PROVIDING THERMOTHERAPY TO TREES | 1 |
Adam R. Harden | US | Marne | 2015-01-15 / 20150014190 - REUSABLE APPARATUS FOR STORING AND TRANSPORTING BEVERAGE CONTAINERS | 1 |
Melissa Anne Harden | US | Harrisburg | 2012-10-18 / 20120264336 - ELECTRICAL CONNECTORS AND RECEPTACLE ASSEMBLIES HAVING RETENTION INSERTS | 1 |
Vanessa Lynn Harden | US | Westville | 2012-06-28 / 20120160261 - Toothpaste Dispensing Toothbrush with Mouthwash Strip Roll Compartment | 2 |
Kelly Harden | US | Orlando | 2012-03-08 / 20120057925 - FILE BINDER AND DOCUMENT ORGANIZER | 1 |
John M. Harden | US | Magnolia | 2013-10-31 / 20130287675 - Removal of Bromine From Gaseous Hydrogen Bromide | 1 |
Peter Michael Harden | IE | Shannon | 2012-07-19 / 20120183364 - SUPERHARD CUTTER ELEMENT | 1 |
John M. Harden | US | Zachary | 2015-05-28 / 20150147265 - Removal of Bromine From Gaseous Hydrogen Bromide | 1 |
Jeffrey Allen Harden | US | Dunlap | 2013-11-07 / 20130295830 - Swivel spike cone | 2 |
Peter Michael Harden | IE | County | 2009-05-21 / 20090126541 - Cutting Method | 1 |
Ross Brent Harden | CA | Cumberland | 2015-02-05 / 20150035653 - Method and System for Storing Information Related to an Object | 1 |
Brian Harden | US | Charlotte | 2013-04-04 / 20130083397 - OPTICAL ELEMENTS, METHOD OF REPLICATING OPTICAL ELEMENTS, PARTICULARLY ON A WAFER LEVEL, AND OPTICAL DEVICES | 1 |
Wesley Harden | US | Greenville | 2013-09-26 / 20130252197 - DENTAL RETRACTOR TOOL | 1 |
Hennig Harden | DE | Hamburg | 2010-12-30 / 20100332042 - WIND FARM AND METHOD FOR CONTROLLING A WIND FARM | 1 |
Steve Harden | US | Pasadena | 2012-08-23 / 20120215187 - One-Piece Suction Canister Liner | 1 |
Peter Michael Harden | IE | Limerick County | 2009-06-11 / 20090148249 - PCBN Cutting Tool Components | 1 |
Benjamin Karl Harden | US | Frisco | 2011-06-16 / 20110145857 - SCALABLE ADVERTISING SYSTEM FOR DYNAMICALLY INSERTING ADVERTISEMENTS | 1 |
John Harden | US | Concord | 2014-03-13 / 20140070525 - SECURITY DOCUMENT | 1 |
James D. Harden | US | Benton Harbor | 2011-06-09 / 20110133380 - MICRO CELLULAR URETHANE (MCU) PROGRESSIVE RATE BUMP STOP/SPRING AID | 1 |
Felix Harden | DE | Hamburg | 2015-12-24 / 20150367941 - SYSTEM FOR MOVING LOADS | 2 |
Thomas Kendall Harden | US | Westfield | 2015-08-06 / 20150218538 - Methods and Compositions for Modulating G-Alpha-Q Signaling | 1 |
Arron J. Harden | GB | Olney | 2015-09-10 / 20150254171 - AUTOMATIC TEST CASE GENERATION | 3 |
Stewart Douglas Harden | US | Pooler | 2014-07-31 / 20140212377 - SCENTED CAMO | 1 |
John S. Harden | US | Raleigh | 2012-01-26 / 20120022021 - Mixtures of strobilurins with 1-methylcyclopropene | 2 |
Peter Michael Harden | IE | Limerick | 2014-09-11 / 20140251100 - Cutting Method | 6 |
Arron J. Harden | GB | Milton Keynes | 2014-06-26 / 20140181006 - EXTRACT-TRANSFORM-LOAD PROCESSOR CONTROLLER | 2 |
John M. Harden | US | Oxford | 2014-03-20 / 20140081668 - Method of Delivering Goods and Services Via Media | 9 |
Arron J. Harden | GB | Emberton | 2016-03-31 / 20160094506 - INTERACTIVE SOCIAL MEDIA ACCESS TO DATA SYSTEMS | 4 |
John M. Harden | US | Oxford | 2014-03-20 / 20140081668 - Method of Delivering Goods and Services Via Media | 9 |
Dan Harden | US | Palo Alto | 2015-01-22 / 20150022612 - LABEL PRINTER | 1 |
Paul Hardenbol | US | San Francisco | 2016-03-17 / 20160076091 - NANOPORE-BASED NUCLEIC ACID ANALYSIS WITH MIXED FRET DETECTION | 13 |
Mitchell A. Hardenbrook | US | Hopkinton | 2011-04-14 / 20110087074 - Surgical retractor system | 1 |
Mitchell A. Hardenbrook | US | Hopkintong | 2015-07-30 / 20150209023 - Two-Stage Spinal Access Assembly | 2 |
Mitchell Hardenbrook | US | Hopkinton | 2014-05-01 / 20140121775 - EXPANDABLE INTERBODY IMPLANT AND METHOD | 3 |
Frederick H. Hardenbrook | US | Egg Harbor Township | 2012-06-21 / 20120158153 - TRAPEZIUM PROSTHESIS | 4 |
Constance Hardenburg | US | San Tan Valley | 2013-01-03 / 20130000039 - Exam Table Step Platform With Attached Handles | 1 |
Nitin Kumar Hardeniya | IN | Bangalore | 2015-08-20 / 20150237206 - METHOD AND APPARATUS FOR ANALYZING LEAKAGE FROM CHAT TO VOICE | 3 |
John Ernest Harden, Jr. | US | Streetsboro | 2015-04-16 / 20150102704 - SUPER-PIEZOELECTRIC COMPOSITE FIBER MATS FOR POWER GENERATION AND SOFT ACTUATORS | 5 |
Christoph Harder | CH | Schindellegi | 2010-09-02 / 20100220762 - HIGH POWER SEMICONDUCTOR OPTO-ELECTRONIC DEVICE | 2 |
Chris Harder | CA | Ottawa | 2010-10-21 / 20100264155 - TUBE FOR DNA REACTIONS | 1 |
Martin Harder | DE | Numberg | 2010-05-13 / 20100119137 - Method and System for Anatomic Landmark Detection Using Constrained Marginal Space Learning and Geometric Inference | 1 |
David B. Harder | US | Burnsville | 2013-12-19 / 20130334147 - FLUID FILTER SYSTEM | 9 |
Martin Harder | DE | Nuernberg | 2013-10-31 / 20130285654 - METHOD AND APPARATUS TO GENERATE MAGNETIC RESONANCE IMAGES | 5 |
Richard Harder | US | Sumner | / - | 1 |
Chris Harder | CA | Gattineau | 2010-03-25 / 20100075296 - Thermal cycling by positioning relative to fixed-temperature heat source | 1 |
Rob Harder | CA | Vancouver | 2010-09-09 / 20100226487 - METHOD & APPARATUS FOR CONTROLLING THE STATE OF A COMMUNICATION SYSTEM | 1 |
Craig Harder | CA | Edmonton | 2013-06-13 / 20130145659 - EDGE PROTECTOR FOR GROUND ENGAGING TOOL ASSEMBLY | 9 |
Alan Keith Harder | US | Sunnyvale | 2010-09-23 / 20100241722 - METHOD AND SYSTEM FOR TRANSPORTING TELEMETRY DATA ACROSS A NETWORK | 1 |
Thorsten Harder | CH | Tegerfelden | 2015-09-10 / 20150256030 - METHOD FOR OPERATING AN ENERGY INSTALLATION, AND AN ENERGY SYSTEM HAVING SUCH ENERGY INSTALLATIONS | 2 |
Jurgen Harder | DE | Kiel | 2009-01-08 / 20090010996 - Human antibiotic proteins | 1 |
Philipp Harder | CH | Chur | 2016-04-14 / 20160102203 - REINFORCED POLYAMIDE MOULDING COMPOSITIONS AND INJECTION MOULDINGS PRODUCED THEREFROM | 6 |
Achim Harder | DE | Koln | 2014-10-30 / 20140323736 - USE OF ARYL AND HETARYL CARBOXAMIDES AS ENDOPARASITICIDES | 5 |
Jan Harder | DE | Hamburg | 2010-12-16 / 20100314505 - DEVICE FOR HOLDING LINES IN AN AIRCRAFT | 1 |
Bradley N. Harder | US | Appleton | 2012-06-21 / 20120152178 - BIRDHOUSE | 1 |
Michael James Harder | US | Bellevue | 2008-12-04 / 20080301702 - AUTOMATED GENERATION OF DIFFERENT SCRIPT VERSIONS | 3 |
John W. Harder | US | Rochester | 2012-03-08 / 20120058050 - LOADED LATEX OPTICAL MOLECULAR IMAGING PROBES CONTAINING LIPOPHILIC LARGE STOKES SHIFT DYES | 7 |
Michael Harder | DE | Bodenheim | 2013-12-26 / 20130341882 - REAR AXLE FOR A MOTOR VEHICLE | 8 |
Sebastian Harder | DE | Frankfurt | 2014-03-06 / 20140064595 - APPARATUS AND METHOD FOR DETERMINING THE COAGULATION TIME OF BLOOD | 1 |
Craig E. Harder | CA | Edmonton | 2011-06-02 / 20110129638 - Magnetic wear saving device | 1 |
Andreas Harder | DE | Breidenbach | 2013-08-01 / 20130192175 - Method and Apparatus for Preparing Portions | 1 |
Jerry Harder | US | Grosse Pointe Woods | 2012-01-19 / 20120012405 - OCCUPANT WEIGHT SENSING USING INTELLIGENT FASTENER AND VERTICAL LOAD TRANSMITTING BRACKETS | 1 |
Nils-Peter Harder | DE | Hameln | 2014-08-21 / 20140230878 - METHOD FOR ELECTRICALLY CONNECTING SEVERAL SOLAR CELLS AND PHOTOVOLTAIC MODULE | 6 |
Tim Harder | DE | Hamburg | 2014-01-02 / 20140006286 - PROCESS TO INITIATE PAYMENT | 1 |
Cameron Harder | US | Chicago | 2015-09-10 / 20150251204 - Pressurized Viscous Condiment Dispenser | 1 |
James Anton Harder | US | Bedford | 2008-08-21 / 20080197274 - TEMPERATURE CONTROLLED PHOTODETECTOR | 1 |
Michael Harder | DE | Mainz | 2010-03-04 / 20100052281 - TWIST-BEAM REAR AXLE COMPRISING AN ADDITIONAL WATT LINKAGE | 1 |
Hans-Ulrich Harder | DE | Moerfelden-Walldorf | 2014-01-30 / 20140026632 - CONNECTION ELEMENT AND SETTING DEVICE FOR A CONNECTION ELEMENT | 1 |
Margaret U. Harder | US | Novi | 2010-01-14 / 20100010753 - METHODS AND SYSTEMS FOR DEVELOPING MESH NETWORKS AND ESTIMATING AIR FLOW AROUND VEHICLE BODY SURFACES | 1 |
Michael Harder | US | Bellevue | 2015-07-23 / 20150206079 - Modeling User Input and Interaction in Workflow Based Applications | 1 |
Andreas Harder | DE | Marburg | 2012-12-13 / 20120312660 - PRODUCTION SYSTEM WITH FEEDING CONVEYOR FOR SHEET-LIKE INTERMEDIATE LAYERS FOR FOOD PRODUCTS | 1 |
Nathan Harder | US | Magnolia | 2013-07-04 / 20130168088 - DOWNHOLE PISTON ACCUMULATOR SYSTEM | 2 |
Michael Harder | DE | Hildesheim | 2015-09-10 / 20150250555 - LIGHTING DEVICE FOR SURGICAL PURPOSES | 1 |
Craig Harder | CA | Edmonton | 2013-06-13 / 20130145659 - EDGE PROTECTOR FOR GROUND ENGAGING TOOL ASSEMBLY | 9 |
Brandon J. Harder | US | Kent | 2009-11-05 / 20090274711 - LEVELS OF BLyS/APRIL HETEROTRIMERS IN SERUM AND USE IN DIAGNOSTIC METHODS | 1 |
Wulf Harder | DE | Geesthacht | 2012-01-12 / 20120011516 - Method for the administration of resources | 2 |
Patrick J. Harder | US | Saginaw | 2015-08-06 / 20150217252 - TAPER FLUIDIZED BED REACTOR AND PROCESS FOR ITS USE | 1 |
Nils-Peter Harder | GR | Hameln | 2013-10-17 / 20130269769 - TRANSPARENT GLASS PANE PROVIDED WITH A SURFACE STRUCTURE | 1 |
Michael Harder | DE | Bodenheim | 2013-12-26 / 20130341882 - REAR AXLE FOR A MOTOR VEHICLE | 8 |
Claus Harder | DE | Uttenreuth | 2015-07-30 / 20150209813 - DEVICE FOR COATING A STENT AND ASSOCIATED COATING METHOD AND STENT PRODUCED ACCORDING TO THE METHOD | 23 |
George Fred Harder | US | Lutz | 2008-10-09 / 20080249398 - Hybrid Source Containing Multi-Radionuclides for Use in Radiation Therapy | 1 |
Melvin Reggie Harder | CA | Steinbach | 2012-10-04 / 20120248675 - Automatic Stop Gauge for Positioning a Workpiece Relative to the Working Member of a Tool | 1 |
Michael J. Harder | US | Bellevue | 2012-12-20 / 20120324422 - LIVE BROWSER TOOLING IN AN INTEGRATED DEVELOPMENT ENVIRONMENT | 3 |
Friedrich Harder | DE | Lindau | 2016-03-03 / 20160060317 - NOVEL NEURTURIN CONJUGATES FOR PHARMACEUTICAL USE | 4 |
Ralf Harder | DE | Hamburg | 2009-06-04 / 20090140704 - METHOD FOR THE OPERATION OF A WIND ENERGY PLANT WITH VOLTAGE-DEPENDENT CONTROL OF A REACTIVE ELECTRIC VARIABLE WHICH IS TO BE PROVIDED | 1 |
Rene Harder | US | Nashville | 2015-12-17 / 20150359489 - SMART MOBILE HEALTH MONITORING SYSTEM AND RELATED METHODS | 2 |
Shayne Harder | CA | Abbotsford | 2013-08-08 / 20130199307 - ASSEMBLY, INTERCALATED BETWEEN A TORQUE TOOL AND A FASTENING ELEMENT, FOR MEASURING TORQUES AND TIGHTENING ANGLES | 1 |
David B. Harder | US | Burnsville | 2013-12-19 / 20130334147 - FLUID FILTER SYSTEM | 9 |
Frank Harder | US | San Jose | 2016-05-05 / 20160128158 - LED ENVIRONMENT ENGINE | 1 |
Robert H. Harder | US | Bena | 2011-03-17 / 20110066140 - Low profile chest seal | 2 |
Gerald T. Harder | US | Chandler | 2012-04-26 / 20120101343 - MEDICAL IMAGING DEVICE | 2 |
Scott Harder | US | Overland Park | / - | 1 |
Benjamin T. Harder | US | Dekalb | 2015-10-29 / 20150308878 - STARTER OIL QUANTITY INDICATION SYSTEM | 1 |
Nathan J. Harder | US | Magnolia | 2010-09-30 / 20100243330 - Impact Excavation System and Method With Injection System | 4 |
Brandon J. Harder | US | Renton | 2013-12-12 / 20130330339 - LEVELS OF BLYS/APRIL HETEROTRIMERS IN SERUM AND USE IN DIAGNOSTIC METHODS | 1 |
Achim Harder | DE | Koeln | 2012-06-07 / 20120141546 - OIL-BASED PREPARATION | 4 |
Scott Harder | US | Olathe | 2012-05-24 / 20120124905 - METHOD AND APPARATUS FOR GROWING PLANTS | 1 |
Charles Harder | US | Reno | 2013-12-26 / 20130340389 - STORAGE BAG HAVING AN IDENTIFICATION FEATURE | 3 |
Chris Harder | US | Nepean | 2014-11-13 / 20140335520 - DIRECT NUCLEIC ACID ANALYSIS | 1 |
Martin Harder | DE | Nurnberg | 2015-12-10 / 20150355296 - DISPLACEABLE LOCAL COIL | 3 |
Nils-Peter Harder | DE | Allemagne | 2009-12-31 / 20090320899 - TEXTURED PLATE COMPRISING ASYMMETRICAL PATTERNS | 1 |
Sebatsian Harder | DE | Hilzingen | 2016-01-07 / 20160003738 - DEVICE AND METHOD FOR MEASURING THE OXYGEN CONTENT IN WELDING PROCESSES | 1 |
Martin Harder | DE | Speyer | 2016-01-28 / 20160026174 - Method for Planning an Object Comprising a Multitude of Single Parts and Subassemblies, Construction Module and Manufacturing System | 1 |
Gary Harder | US | Lake Mary | 2015-03-19 / 20150074895 - Laminar Flow Swim Spa | 7 |
Donald R. Harder | US | Fishers | 2013-12-26 / 20130346454 - Methods and Systems for Predictive Clinical Planning and Design and Integrated Execution Services | 1 |
Chris Harder | CA | Nepean | 2013-02-21 / 20130045477 - DIRECT NUCLEIC ACID ANALYSIS | 1 |
Patrick James Harder | US | Midland | 2011-10-13 / 20110250116 - Process for Producing Trichlorosilane and Tetrachlorosilane | 1 |
Robert F. Harder | US | Yamhill | 2013-12-05 / 20130319387 - CHANGEUP CONTROLLER FOR BALL THROWING MACHINE | 2 |
Deane Harder | DE | Freiburg | 2008-10-16 / 20080250987 - Pallet | 1 |
Chris Harder | CA | Gatineau | 2008-11-06 / 20080275229 - Method for increasing the speed of nucleic acid amplification reactions | 1 |
Abraham Harder | NL | Berkel En Rodenrys | 2015-05-14 / 20150134544 - FIRE EQUIPMENT | 1 |
Nathan James Harder | US | Spring | 2015-02-12 / 20150042487 - Latching Assembly for Wellbore Logging Tools and Method of Use | 3 |
John William Harder | US | Rochester | 2010-02-11 / 20100034748 - Molecular imaging probes based on loaded reactive nano-scale latex | 5 |
Paul Harder | US | Delafield | 2010-09-09 / 20100225090 - AERODYNAMIC BICYCLE STRUCTURE | 1 |
Friedrich Harder | DE | Wasserburg | 2014-06-12 / 20140162948 - FORMULATION FOR INCREASING BIOAVAILABILITY OF NEURTURIN | 2 |
Friedrich Harder | DE | Gottingen | 2008-08-28 / 20080207594 - Use of Gsk-3 Inhibitors for Preventing and Treating Pancreatic Autoimmune Disorders | 1 |
Philipp Harder | DE | Heidelberg | / - | 1 |
James A. Harder | US | Bedford | 2012-11-22 / 20120293861 - System and Method for Correcting Astigmatism Caused by an Aircraft Canopy | 1 |
Christian Harder | DE | Hamburg | 2010-07-15 / 20100178431 - METHOD AND DEVICE FOR THE SOLVENT-FREE PRODUCTION OF ACRYLATE ADHESIVE MASSES | 2 |
David Hardern | GB | Sutton Bonington | 2013-05-02 / 20130109702 - TRISUBSTITUTED TRIAZOLOPYRIMIDINES FOR USE IN PLATELET AGGREGATION INHIBITION | 4 |
David Hardern | GB | Leics | 2010-03-18 / 20100069408 - Trisubstituted triazolopyrimidines for use in platelet aggregation inhibition | 1 |
David Hardern | GB | Cheshire | 2015-06-04 / 20150152111 - TRISUBSTITUTED TRIAZOLOPYRIMIDINES FOR USE IN PLATELET AGGREGATION INHIBITION | 2 |
Sönke Harders | DE | Stade | 2012-11-08 / 20120279649 - A METHOD AND A PLACEMENT TOOL FOR THE MANUFACTURE OF A NON-CRIMP FABRIC | 1 |
James A. Harders | US | Goleta | 2013-07-04 / 20130171288 - DEVICE FOR FACILITATING MOLDING OF BREAST IMPLANT SHELLS | 3 |
Richard Harders | DE | Wolfsburg | 2013-08-22 / 20130214548 - VEHICLE HAVING AN OVERHEAD CONSOLE | 1 |
Sylvia Harders | DE | Buchholz | 2015-04-02 / 20150094405 - Process For Preparing Graft Polymers Without Initiator And Without Solvent And Bitumen/Polymer Compositions Comprising Said Graft Polymers | 9 |
Christian Harders | DE | Darmstadt | 2012-07-12 / 20120176179 - SAMPLING | 1 |
Sonke Harders | DK | Ringkobing | 2014-11-20 / 20140341738 - WIND TURBINE BLADE AND METHOD OF MANUFACTURING A WIND TURBINE BLADE | 1 |
Matthias Harders | CH | Zurich | 2015-06-04 / 20150154889 - MEDICAL TRAINING SYSTEMS AND METHODS | 2 |
Matthias Harders | GB | Sheffield | 2014-03-13 / 20140071165 - MIXED REALITY SIMULATION METHODS AND SYSTEMS | 1 |
Harald Harders | DE | Mulheim A.d. Ruhr | 2011-12-01 / 20110293431 - COMPONENT HAVING VARYING STRUCTURES AND METHOD FOR PRODUCTION | 2 |
Sylvia Harders | DE | Buchholz | 2015-04-02 / 20150094405 - Process For Preparing Graft Polymers Without Initiator And Without Solvent And Bitumen/Polymer Compositions Comprising Said Graft Polymers | 9 |
James A. Harders | US | Rancho Palos Verdes | 2015-05-14 / 20150133877 - Implantable Injection Port | 1 |
Hege Hardersen | NO | Osteras | 2009-01-15 / 20090018323 - METHOD FOR EXTRACTING NUCLEIC ACID FROM BLOOD | 1 |
Nicholas Hardert | US | Cincinnati | 2013-09-12 / 20130237967 - Suction Lithotripsy Apparatus, Method and Kit | 1 |
Michael Hardert | US | Bloomington | 2012-09-13 / 20120232470 - Multi-Path Catheter | 1 |
Kathryn Hardert | US | Bloomington | 2016-02-04 / 20160030080 - Medical Devices Having a Releasable Tubular Member and Methods of Using the Same | 2 |
Michael W. Hardert | US | Bloomington | 2016-01-28 / 20160022250 - ROTATING FULL-CORE BIOPSY NEEDLE | 24 |
John Thomas Hardesty | US | Dallas | 2010-06-03 / 20100133005 - Method for the Enhancement of Dynamic Underbalanced Systems and Optimization of Gun Weight | 4 |
Gary W. Hardesty | US | Northfield | 2012-06-28 / 20120166103 - Fault Interrupting and Reclosing Device | 3 |
William Mark Hardesty | US | Chandler | 2015-01-22 / 20150025797 - Precision Multiple Vehicle Navigation System | 3 |
Douglas Craig Hardesty | US | Mason | 2011-09-08 / 20110214683 - REDUCED VARIABILITY COATED FLOSS | 1 |
Robert E. Hardesty | US | Danville | 2009-04-23 / 20090101308 - MICRO-CHANNEL PULSATING HEAT PUMP | 1 |
Clifford Allen Hardesty | US | Cedar Park | 2011-11-17 / 20110277210 - APPLICATION FOR CARE OF INFANT | 2 |
Jeffrey B. Hardesty | US | Big Rapids | 2008-10-02 / 20080241007 - Catalytic converter with inner sheath and method for making the same | 1 |
Ryan Hardesty | US | Valparaiso | 2015-10-22 / 20150300640 - MINIMUM INPUT AIR PROVIDING DEVICE AND METHOD | 5 |
John Hardesty | US | Weatherford | 2016-01-28 / 20160024911 - Advanced Perforation Modeling | 1 |
Kristin Lynne Hardesty | US | Alpharetta | 2013-08-15 / 20130212036 - CUSTOMER CONTROLLED MANAGEMENT OF SHIPMENTS | 3 |
John T. Hardesty | US | Weatherford | 2016-02-18 / 20160047199 - Wellbore Plug Isolation System and Method | 11 |
Michael Hardesty | US | Dale | 2013-08-01 / 20130192721 - Method for Joining Workpieces Together and Product Made Thereby | 2 |
Jason T. Hardesty | US | Dublin | 2013-01-03 / 20130001985 - VEHICLE CABIN INTRUSION MANAGEMENT | 1 |
John T. Hardesty | US | Milsap | 2015-01-08 / 20150007994 - Open Hole Casing Run Perforating Tool | 1 |
Carmen Hardesty | US | Norton | 2014-01-02 / 20140005881 - Automotive Diagnostic System | 1 |
Jason Hardesty | US | Columbus | 2015-02-05 / 20150035263 - Dual Chambered Passenger Airbag | 1 |
John T. Hardesty | US | Weatherford | 2016-02-18 / 20160047199 - Wellbore Plug Isolation System and Method | 11 |
Gary Hardesty | US | Oakland | 2011-12-22 / 20110310595 - Lighted Flooring | 1 |
John Hardesty | US | Dallas | 2009-09-03 / 20090217739 - METHOD FOR THE DEVELOPMENT AND QUALITY CONTROL OF FLOW-OPTIMIZED SHAPED CHARGES | 1 |
Jeffrey R. Hardesty | US | Apex | 2014-09-18 / 20140281834 - Method and Apparatus for Data Integrity Checking in a Processor | 3 |
Douglas Hardesty | US | East Lyme | 2008-09-18 / 20080226394 - Leaching chamber having a diagonally ribbed top | 1 |
Grant E. Hardesty | US | Livermore | 2013-08-15 / 20130211613 - Smart Bulb System | 1 |
Martin J. Hardesty | US | West Hartford | 2016-04-14 / 20160101966 - ELEVATOR MACHINE WITH RECESSED BEARINGS | 5 |
Terry D. Hardesty | US | Columbus | 2014-07-24 / 20140202196 - CONTROL SYSTEM FOR A DOOR OF AN ICE DISPENSER CHUTE | 1 |
William David Hardgrave | US | Carrollton | 2016-02-18 / 20160047279 - VORTEX TUBE SUPPLYING SUPERHEATED VAPOR FOR TURBINE POWER GENERATION | 1 |
Adrian John Hardgrave | FR | Annecy | 2015-08-13 / 20150226259 - INTERLOCKING BEARING | 1 |
Adrian Hardgrave | FR | Annecy | 2015-05-14 / 20150132498 - Metal-Backed Plain Bearing | 2 |
Grant Michael Hardgrave | US | Bend | 2012-08-02 / 20120195686 - Drywell retrofit sump insert for storm water treatment | 2 |
Charles E. Hardgrove | US | Imperial Beach | 2009-03-26 / 20090079394 - SYSTEM AND METHOD FOR CHARGING A RECHARGEABLE BATTERY | 1 |
Marc Hardgrove | US | St. Pete Beach | 2015-05-07 / 20150122278 - ECO MICRO-ELECTRIC THERMAL DEVICE | 1 |
David L. Hardgrove | US | Uniontown | 2009-07-09 / 20090175715 - IMPELLER TRAP | 1 |
Russell D. Hardgrove | US | Poughkeepsie | 2011-06-09 / 20110138452 - CROSS SECURITY-DOMAIN IDENTITY CONTEXT PROJECTION WITHIN A COMPUTING ENVIRONMENT | 1 |
William H. Hardgrove | US | Englewood | 2009-05-28 / 20090134549 - Molding Apparatus | 1 |
Corwin Hardham | US | San Francisco | 2010-11-25 / 20100295303 - Tethered system for power generation | 4 |
John M. Hardham | US | Gales Ferry | 2012-05-17 / 20120122238 - VMP-Like Sequences of Pathogenic Borrelia | 2 |
John M. Hardham | US | Kalamazoo | 2013-09-19 / 20130245234 - VMP-LIKE SEQUENCES OF PATHOGENIC BORRELIA | 1 |
Corwin Hardham | US | Cooks | 2010-01-14 / 20100006703 - SYSTEM FOR RELEASING OPPOSING TENSIONED LINES | 2 |
Corwin Hardham | US | Mountain View | 2016-01-07 / 20160005159 - Enhanced Accuracy for Tracking Tethered Airborne Vehicles | 3 |
John Morgan Hardham | US | Kalamazoo | 2015-05-21 / 20150140034 - OIL-BASED ADJUVANTS | 2 |
Markus Hardi | DE | Neubiberg | 2014-11-20 / 20140338953 - JOINT BODIES AND METHODS FOR COVERING ELECTRICAL CABLES AND CONNECTIONS | 3 |
Jason Hardi | US | Miami Beach | 2016-04-14 / 20160103511 - INTERACTIVE INPUT DEVICE | 3 |
Jason A. Hardi | US | Miami Beach | 2013-09-26 / 20130249694 - SYSTEMS AND METHODS FOR ANIMAL CONTAINMENT, TRAINING, AND TRACKING | 1 |
Jason A. Hardi | US | Raleigh | 2013-04-18 / 20130092099 - SYSTEMS AND METHODS FOR ANIMAL CONTAINMENT AND PREMISES MONITORING | 2 |
Leor Hardi | IL | Givatayim | 2015-05-21 / 20150138927 - Wireless Clock System and Method | 1 |
John Hardi | US | Sammamish | 2012-09-27 / 20120245988 - DYNAMIC BUNDLING SYSTEMS AND METHODS | 2 |
Jeffrey L. Hardick | US | Bernville | 2016-04-28 / 20160114518 - ROTATING NOZZLE DIE MACHINE FOR DOUGH EXTRUSION | 2 |
Oliver Hardick | GB | London | 2014-10-02 / 20140296464 - CHROMATOGRAPHY MEDIUM | 1 |
David Hardick | GB | Stretham | 2015-07-02 / 20150183790 - FUSED TRIAZOLE DERIVATIVES AS GAMMA SECRETASE MODULATORS | 2 |
Stephen Lebeuf Hardie | US | Deerfield Twp. | 2010-10-14 / 20100258242 - Method for Making Reusable Disposable Article | 1 |
Brandon Duane Hardie | US | Charlotte | 2015-05-28 / 20150149240 - IDENTIFYING CONTROL IMPROVEMENT OPPORTUNITIES FOR KEY PROCESSES | 1 |
Carlton Hardie | US | Buford | 2015-09-10 / 20150253048 - MODULAR REFRIGERATION ASSEMBLY | 1 |
Peter Hardie | NZ | Auckland | 2011-05-05 / 20110100667 - AUDIO CABLE WITH VIBRATION REDUCTION | 1 |
Edward T.l. Hardie | US | Menlo Park | 2010-02-25 / 20100050235 - METHODS AND APPARATUS FOR REDUCING THE EFFECTIVENESS OF CHOSEN LOCATION ATTACKS IN A PEER-TO-PEER OVERLAY NETWORK | 2 |
Brian Hardie | US | San Francisco | 2015-08-06 / 20150222961 - TRACKING AND MEASUREMENT ENHANCEMENTS IN A REAL-TIME ADVERTISEMENT BIDDING SYSTEM | 4 |
Greg Hardie | AU | Windermere | 2008-10-02 / 20080237945 - APPARATUS FOR INJECTING MATERIAL INTO A VESSEL | 1 |
Jeannie B. Hardie | US | Gardena | 2010-07-22 / 20100181720 - Action Figure Battle Game with Movement Mechanisms | 1 |
Edward Thomas Lingham Hardie | US | Menlo Park | 2016-02-11 / 20160042378 - TIME AND WAYPOINT-BASED INCENTIVES FOR MOBILE DEVICES | 12 |
Edward Thomas Lingham Hardie | US | Redwood | 2014-03-27 / 20140086254 - NETWORK DEVICE | 1 |
Stephen Lebeuf Hardie | US | Mason | 2015-12-10 / 20150351976 - CARDED STAPLE FIBER NONWOVENS | 2 |
Mark E. Hardie | US | Ipswich | 2014-11-13 / 20140337173 - METHOD AND APPARATUS FOR MANAGING LOCATION-BASED TRANSACTIONS | 2 |
Edward Thomas Lingham Hardie | US | Menlo Park | 2016-02-11 / 20160042378 - TIME AND WAYPOINT-BASED INCENTIVES FOR MOBILE DEVICES | 12 |
Rachel L. Hardie | US | Wilmington | 2012-11-22 / 20120295823 - OIL RELEASE WITH N-LAUROYL AMINO ACID-BASED COMPOUNDS | 2 |
Donald Hardie | DE | Mohrendorf | 2014-12-11 / 20140361769 - Signal Transmissions to and from a Local Coil of a Magnetic Resonance System | 1 |
Edward Thomas Lingham Hardie | US | Redwood City | 2015-12-03 / 20150350946 - MOBILE STATION USE OF ROUND TRIP TIME MEASUREMENTS | 6 |
Edward T.l. Hardie | US | Menio Park | 2011-03-31 / 20110075673 - Methods and Apparatus for Distribution of IP Layer Routing Information in Peer-to-Peer Overlay Networks | 1 |
Gregory Hardie | AU | Brisbane City | 2016-04-21 / 20160108532 - METHOD AND APPARATUS FOR LIQUID METAL ELECTRODE CONNECTION IN PRODUCTION OR REFINING OF METALS | 1 |
David Hardie | GB | Motherwell | 2010-06-17 / 20100148799 - INDUCTIVE PROXIMITY SENSOR AND RELATED METHODS | 1 |
Scott Gordon Hardie | US | Harrisburg | 2013-05-16 / 20130117928 - Transfer Bench | 1 |
David John Webster Hardie | GB | Dorset | 2008-09-11 / 20080217160 - Sonochemistry | 1 |
William G. Hardie | US | Landenberg | 2013-06-06 / 20130139413 - Ventilating Footwear Devices | 3 |
Edward T. L. Hardie | US | Menlo Park | 2010-02-25 / 20100049869 - Methods and Apparatus for Event Distribution and Routing in Peer-to-Peer Overlay Networks | 2 |
Nigel Hardie | AU | Queensland | 2008-12-25 / 20080315138 - Slurry Valve Clutch Mechanism | 1 |
William D. Hardie | US | Lebanon | 2012-04-19 / 20120095060 - METHODS AND COMPOSITIONS OF MITOGEN-ACTIVATED PROTEIN KINASE (MAPK) PATHWAY INHIBITORS FOR TREATING PULMONARY FIBROSIS | 1 |
William Hardie | US | Lebanon | 2012-02-23 / 20120046333 - Methods and Compositions of PI-3 Kinase Inhibitors for Treating Fibrosis | 1 |
Terry Hardie | US | Union City | 2013-03-28 / 20130080527 - Online Dating Pool Sorting via Standardized Profile | 2 |
Anthony Richard Hardie-Bick | GB | Baslow | 2008-11-20 / 20080284755 - Touch Pad | 1 |
Anthony Richard Hardie-Bick | GB | London | 2013-05-30 / 20130135261 - TOUCH PAD | 1 |
Patrick C. Hardigan | US | Fort Lauderdale, | 2011-04-07 / 20110082672 - STATISTICAL MODEL FOR PREDICTING FALLING IN HUMANS | 1 |
Walter T. Hardigree | US | Hartwell | 2012-12-13 / 20120311919 - Flying Pest Trap | 1 |
Shah Hardik | IE | Dublin | 2014-09-04 / 20140248341 - ALCOHOL RESISTANT ENTERIC PHARMACEUTICAL COMPOSITIONS | 1 |
Mahesh Hardikar | US | San Jose | 2014-02-13 / 20140043768 - PACKAGE RETENTION FRAME | 1 |
Kedar Hardikar | US | Santa Clara | 2016-03-03 / 20160065117 - PHOTOVOLTAIC MODULE SUPPORT CLAMP ASSEMBLY | 4 |
Mahesh S. Hardikar | US | San Jose | 2012-04-05 / 20120083169 - CIRCUIT BOARD SOCKET WITH SUPPORT STRUCTURE | 1 |
Kedar Hardikar | US | San Jose | 2012-11-15 / 20120285513 - Shielding of Interior Diode Assemblies from Compression Forces in Thin-Film Photovoltaic Modules | 7 |
Amol Hardikar | US | San Francisco | 2012-11-29 / 20120304014 - PERFORMING ASYNCHRONOUS TESTING OF AN APPLICATION OCCASIONALLY CONNECTED TO AN ONLINE SERVICES SYSTEM | 3 |
Narendra Anand Hardikar | IN | Bangalore | 2015-04-23 / 20150108882 - INVERTER HOUSING SYSTEM | 5 |
Vishwas V. Hardikar | US | Campbell | 2014-07-17 / 20140199842 - CHEMICAL MECHANICAL POLISHING PROCESS AND SLURRY CONTAINING SILICON NANOPARTICLES | 1 |
Kedar Y. Hardikar | US | San Jose | 2008-11-27 / 20080294709 - Processing geometric data using spectral analysis | 1 |
Neeraj S. Hardikar | US | Olathe | 2014-08-21 / 20140232813 - USING METADATA FOR VIDEO MESSAGE MODIFICATIONS AMONG WIRELESS COMMUNICATION DEVICES | 1 |
David Hardiman | IE | Dublin 5 | 2016-04-28 / 20160113665 - CLOT RETRIEVAL DEVICE FOR REMOVING CLOT FROM A BLOOD VESSEL | 4 |
Christopher J. Hardiman | US | Farmington | 2015-09-10 / 20150252176 - Silica Masterbatch Made with Emulsion and Solution Rubber | 5 |
Karin M. Hardiman | US | Portland | 2011-07-14 / 20110171216 - MONOCLONAL ANTIBODIES SPECIFIC FOR PANCREATIC NEOPLASIA CELLS | 1 |
Orla Hardiman | IE | Dublin | 2014-01-16 / 20140017681 - TREATMENT OF DISEASE | 2 |
David Hardiman | IE | Dublin | 2016-04-21 / 20160106449 - CLOT RETRIEVAL DEVICE FOR REMOVING CLOT FROM A BLOOD VESSEL | 3 |
David Hardiman | IE | Raheny | 2014-12-18 / 20140371780 - DEVICES AND METHODS FOR REMOVAL OF ACUTE BLOCKAGES FROM BLOOD VESSELS | 1 |
John Hardiman | US | Madison | 2014-07-10 / 20140193235 - REEL HANDLING DEVICE AND METHOD | 1 |
Gerard T. Hardiman | US | San Diego | 2012-09-06 / 20120225482 - MAMMALIAN CX3C CHEMOKINE ANTIBODIES | 6 |
James M. Hardiman | US | Petaluma | 2014-08-21 / 20140233778 - IN-WALL MULTIPLE-BAY LOUDSPEAKER SYSTEM | 1 |
Mark T. Hardin | US | Corvallis | 2009-02-05 / 20090033724 - FLUID DELIVERY SYSTEM | 1 |
Glenn David Hardin | US | Huntsville | 2013-07-25 / 20130189478 - Narrow Flake Composite Fiber Material Compression Molding | 1 |
Ian R. Hardin | US | Athens | 2012-11-15 / 20120288762 - GRAPHENE-COATED PYROLYTIC CARBON STRUCTURES, METHODS OF MAKING, AND METHODS OF USE THEREOF | 1 |
Susan H. Hardin | US | Bellaire | 2010-10-07 / 20100255464 - Enzymatic nucleic acid synthesis: compositions and methods for inhibiting pyrophosphorolysis | 1 |
Andrew C. Hardin | US | Bentonville | 2014-07-31 / 20140214566 - Retail Gift Card System with Integrated Account and Sales Receipt Tracking | 1 |
Benjamin C. Hardin | US | Vista | 2014-02-20 / 20140052293 - Conflict Resolution Based on Object Behavioral Determination and Collaborative Relative Positioning | 5 |
Keith Bryan Hardin | US | Lexington | 2015-07-02 / 20150186694 - System and Method for Locating Objects and Determining In-Use Status Thereof | 32 |
Brian M. Hardin | US | Bermuda Dunes | 2012-03-29 / 20120076941 - Compositions and Methods for Coloration and/or Staining of Galvanized metal Surfaces | 1 |
Michael J. Hardin | US | Draper | 2015-12-31 / 20150376988 - METHOD OF AND SYSTEM FOR DRILLING INFORMATION MANAGEMENT AND RESOURCE PLANNING | 4 |
Christian Hardin | US | Waterford | 2015-10-08 / 20150284032 - TILTING HOOD ASSEMBLY HAVING FENDER-MOUNTED HANDLE | 1 |
Paul Hardin | US | Lowell | 2016-02-11 / 20160038710 - INSPIRATORY SYNTHESIS OF NITRIC OXIDE | 2 |
Lisa D. Hardin | US | Decatur | 2009-08-20 / 20090206013 - SORT PLAN OPTIMIZATION | 1 |
Jeff Hardin | US | Chaska | 2012-07-05 / 20120170989 - HAND-HELD WATER DRILL | 1 |
Jerry Michael Hardin | US | Nampa | 2015-02-12 / 20150041041 - MANUFACTURING MILLWORK COMPONENTS | 1 |
William J. Hardin | US | Metamora | 2013-03-28 / 20130076170 - Stator for electric machine | 1 |
John Hardin | US | New York | 2011-02-10 / 20110034797 - NON-INVASIVE MEASURING OF LOAD-INDUCED ELECTRIC POTENTIALS IN DIARTHROIDIAL JOINTS | 1 |
Stephen Thomas Hardin | US | Suwanee | 2016-02-04 / 20160033285 - TRANSPORTATION ANALYTICS EMPLOYING TIMED FINGERPRINT LOCATION INFORMATION | 8 |
Randall Hardin | US | Miamisburg | 2012-08-02 / 20120193449 - Anchoring Inserts, Electrode Assemblies, and Plasma Processing Chambers | 2 |
Ken Hardin | US | Flint | 2013-04-11 / 20130088356 - TAKAN ALARM INCLUDING ANY PLURALITY OF SERIES CONNECTED MODULES FOR USE WITH AN INTERCONNECTED ARCHITECTURE NETWORK OF FLUID CONDUIT LINES | 2 |
David M. Hardin | US | Winston-Salem | 2011-07-28 / 20110184345 - ERCP Catheter with a Removable Handle for Lithotriptor Compatible Basket | 1 |
Mark Hardin | US | Austin | 2011-11-03 / 20110269541 - VIRTUAL CHARACTER VIDEO TOY WITH MOVABLE DISPLAY | 2 |
Richard B. Hardin | US | Watkinsville | 2009-10-29 / 20090269440 - COMPOSITIONS INCREASING MOISTURE CONTENT AND DISTRIBUTION IN MUSCLE-DERIVED FOOD PRODUCTS | 1 |
Ian Hardin | US | Athens | 2011-12-01 / 20110294384 - PHOTOCHEMICAL CROSS-LINKABLE POLYMERS, METHODS OF MAKING PHOTOCHEMICAL CROSS-LINKABLE POLYMERS, AND METHODS OF USING PHOTOCHEMICAL CROSS-LINKABLE POLYMERS | 1 |
Russell Alan Hardin | US | Westport | 2008-10-02 / 20080237433 - Picture perfect corners | 1 |
Scott G. Hardin | US | Alabaster | 2009-05-21 / 20090129983 - Device For the Growth of Macromolecular Crystals and Drug Screening | 1 |
James E. Hardin | US | Fort Wayne | 2013-02-14 / 20130040577 - DYNAMIC SPECTRUM ACCESS FOR NETWORKED RADIOS | 2 |
Chad Hardin | US | Lakewood | 2013-04-18 / 20130097617 - INTEGRATION OF DISPARATE APPLICATIONS ON A NETWORK | 2 |
William K. Hardin | US | Carrollton | 2014-01-23 / 20140020270 - LABELED ARMORED ELECTRICAL CABLE | 4 |
Montgomery G.b. Hardin | GB | Berkshire | 2009-06-04 / 20090142557 - Material for Providing Impact Protection | 1 |
Larry C. Hardin | US | Bandon | 2009-03-26 / 20090077824 - Vacuum-actuated spherometer | 1 |
James Theodore Hardin | US | Santa Teresa | 2009-04-23 / 20090103942 - FLUID BASED DECOMMISSIONING AND RECYCLING OF PRINTER TONER CARTRIDGES | 1 |
Ryan Hardin | US | Henderson | 2016-04-28 / 20160117653 - APPLICATION OF DYNAMIC TOKENS | 4 |
John Hardin | US | Spring | 2009-12-17 / 20090308616 - Method and Apparatus for a Monodiameter Wellbore, Monodiameter Casing, Monobore, and/or Monowell | 1 |
William Robert Hardin | US | Seattle | 2015-10-15 / 20150293080 - Fluorescent Dyes and Related Methods | 1 |
Wesley Gray Hardin | US | Huntsville | 2016-03-10 / 20160066997 - Surgical Instrument Organizer and Methods Therefor | 1 |
James Hardin | US | Greenwood | 2011-09-29 / 20110232795 - GEOTHERMAL PIPE SYSTEM | 2 |
Charles Hardin | US | Campbell | 2013-10-10 / 20130268644 - CONSISTENT RING NAMESPACES FACILITATING DATA STORAGE AND ORGANIZATION IN NETWORK INFRASTRUCTURES | 1 |
John M. Hardin | US | Hopkinton | 2015-04-30 / 20150119237 - THERMAL IMAGING MEMBERS AND METHODS | 4 |
William Robert Hardin | US | New Castle | 2011-08-25 / 20110207820 - NOVEL CHRYSOCHROMULINA SPECIES, METHODS AND MEDIA THEREFOR, AND PRODUCTS DERIVED THEREFROM | 1 |
Leslie Malott Hardin | US | Yorktown | 2011-06-09 / 20110133938 - Hippa privacy infectious control screen for gurneys and other mobile transportable beds for emergency and other inter and intra healthcare facility transfers | 1 |
Mark Hardin | US | Redondo Beach | 2009-07-09 / 20090176432 - ELECTRONIC BANKING TOY | 1 |
Brian E. Hardin | US | Stanford | 2012-10-11 / 20120255614 - Increased Near-Infrared Light Harvesting in Dye-Sensitized Solar Cells using Co-sensitized Energy Relay Dyes on Titania | 2 |
Brandon Hardin | US | Knoxville | 2016-05-12 / 20160132109 - Command glove | 1 |
Susan H. Hardin | US | College Station | 2014-05-08 / 20140127781 - ENZYMATIC NUCLEIC ACID SYNTHESIS: COMPOSITIONS AND METHODS FOR INHIBITING PYROPHOSPHOROLYSIS | 11 |
Derek A. Hardin | US | Orlando | 2009-02-12 / 20090043601 - Repair Procedure Development System | 1 |
Stephen T. Hardin | US | Suwanee | 2014-06-05 / 20140157130 - PROVIDING WIRELESS CONTROL OF A VISUAL AID BASED ON MOVEMENT DETECTION | 3 |
Alan Hardin | US | San Francisco | 2016-04-14 / 20160105334 - CREATING AN ENTITY DEFINITION FROM A FILE | 4 |
Carl Thomas Hardin | US | Encinitas | 2015-10-01 / 20150280946 - FEEDBACK RECEIVE PATH WITH LOW-IF MODE | 2 |
Susan Hardin | US | Bellaire | 2011-03-10 / 20110059436 - METHODS FOR SEQUENCE DETERMINATION | 1 |
William C. Hardin | US | Cary | 2010-11-25 / 20100294603 - BRAKE WITH FIELD RESPONSIVE MATERIAL | 1 |
Bradley Spencer Hardin | US | Solana Beach | 2011-10-27 / 20110260533 - Sustainable Modular Structure Powered by Green Energy | 1 |
Adam Hardin | US | Festus | 2013-06-06 / 20130140799 - AIRBAG TRAJECTORY CONTROL ENVELOPE | 1 |
John Ransford Hardin | US | Spring | 2014-04-24 / 20140110171 - DOWNHOLE TOOL AND CONTROL MODULE | 1 |
Glen Hardin | US | Charlotte | 2016-05-12 / 20160134917 - DIGITAL DOMAIN CONTENT PROCESSING AND DISTRIBUTION APPARATUS AND METHODS | 16 |
Adam J. Hardin | AU | Collinswood | 2010-02-18 / 20100042582 - SYSTEM AND METHOD FOR IDENTIFICATION OF APPLICATION INTERDEPENDENCY | 1 |
James A. Hardin | CA | Calgary | 2011-10-06 / 20110245171 - REHYDRATION COMPOSITIONS COMPRISING EPIDERMAL GROWTH FACTOR (EGF) | 1 |
Adam D. Hardin | US | Festus | / - | 1 |
James R. Hardin | US | North Huntingdon | 2009-03-12 / 20090068006 - Tilted Cone Diffuser for Use with an Exhaust System of a Turbine | 1 |
Allison Hardin | US | Smyma | 2009-02-05 / 20090032051 - FLEXIBLE SEAMLESS HAIR CLAMP | 1 |
Danny Hardin | US | Paragon | 2009-05-07 / 20090116584 - System and Method for Receiving User-Specific Information Over Digital Radio | 1 |
Larry Hardin | US | East Hartford | 2013-03-28 / 20130078541 - JET FUEL BASED HIGH PRESSURE SOLID OXIDE FUEL CELL SYSTEM | 1 |
William Russell Hardin | US | Carlsbad | 2013-08-08 / 20130199524 - HUMIDIFIER BYPASS VALVE | 1 |
Holly Jeannine Hardin | US | O'Fallon | 2011-01-27 / 20110022616 - METHOD OF TREATING CYSTIC FIBROSIS USING OCEAN WATER | 1 |
John Hardin | US | Hopkinton | 2013-11-14 / 20130303670 - COMPOSITIONS, THERMALLY-INSULATING LAYERS, AND DIRECT THERMALLY IMAGING MEMBERS CONTAINING THE SAME | 3 |
Ross S. Hardin | US | Plano | 2013-10-17 / 20130272161 - SYSTEM AND METHOD FOR INDICATING CLASSIFICATION OF A COMMUNICATIONS FLOW | 5 |
Christine Hardin | US | Blacklick | 2014-11-06 / 20140330670 - ENHANCING REVENUE OF A RETAILER BY MAKING A RECOMMENDATION TO A CUSTOMER | 2 |
James T. Hardin | US | Corona | 2014-09-18 / 20140271102 - MODIFIED HEAT CHAMBER AND METHOD TO IMPROVE HEAT CYCLE EFFICIENCY USING AIRFLOW CONTROL | 3 |
Greggory L. Hardin | US | Coleman | 2015-05-28 / 20150144336 - AUTOMATED SYSTEM FOR MONITORING AND CONTROLLING WATER TRANSFER DURING HYDRAULIC FRACTURING | 1 |
Kathryn Morton Hardin | US | Charlotte | 2015-01-15 / 20150018760 - NASAL IRRIGATION SOLUTION PREPARATION SYSTEM AND METHOD OF PREPARATION | 1 |
Clay Norris Hardin | US | Marietta | 2014-01-16 / 20140019274 - MOBILE POINT-OF-SALE (POS) TECHNIQUES | 1 |
James Craig Hardin | US | Charlotte | 2015-01-15 / 20150018760 - NASAL IRRIGATION SOLUTION PREPARATION SYSTEM AND METHOD OF PREPARATION | 1 |
Chad Hardin | US | Kent | 2015-12-17 / 20150363498 - SYSTEM AND METHOD FOR DATA ORGANIZATION, OPTIMIZATION AND ANALYTICS | 1 |
Josh P. Hardin | US | Lebanon | 2009-07-09 / 20090178072 - Content blocking system and method for a consumer electronic product | 1 |
Mark T. Hardin | US | Philomath | 2009-08-13 / 20090200278 - LASER WELDING SYSTEM | 1 |
Yulin Hardin | US | Hopkinton | 2013-11-14 / 20130303670 - COMPOSITIONS, THERMALLY-INSULATING LAYERS, AND DIRECT THERMALLY IMAGING MEMBERS CONTAINING THE SAME | 4 |
Craig William Hardin | US | Apex | 2015-10-01 / 20150282260 - SOLID STATE LIGHTING APPARATUSES, SYSTEMS, AND RELATED METHODS | 4 |
Glen Hardin | US | Charlotte | 2016-05-12 / 20160134917 - DIGITAL DOMAIN CONTENT PROCESSING AND DISTRIBUTION APPARATUS AND METHODS | 16 |
William R. Hardin | US | Carlsbad | 2012-09-27 / 20120241400 - Storage Rack | 1 |
Craig Hardin | US | Apex | 2010-04-01 / 20100081218 - Forming Light Emitting Devices Including Custom Wavelength Conversion Structures | 1 |
Craige William Hardin | US | 2013-10-10 / 20130264970 - LIGHT EMITTING DIODE (LED) COMPONENTS AND METHODS FOR IMPROVED LIGHT EXTRACTION | 1 | |
H. Wesley Hardin | US | Gladstone | 2009-08-20 / 20090210277 - System and method for managing a geographically-expansive construction project | 1 |
Patrick Hardin | US | St. Augustine | 2010-05-20 / 20100124732 - ULTRASONIC PERIODONTAL DEVICE AND METHOD OF USING | 2 |
John Hardin | US | Milford | 2014-03-20 / 20140079599 - Exhaust Gas Flow Distribution System | 1 |
James A. Hardin | US | Mckinney | 2011-09-01 / 20110209603 - Machine Gun-Armed Aircraft Apparatus and Associated Methods | 1 |
Brian E. Hardin | US | Berkeley | 2016-02-18 / 20160049541 - MULTI-CRYSTALLINE II-VI BASED MULTIJUNCTION SOLAR CELLS AND MODULES | 6 |
W. Jack Hardin | US | Metamora | 2010-07-08 / 20100170414 - Dual Engine Locomotive | 1 |
Allison Hardin | US | Smyrna | 2009-02-05 / 20090032050 - HEADBAND WITH PLIABLE ENDS | 2 |
Randall A. Hardin | US | Miamisburg | 2012-06-28 / 20120160941 - SHOWERHEAD ELECTRODES | 3 |
Brett Hardin | US | American Canyon | 2014-10-23 / 20140317749 - SYSTEMS AND METHODS FOR AUTOMATING BLIND DETECTION OF COMPUTATIONAL VULNERABILITIES | 2 |
Terry D. Hardin | US | Irvine | 2013-10-03 / 20130261544 - DEVICE FOR A BIOLOGICAL TREATMENT | 10 |
William Hardin | US | Kingston | 2012-07-05 / 20120167370 - SOUND-MUFFLING UNDERLAY TILE SYSTEMS | 1 |
Ray D. Hardin | US | Hobbs | 2015-12-24 / 20150368036 - Storage Bin and Method of Use | 1 |
Tera Jill Hardin | US | Elizabethton | 2012-10-25 / 20120271028 - RESOL BEADS, METHODS OF MAKING THEM, AND METHODS OF USING THEM | 3 |
Michael D. Hardin | US | Elgin | 2010-10-07 / 20100253118 - Portable hunting chair and blind | 1 |
Colette M. Hardin | US | San Diego | 2013-12-19 / 20130333634 - Pet Collar Breakaway Buckle Device | 1 |
Terry D. Hardin | US | Indianapolis | 2013-08-01 / 20130197394 - VACUUM ASSISTED BIOPSY NEEDLE SET | 2 |
Philip Hardin | US | Brentwood | 2014-05-29 / 20140149135 - METHOD AND SYSTEM FOR ESTIMATING THE FINANCIAL LIABILITY OF A PATIENT FOR A MEDICAL SERVICE | 1 |
Adam P. Hardin | US | Festus | 2014-03-06 / 20140062067 - AIRBAG COVER | 1 |
Stephen Thomas Hardin | US | Suwanee | 2016-02-04 / 20160033285 - TRANSPORTATION ANALYTICS EMPLOYING TIMED FINGERPRINT LOCATION INFORMATION | 8 |
James Theodare Hardin | US | Santa Teresa | / - | 1 |
Susan Hardin | US | College Station | 2011-01-27 / 20110021383 - APPARATUSES FOR REAL-TIME, SINGLE MOLECULE SEQUENCE DETERMINATION | 5 |
Ryan Hardin | US | Houston | 2015-02-05 / 20150039444 - APPLICATION OF DYNAMIC TOKENS | 2 |
Susan H. Hardin | US | College Station | 2014-05-08 / 20140127781 - ENZYMATIC NUCLEIC ACID SYNTHESIS: COMPOSITIONS AND METHODS FOR INHIBITING PYROPHOSPHOROLYSIS | 11 |
Mark Isaac Hardin | US | Austin | 2016-02-25 / 20160056642 - MANAGING RENEWABLE POWER GENERATION | 3 |
George Hardin | US | Hattiesburg | 2014-06-05 / 20140150830 - Method for Washing and Sanitizing Articles for an Infant | 1 |
John M. Harding | US | Seattle | 2008-09-18 / 20080229189 - METHOD FOR SYNCHRONOUSLY BINDING AN EXTERNAL BEHAVIOR TO A WEB PAGE ELEMENT | 1 |
Stephen Harding | GB | Oldham | 2008-11-20 / 20080285586 - Multiplexer | 1 |
Richard Harding | GB | Hants | 2013-01-10 / 20130012654 - ALIGNMENT LAYER WITH REACTIVE MESOGENS FOR ALIGNING LIQUID CRYSTAL MOLECULES | 4 |
Lynda Joy Harding | GB | Portsmouth | 2009-08-27 / 20090211022 - Device for Supporting, Rolling and/or Rocking a Mattress | 1 |
John Harding | GB | Essex | 2010-02-25 / 20100045077 - MOTOR VEHICLE STOWABLE ROOF ASSEMBLY | 1 |
Sarah Victoria Harding | GB | Wiltshire | 2010-03-11 / 20100062022 - IMMUNOGENIC PROTEINS OF BURKHOLDERIA PSEUDOMALLEI AND USES THEREOF | 1 |
Piers Sebastian Harding | GB | London | 2010-10-14 / 20100261179 - SAMPLE PREPARATION DEVICES AND ANALYZERS | 1 |
John K. Harding | GB | Leigh-On-Sea | 2015-09-17 / 20150258943 - COMBINED STORAGE AND DOCKING UNIT FOR A PORTABLE ELECTRONIC DEVICE | 10 |
Edward James Harding | GB | Norfolk | 2009-12-03 / 20090294454 - UTENSIL SHAPED CONTAINER | 1 |
Piers Sebastian Harding | GB | Buckworth | 2010-08-05 / 20100192706 - SAMPLE PREPARATION APPARATUS | 1 |
John Robert Harding | GB | Brighton | 2010-10-07 / 20100253863 - IMAGE DISPLAY APPARATUS | 1 |
Deborah Phyllis Harding | GB | Cambirdge | 2016-04-28 / 20160115174 - DIHYDROETORPHINES AND THEIR PREPARATION | 1 |
Marvin Harding | US | Middle River | 2014-10-09 / 20140303532 - Computer user pain relieving vest or jacket | 1 |
Fiona A. Harding | US | Palo Alto | 2009-06-25 / 20090162917 - Subtilism Carlsberg Proteins With Reduced Immunogencity | 1 |
Philip A. Harding | US | Palos Verdes | 2010-01-21 / 20100011568 - METHOD OF MAKING SLOTTED CORE INDUCTORS AND TRANSFORMERS | 1 |
Nancy E. Harding | US | San Diego | 2016-05-12 / 20160130619 - Sphingomonas Strains Producing Greatly Increased Yield of PHB-Deficient Sphingan (Diutan) | 15 |
Stephen Harding | GB | West Midlands | 2015-02-19 / 20150051839 - METHOD FOR CHARACTERISING PLASMA CELL ASSOCIATED DISEASES | 2 |
Maynard C. Harding | US | Menlo Park | 2011-03-03 / 20110051899 - TARGET ASSEMBLY WITH ELECTRON AND PHOTON WINDOWS | 1 |
Brett T. Harding | US | Carlsbad | 2016-03-24 / 20160085090 - OPTICAL ELEMENT FOR CORRECTING COLOR BLINDNESS | 20 |
Brian Charles Harding | US | Boulder Creek | 2011-03-24 / 20110067622 - Non-Adhesive Screen Target | 1 |
Nathan Harding | US | Oakland | 2015-12-10 / 20150351995 - Reconfigurable Exoskeleton | 11 |
Andrew Richard Harding | US | Scotts Valley | 2009-11-26 / 20090293103 - FEDERATING TRUST IN A HETEROGENEOUS NETWORK | 1 |
Michael Harding | AU | Armadale | 2013-01-17 / 20130018013 - BICYCLIC NUCLEOSIDES AND NUCLEOTIDES AS THERAPEUTIC AGENTS | 1 |
Richard S. Harding | US | San Marcos | 2009-03-12 / 20090066700 - FACIAL ANIMATION USING MOTION CAPTURE DATA | 1 |
Carol Anne Harding | AU | Hackett | 2015-09-17 / 20150257353 - Wheat With New Alleles of RHT-B1 | 1 |
Damien Harding | AU | Clifton Hill | 2015-05-21 / 20150136664 - CONTROLLING FROTH FLOTATION | 3 |
Thomas C. Harding | US | San Francisco | 2010-06-17 / 20100151523 - REGULATED EXPRESSION OF RECOMBINANT PROTEINS FROM ADENO-ASSOCIATED VIRAL VECTORS | 1 |
John M. Harding | US | San Francisco | 2015-11-12 / 20150324869 - CONTENT SYNDICATION IN WEB-BASED MEDIA VIA AD TAGGING | 4 |
Kevin Harding | US | Felton | 2014-12-25 / 20140373587 - Portable Rotary Tube Straightener Apparatus | 1 |
John Harding | US | San Francisco | 2012-09-27 / 20120246278 - DYNAMIC MEDIA SERVING INFRASTRUCTURE | 3 |
James P. Harding | US | East Greenbush | 2016-02-04 / 20160031894 - IMIDAZOPYRAZINE SYK INHIBITORS | 3 |
Chester Harding | FR | Ruffieux | 2013-09-19 / 20130241251 - CONSTRUCTION EQUIPMENT MACHINE WITH IMPROVED CONTROLLER ERGONOMICS | 2 |
Adrian L. Harding | GB | Derby | 2013-04-11 / 20130089414 - STRIP SEALS | 2 |
Kenneth C. Harding | US | Midlothian | 2012-10-25 / 20120266744 - Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape | 16 |
Gamal A. Harding | US | Roosevelt | 2014-06-19 / 20140165260 - SHIRT CUFF TIPS AND SHIRT CUFF TIP PROTECTORS | 1 |
John Clare Samuel Harding | CA | Humboldt | 2014-11-27 / 20140348867 - Isolated Brachyspira and Methods and Compositions for Expanding and Isolating Brachyspira | 2 |
Alan Harding | GB | Leicester | 2012-11-01 / 20120272936 - ELECTRONIC FUEL CONTROL SYSTEM | 1 |
Fiona A. Harding | US | Santa Clara | 2015-06-04 / 20150152404 - PROTEASES PRODUCING AN ALTERED IMMUNOLOGICAL RESPONSE AND METHODS OF MAKING AND USING THE SAME | 21 |
Jeff Harding | US | Evansville | 2015-03-19 / 20150075465 - ROTOR FOR VARIABLE VALVE TIMING SYSTEM AND VVT SYSTEM COMPRISING THE ROTOR | 1 |
Kevin George Harding | US | Niskayuna | 2016-05-12 / 20160134816 - METHOD AND SYSTEM FOR MAGNIFICATION CORRECTION FROM MULTIPLE FOCUS PLANES | 44 |
Benjamin L. Harding | US | Boulder | 2014-01-16 / 20140019481 - METHOD AND SYSTEM FOR EVALUATING TRUSTWORTHINESS | 1 |
Jonathan M. Harding | US | Bloomington | 2015-09-10 / 20150254295 - REGRESSION TESTING OF SQL EXECUTION PLANS FOR SQL STATEMENTS | 2 |
Richard Wayne Harding | US | Chandler | 2014-02-13 / 20140047231 - Secure Sub-Joined Computing Device | 1 |
William Robert Harding | US | Bloomsburg | 2015-04-23 / 20150107600 - Medical Bite Blocks or Mouthpieces | 1 |
Jeff Harding | US | Holly Springs | 2014-02-27 / 20140059193 - Joining A Computer To A Process Control System | 2 |
Thomas Quintin Harding | US | Canon City | 2013-12-12 / 20130327617 - CONVEYOR | 1 |
Richard Todd Harding | US | Athens | 2014-09-18 / 20140269730 - COMMUNICATION NETWORKS THAT PROVIDE A COMMON TRANSPORT DOMAIN FOR USE BY MULTIPLE SERVICE DOMAINS AND METHODS AND COMPUTER PROGRAM PRODUCTS FOR USING THE SAME | 1 |
Peter Harding | US | Portola Valley | 2016-01-28 / 20160026633 - DEVICES, SYSTEMS AND METHODS FOR RENDERING, UPDATING AND COMMUNICATING RELATIONSHIP INFORMATION | 1 |
Robert Ward Harding | US | Springville | 2014-09-18 / 20140265337 - ARCHIMEDES SCREW TURBINE GENERATOR | 1 |
Fraser Harding | GB | Berkshire | 2015-08-13 / 20150226445 - Apparatus And Method For Analysing The Operation Of A Temperature Management System By A User | 2 |
Hauke Harding | DE | Bremen | 2013-01-24 / 20130020443 - CONNECTING DEVICE, FLAP SYSTEM AND AIRCRAFT HAVING SUCH A CONNECTING DEVICE | 1 |
Andrew C. Harding | US | Kirkland | 2015-05-21 / 20150136851 - TESTING AUTOMATIC DATA COLLECTION DEVICES, SUCH AS BARCODE, RFID AND/OR MAGNETIC STRIPE READERS | 1 |
Jonathan Cole Harding | US | Denver | 2011-07-14 / 20110168076 - WATER WINGS DEVICE FOR A WATERCRAFT | 1 |
Deborah Phyllis Harding | GB | Cambridgeshire | 2012-01-12 / 20120010231 - Dihydroetorphines and Their Preparation | 1 |
Arran Connel Harding | NZ | Auckland | 2011-05-19 / 20110118976 - Method of contextually displaying points of interest on a personal navigation device according to travel purpose | 1 |
Timothy Robert Harding | US | Austin | 2013-10-03 / 20130262310 - Method and Apparatus for Custom Strategy Specification in a Hosted Electronic Transaction Service System | 2 |
Emma R. Harding | GB | Stevenage | 2013-11-21 / 20130310281 - NOVEL ANTIGEN BINDING PROTEINS | 1 |
Geoffrey Lester Harding | AU | Chatswood | 2011-08-25 / 20110203574 - NON-TRACKING SOLAR COLLECTOR DEVICE | 1 |
John Harding | US | Bountiful | 2015-05-14 / 20150134682 - DYNAMICALLY INTEGRATING DISPARATE COMPUTER-AIDED DISPATCH SYSTEMS | 1 |
John Philip Harding | CN | Hong Kong | 2014-10-23 / 20140312048 - Disposable Moist Tissue Dispenser | 1 |
Marta Harding | US | Minneapolis | 2012-12-20 / 20120323823 - TRANSACTION PRODUCT WITH PADDLE | 1 |
Benjamin R. Harding | US | Greenwood | 2015-05-07 / 20150121840 - AIRCRAFT NOZZLE SYSTEM | 1 |
John Harding | US | Ann Arbor | 2011-09-29 / 20110233994 - System And Method To Control Regenerative Braking | 1 |
Cressida Harding | GB | Burwell | 2011-10-20 / 20110254995 - METHOD AND SYSTEM FOR MITIGATING SEESAWING EFFECT DURING AUTOFOCUS | 1 |
Brett Harding | US | Carlsbad | 2012-09-06 / 20120223635 - POROUS FILMS FOR USE IN LIGHT-EMITTING DEVICES | 1 |
Richard E. Harding | US | Dallas | 2009-11-05 / 20090272857 - FAUCET-SUPPORTED HOSE RETAINING APPARATUS | 1 |
Thomas W. Harding | US | Wilmington | 2012-09-20 / 20120238736 - DEVICE FOR SHEARING NUCLEIC ACIDS AND PARTICULATES | 1 |
David Bruce Harding | ZA | Johannesburg | 2015-04-02 / 20150090144 - DETONATOR INCLUDING A SENSING ARRANGEMENT | 2 |
Thomas Harding | US | San Francisco | 2015-02-19 / 20150050273 - AFUCOSYLATED ANTI-FGFR2IIIB ANTIBODIES | 7 |
Thomas Harding | US | Canon City | 2010-05-06 / 20100108474 - CONVEYOR SYSTEM | 5 |
David Harding | US | American Fork | 2015-05-14 / 20150132728 - TRANSPORTABLE FIRE TRAINING APPARATUS AND METHOD | 1 |
Alfons Harding | DE | Borchen | 2015-01-29 / 20150027058 - DRIVE DEVICE FOR EMBARKATION AND DISEMBARKATION DEVICES OF PUBLIC TRANSPORTATION VEHICLES | 2 |
Michael W. Harding | CA | Rosemary | 2012-12-27 / 20120328713 - Articles of Manufacture with Improved Anti-microbial Properties | 5 |
David Harding | CA | Toronto | 2013-03-14 / 20130062858 - RECONFIGURABLE TILT WHEELCHAIR | 6 |
Geoffrey Harding | DE | Humburg | 2011-03-17 / 20110064197 - X-RAY DIFFRACTION DEVICES AND METHOD FOR ASSEMBLING AN OBJECT IMAGING SYSTEM | 1 |
Robert Maxwell Harding | AU | Highgate Hill | 2011-05-19 / 20110119782 - CONSTRUCT CAPABLE OF RELEASE IN CLOSED CIRCULAR FORM FROM A LARGER NUCLEOTIDE SEQUENCE PERMITTING SITE SPECIFIC EXPRESSION AND/OR DEVELOPMENTALLY REGULATED EXPRESSION OF SELECTED GENETIC SEQUENCES | 2 |
Steven James Harding | NZ | Auckland | 2010-04-01 / 20100081115 - Computer implemented methods of language learning | 1 |
Ronald Harding | AU | North Warrandyte | 2015-09-17 / 20150258023 - MICROEMULSION & SUB-MICRON EMULSION PROCESS & COMPOSITIONS | 3 |
Seth Harding | US | Hamilton | 2015-05-21 / 20150136255 - PIPE HAVING SLITS | 1 |
Michael Harding | AU | Victoria | 2010-02-11 / 20100035836 - BICYCLIC NUCLEOSIDES AND NUCLEOTIDES AS THERAPEUTIC AGENTS | 1 |
Darin Merle Harding | CA | Grand Prairie | 2009-08-20 / 20090206027 - Apparatus for removing cuttings from drilling fluids | 1 |
David Roger Kay Harding | NZ | Palmerston North | 2009-06-04 / 20090143308 - Yeast membrane protein expression system and its application in drug screening | 1 |
Nick Harding | GB | London | 2015-12-03 / 20150342248 - SMOKING ARTICLE | 4 |
Cressida Harding | GB | Cambridge | 2013-01-24 / 20130021447 - DUAL IMAGE CAPTURE PROCESSING | 1 |
Ronald Bruce Harding | CA | Waterloo | 2009-05-14 / 20090124252 - METHOD OF USING SNR TO REDUCE FACTORY TEST TIME | 1 |
Darin Harding | CA | Grand Prairie | 2009-03-26 / 20090078647 - SYSTEM AND METHOD FOR BIOREMEDIATING OIL FIELD CUTTINGS | 1 |
John Harding | CA | Gormley | 2009-01-08 / 20090008894 - STEP ASSIST BOX-SIDE | 1 |
Brett T. Harding | US | Carlsbad | 2016-03-24 / 20160085090 - OPTICAL ELEMENT FOR CORRECTING COLOR BLINDNESS | 20 |
Ronald Harding | AU | Victoria | 2008-10-30 / 20080267890 - Anti-Microbial Agent | 1 |
Wesley John Harding | ZA | Westville | / - | 1 |
Kenneth Harding | US | Ashland | 2016-03-03 / 20160060885 - SUPPORT BRACKET AND METHOD FOR TEMPORARY GUARD RAILING | 1 |
Keith Harding | GB | South Glamorgan | 2016-02-11 / 20160038563 - MOLECULAR TARGETS FOR HEALING OR TREATING WOUNDS | 2 |
Scott A. Harding | US | Athens | 2013-10-31 / 20130291229 - MODIFICATION OF SUCROSE DISTRIBUTION IN PLANTS | 1 |
Michael V. Harding | US | Los Angeles | 2015-03-19 / 20150081762 - SYSTEMS AND METHODS FOR DISTRIBUTING NETWORK TRAFFIC BETWEEN SERVERS BASED ON ELEMENTS IN CLIENT PACKETS | 1 |
Eric Martin Harding | US | Baytown | 2010-03-04 / 20100056840 - Process for Hydrocarbon Conversion With On-Line Solid Particulate Material Removal | 1 |
William V. Harding | US | Arlington | 2015-06-11 / 20150157516 - SPORTS WHEELCHAIR | 2 |
Bryan Wayne Harding | US | Springfield | 2013-01-31 / 20130029744 - System and Method for the Remote Purchase of Lottery Tickets | 1 |
Stephen C. Harding | GB | Derby | 2016-04-07 / 20160097285 - COOLED COMPONENT | 1 |
Philip Harding | US | Newport Beach | 2015-09-24 / 20150265191 - ELECTROCHEMICAL DETERMINATION OF INFECTION | 1 |
Robert E. Harding | US | Missouri City | 2011-10-27 / 20110262895 - METHODS FOR THE DIAGNOSIS OF VARICELLA ZOSTER VIRUS INFECTION | 1 |
Matthew John Harding | US | Austin | 2009-12-17 / 20090313607 - Code Coverage Tool | 1 |
Mitchell Perry Harding | US | Round Rock | 2009-12-17 / 20090313607 - Code Coverage Tool | 1 |
Matthew J. Harding | US | Austin | 2009-06-18 / 20090157969 - BUFFER CACHE MANAGEMENT TO PREVENT DEADLOCKS | 1 |
Mitchell P. Harding | US | Round Rock | 2009-06-18 / 20090157969 - BUFFER CACHE MANAGEMENT TO PREVENT DEADLOCKS | 1 |
Mitchell P. Harding | US | Austin | 2015-10-29 / 20150309830 - ESTIMATING MIGRATION COSTS FOR MIGRATING LOGICAL PARTITIONS WITHIN A VIRTUALIZED COMPUTING ENVIRONMENT BASED ON A MIGRATION COST HISTORY | 5 |
Tim Harding | US | Harwinton | 2014-05-15 / 20140133968 - BELLCRANK FOR A VARIABLE VANE ASSEMBLY | 1 |
Ian Harding | GB | Wells | 2016-03-31 / 20160091449 - Analyte Test Strip and Analyte Meter Device | 31 |
Geoffrey Harding | DE | Hamburg | 2016-02-25 / 20160055390 - X-RAY DIFFRACTION IMAGING SYSTEM WITH SIGNAL AGGREGATION ACROSS VOXELS CONTAINING OBJECTS AND METHOD OF OPERATING THE SAME | 36 |
Nathan Herbert Harding | US | Oakland | 2011-07-07 / 20110166489 - Hip and Knee Actuation Systems for Lower Limb Orthotic Devices | 1 |
Sean C. Harding | US | Bothell | 2014-09-04 / 20140250526 - DETECTING FRAUDULENT ACTIVITY BY ANALYSIS OF INFORMATION REQUESTS | 1 |
John Harding | DE | Tauberbischofsheim | 2011-09-15 / 20110221255 - ITEM OF SEATING FURNITURE | 1 |
Sarah Victoria Harding | GB | Salisbury | 2012-01-26 / 20120020993 - VACCINE | 1 |
Nancy E. Harding | US | San Diego | 2016-05-12 / 20160130619 - Sphingomonas Strains Producing Greatly Increased Yield of PHB-Deficient Sphingan (Diutan) | 15 |
Keith Harding | GB | Cardiff | 2014-07-31 / 20140213629 - MOLECULAR TARGETS FOR HEALING OR TREATING WOUNDS | 2 |
John Scott Harding | CA | Kirkland | 2014-07-31 / 20140209748 - External Maintenance Step and Hand Hold | 1 |
Amanda Harding | GB | Abingdon, Oxfordshire | 2015-12-24 / 20150368678 - PRODUCTION OF BUTANOL | 2 |
Stephen Charles Harding | GB | Bristol | 2016-04-07 / 20160097325 - COOLED COMPONENT | 2 |
Jacob Harding | US | Phoenix | 2016-03-10 / 20160072268 - ELECTRICAL WINDING AND TERMINATION INTERFACE | 8 |
Dave Harding | GB | London | 2014-06-12 / 20140164583 - Providing Policy Data to a Computer | 2 |
David Harding | US | Clackamas | 2014-10-23 / 20140317100 - REAL-TIME BIOMETRIC DATABASE AND TEMPLATE MANAGEMENT | 4 |
Ashley Baka Harding | US | Midlothian | 2013-08-22 / 20130214575 - DEVICE AND METHOD FOR IMPARTING A ROCKING MOTION TO AN INFANT CAR SEAT | 1 |
John Wayne Harding | AU | Morphett Vale South Australia | 2011-06-02 / 20110127830 - Battery Isolator Unit | 1 |
Joseph J. Harding | US | Mentor | 2015-01-15 / 20150014205 - CARRIER FOR A BUNDLE OF FAN FOLDED SHEET MATERIAL TO BE CONVERTED INTO DUNNAGE | 8 |
Richard Harding | US | Pearl | 2012-08-23 / 20120211988 - Submersible electric power generator system | 1 |
Stephen Harding | GB | Birmingham | 2015-06-25 / 20150173685 - TRIAGE SCORING SYSTEM | 1 |
John K. Harding | GB | Leigh-On-Sea | 2015-09-17 / 20150258943 - COMBINED STORAGE AND DOCKING UNIT FOR A PORTABLE ELECTRONIC DEVICE | 10 |
Deborah Phyllis Harding | GB | Cambridge | 2015-05-14 / 20150133483 - Dihydroetorphines and Their Preparation | 1 |
Scott Harding | CA | Winnipeg | 2014-11-06 / 20140328906 - Methods of Treating Impaired Glucose Metabolism via Administration of Algal Biomass | 2 |
Lawrence M. Harding | US | Annapolis | 2012-12-06 / 20120311449 - INTERNATIONAL BUSINESS MANAGEMENT SYSTEM | 1 |
Dennis H. Harding | US | Bothell | 2014-10-30 / 20140324832 - READING MATERIAL SUGGESTIONS BASED ON READING BEHAVIOR | 1 |
Ian Harding | GB | Wells | 2016-03-31 / 20160091449 - Analyte Test Strip and Analyte Meter Device | 31 |
Weston F. Harding | US | Lehi | 2016-05-05 / 20160121526 - Medical Access Device | 39 |
Gregory G. Harding | US | Richwood | 2008-12-04 / 20080299857 - OLEFIN BLOCK COMPOSITIONS FOR HEAVY WEIGHT STRETCH FABRICS | 1 |
Andrew W. Harding | US | Danville | 2012-06-07 / 20120143507 - SYSTEM AND METHOD FOR DECLUSTERING WELL LOG SAMPLES | 1 |
Ian Harding | US | Somerville | 2010-12-09 / 20100308837 - Test Strip Coding and Quality Measurement | 4 |
Antony Harding | US | Rittman | 2009-05-28 / 20090133796 - PNEUMATIC TIRE AND A PROCESS TO RETREAD A TIRE | 1 |
Chad Harding | US | Avon | 2015-07-16 / 20150199920 - SYSTEMS AND METHODS FOR OPERATING ROOM SIMULATION TRAINING | 1 |
Joseph J. Harding | US | Mentor | 2015-01-15 / 20150014205 - CARRIER FOR A BUNDLE OF FAN FOLDED SHEET MATERIAL TO BE CONVERTED INTO DUNNAGE | 8 |
Ian S. Harding | GB | Wells | 2016-02-11 / 20160038063 - Method and Apparatus for Assay of Electrochemical Properties | 2 |
Ian A. Harding | US | San Mateo | 2015-10-01 / 20150273469 - High Density Plate Filler | 16 |
Ian Harding | US | Sommerville | 2009-01-29 / 20090026091 - Electrochemical Test Strip | 1 |
Martin Dale Harding | US | Van Alstyne | 2011-10-06 / 20110244997 - Spin nock | 1 |
Michael Harding | AU | Notting Hill | 2015-06-25 / 20150175592 - Viral Polymerase Inhibitors | 5 |
Jeffrey Harding | US | Holly Springs | 2015-07-30 / 20150212126 - SYSTEM AND METHOD FOR UNIFIED POWER QUALITY MONITORING AND DATA COLLECTION IN A POWER SYSTEM HAVING HETEROGENEOUS DEVICES FOR MONITORING POWER QUALITY | 1 |
Jeffrey Alexander Harding | US | Meredith | 2015-07-30 / 20150215037 - PROVIDING CHANNEL HEALTH FOR A WDM SYSTEM | 1 |
Christine Harding | CA | Saint-Laurent | 2011-06-23 / 20110153016 - MASTECTOMY PROSTHESIS AND BRA | 1 |
Adrian Lewis Harding | GB | Derby | 2014-03-06 / 20140064967 - AEROFOIL COOLING ARRANGEMENT | 3 |
Damien Harding | AU | Victoria | 2011-08-04 / 20110186660 - SORTING MINED MATERIAL | 4 |
William A. Harding | US | Cocoa Beach | 2013-04-11 / 20130090954 - MISTAKEN CONVICTION INSURANCE PRODUCT AND RELATED SYSTEM AND METHODS | 1 |
Nathan Harding | US | Oakland | 2015-12-10 / 20150351995 - Reconfigurable Exoskeleton | 11 |
William Harding | US | Chandler | 2015-08-20 / 20150231387 - SENSING AND STIMULATION SYSTEM | 1 |
Jacob Harding | US | Phoenix | 2016-03-10 / 20160072268 - ELECTRICAL WINDING AND TERMINATION INTERFACE | 8 |
John Harding | US | Northfield | 2013-08-29 / 20130226767 - FINANCIAL PRODUCTS BASED ON A SERIALIZED INDEX | 3 |
James P. Harding | US | Loudonville | 2013-10-10 / 20130267496 - IMIDAZOPYRAZINE SYK INHIBITORS | 3 |
John Harding | US | Atlanta | 2015-10-29 / 20150309594 - TOY CONTROLLER FOR PROVIDING INPUT TO A COMPUTING DEVICE | 2 |
Julian Harding | GB | Coventry | 2012-11-15 / 20120290733 - METHOD OF ESTABLISHING COMMUNICATION IN A COMMUNICATIONS NETWORK | 1 |
Beverly Harding | US | Las Vegas | 2015-01-15 / 20150014494 - DISPENSER ASSEMBLY | 1 |
Robert Harding | US | Las Vegas | 2015-01-15 / 20150014494 - DISPENSER ASSEMBLY | 1 |
Glenn Harding | US | Sutton | 2014-03-27 / 20140088688 - Atraumatic Stent and Method and Apparatus for making the same | 1 |
David S. Harding | US | Austin | 2015-03-12 / 20150071521 - Spiculated Malignant Mass Detection and Classification in a Radiographic Image | 5 |
Clifford V. Harding | US | Shaker Heights | 2011-02-10 / 20110033484 - LprG AS A CHAPERONE OF IMMUNE ADJUVANTS | 1 |
Ian R.i. Harding | US | Mahtomedi | 2014-04-17 / 20140101911 - METHOD OF MAKING SELF-SUPPORTING INSULATING END CONE LINERS AND POLLUTION CONTROL DEVICES | 1 |
Stewart J. Harding | CA | Victoria | 2016-01-07 / 20160003919 - BATTERY VOLTAGE PROFILE MONITORING DURING GENERATOR START | 2 |
Richard Harding | US | Chandler | 2014-05-01 / 20140122879 - SECURE COMPUTING SYSTEM | 1 |
Neil Harding | US | Canton | 2011-05-05 / 20110101649 - CART FOR TRANSPORTING LAWN CLIPPINGS IN PAPER BAGS | 1 |
Ian S. Harding | GB | Wells, Somerset | 2015-08-06 / 20150219550 - Method and apparatus for assay of electrochemical properties | 1 |
Stewart John Harding | CA | Victoria | 2015-08-27 / 20150241480 - POWER METER CONFIGURED FOR REAR AND SIDE EXPANSION | 2 |
Clifford Harding | US | Shaker Heights | 2015-10-29 / 20150306215 - LPRG AS A CHAPERONE OF IMMUNE ADJUVANTS | 2 |
William Charles Ballough Harding | US | Chandler | 2011-12-15 / 20110307284 - COMMAND CENTER COMMUNICATION SYSTEM FOR IMPROVED MANAGEMENT OF COMPLEX MEDICAL ENVIRONMENTS | 1 |
Keith Gordon Harding | GB | Cardiff | 2012-12-13 / 20120315637 - METHOD AND KIT FOR THE CLASSIFICATION AND PROGNOSIS OF CHRONIC WOUNDS | 1 |
Edward James Harding | GB | Snerrisham | 2011-06-23 / 20110151069 - Infant or baby feeding systems | 1 |
Dave Harding | US | Portland | 2014-04-24 / 20140109462 - Fishing Weight | 1 |
Glenn D. Harding | US | Sutton | 2013-10-17 / 20130269506 - Atraumatic Stent and Method and Apparatus for making the same | 3 |
Timothy Joseph Harding | US | Spokane | 2011-04-14 / 20110085297 - Self Releasing Hinge | 1 |
Martt Harding | US | Annandale | 2011-03-03 / 20110050461 - Network of Traffic Behavior-monitoring Unattended Ground Sensors (NeTBUGS) | 1 |
Steven M. Harding | US | Decatur | 2011-03-03 / 20110054777 - Method of Operating a Navigation System to Provide Route Guidance | 1 |
Scott A. Harding | US | Slidell | 2011-02-24 / 20110046292 - NEW USE OF A KINKED RIGID-ROD POLYARYLENE | 4 |
Garry Harding | US | Bend | 2011-01-13 / 20110005690 - Window Covering | 1 |
Kristin Norris Harding | US | Seattle | 2009-02-05 / 20090031757 - MODULAR TOY BRACELET | 1 |
William C. Harding | US | Chandler | 2015-02-26 / 20150058627 - DATA DRIVEN SCHEMA FOR PATIENT DATA EXCHANGE SYSTEM | 2 |
Ian R. Harding | US | Mahtomedi | 2012-09-13 / 20120231187 - SELF-SUPPORTING INSULATING END CONE LINER AND POLLUTION CONTROL DEVICE | 2 |
Susan P. Harding | US | Galloway | 2016-02-18 / 20160045237 - Orthopedic Fixation Screw With Bioresorbable Layer | 3 |
James P. Harding | US | Clifton Park | 2010-09-02 / 20100222323 - IMIDAZOPYRAZINE SYK INHIBITORS | 1 |
Thomas William Harding | US | Wilmington | 2016-02-18 / 20160047061 - PROCESS FOR LAYING FIBROUS WEBS FROM A CENTRIFUGAL SPINNING PROCESS | 5 |
Benjamin Roland Harding | US | Greenwood | 2010-08-12 / 20100199626 - TURBINE ENGINE EXHAUST GAS TUBE MIXER | 1 |
Jeffrey Harding | US | Sylvania | 2009-01-01 / 20090000435 - APPARATUS AND METHOD FOR ATTACHING SPOUTS TO CONTAINERS | 1 |
Piers Sebastian Harding | GB | Cambridgeshire | 2015-10-15 / 20150292674 - Replaceable Fluid Container | 3 |
Kenneth Harding | US | Olathe | 2013-03-21 / 20130068370 - THERMOFORMING PACKAGING MACHINE FOR PRODUCING UPRIGHT STANDING PACKAGES WITH UNDERCUT | 1 |
Piers Sebastian Harding | US | 2015-10-15 / 20150291317 - Apparatus | 1 | |
David P. Harding | US | Provo | 2015-12-31 / 20150374505 - MULTI-LOBE ARTIFICIAL SPINE JOINT | 6 |
Jesse Harding | US | Statesville | 2014-07-10 / 20140190419 - Animal Restraint and Feeder Apparatus | 1 |
Richard Todd Harding | US | Hoschton | 2011-06-23 / 20110149979 - COMMUNICATION NETWORKS THAT PROVIDE A COMMON TRANSPORT DOMAIN FOR USE BY MULTIPLE SERVICE DOMAINS AND METHODS AND COMPUTER PROGRAM PRODUCTS FOR USING THE SAME | 2 |
Kyle Harding | US | North Attleboro | 2010-05-27 / 20100131315 - RESOLVING INCIDENT REPORTS | 1 |
Jeffrey A. Harding | US | Newburgh | 2014-06-05 / 20140151404 - AUTOMATIC DISPENSING CAP FOR A SQUEEZEABLE BOTTLE | 3 |
Curtis F. Harding | US | New York | 2010-05-06 / 20100107653 - NOZZLE TIP ASSEMBLY WITH SECONDARY RETENTION DEVICE | 1 |
Russell Harding | GB | London | 2013-11-14 / 20130303285 - APPARATUS AND METHOD FOR AUGMENTED REALITY | 2 |
Heather Harding | US | Brooklyn | 2010-04-29 / 20100105064 - METHOD OF SCREENING TEST SUBSTANCES FOR TREATING OR PREVENTING A DISEASE MEDIATED BY PLASMA CELLS | 1 |
Margaret Estelle Harding | US | Wilmington | 2010-04-22 / 20100100364 - METHOD AND APPARATUS FOR MOVING NUCLEAR FUEL | 1 |
Ian S. Harding | US | Somerville | 2010-04-01 / 20100078335 - Method and apparatus for assay of electrochemical properties | 1 |
Dustin Harding | US | Orem | 2014-05-22 / 20140138916 - EMERGENCY STOPPING SYSTEM FOR TARGET TROLLEYS | 2 |
Greg Harding | US | Atlanta | 2010-03-11 / 20100059421 - FLOATING DISPENSER FOR DISPENSING A SOLID DISSOLVABLE CHEMICAL INTO AMBIENT WATER | 1 |
Brenda Harding | US | Newark | 2010-03-04 / 20100051198 - Method of manufacturing a chemical mechanical polishing pad | 3 |
Paul A. Harding | US | Oxford | 2013-11-28 / 20130316456 - CELL TRANSDIFFERENTIATION INTO BROWN ADIPOCYTES | 2 |
N. Stan Harding | US | North Salt Lake | 2013-01-31 / 20130025514 - METHOD FOR REDUCING AIR FLOW WHEN OPERATING A COAL BURNER | 2 |
Douglas C. Harding | US | Orem | 2014-07-03 / 20140188190 - TRANSCUTANEOUS ELECTRICAL STIMULATION WAVEFORM GENERATOR AND METHOD OF USE | 1 |
Matthew W. Harding | US | Acton | 2010-02-04 / 20100028874 - HEPATITIS C VIRUS INFECTION BIOMARKERS | 3 |
Tom Harding | US | Canon City | 2010-01-21 / 20100012469 - CONVEYOR SYSTEM | 1 |
Michael H. Harding | US | Longmont | 2009-11-26 / 20090291811 - FREE WHEEL CLUTCH MECHANISM FOR BICYCLE DRIVE TRAIN | 2 |
Ann Harding | US | Maplewood | 2009-11-19 / 20090287613 - AUTOMATED ACTIONS BASED ON RESTRICTIONS | 1 |
Jonathan Thomas Harding | US | Charlotte | 2008-09-04 / 20080215931 - Systems and Methods for Embedded Application Test Suites | 1 |
Peter W. Harding | US | Chester | 2016-02-11 / 20160040421 - Non-Structural Insulating Panel System | 3 |
Stephen C. Harding | GB | Bristol | 2016-05-05 / 20160123156 - COOLED COMPONENT | 6 |
Weston Harding | US | Lehi | 2014-03-13 / 20140074028 - One-Piece Molded Catheter and Method of Manufacture | 4 |
Denise Jamilla Harding | GB | London | 2010-12-16 / 20100317646 - COMPOUNDS | 1 |
David K. Harding | US | Pompton Plains | / - | 1 |
Riyon W. Harding | US | Richmond | 2008-09-04 / 20080212977 - FIBER OPTIC TRANSMISSION LINES ON AN SOC | 1 |
Andrew Chase Harding | US | Springdale | 2009-06-11 / 20090145416 - ADAPTABLE TILE-CUTTER APPARATUS FOR RECEIVING DISPARATELY-SIZED TILES | 1 |
Anthony F. Harding | US | Valrico | 2009-06-11 / 20090145821 - COLUMN FLOTATION CELL FOR ENHANCED RECOVERY OF MINERALS SUCH AS PHOSPHATES BY FROTH FLOTATION | 1 |
Paul A. Harding | US | Cincinnati | 2011-06-30 / 20110160445 - Heparin-Binding Growth Factor (HBGF) Polypeptides | 1 |
Clive Roderick Harding | US | Trumbull | 2009-05-14 / 20090124694 - METHOD OF PRODUCING RETINYL ESTERS | 1 |
Christopher Harding | US | Ashland | 2016-03-03 / 20160060885 - SUPPORT BRACKET AND METHOD FOR TEMPORARY GUARD RAILING | 1 |
Kevin Harding | US | Mesa | 2009-03-26 / 20090080652 - SYSTEM AND METHOD FOR PASSWORD GENERATION AND STORAGE | 1 |
Peter Harding | US | New York | 2009-03-26 / 20090081435 - Fire, heat and high voltage cable protection wrap | 1 |
Joseph W. Harding | US | Pullman | 2014-04-03 / 20140094413 - HEPATOCYTE GROWTH FACTOR (HGF) MIMICS AS THERAPEUTIC AGENTS | 6 |
W. Riyon Harding | US | Richmond | 2008-11-06 / 20080276034 - Design Structure for Transmitting Data in an Integrated Circuit | 1 |
Philip H. Harding | US | Albany | 2008-10-23 / 20080259134 - PRINT HEAD LAMINATE | 1 |
John K. Harding | GB | Essex | 2014-01-30 / 20140028043 - MOVEABLE BULKHEAD SYSTEM TO INCREASE LOAD CAPACITY OF A VEHICLE | 2 |
Philip H. Harding | US | Corvallis | 2008-10-02 / 20080240996 - METHOD OF DETECTING ANALYTES IN A MICROFLUIDIC SAMPLE AND A SYSTEM FOR PERFORMING THE SAME | 1 |
Brian Stephen Harding | US | Midlothian | 2013-08-22 / 20130214575 - DEVICE AND METHOD FOR IMPARTING A ROCKING MOTION TO AN INFANT CAR SEAT | 1 |
Daniel J. Harding | US | Longview | 2015-10-15 / 20150291083 - ON-BOARD LOW-POWER VEHICLE CONDITION INDICATOR | 2 |
Hal Hardinge | US | Tigard | 2013-04-25 / 20130097786 - MULTI-PURPOSE TOOL HAVING REMOVABLE HANDLE FOR USE AS A HAND TOOL | 2 |
Christpher Mark Hardingham | GB | Danbury | 2008-12-04 / 20080296896 - Stirling Engine Instability Detection and Prevention | 1 |
Daniel Hardingham | GB | Ely | 2013-08-15 / 20130209193 - BOLT | 1 |
Daniel Hardingham | GB | Cambridgeshire | 2015-04-02 / 20150093210 - Bolt | 1 |
Ferdinand Hardinghaus | DE | Bad Honnef | 2014-10-16 / 20140308529 - Coating Composition And Antireflective Coating Prepared Therefrom | 7 |
William Paul Harding-Jones | GB | Shackleford | 2015-01-15 / 20150016463 - MEDIA OVER IP PERFORMANCE ENHANCEMENT | 2 |
James O. Hardin, Iv | US | Nevils | 2015-04-30 / 20150115487 - SYSTEMS FOR PRODUCTION OF POLYMER ENCAPSUATED SOLIDS | 1 |
George T. Hardin, Jr. | US | Hattiesburg | 2015-09-17 / 20150257434 - Juice Extractor with Cleaning Feature | 3 |
John Hardin, Jr. | US | Vernon | 2015-01-08 / 20150007945 - Thermal Shingle Sealing Apparatus | 1 |
John Ransford Hardin, Jr. | US | Spring | 2015-10-15 / 20150292281 - REMOTE HYDRAULIC CONTROL OF DOWNHOLE TOOLS | 4 |
John R. Hardin, Jr. | US | Spring | 2014-04-17 / 20140103660 - SYSTEMS AND METHODS OF HARVESTING ENERGY IN A WELLBORE | 3 |
David M. Hardin, Jr. | US | Winston-Salem | 2009-07-02 / 20090171367 - LOOP TIP WIRE GUIDE WITH OUTER SLEEVE | 2 |
David B. Hardin, Jr. | US | Franklin | 2011-02-03 / 20110029461 - Dynamic Electrical Power Pricing Communication Architecture | 1 |
Leslie C. Hardison | US | Cape Coral | 2013-05-23 / 20130128014 - System for stereoscopically viewing motion pictures | 1 |
Steven Ross Hardison | US | Murray | 2014-07-03 / 20140184111 - ELECTRIC MOTOR DRIVE SYSTEM CAPTURE AND CONTROL APPARATUS FOR ENERGY SAVINGS | 1 |
Stewart Hardison | US | Bainbridge | 2015-01-08 / 20150010362 - MOORING STRUCTURE WITH HABITAT FEATURES FOR MARINE ANIMALS | 1 |
Carl Hardison | US | Preston | 2011-03-24 / 20110067307 - TRACK AND GUIDE SYSTEM FOR A DOOR | 2 |
John Charles Hardison | US | Tulsa | 2015-01-15 / 20150013774 - VALVELESS SIPHON DECANTER AND METHODS OF USE | 3 |
Richard Hardison | US | Greenville | 2012-06-07 / 20120141277 - Integrated Lightning Receptor System and Trailing Edge Noise Reducer for a Wind Turbine Rotor Blade | 5 |
Peggy S. Hardison | US | Augusta | 2011-04-07 / 20110079477 - Tuned vibration absorber mountable to aircraft skin panel | 1 |
Osborne B. Hardison | US | Palo Alto | 2016-04-28 / 20160119354 - MULTI-TIERED AUTHENTICATION METHODS FOR FACILITATING COMMUNICATIONS AMONGST SMART HOME DEVICES AND CLOUD-BASED SERVERS | 21 |
Joseph H. Hardison | US | Darien | 2014-06-26 / 20140180896 - SYSTEM FOR IMPLEMENTING A CENTRAL BANK CURRENCY TRADING RIGHTS MANAGEMENT PROCESS OVER A DISTRIBUTED COMMUNICATIONS NETWORK DEPLOYED IN A FINANCIAL MARKETPLACE | 1 |
Nathaniel Hardison | US | Waleska | 2014-02-13 / 20140042264 - STACKABLE SPOOLING SYSTEM AND METHOD OF USE THEREOF | 1 |
John Charles Hardison | US | Las Vegas | 2012-11-08 / 20120280410 - FLUID AERATOR | 1 |
Richard Allen Hardison | US | Greenville | 2016-05-19 / 20160138569 - SPAR CAP FOR A WIND TURBINE ROTOR BLADE | 3 |
Osborne B. Hardison | US | Palo Alto | 2016-04-28 / 20160119354 - MULTI-TIERED AUTHENTICATION METHODS FOR FACILITATING COMMUNICATIONS AMONGST SMART HOME DEVICES AND CLOUD-BASED SERVERS | 21 |
Derrick Hardison | US | Atlanta | 2015-04-30 / 20150120428 - MOBILE-ENABLED COMMERCE SERVICE AGGREGATION | 1 |
Joshep H. Hardison | US | Darven | 2008-08-21 / 20080201230 - Internet-based method of and system for equity ownership optimization within a financial and retail marketplace | 1 |
Stewart Hardison | US | Oneonta | 2011-11-24 / 20110283929 - MOORING STRUCTURE WITH HABITAT FEATURES FOR MARINE ANIMALS | 2 |
Richard A. Hardison | US | Greenville | 2010-05-20 / 20100124474 - BARREL NUT | 1 |
Carl David Hardison | US | Preston | 2010-06-03 / 20100132264 - BI-FLOW INFLATABLE DOOR SEALS | 1 |
Eric T. Hardison | US | Lawrenceville | 2013-04-04 / 20130086092 - SYSTEMS AND METHODS RELATED TO A TEMPORAL LOG STRUCTURE DATABASE | 1 |
Ty Hardison | US | Atlanta | 2013-11-07 / 20130296008 - SYSTEMS AND METHODS FOR PLAYING CARDS WITH DIGITAL ENHANCEMENTS AND ELECTRONIC INK | 2 |
Osborne Hardison | US | Palo Alto | 2015-09-24 / 20150268674 - POWER-PRESERVING COMMUNICATIONS ARCHITECTURE WITH LONG-POLLING PERSISTENT CLOUD CHANNEL FOR WIRELESS NETWORK-CONNECTED THERMOSTAT | 3 |
Joseph H. Hardison, Iii | US | Darien | 2014-03-27 / 20140089157 - SYSTEM FOR IMPLEMENTING A COMMODITY ISSUER RIGHTS MANAGEMENT PROCESS OVER A DISTRIBUTED COMMUNICATIONS NETWORK DEPLOYED IN A FINANCIAL MARKETPLACE | 7 |
Carl David Hardison, Iii | US | Preston | 2013-05-09 / 20130112358 - POWERED SAFETY CURTAINS | 5 |
Mark Hardisty | GB | Surrey | 2009-09-24 / 20090240737 - PROCESS AND APPLIANCE FOR DATA PROCESSING AND COMPUTER PROGRAMME PRODUCT | 1 |
Timothy Hardisty | GB | Salisbury | 2010-02-04 / 20100027553 - Communications Network Bridge And A Communications System Comprising Such A Bridge | 1 |
Jonathan Hardisty | GB | Ulverston | 2012-10-04 / 20120252247 - Submersible Electrical Connector | 4 |
Jaime S. Hardisty | US | Albany | 2011-07-14 / 20110171837 - MAGNETIC CABLE CONNECTOR SYSTEMS | 1 |
Mahesh S. Hardjkar | US | San Jose | 2011-11-10 / 20110273858 - CIRCUIT BOARD SOCKET WITH SUPPORT STRUCTURE | 1 |
Thomas P. Hardjono | US | Winchester | 2014-11-06 / 20140331249 - TELEVISION DELIVERY SYSTEM | 4 |
Ned J. Hardman | US | Woodside | 2015-05-07 / 20150123042 - Lead-Acid Batteries and Pastes Therefor | 1 |
Peter Hardman | AU | Wynnum | 2014-02-13 / 20140042109 - Separator | 1 |
Ian Hardman | GB | Dorset | 2015-09-03 / 20150246164 - Portable Medical Device System | 1 |
Ian James Hardman | GB | Boumemouth | 2010-04-29 / 20100106184 - REDUCED-PRESSURE, ABDOMINAL TREATMENT SYSTEMS AND METHODS | 1 |
Matthew Bryce Hardman | AU | Sydney | 2013-10-03 / 20130262284 - METHOD OF, AND SYSTEM FOR, REAL ESTATE INDEX GENERATION | 3 |
Eric Hardman | US | Lagrange | 2011-05-26 / 20110121031 - Dispensing station | 1 |
Richard Hardman | AU | Winthrop | 2010-02-18 / 20100037517 - Plant Cultivation Apparatus with Open Channel Irrigation System | 1 |
Matthew Bryce Hardman | AU | Bronte Nsw | 2014-10-16 / 20140310199 - SYSTEM AND METHOD FOR HEDGING INDEX EXPOSURES | 1 |
Rod Hardman | CA | Toronto | 2014-04-10 / 20140101159 - Knowledgebase Query Analysis | 1 |
Ned Jay Hardman | US | Albuquerque | 2012-12-20 / 20120321783 - Ink Jet Printed Reflective Features and Processes and Inks for Making Them | 1 |
John Arthur Hardman | US | Lawrence | 2015-01-15 / 20150013731 - ULTRASONIC APPARATUS TO ENHANCE OIL EXTRACTION FROM A BOWLING BALL | 1 |
Ian James Hardman | GB | Bournemouth Dorset | 2013-02-14 / 20130041333 - FOOT MANIFOLDS, APPARATUSES, SYSTEMS, AND METHODS FOR APPLYING REDUCED PRESSURE TO A TISSUE SITE ON A FOOT | 1 |
Ian James Hardman | GB | Bournemouth | 2015-08-27 / 20150238665 - System and Method for Applying Reduced Pressure at a Tissue Site | 13 |
Ned Hardman | US | Albuquerque | 2008-12-25 / 20080318757 - NANOGLASS AND FLAME SPRAY PROCESSES FOR PRODUCING NANOGLASS | 1 |
Todd Hardman | US | Orem | 2008-12-25 / 20080320060 - Systems And Methods For Partitioning Data On Multiple Servers | 1 |
Brian T. Hardman | US | Greenwood | 2015-08-06 / 20150223005 - 3-DIMENSIONAL AUDIO PROJECTION | 3 |
Gordon E. Hardman | US | Boulder | 2015-01-22 / 20150026020 - Computer-Implemented Systems and Methods for Providing an Out of Stock/Low Stock Alert | 7 |
Alex Arthur Hardman | US | Lawrence | 2015-01-15 / 20150013731 - ULTRASONIC APPARATUS TO ENHANCE OIL EXTRACTION FROM A BOWLING BALL | 1 |
Sidney Hardman | US | Muskogee | 2014-02-06 / 20140038686 - METHOD OF CONDUCTING AN OPT-IN SWEEPSTAKES | 1 |
Ned J. Hardman | US | Okemos | 2015-10-29 / 20150307666 - Multi-Component Particles Comprising Inorganic Nanoparticles Distributed in an Organic Matrix and Processes for Making and Using Same | 1 |
Stephen Hardman | GB | Hertfordshire | 2011-09-29 / 20110236293 - INTEGRATED GAS REFINERY | 1 |
Paul Hardman | GB | Chippenham | 2011-08-11 / 20110192397 - INHALER | 1 |
Jon Hardman | US | Springville | 2015-04-30 / 20150121064 - TECHNIQUES FOR SECURE MESSAGE OFFLOADING | 3 |
Mark E. Hardman | US | Santa Clara | 2012-07-19 / 20120181424 - FAIMS Having a Displaceable Electrode for On/Off Operation | 2 |
Luke Andrew Hardman | US | Hermosa Beach | 2010-08-12 / 20100203771 - ELECTRICAL INTERCONNECT AND METHOD FOR ELECTRICALLY COUPLING A PLURALITY OF DEVICES | 1 |
Lan James Hardman | GB | Bournemouth | 2008-08-21 / 20080200906 - Apparatus and method for administering reduced pressure treatment to a tissue site | 1 |
Eric Hardman | CH | Riehen | 2014-05-15 / 20140133918 - LAWN GRID | 1 |
Ian James Hardman | GB | Bournemouth | 2015-08-27 / 20150238665 - System and Method for Applying Reduced Pressure at a Tissue Site | 13 |
Thomas M. Hardman | US | Chesterland | 2012-06-14 / 20120144993 - M60 RIFLE WITH SELECT FIRE MECHANISM FOR SELECTIVE FULLY-AUTOMATIC AND SEMI-AUTOMATIC OPERATION | 3 |
Todd J. Hardman | US | Orem | 2009-03-12 / 20090068629 - DUAL OUTPUT GRADEBOOK WITH RUBRICS | 4 |
Ken Hardman | US | Pleasant Grove | 2009-11-05 / 20090273109 - Three dimensional variable forming apparatus and methods of use thereof | 1 |
Ian Hardman | GB | Bournemouth | 2014-07-31 / 20140213994 - System and Method for Mechanical Closure of Wounds | 5 |
Peter Thomas Hardman | US | Kyle | 2014-08-07 / 20140217997 - ASYMMETRIC TOPOLOGY TO BOOST LOW LOAD EFFICIENCY IN MULTI-PHASE SWITCH-MODE POWER CONVERSION | 2 |
Anthony Christopher Hunter Hardman | GB | Cambridge | 2013-10-31 / 20130286077 - INKJET PRINTERS | 1 |
Ned J. Hardman | US | Albuquerque | 2014-04-03 / 20140093775 - ACTIVE MATERIAL COMPOSITIONS COMPRISING HIGH SURFACE AREA CARBONACEOUS MATERIALS | 3 |
Mark Hardman | US | Santa Clara | 2014-10-02 / 20140291544 - Combined Ion Source for Electrospray and Atmospheric Pressure Chemical Ionization | 4 |
Molly A. Hardman | US | Boulder | 2012-06-28 / 20120166836 - SYSTEM FOR CONSERVING BATTERY LIFE IN A BATTERY OPERATED DEVICE | 2 |
Ian Hardman | GB | Boumemouth | 2012-09-20 / 20120234483 - OPEN-CAVITY, REDUCED-PRESSURE TREATMENT DEVICES AND SYSTEMS | 2 |
Daniel H. Hardman | US | American Fork | 2013-11-21 / 20130312006 - SYSTEM AND METHOD OF MANAGING JOB PREEMPTION | 1 |
Douglas P.c. Hardman | US | Shaker Heights | 2016-03-24 / 20160086209 - SYSTEM AND METHODS FOR OFFER ACCEPTANCE | 3 |
Richard W. Hardman | US | Bellingham | 2012-06-21 / 20120152464 - Rotational application device for the adherence of bridging materials in angular applications | 1 |
Jori Hardman | US | Chicago | 2013-12-19 / 20130334248 - DISPENSER FOR HAND SANITIZER | 3 |
Neil R. Hardman | GB | Hants | 2011-06-23 / 20110153689 - CONFIRMING THE SENSITIVITY OF A DATA OBJECT IN A MANAGED OBJECT HEAP | 1 |
Steven John Hardman | GB | Essex | 2011-08-18 / 20110202183 - CONTROL DEVICE | 1 |
Peter John Hardman | US | Woburn | 2012-09-20 / 20120236989 - Portable XRF analyzer for low atomic number elements | 1 |
Kenneth E. Hardman | US | Clarkston | 2015-06-04 / 20150152815 - EGR SYSTEM USING DEDICATED EGR CYLINDERS | 1 |
Lee Jason Hardman | GB | Lancashire | 2014-12-18 / 20140367599 - VALVE | 1 |
Neil R. Hardman | GB | Romsey | 2013-09-12 / 20130239153 - CONTENT RETRIEVAL FOR DIGITAL MEDIA RECORDER DEVICES | 1 |
Ned J. Hardman | US | Belmont | 2015-07-09 / 20150194674 - Electrode Formulations Comprising Graphenes | 2 |
Peter T. Hardman | US | Kyle | 2011-04-14 / 20110087900 - DYNAMIC TABLE LOOK-UP BASED VOLTAGE REGULATOR CONTROL | 1 |
Richard H. Hardman | US | Houston | 2015-08-13 / 20150226873 - Methods for producing a log of material properties | 2 |
Ian James Hardman | GB | Dorset | 2015-01-29 / 20150032035 - SCAR REDUCTION APPARATUS | 1 |
Scott T. Hardoerfer | US | Milford | 2015-12-31 / 20150375365 - APPARATUS AND METHOD FOR HIGH FLOW PARTICLE BLASTING WITHOUT PARTICLE STORAGE | 3 |
Scott Thomas Hardoerfer | US | Milford | 2014-04-24 / 20140110510 - Apparatus Including at Least an Impeller or Diverter and for Dispensing Carbon Dioxide Particles and Method of Use | 3 |
Ido Hardonag | IL | Zikron Ya'Akov | 2012-03-22 / 20120072583 - REAL-TIME ACTIVITY MONITORING AND REPORTING | 1 |
Eric Hardouin | FR | Paris | 2012-10-04 / 20120250545 - SELECTION OF DYNAMIC RELAYS FOR COOPERATIVE COMMUNICATIONS IN A MOBILE NETWORK | 2 |
Ghislain Hardouin | FR | Sambin | 2015-02-12 / 20150041382 - FILTER ASSEMBLY | 2 |
Christopher Hardouin | US | Los Angeles | 2009-07-09 / 20090176545 - INTERACTIVE TOY WITH VISUAL AND AUDIO FEEDBACK | 1 |
Christopher J. Hardouin | US | Mar Vista | 2008-09-11 / 20080220692 - MULTI-MODE THREE WHEELED TOY VEHICLE | 1 |
Julie Hardouin | FR | Tourville La Riviere | 2016-05-05 / 20160123992 - METHODS FOR PREDICTING RHEUMATOID ARTHRITIS TREATMENT RESPONSE | 1 |
Christopher J. Hardouin | US | Los Angeles | 2009-08-27 / 20090212968 - Remote control units for mechanized toys | 1 |
Christophe Hardouin | FR | Le Havre | 2012-09-27 / 20120245363 - PROCESS FOR THE SYNTHESIS OF AGOMELATINE | 3 |
Larry J. Hardouin | US | Broomfield | 2012-04-12 / 20120089395 - SYSTEM AND METHOD FOR NEAR REAL-TIME IDENTIFICATION AND DEFINITION QUERY | 4 |
Pierre Hardouin | FR | Puteaux | 2016-05-12 / 20160134686 - CLOUD MANAGEMENT | 1 |
Ludovic Hardouin-Duparc | FR | Reims | 2013-04-04 / 20130082116 - USE OF A COMPOSITION BASED ON THERMOPLASTIC RESIN FOR THE MANUFACTURE OF RAILWAY SLEEPERS | 4 |
Maxime Hardouin-Finez | FR | Marly | 2011-05-26 / 20110119897 - DEVICE AND PROCESS FOR ASSEMBLY OF PANELS USING RIVETING | 2 |
Helene Hardre | FR | Chateauvillain | 2010-11-18 / 20100292214 - Compounds with Antiparasitic Activity, Applications thereof to the Treatment of Infectious Diseases Caused by Apicomplexans | 2 |
Renaud Hardre | FR | Paris | 2009-02-26 / 20090054353 - MANNOSYL-1 PHOSPHATES, PREPARATION METHOD AND THERAPEUTIC USE, IN PARTICULAR AGAINST THE CDG-IA SYNDROME | 1 |
Graham Alan Hards | GB | Reading | 2013-08-15 / 20130209912 - CATALYST | 1 |
David Hards | US | Hollis | 2012-03-15 / 20120060694 - High Cleaning Efficiency Room Air Cleaner With Slim Profile | 2 |
Graham Alan Hards | GB | Berkshire | 2015-03-26 / 20150086902 - THIN FILM CATALYTIC MATERIAL FOR USE IN FUEL | 2 |
Robin Hardstaff | CA | Georgetown | 2013-10-24 / 20130276285 - DIFFUSER CASE REMOVAL APPARATUS AND METHOD | 2 |
Charles Hardt | US | Lawrenceville | 2016-03-24 / 20160087897 - Using Wireless Client for Proxy Channel Scan | 2 |
Christian Hardt | DE | Kassel | 2016-01-07 / 20160006366 - INVERTER AND OPERATING METHOD FOR AN INVERTER | 3 |
Niels Hardt | DE | Herbrechtingen | 2009-08-13 / 20090199987 - METHOD FOR DEWATERING AND A DEWATERING APPARATUS | 1 |
Olaf Hardt | DE | Cologne | 2016-02-18 / 20160047808 - DEPLETION OF MOUSE CELLS FOR ISOLATION OF HUMAN CELLS | 2 |
Stefan Edwin Hardt | DE | Heidelberg | 2008-09-04 / 20080214595 - Use Of Rapamycin Derivatives For The Treatment And/Or Prevention Of Cardiovas Cular Disorders | 1 |
David E. Hardt | US | Concord | 2015-08-06 / 20150219559 - Fluorescent Contact Imaging for In-Process Print Sensing | 3 |
Moritz Hardt | US | San Mateo | 2015-02-19 / 20150052172 - IDENTIFYING A SKETCHING MATRIX USED BY A LINEAR SKETCH | 1 |
Daniela Hardt | DE | Sulzbach | 2009-12-17 / 20090312481 - POLYVINYL ESTER DISPERSIONS AND THEIR USE | 2 |
Charles R. Hardt | US | Lawrenceville | 2010-05-06 / 20100115574 - DIGITAL VIDEO RECORDER HAVING LIVE-OFF-DISK BUFFER FOR RECEIVING MISSING PORTIONS OF BUFFERED EVENTS | 1 |
Moritz A.w. Hardt | US | Princeton | 2011-11-17 / 20110282865 - GEOMETRIC MECHANISM FOR PRIVACY-PRESERVING ANSWERS | 1 |
Shannon Hardt | US | Keller | 2012-08-02 / 20120194867 - INTELLIGENT DEVICE INTEGRATION USING RFID TECHNOLOGY | 2 |
Falk Hardt | DE | Muenchberg | 2012-12-27 / 20120328388 - Shearable fastening device with force transmission element | 1 |
Arne Hardt | DE | Hamburg | 2013-11-28 / 20130313365 - SPACE-OPTIMIZED CABIN ATTENDANT STANDING SEAT FOR AIRCRAFT | 1 |
James V. Hardt | US | Sedona | 2015-12-03 / 20150342493 - Isochronic Tone Augmented Biofeedback System | 1 |
Christian Hardt | DE | Kelsterbach | 2015-05-28 / 20150144421 - Sound Absorbing Sandwich Plate | 2 |
Alexander Hardt | DE | Duderstadt | 2011-05-26 / 20110125194 - ARTICULATED INSERT | 1 |
Brian T. Hardt | US | 2015-11-12 / 20150323431 - 3D LASER ABLATION TOMOGRAPHY AND SPECTROGRAPHIC ANALYSIS | 1 | |
John C. Hardt | US | Belton | 2008-08-21 / 20080199842 - Dual density slide-on tray | 1 |
David E. Hardt | US | Cambridge | 2014-02-06 / 20140037909 - Actuation and Control of Stamp Deformation in Microcontact Printing | 1 |
Thomas Hardt | DE | Ludwigshafen | 2010-09-30 / 20100249006 - Detergent Composition | 2 |
Annett Hardt | DE | Mannheim | 2010-06-24 / 20100162158 - METHOD PROVIDING A PLURALITY OF SELECTABLE VALUES SUITABLE FOR AN INPUT OF A TEXT FIELD | 3 |
Joshua I. Hardt | US | Belleville | 2008-09-04 / 20080214670 - Therapeutic Malonic Acid/Acetic Acid C60 Tri-Adducts of Buckminsterfullerene and Methods Related Thereto | 1 |
Dick C. Hardt | CA | Vancouver | 2015-06-25 / 20150180879 - GRADUATED AUTHENTICATION IN AN IDENTITY MANAGEMENT SYSTEM | 11 |
Franz Hardt | DE | Ehningen | 2016-03-10 / 20160070921 - ASSET PROTECTION BASED ON REDUNDANTLY ASSOCIATED TRUSTED ENTITLEMENT VERIFICATION | 2 |
Thomas T. Hardt | US | Missouri City | 2008-10-30 / 20080266815 - System and method to conjoin blade modules | 1 |
James V. Hardt | US | San Francisco | 2011-05-05 / 20110105938 - BINAURAL BEAT AUGMENTED BIOFEEDBACK SYSTEM | 1 |
Shannon P. Hardt | US | Austin | 2009-05-28 / 20090138292 - DRIVING SOFTWARE PRODUCT CHANGES BASED ON USAGE PATTERNS GATHERED FROM USERS OF PREVIOUS PRODUCT RELEASES | 1 |
Michael Hardt | US | Canaan | 2012-11-01 / 20120278505 - METHOD OF EMBEDDING MAP FEATURE DATA INTO A RASTER GRAPHICS FILE | 1 |
Michael Hardt | ES | Madrid | 2010-09-23 / 20100236524 - DETERMINING THE QUALITY OF FUEL IN AN AUTO-IGNITING INTERNAL COMBUSTION ENGINE | 2 |
Dick Hardt | CA | Vancouver | 2010-12-16 / 20100318806 - MULTI-FACTOR AUTHENTICATION WITH RECOVERY MECHANISMS | 2 |
Dick Clarence Hardt | CA | Vancouver | 2010-07-15 / 20100180001 - CONTEXTUAL MESSAGING AND NOTIFICATION SYSTEM | 2 |
Lee Hardt | US | Ridgecrest | 2011-03-10 / 20110056403 - Insensitive Munitions Warhead Explosive Venting System | 1 |
Eric A. Hardt | US | Tempe | 2009-10-08 / 20090251085 - METHOD AND SYSTEM FOR MOTOR OSCILLATORY STATE DETECTION | 1 |
Stephen Hardt | US | Redwood City | 2010-07-15 / 20100180200 - ELECTRONIC ASSISTANT | 2 |
Stephen L. Hardt | US | Woodinville | 2012-05-24 / 20120131020 - METHOD AND APPARATUS FOR ASSEMBLING A SET OF DOCUMENTS RELATED TO A TRIGGERING ITEM | 2 |
Holger Hardt | DE | Geeste | 2015-12-17 / 20150362008 - JOINT DEVICE FOR A MOTOR VEHICLE | 1 |
Detlef Hardt | DE | Hannover | 2010-01-21 / 20100017193 - METHOD, SPOKEN DIALOG SYSTEM, AND TELECOMMUNICATIONS TERMINAL DEVICE FOR MULTILINGUAL SPEECH OUTPUT | 1 |
Eric Hardt | US | Mesa | 2009-06-18 / 20090154906 - System and Method for Controlling Multiple DC Fans | 2 |
Hilde Hardtdegen | DE | Aachen | 2015-11-05 / 20150318437 - SINGLE-PHOTON SOURCE SUITABLE FOR MASS PRODUCTION AND PRODUCTION METHOD | 1 |
David Hardtke | US | Oakland | 2016-03-31 / 20160092838 - JOB POSTING STANDARDIZATION AND DEDUPLICATION | 4 |
Hans-Herlof Hardtke | DE | Zeven | 2011-05-19 / 20110114816 - CONSTANT BEARER | 3 |
Thomas Hardtke | DE | Muenchen | 2015-04-16 / 20150105959 - Vehicle Stabilization for a Hybrid Vehicle in the Event of Brake Slip of the Drive Wheels or Increased Risk Thereof | 2 |
Wolfgang Hardtke | DE | Niederkassel | 2009-05-21 / 20090131574 - COATED ALUMINUM HYDROXIDE PARTICLES PRODUCED BY MILL-DRYING | 3 |
Wilhelm Hardtle | DE | Markdorf | 2012-11-08 / 20120282018 - SHAFT-GEAR CONNECTION | 4 |
Hagai Harduf | IL | Binyamina | 2011-12-08 / 20110300512 - APEX LOCATOR MOUNTABLE ON A HANDPIECE | 1 |
Hagai Harduff | IL | Binyamina | 2015-12-24 / 20150367296 - AUTOMATIC RELEASE OF PRESSURE IN A HOME SODA MACHINE | 7 |
Bobby Hardwick | US | Mitchell | 2011-06-30 / 20110159327 - REUSABLE ELECTROCHEMICAL CELL TEST FIXTURE | 1 |
Steven J. Hardwick | US | Newark | 2014-09-04 / 20140246055 - Textile Filter System, Method For Regenerating A Textile Filter and Regeneration Apparatus | 1 |
James Hardwick | US | Princeton Junction | 2013-07-11 / 20130178391 - METHODS FOR PREDICTING TREATMENT RESPONSE BASED ON THE EXPRESSION PROFILES OF BIOMARKER GENES IN NOTCH MEDIATED CANCERS | 2 |
Andrew J. Hardwick | GB | Ipswich | 2008-09-25 / 20080231594 - Haptics Transmission Systems | 1 |
Edmund Kevin Hardwick | ZA | Edenvale | 2009-02-12 / 20090039027 - PURIFICATION OF WATER | 1 |
Jeremy Hardwick | US | Highland Park | 2014-10-16 / 20140308395 - PIZZA DOUGH COMPRISING MALTED BARLEY FLOUR | 1 |
Frances Jean Hardwick | CA | Ottawa | 2014-10-02 / 20140298183 - COMMUNICATION SYSTEM MANAGEMENT APPARATUS, METHODS, AND INTERFACES | 1 |
M. Kevin Hardwick | US | North Plains | 2014-02-20 / 20140048150 - FLOW-THROUGH TRAP PRIMER VALVE ASSEMBLY | 6 |
John C. Hardwick | US | Sudbury | 2015-11-26 / 20150340045 - Audio Watermarking via Phase Modification | 6 |
Gary Hardwick | US | Bellingham | 2013-01-31 / 20130026253 - Systems and Methods for Dispensing Texture Material Using Dual Flow Adjustment | 1 |
Jennifer L. Hardwick | US | 2009-06-18 / 20090156690 - Deodorizing Exfoliator | 1 | |
Jonathan Hardwick | US | Tempe | 2014-10-23 / 20140317171 - METHODS AND APPARATUS FOR USER INTERFACE NAVIGATION | 1 |
Christopher Hardwick | US | Roscoe | 2014-02-20 / 20140048160 - Rinse Valve for Vacuum Waste System | 6 |
Jeremy Scott Hardwick | US | Highland Park | 2010-04-08 / 20100086639 - Dough Product, Microwaveable Frozen Bread Product, and Method For Making Same | 1 |
Michael Hardwick | AU | Wandong, Vic | 2016-02-11 / 20160039241 - SECURITY DOCUMENT AND A METHOD OF DETECTING THE EDGE OF A SECURITY DOCUMENT | 1 |
Geoffrey B. Hardwick | US | St. Charles | 2013-05-23 / 20130125506 - METHOD OF FORMING A CORE COMPONENT | 7 |
Laurence J. Hardwick | GB | Liverpool | 2013-11-28 / 20130316253 - METHOD FOR PRODUCING CATHODE MATERIAL FOR RECHARGEABLE LITHIUM-AIR BATTERIES, CATHODE MATERIAL FOR RECHARGEABLE LITHIUM-AIR BATTERIES AND RECHARGEABLE LITHIUM-AIR BATTERY | 1 |
Michael Bruce Hardwick | AU | Craigieburn, Victoria | 2015-11-12 / 20150322222 - ANTISTATIC FILM | 1 |
John C. Hardwick | US | Westford | 2015-11-26 / 20150340045 - Audio Watermarking via Phase Modification | 1 |
Dallis Ann Hardwick | US | Dayton | 2010-10-21 / 20100266442 - BURN-RESISTANT AND HIGH TENSILE STRENGTH METAL ALLOYS | 1 |
Steve Hardwick | US | Overland Park | 2013-07-18 / 20130181021 - FULLY INTEGRATED MOLDED FIREARM HOLSTER RETENTION SYSTEM | 1 |
Nicola Rina,mharie Hardwick | GB | London | 2011-09-01 / 20110212116 - IMMUNOGENIC PEPTIDES AND USES THEREOF | 1 |
Jonathan Eric Hardwick | GB | Chesterfield | 2016-03-03 / 20160065450 - NETWORK CONNECTIVITY | 1 |
Bryn Hardwick | GB | Cambridge | 2015-04-16 / 20150105274 - Methods for the Characterisation of Interaction Sites on Target Proteins | 1 |
Bruce Alfred Hardwick | AU | Wandong | 2013-08-15 / 20130207374 - OPTICALLY VARIABLE DEVICES, THEIR PRODUCTION AND USE | 1 |
Michael Bruce Hardwick | AU | Craigieburn | 2014-10-30 / 20140319819 - OPTICAL SECURITY DEVICE WITH NANOPARTICLE INK | 1 |
Bruce Hardwick | AU | Victoria | 2010-10-07 / 20100253062 - BANKNOTE WITH EDGE WINDOWS | 1 |
Jonathan C. Hardwick | US | Kirkland | 2009-01-01 / 20090006071 - Methods for Definition and Scalable Execution of Performance Models for Distributed Applications | 4 |
Peter Hardwick | US | Foxboro | 2015-12-17 / 20150365794 - APPARATUS AND METHOD FOR GEOLOCATION INTELLIGENCE | 3 |
David Hardwick | GB | Uttoxeter | 2016-01-07 / 20160006384 - Method of Monitoring Power Provision of a Generator Set | 1 |
Geoffrey Hardwick | US | St. Charles | 2012-02-02 / 20120027999 - AUTOMATED DOOR ASSEMBLY, PRESS, AND ADHESIVE THEREFOR | 2 |
Laurence James Hardwick | GB | Liverpool | 2013-06-06 / 20130143133 - CATHODE CATALYST FOR RECHARGEABLE METAL-AIR BATTERY AND RECHARGEABLE METAL-AIR BATTERY | 1 |
Howard Hardwick | US | Medford | 2012-08-23 / 20120211916 - Method of strengthening the connection between pipe sections in high pressure pipelines | 1 |
Rose Hardwick | US | Tulsa | 2012-07-05 / 20120167407 - Heat Deflector For Use With A Hood-Type Hair Dryer | 3 |
Richard Hardwick | GB | Cambridge | 2009-11-19 / 20090286237 - Diagnostic Kits and Methods for Oesophageal Abnormalities | 1 |
Peter Hardwick | US | Plymouth | 2015-10-08 / 20150286348 - APPARATUS AND METHOD FOR INTELLIGENT QUERYING AND FILTERING | 1 |
Steve Hardwick | US | Newark | 2010-09-16 / 20100230277 - Capacitive Deionization Cell With Balanced Electrodes | 1 |
Canan Hardwicke | US | Greenville | 2014-05-08 / 20140124100 - METHODS OF RESIZING HOLES | 1 |
Mary Ann Hardwicke | US | Collegeville | 2015-12-10 / 20150352121 - COMBINATION | 2 |
Edward W. Hardwicke | US | Niskayuna | 2010-08-19 / 20100209795 - POWER SUBSYSTEM FOR A FUEL CELL SYSTEM | 1 |
Edward Hardwicke | US | Greenville | 2009-03-26 / 20090083089 - SYSTEMS AND METHODS FOR ANALYZING FAILURE MODES ACCORDING TO COST | 1 |
Canan Uslu Hardwicke | US | Simpsonville | 2016-02-18 / 20160047253 - SELECTIVE LOCALIZED COATING DEPOSITION METHODS AND SYSTEMS FOR TURBINE COMPONENTS | 20 |
Canan Uslu Hardwicke | US | Greenville | 2015-09-10 / 20150255834 - BATTERY CELL WITH INTEGRATED SENSING PLATFORM | 3 |
Edward Wayne Hardwicke, Jr. | US | Simpsonville | 2016-03-03 / 20160065105 - SYSTEM AND METHOD FOR OPTIMIZING WIND TURBINE OPERATION | 3 |
Edward Wayne Hardwick, Jr. | US | Simpsonville | 2011-07-14 / 20110167782 - SYSTEMS AND APPARATUS FOR A FUEL CONTROL ASSEMBLY FOR USE IN A GAS TURBINE ENGINE | 1 |
Ronald B. Hardwig | US | Bloomington | 2008-12-04 / 20080295753 - FABRIC HAVING A REMOVABLE GUIDE | 1 |
Jonathan Hardwood | US | Rumson | 2009-03-26 / 20090082682 - Methods and apparatus for detecting cardiac events based on heart rate sensitive parameters | 1 |
Adrian John Hardwood | GB | Cardiff | 2009-01-22 / 20090023227 - METHOD | 1 |
William T. Hardwood | GB | Cambridge | 2013-09-19 / 20130246473 - METHODS AND SYSTEMS FOR ACCESSING REMOTE USER FILES ASSOCIATED WITH LOCAL RESOURCES | 1 |
Wayne A. Hardwood | US | Allentown | 2009-03-05 / 20090057005 - Cable Conduit and Method of Mounting a Cable Relative to a Wall Opening | 1 |
Jason Hardy | US | Powell | 2014-05-15 / 20140136547 - Determining Potential Enterprise Partnerships | 1 |
Matthew Hardy | US | Sunnyvale | 2014-01-30 / 20140032912 - TRUST CONTEXT FOR DOCUMENT SIGNATURES | 1 |
Alexandre Hardy | ZA | Cape Town | 2015-09-17 / 20150264121 - ORGANIZING DATA IN A VIRTUAL COMPUTING INFRASTRUCTURE | 1 |
Rodney Hardy | AU | North Ryde | 2015-12-17 / 20150360465 - METHOD OF GENERATING PRINT DATA FOR INKJET PRINTHEAD | 2 |
Mathieu Jean-Claude Andre Hardy | US | Chicago | 2016-03-03 / 20160061657 - Ultraviolet Monitoring Device | 1 |
Craig Hardy | GB | Audlem | 2015-10-22 / 20150297414 - WOUND CARE DEVICE | 2 |
Brent Hardy | US | Lancaster | 2015-11-19 / 20150329435 - COMBINED ANIMAL REPELLANT AND FERTILIZER COMPOSITION | 1 |
Graeme Hardy | GB | Berkshire | 2011-07-21 / 20110176482 - MOBILE COMMUNICATIONS NETWORK | 1 |
Russell Hardy | GB | Leicesteershire | 2011-07-21 / 20110178574 - WOUND TREATMENT SYSTEM AND METHOD | 1 |
Daniel K. Hardy | US | York | 2013-03-28 / 20130074352 - Laser Line Generator Having Three Intersecting Light Planes | 1 |
Christopher T. Hardy | US | Springfield | 2014-09-25 / 20140287401 - MODEL | 3 |
Christopher Hardy | US | Salisbury | 2013-10-31 / 20130290218 - SYSTEM AND METHOD FOR REGULATORY COMPLIANCE MANAGEMENT | 1 |
Rommie L. Hardy | US | Adelphi | 2014-04-03 / 20140096256 - JOINT PERFORMANCE-VULNERABILITY METRIC FRAMEWORK FOR DESIGNING AD HOC ROUTING PROTOCOLS | 1 |
Brett David Hardy | US | Chaska | 2014-04-10 / 20140097878 - SERIALIZER-DESERIALIZER CLOCK AND DATA RECOVERY GAIN ADJUSTMENT | 1 |
Edward M. Hardy | US | Milford | 2014-04-10 / 20140096907 - SYSTEM AND METHOD FOR MICROELECTRONICS LAMINATION PRESS | 1 |
Michael Peter Hardy | US | Warren | 2014-09-11 / 20140256175 - CONNECTOR PLUG HAVING AN LED ACTIVATED BY A USER'S TOUCH | 2 |
Katherine Hardy | US | Jaksonville | 2014-01-02 / 20140000101 - METHODS AND APPARATUS TO FORM PRINTED BATTERIES ON OPHTHALMIC DEVICES | 1 |
Chris Hardy | US | Hampton | 2014-01-02 / 20140003583 - ROTATING MEMBER FOR RADIATION IMAGING MODALITY CONFIGURED TO FACILITATE CONTACTLESS TRANSFER OF INFORMATION AND/OR POWER AND/OR TO FACILITATE ASCERTAINING ROTATION ANGLE OF ROTATING MEMBER | 1 |
Wayne Hardy | US | Baltimore | 2014-01-30 / 20140031772 - SYSTEM AND METHOD FOR COLLECTING STEM CELLS | 1 |
Philip R. Hardy | US | Jacksonville | 2014-01-02 / 20140000546 - System and Apparatus for Starting an Engine | 1 |
Ghislain Hardy | CA | Ste-Julie | 2013-01-24 / 20130020294 - LASER DRILLING METHODS OF SHALLOW-ANGLED HOLES | 4 |
Jimmy Hardy | US | Apex | 2015-05-07 / 20150126129 - SYSTEMS, METHODS, AND COMPUTER READABLE MEDIA FOR UTILIZING A MOBILE ROBOTIC PLATFORM TO CONDUCT FUNCTIONALITY TESTING IN A WIRELESS COMMUNICATIONS NETWORK | 1 |
Christopher G. Hardy | US | Columbia | 2015-05-07 / 20150126691 - Multiple Copolymer Systems as Templates for Block Copolymer Nanolithography | 1 |
Addison Hardy | US | San Francisco | 2015-11-12 / 20150324897 - INTERNET VENDING MACHINE/MOBILE VENDING MACHINE SYSTEM AND METHOD | 1 |
Gregg E. Hardy | US | Portland | 2011-09-15 / 20110222298 - PANEL WITH MICRO-HOLE PATTERN IN A STRUCTURALLY THIN PORTION | 1 |
Stephen Hardy | US | Wadsworth | 2009-07-02 / 20090166304 - Product Securement and Management System | 2 |
Stephen N. Hardy | US | Wadsworth | 2016-03-24 / 20160081492 - Multi-Component Display and Merchandise Systems | 55 |
Rody Hardy | US | Raymond | 2010-02-18 / 20100039366 - BACKLIGHT DISPLAY AND METHOD FOR A VEHICLE | 1 |
Charles Michael Hardy | US | Norwood | 2011-09-29 / 20110237491 - Multiple Use Fabric Conditioning Block With Indentations | 1 |
Joseph Hardy | FR | Paris | 2013-10-31 / 20130289963 - MODELLING OF THE ACTION OF AN OPTICAL FIBRE IN PHOTODYNAMIC THERAPY TREATMENT, AND ASSISTANCE IN THE PLANNING OF SAID TREATMENT | 1 |
Rody Hardy | US | Columbus | 2014-06-19 / 20140172447 - SYSTEM AND METHOD FOR PROVIDING REAL TIME CONTROL OF PHARMACEUTICALS | 2 |
Gregory J. Hardy | US | North Andover | 2011-11-10 / 20110272458 - AUTO-BOTTOM CARTON WITH SELF-ERECTING PARTITION | 2 |
Bruce J. Hardy | US | Graniteville | 2016-03-17 / 20160075508 - HEAT TRANSFER UNIT AND METHOD FOR PREFABRICATED VESSEL | 2 |
Vincent Hardy | US | Palo Alto | 2011-09-08 / 20110219324 - HIERARCHICAL DATA DISPLAY | 1 |
Anne B. Hardy | US | Acton | 2015-10-22 / 20150299943 - MODIFIED PERFLUOROPOLYMER SHEET MATERIAL AND METHODS FOR MAKING SAME | 9 |
Larry W. Hardy | US | Strubridge | 2012-09-20 / 20120238616 - METHODS AND COMPOSITIONS FOR TREATING SLEEP-RELATED BREATHING DISORDERS | 2 |
Jérémy Hardy | FR | Velizy-Villacoublay | 2014-12-18 / 20140367209 - WHEEL BRAKE FOR AN AIRCRAFT, IN PARTICULAR FOR A HELICOPTER | 1 |
Larry Wendell Hardy | US | Sturbridge | 2015-05-07 / 20150126511 - CYCLOALKYLAMINES AS MONOAMINE REUPTAKE INHIBITORS | 9 |
Dow Hardy | US | Marlborough | 2013-11-21 / 20130310131 - Method and Apparatus for Conducting a Game of Chance Including a Ticket | 15 |
Carl Dana Hardy | US | Medfield | 2009-12-10 / 20090301327 - Stamping Tool | 1 |
Florian Hardy | CA | Saint-Augustin-De-Desmaures | 2015-06-25 / 20150177191 - HIGH RESOLUTION EDDY CURRENT ARRAY PROBE | 2 |
Craig A. Hardy | US | Hart | 2011-07-14 / 20110169386 - WALL MOUNTING SYSTEM FOR MOVABLY MOUNTING MODULAR INSTITUTIONAL FURNITURE AND FIXTURES | 1 |
Timothy Hardy | US | Fairfax | 2015-11-12 / 20150322666 - Steel Beam Support Embed and Methods of Use Thereof | 1 |
Simon Hardy | GB | Bracknell, Berkshire | 2016-03-24 / 20160081334 - Herbicidally active 2-(substituted-phenyl)-cyclopentane-1,3-dione compounds and derivatives thereof | 1 |
Katherine Rowan Hardy | US | Jacksonville | 2015-05-28 / 20150145155 - METHOD OF MANUFACTURING HYDROGEL OPHTHALMIC DEVICES WITH ELECTRONIC ELEMENTS | 2 |
Micael J. Hardy | FR | La Seyne Sure Mer | 2009-08-27 / 20090214437 - In Vivo Mitochondrial Labeling Using Positively-Charged Nitroxide Enhanced and Gadolinium Chelate Enhanced Magnetic Resonance Imaging | 1 |
Micael Joel Hardy | FR | La Seyne Sur Mer | 2013-06-13 / 20130149240 - 99mTc-LABELED TRIPHENYLPHOSPHONIUM DERIVATIVE CONTRASTING AGENTS AND MOLECULAR PROBES FOR EARLY DETECTION AND IMAGING OF BREAST TUMORS | 3 |
Yvan Hardy | FR | Larmor Plage | 2009-09-17 / 20090229760 - Fiber application machine | 1 |
Micael Hardy | FR | La Seyne Sur Mer | 2009-11-12 / 20090281330 - Nitrons Carrying a Positive Charge and Usable in Trapping Free Radicals, Especially the Superoxide Radical | 1 |
Remi Hardy | FR | Mouans Sartoux | 2010-02-11 / 20100037026 - Cache Refill Control | 2 |
David Hardy | FR | Merenvielle | 2014-12-18 / 20140370288 - METHOD AND DEVICE FOR MANUFACTURING A REINFORCEMENT FOR COMPOSITE MATERIAL MADE FROM NATURAL FIBERS AND REINFORCEMENT OBTAINED USING SUCH A METHOD | 2 |
Michel Hardy | FR | Colombes | 2010-06-17 / 20100150675 - Device and Process for Perforating a Duct Fitted With a Connector Seat | 1 |
Yvan Hardy | FR | Lorient | 2014-07-03 / 20140182787 - FIBER APPLICATION MACHINE INCLUDING FLEXIBLE FIBRE-CONVEYING TUBES PROVIDED WITH FLEXIBLE BLADES | 8 |
Patrick Hardy | FR | Baulon | 2012-03-15 / 20120062801 - VIRTUAL MULTIMEDIA MATRIX OVER PACKET SWITCHED NETWORK | 4 |
Jean-Jacques Hardy | FR | Irigny | 2011-08-04 / 20110186141 - DEVICE AND METHOD FOR POSITIONING TWO BAFFLES ASSOCIATED WITH WIPING OF A GALVANIZING PRODUCT | 5 |
Jon Hardy | US | Bellingham | 2013-11-28 / 20130314047 - LOW-POWER BATTERY PACK WITH SAFETY SYSTEM | 1 |
Richard Hardy | US | Seattle | 2013-10-31 / 20130287481 - METHOD FOR THERMOPLASTIC WELDING AND WELDED COMPOSITE STRUCTURES | 2 |
Anne B. Hardy | US | Acton | 2015-10-22 / 20150299943 - MODIFIED PERFLUOROPOLYMER SHEET MATERIAL AND METHODS FOR MAKING SAME | 9 |
Norman Hardy | US | Portola Valley | 2015-04-16 / 20150106566 - Computer Processor Employing Dedicated Hardware Mechanism Controlling The Initialization And Invalidation Of Cache Lines | 1 |
Micael Joel Hardy | US | Wauwatosa | 2013-06-06 / 20130142735 - In Vivo Mitochondrial Labeling Using Positively-CHarged Nitroxide Enhanced and Gadolinum Chelate Enhanced Magnetic Resonance Imaging | 1 |
Jonathan James Ean Hardy | GB | Hampshire | 2013-11-28 / 20130312166 - SPORTS HELMET | 2 |
Clint A. Hardy | US | Tucson | 2016-04-07 / 20160098296 - TASK POOLING AND WORK AFFINITY IN DATA PROCESSING | 14 |
Christopher Judson Hardy | US | Schenectady | 2015-10-29 / 20150309148 - LOW-NOISE MAGNETIC RESONANCE IMAGING USING LOW HARMONIC PULSE SEQUENCES | 18 |
Christopher Judson Hardy | US | Niskayuna | 2015-10-29 / 20150310640 - SYSTEMS AND METHODS FOR COMPRESSED SENSING FOR MULTI-SHELL MAGNETIC RESONANCE IMAGING | 14 |
Paul Hardy | GB | Wolverhampton | 2011-08-11 / 20110192135 - Control System | 1 |
Lyle Hardy | US | Holladay | 2016-03-03 / 20160065732 - CONTACT CENTER ANTI-FRAUD MONITORING, DETECTION AND PREVENTION SOLUTION | 2 |
John Hardy | GB | Little Common Bexhill-On-Sea | 2016-03-24 / 20160083692 - ELECTROACTIVE POLYMERIC SCAFFOLDS AND METHOD FOR DELIVERING NERVE GROWTH FACTOR TO NERVE TISSUE | 1 |
Alexandre Hardy | US | San Jose | 2013-03-07 / 20130060839 - Launching an Instance in a Virtual Computing Infrastructure | 10 |
Robert W. Hardy | US | Hoover | 2014-12-04 / 20140357706 - Stearate Compounds | 1 |
Breck J. Hardy | US | Carroll | / - | 1 |
John Hardy | US | Denver | 2015-04-09 / 20150099609 - AGILITY TRAINING APPARATUS AND METHOD OF AGILITY TRAINING | 1 |
Douglas John Hardy | US | Middletown | 2015-11-05 / 20150318643 - PRINTED CIRCUIT BOARD CONNECTOR ASSEMBLY HAVING CONTACT SHIELD WITH INTEGRAL SECURING MEMBERS | 11 |
Jean-Guy Hardy | CA | Ottawa | 2008-09-04 / 20080215996 - Website/Web Client System for Presenting Multi-Dimensional Content | 1 |
Daniel Hardy | US | York | 2011-11-03 / 20110265336 - LASER LINE GENERATOR HAVING THREE INTERSECTING LIGHT PLANES | 1 |
Alexandre Hardy | US | San Jose | 2013-03-07 / 20130060839 - Launching an Instance in a Virtual Computing Infrastructure | 10 |
Susan Hardy | CA | Toronto | 2008-12-11 / 20080302402 - STABLE WHEELED WALKER DEVICE | 1 |
Derek Hardy | CA | Calgary | 2009-11-05 / 20090272000 - Archway measuring device | 1 |
Charles Hardy | US | San Jose | 2011-11-03 / 20110270574 - METHODS FOR MONITORING PROCESSING EQUIPMENT | 3 |
Charles Thomas Hardy | US | Foster City | 2008-12-25 / 20080319375 - Materials, Methods, and Systems for Cavitation-mediated Ultrasonic Drug Delivery in vivo | 6 |
Steve J. Hardy | US | Woodland | 2011-07-21 / 20110176651 - Method and Apparatus for Digital I/O Expander Chip with Multi-Function Timer Cells | 2 |
Joseph L. Hardy | US | Richmond | 2014-10-30 / 20140323190 - SYSTEMS AND METHODS FOR ENHANCING COGNITION | 9 |
Tim Hardy | CA | Ferguson'S Cove | 2012-06-28 / 20120161863 - AMPLIFIER PROVIDING POWER RECOVERY FROM A NARROW-BAND ANTENNA | 2 |
Etienne-Vincent Hardy | CA | Quebec | 2010-02-25 / 20100049361 - MEDICATION DISPENSING SYSTEM AND METHOD | 1 |
Adria Hardy | US | Columbia Heights | 2013-06-20 / 20130152930 - COLD CLIMATE AIR EXCHANGER | 1 |
Steven P. Hardy | US | Chula Vista | 2010-06-10 / 20100141491 - PHASE-LOCKED LOOP CIRCUITRY USING CHARGE PUMPS WITH CURRENT MIRROR CIRCUITRY | 1 |
Art H. Hardy | US | Bakersfield | 2008-11-13 / 20080278571 - STEREOSCOPIC THREE DIMENSIONAL VISUALIZATION SYSTEM AND METHOD OF USE | 1 |
Vincent Julien Hardy | US | Palo Alto | 2014-07-31 / 20140215308 - Web Page Reflowed Text | 2 |
Graeme Hardy | GB | Newbury | 2014-08-21 / 20140233510 - MOBILE COMMUNICATIONS NETWORK | 1 |
Michael T. Hardy | CA | Waterloo | 2013-01-10 / 20130013703 - METHOD AND APPARATUS FOR EFFICIENTLY MANAGING "MESSAGES SENT" FILE AND RESENDING OF MESSAGES FROM MOBILE WIRELESS COMMUNICATION DEVICE | 13 |
Matthew Philip Hardy | AU | Parkville | 2016-02-04 / 20160031998 - ANTIBODIES AGAINST G-CSFR AND USES THEREOF | 2 |
Charles Hardy | AU | Brunswick | 2014-10-09 / 20140303068 - METHOD OF TREATING MUCUS HYPERSECRETION | 1 |
Stephen Hardy | US | Emeryville | 2009-07-09 / 20090176701 - Anti-microbial agents that interact with the complement system | 1 |
Daniel Paul Hardy | GB | Hertfordshire | 2014-06-12 / 20140163023 - DIHYDROPYRROLO PYRIMIDINE DERIVATIVES AS MTOR INHIBITORS | 1 |
Kieran Hardy | US | Atascadero | 2010-10-14 / 20100259718 - FRAME FOR EYEGLASSES, ASSOCIATED TEMPLE, AND METHOD OF MAKING THE SAME | 1 |
Jonathan Hardy | CA | Montreal | 2010-03-11 / 20100061091 - LED BASED ACORN STYLE LUMINAIRE | 1 |
Yves Hardy | CA | Lachenaie | 2010-06-10 / 20100139208 - Hybrid Method Of Erecting A Cold Box Using Prefabricated And Field Erected Components | 1 |
Matthew T. Hardy | US | Goleta | 2013-04-25 / 20130100978 - HOLE BLOCKING LAYER FOR THE PREVENTION OF HOLE OVERFLOW AND NON-RADIATIVE RECOMBINATION AT DEFECTS OUTSIDE THE ACTIVE REGION | 6 |
Martin Hardy | CA | St-Augustin | 2010-09-02 / 20100219962 - BATHING SYSTEM CONTROLLER HAVING ABNORMAL OPERATIONAL CONDITION IDENTIFICATION CAPABILITIES | 2 |
William H. Hardy | US | Gainesville | 2015-12-03 / 20150346288 - DEVICES AND METHODS FOR TESTING THE ENERGY MEASUREMENT ACCURACY, BILLING ACCURACY, FUNCTIONAL PERFORMANCE AND SAFETY OF ELECTRIC VEHICLE CHARGING STATIONS | 1 |
Cameron Hardy | CA | Calgary | 2014-05-08 / 20140124204 - SYSTEMS AND METHODS FOR LOW EMISSION HYDROCARBON RECOVERY | 2 |
Jason Eric Hardy | US | Powell | 2014-09-18 / 20140278770 - GENERATING ECONOMIC MODEL BASED ON BUSINESS TRANSACTION MESSAGES | 1 |
Pierre Hardy | CA | Verdun | 2011-02-24 / 20110045091 - ANTI-ANGIOGENESIS, ANTICANCER PROLIFERATION PROPERTIES OF LYMPHOCYTIC-DERIVED MICROPARTICLES | 1 |
Craig Hardy | GB | Cardigan | 2016-05-05 / 20160122443 - PROCESS FOR THE REMOVAL OF CONTAMINATION FROM A RAW MATERIAL | 4 |
Carig Hardy | GB | Cardigan | 2016-04-21 / 20160108138 - PROCESS FOR PRODUCING LOW ENDOTOXIN CHITOSAN | 1 |
Donald Gordon Hardy | US | Seattle | 2015-07-09 / 20150193897 - USER BASED LICENSING FOR APPLICATIONS | 8 |
Eric R. Hardy | US | San Diego | 2009-03-12 / 20090070196 - SYSTEM AND METHOD FOR DEVELOPING SMALL GEOGRAPHIC AREA POPULATION, HOUSEHOLD, AND DEMOGRAPHIC COUNT ESTIMATES AND PROJECTIONS USING A MASTER ADDRESS FILE | 1 |
Chistopher George Hardy | US | Franklin | 2016-05-12 / 20160131299 - INSULATION ARTICLES INCLUDING CORROSION INHIBITORS AND METHODS OF PRODUCING THE SAME | 1 |
Thomas Andrew Hardy | US | Carmel | 2016-05-12 / 20160129087 - RAPID-ACTING INSULIN COMPOSITIONS | 1 |
William L. Hardy | US | Dunlap | 2016-05-12 / 20160131069 - ENGINE SYSTEM UTILIZING CLOUD BASED ENGINE OPTIMIZATION | 3 |
Rody Hardy | US | Portland | 2012-06-28 / 20120166076 - Predictive Traffic Warning And Suggestive Rerouting System And Method | 1 |
Danny J. Hardy | US | Laporte | 2012-06-28 / 20120159695 - PROTECTIVE EAR SHADES | 1 |
George Hardy | GB | East Sussex | 2013-09-05 / 20130231355 - QUINAZOLIN-4 (3H)- ONE DERIVATIVES USED AS P13 KINASE INHIBITORS | 1 |
Christine D. Hardy | US | Hayward | 2013-04-11 / 20130089895 - Polypeptides Having Nucleic Acid Binding Activity and Compositions and Methods For Nucleic Acid Amplification | 2 |
Pierre Hardy | FR | Pau | 2016-05-05 / 20160124102 - METHOD FOR ENHANCING THE RESOLUTION OF A SEISMIC IMAGE | 1 |
Matthew Hardy | AU | Parkville | 2016-02-18 / 20160046718 - AGENTS THAT NEUTRALIZE IL-3 SIGNALLING AND USES THEREOF | 4 |
Brian S. Hardy | US | El Segundo | 2013-02-07 / 20130032667 - Systems and Methods for a Self-Deploying Vehicle Drag Device | 1 |
Stephen F. Hardy | US | San Francisco | 2014-05-15 / 20140135384 - ENDOGENOUS RETROVIRUS POLYPEPTIDES LINKED TO ONCOGENIC TRANSFORMATION | 5 |
Mary Catherine Hardy | US | San Diego | 2013-03-07 / 20130060288 - METHODS AND INSTRUMENTATION FOR ORTHOPEDIC SURGERY | 1 |
Philip Hardy | GB | Ely | 2014-12-04 / 20140355664 - OPTIMIZING VIDEO TRANSFER | 1 |
Mark Christopher Hardy | GB | Derby | 2015-07-09 / 20150192022 - NICKEL BASED ALLOY COMPOSITION | 1 |
Edward W. Hardy | US | Seattle | 2013-11-14 / 20130305371 - NETWORK INTRUSION DETECTION WITH DISTRIBUTED CORRELATION | 4 |
Gordon Hardy | US | Redmond | 2009-12-31 / 20090326964 - EXTENSIBLE AGENT-BASED LICENSE STRUCTURE | 1 |
John S. Hardy | US | Richland | 2010-09-02 / 20100218875 - DIFFUSION BARRIERS IN MODIFIED AIR BRAZES | 5 |
Brian James Hardy | US | Duvall | 2010-12-16 / 20100318657 - Educational Adaptive Provider Architecture | 2 |
Gust H. Hardy | US | Carnation | 2010-06-10 / 20100145670 - SYSTEM AND METHOD FOR MANAGING TYPE 2 DIABETES MELLITUS THROUGH A PERSONAL PREDICTIVE MANAGEMENT TOOL | 1 |
D. Gordon Hardy | US | Seattle | 2009-11-26 / 20090292956 - TREND BASED TEST FAILURE PRIORITIZATION | 1 |
Judd Hardy | US | Issaquah | 2012-10-11 / 20120260303 - Mapping Global Policy for Resource Management to Machines | 2 |
Larry W. Hardy | US | Sturbridge | 2015-07-16 / 20150196531 - Methods and Compositions For Treating Sleep-Related Breathing Disorders | 1 |
Michael Thomas Hardy | CA | Waterloo | 2016-04-07 / 20160098974 - METHOD AND APPARATUS FOR CONTROLLING A DISPLAY OF A PORTABLE ELECTRONIC DEVICE | 44 |
Christopher Judson Hardy | US | Niskayuna | 2015-10-29 / 20150310640 - SYSTEMS AND METHODS FOR COMPRESSED SENSING FOR MULTI-SHELL MAGNETIC RESONANCE IMAGING | 14 |
Pauline Brenda Hardy | AU | Allendale East | 2009-10-15 / 20090257841 - Boat latch | 1 |
David Hardy | DE | Dormagen | 2014-10-16 / 20140309332 - NDBR WET MASTERBATCH | 5 |
Leor Hardy | IL | Givatayim | 2010-08-05 / 20100195448 - Wireless Clock System and Method | 2 |
Julie Hardy | US | Missouri City | 2014-06-05 / 20140155299 - USE OF OLIGO-QUATERNARY COMPOSITIONS TO INCREASE SCALE INHIBITOR LIFETIME IN A SUBTERRANEAN FORMATION | 2 |
Scott Hardy | US | New Haven | 2014-06-26 / 20140177981 - FOLDABLE CARRYING DEVICE | 1 |
Eugene E. Hardy | US | Old Bridge | 2013-10-10 / 20130267451 - Dilutable Concentrated Cleaning Composition | 1 |
Edward Wilkins Hardy | US | Seattle | 2014-07-03 / 20140188895 - DETECTING ANOMALIES IN BEHAVIORAL NETWORK WITH CONTEXTUAL SIDE INFORMATION | 1 |
Stephen James Hardy | AU | New South Wales | 2010-12-02 / 20100302606 - PHASE ESTIMATION DISTORTION ANALYSIS | 1 |
Cordell M. Hardy | US | Woodbury | 2015-12-31 / 20150373970 - ANTIMICROBIAL COMPOSITIONS, WIPES, AND METHODS | 4 |
Wayne B. Hardy | US | Millville | 2014-09-25 / 20140287119 - SYSTEM, DEVICE, AND METHOD FOR BAKING A FOOD PRODUCT | 1 |
David R. Hardy | US | Hephzibah | 2011-02-03 / 20110023652 - MODULAR PEDAL ASSEMBLY FOR VEHICLES | 3 |
David E. Hardy | US | Braselton | 2013-06-13 / 20130147215 - Modular Bumper System | 5 |
David E. Hardy | US | Duluth | 2012-10-04 / 20120248155 - UNIVERSAL DISPENSING SYSTEM FOR AIR ASSISTED EXTRUSION OF LIQUID FILAMENTS | 2 |
Michael Hardy | US | Lawrenceville | 2014-02-13 / 20140046811 - Systems and Methods for Auditing Computing Device Counts | 3 |
Anne B. Hardy | FR | Paris | 2012-04-12 / 20120085972 - CERAMIC SCINTILLATOR BODY AND SCINTILLATION DEVICE | 4 |
Michael Hardy | US | Warren | 2013-05-23 / 20130130658 - ACCESSORY WITH INTEGRATED DISPLAY CONTROLLED BY CONNECTED DEVICE | 1 |
Christopher Hardy | US | Springfield | 2014-02-13 / 20140042113 - METHOD OF MAKING MESH CONTAINERS WITH A RAIL AND MESH CONTAINER FORMED THEREFROM | 2 |
Mary C. Hardy | US | San Diego | 2014-07-10 / 20140194082 - METHOD AND APPARATUS FOR COMMUNICATION WITH EMERGENCY DISPATCH SERVICES | 1 |
Casey L. Hardy | US | San Francisco | 2015-07-16 / 20150200640 - AUDIO POWER AMPLIFICATION WITH REDUCED INPUT POWER SUPPLY CREST FACTOR | 2 |
Bobby Dean Hardy | US | Waikoloa | 2014-05-01 / 20140121073 - WORKOUT SAFETY DEVICE | 1 |
Bertrand Hardy | FR | Bourg La Reine | 2012-10-18 / 20120262779 - NESTED-CAVITY OPTICAL PARAMETRIC OSCILLATOR FOR FAST FREQUENCY TUNING | 1 |
Kevin R. Hardy | US | San Diego | 2012-10-18 / 20120262771 - DEEP SUBMERSIBLE LIGHT WITH PRESSURE COMPENSATION | 1 |
Michael David Hardy | US | Cumberland | 2012-04-19 / 20120094146 - Metallic Composite Material | 1 |
Michael D. Hardy | US | Cumberland | 2015-12-10 / 20150357621 - LOW NICKEL, MULTIPLE LAYER LAMINATE SYSTEM | 1 |
Christofer Hardy | US | Cheyenne | 2015-07-02 / 20150189361 - USE NEAR FIELD COMMUNICATION (NFC) TO TRANSFER DVR TIMERS | 13 |
Britta Hardy | IL | Tel-Aviv | 2012-08-30 / 20120219498 - COMPOSITIONS AND METHODS FOR INDUCING ANGIOGENESIS | 5 |
Dave Hardy | DE | Dormagen | 2011-09-22 / 20110230624 - NANOSTRUCTED POLYMERS ON THE BASIS OF CONJUGATED DIENES | 2 |
Lawrence Trevor Hardy | GB | Stockton-On-Tees | 2009-02-05 / 20090036558 - Modified catalyst and use of this catalyst for the conversion of synthesis gas to hydrocarbons | 1 |
Mark C. Hardy | GB | Derby | 2012-10-25 / 20120269646 - NICKEL BASE SUPERALLOY | 4 |
Martin Paul Hardy | GB | Kent | 2012-04-12 / 20120085085 - FLUID DOSING DEVICE | 3 |
Julie Hardy | GB | West Midlands | 2009-07-02 / 20090170817 - CORROSION INHIBITION | 1 |
Nigel David Hardy | GB | Stansted | 2011-07-14 / 20110169583 - OSCILLATOR HAVING REDUCED SENSITIVITY TO ACCELERATION | 2 |
Lawrence Trevor Hardy | GB | Stockton-On-Tree | 2009-12-03 / 20090298958 - Fischer Tropsch Process | 1 |
Martin Paul Hardy | GB | Hempstead | 2016-05-19 / 20160138452 - Reagent Dosing System And Method Of Dosing Reagent | 5 |
Nicholas John Hardy | GB | Leeds | 2010-01-07 / 20100003606 - PROCESS FOR PRODUCING HOLOGRAMS | 1 |
Craig Hardy | GB | Cheshire | 2011-09-29 / 20110236433 - WOUND CARE DEVICE | 4 |
Gillian Margaret Hardy | GB | Newcastle/tyne | 2009-03-26 / 20090082243 - DETERGENT PARTICLE | 1 |
Mark C. Hardy | GB | Belper | 2012-01-12 / 20120006452 - METHOD OF IMPROVING THE MECHANICAL PROPERTIES OF A COMPONENT | 4 |
Craig Julian Hardy | GB | Cheshire | 2016-05-12 / 20160128679 - HAEMOSTAT APPLICATION | 4 |
Gregory Hardy | US | Marietta | 2013-01-03 / 20130003612 - SYSTEMS AND METHODS FOR PROVIDING TELEPHONY SERVICES | 3 |
Emmanuel Philippe Christian Hardy | GB | Edinburgh | 2016-05-05 / 20160126968 - ANALOGUE-TO-DIGITAL CONVERTER | 1 |
Eugene Hardy | US | Old Bridge | 2015-11-05 / 20150313827 - PERSONAL CLEANSING COMPOSITIONS CONTAINING ZINC AMINO ACID/TRIMETHYLGLYCINE HALIDE | 2 |
Jason Stewart W. Hardy | CA | Houston | 2012-10-11 / 20120256062 - METHOD AND APPARATUS FOR SUPPORTING A GREASE GUN | 1 |
Joseph L. Hardy | US | Richmond | 2014-10-30 / 20140323190 - SYSTEMS AND METHODS FOR ENHANCING COGNITION | 9 |
David Hardy | DE | Monheim | 2012-10-25 / 20120270974 - MIXTURES COMPOSED OF FUNCTIONALIZED DIENE RUBBERS WITH TRIMETHYLOLPROPANE AND FATTY ACID, A PROCESS FOR PRODUCTION THEREOF AND USE THEREOF | 1 |
Gregory Alan Hardy | US | Marietta | 2012-06-07 / 20120143968 - SYSTEMS AND METHODS FOR TERMINATING COMMUNICATIONS BETWEEN REGISTERED MEMBERS OF A COMMUNICATIONS SERVICE | 4 |
Victor Lee Hardy | US | Clemmons | 2014-10-02 / 20140290672 - LABEL APPLYING METHOD AND ASSOCIATED RECEPTACLE | 2 |
Daniel Paul Hardy | GB | Baldock | 2014-12-25 / 20140378438 - MORPHOLINO SUBSTITUTED UREA OR CARBAMATE DERIVATIVES AS MTOR INHIBITORS | 3 |
Charles Linton Hardy | AU | Carlton North | 2011-01-20 / 20110014292 - METHOD OF PROPHYLAXIS AND AGENTS FOR USE THEREIN | 1 |
Christopher Judson Hardy | US | Schenectady | 2015-10-29 / 20150309148 - LOW-NOISE MAGNETIC RESONANCE IMAGING USING LOW HARMONIC PULSE SEQUENCES | 18 |
Gordon Hardy | US | Seattle | 2016-02-04 / 20160034672 - DYNAMIC SELECTION OF AUTHORIZATION PROCESSES | 3 |
William M. Hardy | US | Crosby | 2012-04-12 / 20120085320 - High Volume Combustion Catalyst Delivery System | 1 |
Christopher Hardy | US | Niskayuna | 2012-10-04 / 20120249136 - METHOD AND APPARATUS FOR PERFORMING DIFFUSION SPECTRUM IMAGING | 1 |
Yves Hardy | CA | Saint-Sauveur | 2016-04-07 / 20160097489 - ARGON RECONDENSING APPARATUS | 5 |
Anne Hardy | US | Acton | 2011-06-23 / 20110146501 - COOKING RELEASE SHEET MATERIALS AND RELEASE SURFACES | 1 |
Michael Hardy | US | Ypsilanti | 2013-04-11 / 20130090012 - CONNECTOR HAVING OPTIMIZED TIP | 4 |
Frank M. Hardy | US | Vienna | 2012-07-19 / 20120184044 - MOLECULARLY-IMPRINTED POLYMERIC MATERIALS FOR VISUAL DETECTION OF EXPLOSIVES | 1 |
Casey Hardy | US | Cupertino | 2012-04-05 / 20120081102 - AUDIO JACK WITH GROUND DETECT | 1 |
Vincent J. Hardy | US | Palo Alto | 2012-04-05 / 20120084754 - Streamlining Unit Testing Through Hot Code Swapping | 2 |
Michael Hardy | CA | Waterloo | 2015-07-02 / 20150186356 - USER INTERFACE FOR A HANDHELD DEVICE | 10 |
Wayne B. Hardy | US | Millvile | 2016-04-07 / 20160095472 - SYSTEM, DEVICE, AND METHOD FOR BAKING A FOOD PRODUCT | 1 |
William A. Hardy | US | Tecumseh | 2016-04-07 / 20160096496 - Bumpers Including A Reinforcement Bracket and Vehicles Incorporating The Same | 2 |
Martin Paul Hardy | GB | Hempstead,gillingham | / - | 1 |
Stephen James Hardy | AU | West Pymble | 2011-06-23 / 20110149331 - DYNAMIC PRINTER MODELLING FOR OUTPUT CHECKING | 9 |
Graeme Hardy | GB | London | 2015-06-25 / 20150181424 - MOBILE WIRELESS ACCESS | 2 |
Dow Hardy | US | Marlborough | 2013-11-21 / 20130310131 - Method and Apparatus for Conducting a Game of Chance Including a Ticket | 15 |
Daniel K. Hardy | US | Phoenix | 2016-02-11 / 20160042066 - SEARCH RESULT PRECISION TOOL | 1 |
Donald Gordon Hardy | US | Seattle | 2015-07-09 / 20150193897 - USER BASED LICENSING FOR APPLICATIONS | 8 |
Kevin Richard Hardy | US | San Diego | 2015-08-20 / 20150231552 - Portable Purge System | 2 |
Michael L. Hardy | US | Cheshire | 2014-03-06 / 20140067166 - METHOD OF CONTROLLING AN ELECTRIC PROPULSION SYSTEM | 1 |
Gary Hardy | US | Santa Barbara | 2015-10-01 / 20150275533 - Fall Protection Guardrail | 1 |
Joseph Lee Hardy | US | El Cerrito | 2015-10-01 / 20150278740 - SYSTEM AND METHOD FOR MULTIPLE TIMER MANAGEMENT TASK FOR ENHANCED COGNITION | 1 |
Timothy J. Hardy | US | Virginia Beach | 2014-08-07 / 20140215684 - Pressure Sensing Glove | 1 |
Gillian Margaret Hardy | GB | Newcastle Upon Tyne | 2011-06-16 / 20110139182 - DETERGENT USE | 1 |
Casey Hardy | US | San Francisco | 2013-05-02 / 20130108065 - METHODS FOR INVOKING TESTING USING REVERSIBLE CONNECTORS | 3 |
Larry Wendell Hardy | US | Sturbridge | 2015-05-07 / 20150126511 - CYCLOALKYLAMINES AS MONOAMINE REUPTAKE INHIBITORS | 9 |
Christine Hardy | US | San Francisco | 2014-02-20 / 20140051593 - Assay Methods and Systems | 1 |
David John Hardy | US | Clemmons | 2015-09-17 / 20150259940 - Swimming Pool Pressure Cleaner Including Automatic Timing Mechanism | 4 |
Gary L. Hardy | US | Montecito | 2013-04-18 / 20130091791 - CROWN MOLDING SYSTEM | 1 |
Dermot Hardy | IE | Belfast | 2015-02-05 / 20150039598 - DATA ANALYSIS CONTROL | 1 |
Daniel Hardy | US | Mound | 2014-09-18 / 20140268426 - ARM ASSEMBLY WITH LIFT TAB | 1 |
Marc Scott Hardy | SG | Singapore | 2016-04-07 / 20160099022 - Systems and Methods For Motion-Vector-Aided Video Interpolation Using Real-Time Smooth Video Playback Speed Variation | 3 |
Michael A.g. Hardy | US | Houston | 2014-09-18 / 20140275690 - SYSTEMS AND METHODS FOR SUB-SEA SEPARATION | 1 |
Dow K. Hardy | US | Marlborough | 2014-04-17 / 20140106866 - Method and Apparatus for Providing Player Incentives | 30 |
Brett D. Hardy | US | Chaska | 2016-05-19 / 20160142233 - DATA RATE AND PVT ADAPTATION WITH PROGRAMMABLE BIAS CONTROL IN A SERDES RECEIVER | 3 |
Ashley C. Hardy | US | Charlotte | 2013-12-12 / 20130332336 - MORTGAGE RELATIONSHIP PRICING | 4 |
Tom Hardy | US | Fort Worth | 2016-02-04 / 20160033272 - SYSTEMS AND METHODS FOR NORTHFINDING | 1 |
Stephen N. Hardy | US | Wadsworth | 2016-03-24 / 20160081492 - Multi-Component Display and Merchandise Systems | 55 |
Chris Hardy | US | Cheyenne | 2014-09-18 / 20140282744 - MAJORITY RULE SELECTION OF MEDIA CONTENT | 1 |
John William Hardy | GB | Burnham-On-Crouch | 2014-07-31 / 20140210212 - KITE FOR A SYSTEM FOR EXTRACTING ENERGY FROM THE WIND | 1 |
Michael T. Hardy | CA | Waterloo | 2013-01-10 / 20130013703 - METHOD AND APPARATUS FOR EFFICIENTLY MANAGING "MESSAGES SENT" FILE AND RESENDING OF MESSAGES FROM MOBILE WIRELESS COMMUNICATION DEVICE | 13 |
John Hardy | GB | Chelmsford | 2015-09-10 / 20150252421 - METHOD FOR DIAGNOSING A NEURODEGENERATIVE DISEASE | 1 |
Michael Hardy | CA | Waterloo | 2015-07-02 / 20150186356 - USER INTERFACE FOR A HANDHELD DEVICE | 10 |
David Hardy | GB | Essex | 2013-08-22 / 20130217664 - NOVEL COMPOUNDS USEFUL FOR THE TREATMENT OF DEGENERATIVE AND INFLAMMATORY DISEASES | 1 |
Christofer Hardy | US | Cheyenne | 2015-07-02 / 20150189361 - USE NEAR FIELD COMMUNICATION (NFC) TO TRANSFER DVR TIMERS | 13 |
Yvan Hardy | FR | Lorient | 2014-07-03 / 20140182787 - FIBER APPLICATION MACHINE INCLUDING FLEXIBLE FIBRE-CONVEYING TUBES PROVIDED WITH FLEXIBLE BLADES | 8 |
Matthew A. Hardy | US | Atlanta | 2016-04-21 / 20160112257 - CLOUD DEVICE IDENTIFICATION AND AUTHENTICATION | 3 |
Dave Hardy | NL | Leiderdorp | 2016-03-10 / 20160068659 - OIL EXTENDED FUNCTIONALIZED STYRENE-BUTADIENE COPOLYMER | 2 |
Doug Hardy | AU | Adelaide | 2013-01-03 / 20130005470 - METHOD OF OBTAINING A USER SELECTION | 3 |
Suzanne Hardy | US | San Jose | 2016-03-17 / 20160080870 - Audio Speaker Surround Geometry For Improved Pistonic Motion | 3 |
William Christopher Hardy | US | Dallas | 2012-02-16 / 20120039203 - DETERMINING THE EFFECTS OF NEW TYPES OF IMPAIRMENTS ON PERCEIVED QUALITY OF A VOICE SERVICE | 1 |
Joseph L. Hardy | US | El Cerrito | 2015-09-10 / 20150255000 - SYSTEMS AND METHODS FOR TARGETING SPECIFIC BENEFITS WITH COGNITIVE TRAINING | 1 |
Richard Hardy | US | Henderson | 2013-08-29 / 20130223833 - Camera pod | 1 |
Joshua Hardy | US | Normandy Park | 2013-08-29 / 20130223833 - Camera pod | 1 |
Jonathan Hardy | US | Normandy Park | 2013-08-29 / 20130223833 - Camera pod | 1 |
Anthony Hardy | LU | Colmar-Berg | 2013-09-19 / 20130240106 - PNEUMATIC TIRE WITH FUSED CORD | 5 |
Alan Hardy | US | Portland | 2008-10-23 / 20080256827 - Sole Unit for Footwear and Footwear Incorporating Same | 1 |
James L. Hardy | US | North Salt Lake City | 2016-02-25 / 20160052490 - EXTENSION OF A VEHICULAR ALARM TO ACCESSORIES AND EQUIPMENT CARRIED BY AN AUTOMOBILE | 2 |
Willie J. Hardy | US | Philadelphia | 2009-01-29 / 20090025654 - Automatically controlled outdoor pelletized bio-fuel-burning hydronic heater | 1 |
William Lyle Hardy | US | Peoria | 2009-02-05 / 20090035194 - Exhaust treatment system with an oxidation device for NO2 control | 1 |
Christopher Hardy | US | Spingfield | 2009-02-19 / 20090045201 - METHOD FOR MAKING MESH CONTAINERS WITH A RAIL AND MESH CONTAINER FORMED THEREFROM | 1 |
David Hardy | US | Clemmons | 2009-04-09 / 20090089944 - Fluid Distribution System for a Swimming Pool Cleaning Apparatus | 1 |
John P. Hardy | US | Saranac | 2009-06-11 / 20090145364 - LIVESTOCK TREATMENT CAROUSEL | 1 |
William Christopher Hardy | US | Arlington | 2014-06-05 / 20140153429 - REAL-TIME MONITORING OF PERCEIVED QUALITY OF PACKET VOICE TRANSMISSION | 3 |
Clint A. Hardy | US | Tucson | 2016-04-07 / 20160098296 - TASK POOLING AND WORK AFFINITY IN DATA PROCESSING | 14 |
Gregg Hardy | US | Portland | 2009-09-24 / 20090236323 - METHOD AND APPARATUS FOR LASER DRILLING HOLES WITH TAILORED LASER PULSES | 2 |
Daniel Hardy | GB | Stevenage | 2014-10-02 / 20140296234 - PYRIMIDINE DERIVATIVES AS MTOR INHIBITORS | 2 |
Ronald W. Hardy | US | Twin Falls | 2013-10-17 / 20130274443 - PROTEIN CONCENTRATE FROM STARCH CONTAINING GRAINS: COMPOSITION, METHOD OF MAKING, AND USES THEREOF | 2 |
Russell L. Hardy | US | Batesville | 2013-04-25 / 20130099546 - CHAIR HAVING POWERED LEG EXTENSION | 3 |
L. Charles Hardy | US | St. Paul | 2010-02-04 / 20100028420 - CONTROLLED RELEASE COMPOSITION AND PROCESS | 1 |
Dennis R. Hardy | US | Alexandria | 2013-02-14 / 20130039837 - Method for the Continuous Recovery of Carbon Dioxide from Acidified Seawater | 2 |
Douglas John Hardy | US | Middletown | 2015-11-05 / 20150318643 - PRINTED CIRCUIT BOARD CONNECTOR ASSEMBLY HAVING CONTACT SHIELD WITH INTEGRAL SECURING MEMBERS | 11 |
Brian E. Hardy | US | Sioux Falls | 2010-05-13 / 20100115908 - Mower ground roller assembly mounting system | 3 |
Russell L. Hardy | US | Melville | 2010-09-30 / 20100243823 - CEILING-MOUNTED DOCKING DEVICE | 1 |
Edward Hardy | US | Milford | 2010-10-21 / 20100263788 - System and Method for Microelectronics Lamination Press | 1 |
Lawrence C. Hardy | US | Cresco | 2010-11-25 / 20100294628 - REDUCED NOISE CONTAINER CARRIER | 2 |
Thelbert David Hardy | US | Pascagoula | 2010-12-02 / 20100300625 - Hand held dual sided tape dispensing machine | 1 |
Scott Hardy | US | Charlotte | 2012-04-12 / 20120088022 - INFANT FORMULA DEVICE | 2 |
Clint Alan Hardy | US | Tucson | 2011-04-07 / 20110082951 - APPARATUS AND METHOD TO ADJUST A MULTI-PATH DEVICE RESERVATION | 3 |
Daniel Hardy | US | Phoenix | 2014-07-24 / 20140208202 - SYSTEM FOR CONVERSION OF WEBSITE CONTENT | 3 |
Philippe Hardy | FR | Paris | 2016-04-28 / 20160113645 - IMPLANT WITH SUTURE ANCHOR FIXATION CAPABILITY | 3 |
Katherine Hardy | US | Jacksonville | 2014-09-18 / 20140272522 - METHODS AND APPARATUS TO FORM THREE-DIMENSIONAL BIOCOMPATIBLE ENERGIZATION ELEMENTS | 3 |
Christopher Hardy | US | Incline Village | 2014-02-06 / 20140040746 - METHODS AND APPARATUS FOR VOICED-ENABLING A WEB APPLICATION | 5 |
Priscilla Rose Hardy | US | Punta Gorda | 2012-03-15 / 20120062087 - ORGANIZER FOR SORTING JEWELRY BY COLOR OR STYLE | 1 |
William Hardy | US | Charlotte | 2011-04-14 / 20110087495 - SUSPICIOUS ENTITY INVESTIGATION AND RELATED MONITORING IN A BUSINESS ENTERPRISE ENVIRONMENT | 1 |
Dennis R. Hardy | US | California | 2014-08-28 / 20140238869 - ELECTROCHEMICAL MODULE CONFIGURATION FOR THE CONTINUOUS ACIDIFICATION OF ALKALINE WATER SOURCES AND RECOVERY OF CO2 WITH CONTINUOUS HYDROGEN GAS PRODUCTION | 5 |
James Hardy | US | Ijamsville | 2010-03-25 / 20100075295 - Novel Cellular Compositions and Methods for Their Preparation | 2 |
Cordell M. Hardy | US | Roseville | 2011-06-30 / 20110160353 - ADHESIVE WITH ALKANOATE BLEND | 3 |
Mark A. Hardy | US | Scarsdale | 2010-08-05 / 20100196441 - USES OF IMMUNOLOGICALLY MODIFIED SCAFFOLD FOR TISSUE PREVASCULARIZATION CELL TRANSPLANTATION | 1 |
John Hardy | US | Gainesville | 2015-09-24 / 20150265712 - DRUG DELIVERY USING ELECTROCHEMICALLY-TRIGGERED BIODEGRADABLE ELECTROACTIVE MATERIALS | 1 |
Jason Patrick Hardy | US | Edina | 2012-08-16 / 20120209665 - Idea, Submission, Ranking And Incubating System And Method | 1 |
George Hardy | GB | Robertsbridge | 2016-05-12 / 20160130256 - NOVEL COMPOUNDS | 4 |
Alicia Jillian Jackson Hardy | US | Schenectady | 2011-06-23 / 20110147195 - WASTE HEAT DRIVEN DESALINATION PROCESS | 2 |
Mark Christopher Hardy | GB | Belper | 2014-11-27 / 20140348689 - NICKEL ALLOY | 3 |
K. Michael Hardy | US | Humble | 2015-02-19 / 20150048006 - HYDROCARBON TREATMENT PROCESS | 3 |
Liam Alan Hardy | GB | Hull | 2013-07-18 / 20130181881 - ANTENNA ASSEMBLY | 1 |
William C. Hardy, Jr. | US | Fairport | 2008-11-13 / 20080278743 - System and method for printing at least one digitized image to a digital multimedia presentation appliance | 1 |
Robert Mahan Hardy, Jr. | US | Houston | 2011-03-03 / 20110047915 - Clamp for fastening concrete rebar intersections | 1 |
James L. Hardy, Jr. | US | Essex Junction | 2009-10-29 / 20090270017 - Slurryless Mechanical Planarization for Substrate Reclamation | 1 |
Linda R. Hardy-Mcgee | US | Newark | 2010-03-25 / 20100077464 - MERCHANT DEVICE AND METHOD FOR SUPPORT OF MERCHANT DATA PROCESSING | 4 |
Mary A. Hardy-Mcgowen | AU | Adelaide | 2014-04-03 / 20140090833 - Methods for Treating Wellbore and Wellbore Operation Fluids | 1 |
Mary Louise Hardzinski | US | Palatine | 2013-08-22 / 20130216032 - Convenience Features In A Method And System For Providing Enhanced Caller Identification | 3 |
Joshua M. Hare | US | Miami Beach | 2014-11-13 / 20140335062 - CO-CULTURED MESENCHYMAL STEM CELLS AND MYOCYTES | 13 |
Tiffany Celeste Hare | US | West Chester | 2015-11-19 / 20150330966 - METHOD AND DEVICE FOR MEASURING DENTIN PERMEABILITY | 1 |
John R. Hare | US | Highland | 2009-10-01 / 20090245518 - SECURE COMMUNICATIONS IN COMPUTER CLUSTER SYSTEMS | 3 |
Daniel J. Hare | US | Santa Monica | 2008-12-11 / 20080302234 - ARMAMENT CARRIAGE SYSTEM | 1 |
Timothy T. Hare | US | West Carollton | 2009-12-03 / 20090295863 - CONTINUOUS INKJET PRINTHEAD NOZZLE CAP | 1 |
Randall G. Hare | US | Flowood | 2016-03-24 / 20160081302 - DOG SCENT-TRAINING SYSTEM | 1 |
Skyler G. Hare | US | Spanish Fort | 2015-02-19 / 20150047285 - SHINGLE WITH TRANSITION DEVICE FOR IMPACT RESISTANCE | 1 |
Donald D. Hare | CA | Sherwood Park | 2012-04-12 / 20120088673 - Growth inhibition of perennial forage grasses with Pyroxsulam | 1 |
Charles Aaron Hare | US | Woodinville | 2012-07-05 / 20120174212 - CONNECTED ACCOUNT PROVIDER FOR MULTIPLE PERSONAL COMPUTERS | 1 |
Brian J. Hare | US | Arlington | 2010-02-04 / 20100028874 - HEPATITIS C VIRUS INFECTION BIOMARKERS | 1 |
Robert Lawrence Hare | US | San Diego | 2009-10-08 / 20090252172 - SYSTEM AND METHOD FOR SCHEDULING RESERVATION REQUESTS FOR A COMMUNICATION NETWORK | 3 |
Warren Hare | CA | Kelowna | 2015-04-30 / 20150120393 - METHOD AND SYSTEM FOR DETERMINING THE VERTICAL ALIGNMENT OF AN INFRASTRUCTURE CORRIDOR | 3 |
Jonathan Hare | US | San Francisco | 2010-07-01 / 20100169888 - VIRTUAL PROCESS COLLABORATION | 1 |
Robert L. Hare | US | San Diego | 2011-04-07 / 20110080392 - SYSTEM AND METHOD FOR REINITIALIZING A SCREEN CONTROLLER OF AN ELECTRONIC DISPLAY DEVICE | 2 |
Shane Hare | US | Sidney | 2014-10-16 / 20140304884 - SINGLE-STRAP WADER | 2 |
Richard Kevin Hare | US | Fresno | / - | 1 |
G. Anthony Hare | US | Simsbury | 2014-07-03 / 20140188526 - SYSTEMS AND METHODS FOR VEHICLE RENTAL INSURANCE | 2 |
Stephen Hare | GB | Garsington | 2012-02-02 / 20120024289 - HEADGEAR-MOUNTABLE RESPIRATOR | 1 |
Shayne B. Hare | US | 2014-02-27 / 20140053872 - METHODS AND COMPOSITIONS FOR THE TREATMENT AND RECOVERY OF PURGE SOLVENT | 1 | |
Daniel E. Hare | US | Park Ridge | 2016-04-21 / 20160107046 - BASKETBALL HAVING A REDUCED MOMENT OF INERTIA | 2 |
Michael Hare | US | Plano | 2010-03-25 / 20100077460 - System And Method For Securing A Network | 1 |
Todd C. Hare | US | Palm Bay | 2012-09-20 / 20120233777 - MAGNETIC BEDDING SECURING SYSTEM | 1 |
Timothy C. Hare | US | Reno | 2015-02-12 / 20150045112 - GAMING SYSTEM AND METHOD PROVIDING A PLURALITY OF DIFFERENT PLAYER-SELECTABLE WAGER ALTERNATIVES WHEN A CREDIT BALANCE IS LESS THAN A DESIGNATED WAGER AMOUNT AND GREATER THAN OR EQUAL TO A LOWEST ELIGIBLE CREDIT BALANCE | 1 |
Joshua Hare | US | Miami Beach | 2015-11-05 / 20150316535 - CARDIAC STEM CELLS AND METHODS OF IDENTIFYING AND USING THE SAME | 3 |
Craig Hare | US | Merrimack | 2010-10-28 / 20100270062 - Method and Apparatus for an Improved Filled Via | 1 |
William A. Hare | US | Tustin | 2014-02-06 / 20140039019 - Methods of Using Ryanodine Antagonists in Treating Neural Injury | 1 |
David Hare | GB | Bridge Of Don | 2013-10-10 / 20130263929 - PRESSURE CONTROL DEVICE | 1 |
Bradley A. Hare | US | Chelmsford | 2014-10-30 / 20140324066 - MEDICAL SYSTEMS AND RELATED METHODS | 3 |
William M. Hare | US | Novi | 2014-07-24 / 20140207397 - ELECTRIC VEHICLE CHARGE-RELATED INFORMATION PROCESSING AND DISPLAY | 1 |
Ivan Hare | IL | Raanana | 2015-08-06 / 20150219925 - MOVABLE OPHTHALMIC LENS ASSEMBLY | 1 |
Anita K. Hare | US | Glenview | 2010-12-23 / 20100325101 - MARKETING ASSET EXCHANGE | 1 |
John Robert Hare | US | Highland | 2014-07-03 / 20140188769 - SEISMIC DATA ANALYSIS | 1 |
William D. Hare | US | Princeton | 2015-05-21 / 20150142582 - GENERIC CONTENT COLLECTION SYSTEMS | 5 |
Richard J. Hare | US | Lincoln Park | 2014-08-21 / 20140236336 - Process Control for Post-Form Heat Treating Parts for an Assembly Operation | 1 |
Casey Hare | US | Santa Barbara | 2012-09-20 / 20120236304 - SERS-ACTIVE ABSORBERS FOR THE ANALYSIS OF ANALYTES | 2 |
Donald Douglas Hare | CA | Sherwood Park | 2013-08-22 / 20130217578 - Growth inhibition of perennial forage grasses with Pyroxsulam | 1 |
Gabriel A. Hare | US | San Francisco | 2015-04-30 / 20150119074 - DETERMINING POSITIONAL INFORMATION FOR AN OBJECT IN SPACE | 1 |
David Hare | US | Cumberland | 2014-06-26 / 20140174824 - ELECTRIC AUGER | 1 |
Jana Craig Hare | US | Baldwin City | 2014-09-18 / 20140274386 - PEER-SCORED COMMUNICATION IN ONLINE ENVIRONMENTS | 1 |
John Hare | CA | Winnipeg | 2009-04-30 / 20090107405 - Methods and Systems for Supplying Liquid Feed to Livestock | 1 |
Brain J. Hare | US | Arlington | 2014-10-16 / 20140308242 - BIOMARKERS FOR HCV INFECTED PATIENTS | 1 |
Katharine A. Hare | US | Phoenix | 2015-05-07 / 20150127754 - SYSTEMS AND METHODS FOR COLLECTING MEDIA CONTENT | 1 |
Joshua M. Hare | US | Miami | 2011-06-30 / 20110159487 - Identification of a Gene Expression Profile that Differentiates Ischemic and Nonischemic Cardiomyopathy | 1 |
David Hare | US | Comstock | 2014-07-24 / 20140202509 - ICE SHELTER WITH EXPANDABLE FISHING AREA | 1 |
Jeffrey M. Hare | US | Wilmington | 2013-11-28 / 20130315361 - SYSTEMS AND METHODS FOR PROCESSING IRRADIATION TARGETS THROUGH MULTIPLE INSTRUMENTATION TUBES IN A NUCLEAR REACTOR | 2 |
Robert Hare | US | San Diego | 2014-10-02 / 20140293813 - Probing Network Nodes for Optimization | 1 |
David Matthew Hare | GB | Aberdeen | 2016-03-17 / 20160076333 - SEAL ASSEMBLY | 4 |
Ronald G. Hare | CA | Ontario | 2013-09-26 / 20130250598 - FOG LAMP AND THE LIKE EMPLOYING SEMICONDUCTOR LIGHT SOURCES | 2 |
Henry John Hare | NZ | Christchurch | 2015-01-15 / 20150014617 - Road Barrier | 3 |
Jared A. Hare | US | Mesa | 2016-05-05 / 20160121832 - Vehicle Front Bumper with Utility Well | 2 |
Brian Hare | US | Cambridge | 2009-05-14 / 20090123512 - Quorum Sensing Modulators | 2 |
Brian Anthony Hare | US | Austin | 2016-04-07 / 20160097631 - Pressure-Balanced Seismic Sensor Package | 1 |
Roberta S. Hare | US | Gillette | / - | 1 |
Tracy Brian Hare | US | Pine Mountain | 2012-08-09 / 20120199403 - Game Carcass Hanger and Weighing Apparatus | 1 |
David Hare | GB | Aberdeen | 2015-09-24 / 20150267497 - SEALING APPARATUS AND METHOD | 4 |
William Douglas Hare | US | Princeton | 2009-02-19 / 20090049542 - METHOD OF SOFTWARE DISTRIBUTION VIA THE INTERNET | 1 |
Gary W. Hare | US | Shelton | 2009-04-16 / 20090099971 - Methods and systems for marketing distressed inventory | 1 |
Gabriel A. Hare | US | Daly City | 2015-01-22 / 20150022447 - NON-LINEAR MOTION CAPTURE USING FRENET-SERRET FRAMES | 2 |
Katharine A. Hare | US | Denver | 2014-02-06 / 20140040712 - SYSTEM FOR CREATING STORIES USING IMAGES, AND METHODS AND INTERFACES ASSOCIATED THEREWITH | 1 |
Ronald G. Hare | CA | Belleville | 2008-08-21 / 20080198574 - LED apparatus for world homologation | 1 |
Ronald G. Hare | CA | Bellevile | 2010-01-21 / 20100013366 - LAMP FIXTURE EMPLOYING SEMICONDUCTOR LIGHT SOURCES AS A SUBSTITUTE FOR A SEALED BEAM LAMP | 1 |
Colin Irwin Hare | GB | Wiltshire | 2008-11-06 / 20080271284 - Collecting chamber for a cleaning appliance | 1 |
Joshua M. Hare | US | Baltimore | 2010-01-14 / 20100010019 - Reactive Oxygen Generating Enzyme Inhibitor With Nitric Oxide Bioactivity and Uses Thereof | 3 |
William D. Hare | US | Bethesda | 2012-02-16 / 20120038184 - ATHLETIC DEVICES AND OTHER DEVICES WITH SUPEREALSTIC COMPONENTS | 1 |
Joseph Hare | US | Chelan | 2011-03-10 / 20110060296 - Wound covering and method for treating a wound | 1 |
Joshua M. Hare | US | Miami Beach | 2014-11-13 / 20140335062 - CO-CULTURED MESENCHYMAL STEM CELLS AND MYOCYTES | 13 |
David W. Hare | US | Raleigh | 2014-11-20 / 20140341446 - UTILIZING A TOUCH SCREEN AS A BIOMETRIC DEVICE | 1 |
Robert V. Hare | US | Georgetown | 2012-09-27 / 20120244496 - DENTAL POLISHING DEVICE AND METHOD | 5 |
Sangeetha Hareendran | IN | Vellore | 2014-06-12 / 20140162319 - NUCLEOTIDE SEQUENCES, METHODS, KIT AND A RECOMBINANT CELL THEREOF | 2 |
Prem Hareesh | US | Marina Del Ray | 2013-05-30 / 20130136415 - System and Method for Managing Playback Sensitive Content | 1 |
Nadav Har'Ei | IL | Haifa | 2011-04-21 / 20110093188 - METHOD AND SYSTEM FOR VISUALIZING SHARED ROUTE INFORMATION | 1 |
Nurit Harel | IL | Tel-Aviv | 2010-06-10 / 20100145257 - METHOD, APPARATUS, AND KIT FOR ONYCHOMYCOSIS TREATMENT | 1 |
Adam Harel | IL | Tel-Aviv | 2015-11-05 / 20150313529 - METHOD AND SYSTEM FOR BEHAVIORAL MONITORING | 1 |
Tamar Harel | IL | Haifa | 2015-12-03 / 20150343212 - NON-IMMEDIATE EFFECTS OF THERAPY | 3 |
Avikam Harel | IL | Tel Aviv | 2009-05-21 / 20090131488 - Compositions and methods for treating hyperproliferative epidermal diseases | 3 |
Yuval Harel | IL | Haifa | 2009-06-18 / 20090158075 - SYNCHRONIZATION OF INDEPENDENT CLOCKS | 1 |
Rafi Harel | IL | Beit Hashmonia | 2009-07-09 / 20090175278 - EFFICIENT TRANSPORT OF TDM SERVICES OVER PACKET NETWORKS | 1 |
Adrian Harel | IL | Ness Ziona | 2009-08-06 / 20090197927 - JASMONATE DERIVATIVES, PHARMACEUTICAL COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Tom Harel | IL | Kibutz Shfaim | 2009-08-06 / 20090196328 - METHOD AND APPARATUS ADAPTED FOR LOCALIZED HOPPING OFDMA AND POWER EFFICIENT OFDMA MULTIPLEXING | 1 |
Zvi Harel | IL | Kfar Saba | 2010-01-07 / 20100004451 - VARENICLINE TOSYLATE, AN INTERMEDIATE IN THE PREPARATION PROCESS OF VARENICLINE L-TARTRATE | 3 |
Dror Harel | IL | Hod Hasharon | 2016-05-12 / 20160134439 - FREQUENCY SHIFTING A COMMUNICATIONS SIGNAL(S) IN A MULTI-FREQUENCY DISTRIBUTED ANTENNA SYSTEM (DAS) TO AVOID OR REDUCE FREQUENCY INTERFERENCE | 8 |
Benny Harel | IL | Kiryat-Haim | 2010-05-27 / 20100128968 - SYSTEM AND A METHOD FOR INSEPCTING AN OBJECT | 1 |
Henry Harel | IL | Tel Aviv | 2010-07-01 / 20100169167 - Computer Implemented System for Determining a Distribution Policy for a Single Period Inventory System, Optimization Application Therefor, and Method Therefor, and Decision Support Tool for Facilitating User Determination of a Distribution Policy for a Single Period Inventory System | 2 |
Igal Harel | IL | Almon | 2008-12-25 / 20080320484 - METHOD AND SYSTEM FOR BALANCING THE LOAD AND COMPUTER RESOURCES AMONG COMPUTERS | 1 |
Noam Harel | IL | Bnei-Brak | 2010-08-19 / 20100206022 - THEFT PREVENTION DEVICE FOR TWO-WHEELED VEHICLES | 1 |
Jacob Harel | IL | Hod-Hasharon | 2011-07-14 / 20110173481 - FREQUENCY ADAPTER UTILIZED IN HIGH-SPEED INTERNAL BUSES | 1 |
Ran Harel | IL | Kfar-Saba | 2015-07-16 / 20150201019 - MATCHING STORAGE RESOURCE PACKS TO STORAGE SERVICES | 5 |
Alon Harel | IL | Shoham | 2010-10-21 / 20100265849 - SPANNING TREE ROOT SELECTION IN A HIERARCHICAL NETWORK | 1 |
Avi Harel | IL | Hod Hasaron | 2010-06-10 / 20100139492 - VORTEX CYCLONE SEPARATOR WITH AQUEOUS STREAM INJECTORS | 1 |
Tami Harel | IL | Haifa | 2010-12-23 / 20100324644 - Electrode Assemblies, Tools, And Methods For Gastric Wall Implantation | 3 |
Amir Harel | IL | Tel Aviv | 2013-11-21 / 20130310917 - SYSTEM AND METHOD FOR ASSEMBLING A FOLDED PERCUTANEOUS VALVE | 1 |
Ziv Harel | IL | Yokneam Ilit | 2011-01-27 / 20110018711 - INDICATOR PROCESSOR | 1 |
Tom Harel | IL | Shfaim | 2015-12-03 / 20150351102 - TECHNIQUES FOR INTERFERENCE MITIGATION IN DIRECTIONAL MULTI-GIGABIT NETWORKS | 16 |
Yair Harel | US | New York | 2014-05-08 / 20140128150 - DARE MANAGEMENT SYSTEM | 1 |
Amir Harel | IL | Ein-Vered | 2011-03-10 / 20110058549 - METHOD AND SYSTEM FOR LAYER 2 MANIPULATOR AND FORWARDER | 2 |
Alex Harel | IL | Savyon | 2011-04-21 / 20110092965 - NON-PENETRATING FILTRATION SURGERY | 1 |
Sharon Harel | IL | Tiberias | 2014-10-23 / 20140313094 - MULTIBAND ANTENNA AND SLOTTED GROUND PLANE THEREFORE | 3 |
Ruti Harel | IL | Sdot-Yam | 2013-09-19 / 20130245156 - ARTIFICIAL MARBLE, AND SYSTEM AND METHOD OF PRODUCING ARTIFICIAL MARBLE | 3 |
Ruti Harel | IL | Kibbutz Sdot-Yam | 2009-04-23 / 20090104382 - Artificial marble and methods | 1 |
Assaf Harel | IL | Ramat Hasharon | 2015-01-15 / 20150020188 - Network Host Provided Security System for Local Networks | 1 |
Zion Harel | IL | Kadima | 2015-06-25 / 20150177002 - METHOD AND SYSTEM FOR GENERATING A PARKING AREAS MAP BASED ON SIGNALS FROM PERSONAL COMMUNICATION DEVICES INDICATIVE OF PARKING EVENTS | 2 |
Orit Harel | IL | Kadima | 2010-04-22 / 20100100573 - SOURCE AND DESTINATION DETERMINATION SYSTEM AND METHOD | 4 |
Josée Harel | CA | Saint-Bruno | 2010-07-22 / 20100183627 - ANTIBODIES FOR PREVENTING AND TREATING ATTACHING AND EFFACING ESCHERICHIA COLI (AEEC) ASSOCIATED DISEASES | 1 |
Erez Harel | IL | Rishon Le Zion | 2012-08-16 / 20120206563 - Method and System for Composing Video Images from a Plurality of Endpoints | 2 |
Alon Harel | IL | Tel-Aviv | 2011-12-01 / 20110293042 - COMBINING PILOT-SYMBOL-BASED PHASE ESTIMATION WITH DATA-SYMBOL-BASED PHASE ESTIMATION | 1 |
Elad Harel | US | Chicago | 2013-08-29 / 20130222801 - Real-Time Mapping of Electronic Structure with Single-Shot Two-Dimensional Electronic Spectroscopy | 2 |
Moti Harel | US | Pikesville | 2015-09-24 / 20150265638 - ABSORPTION OF FAT-SOLUBLE NUTRIENTS | 17 |
Nissim Harel | US | Tucker | 2010-11-18 / 20100293509 - SYSTEM AND METHOD FOR MODIFYING LINKS WITHIN A WEB SITE | 1 |
Richard Harel | US | Shaker Heights | 2010-10-14 / 20100260259 - ACM AND FIXED CODING AND MODULATION OF HIERARCHICAL LAYERS | 5 |
Moti Harel | US | Pikesville | 2015-09-24 / 20150265638 - ABSORPTION OF FAT-SOLUBLE NUTRIENTS | 17 |
Dan Harel | US | Rochester | 2011-08-11 / 20110193972 - COMPOSITE IMAGING METHOD AND SYSTEM | 3 |
Mordechi Harel | US | Baltimore | 2012-05-10 / 20120114621 - DELIVERY VEHICLE FOR PROBIOTIC BACTERIA COMPRISING A DRY MATRIX OF POLYSACCHARIDES, SACCHARIDES AND POLYOLS IN A GLASS FORM AND METHODS OF MAKING SAME | 2 |
Zeev Harel | IL | Kfar Saba | 2015-09-03 / 20150248942 - X-RAY REFLECTIVE LENS ARRANGEMENT | 3 |
Tom Harel | IL | Shfaim M | 2012-10-04 / 20120250808 - ARCHITECTURE AND METHOD OF CHANNEL ESTIMATION FOR WIRELESS COMMUNICATION SYSTEM | 2 |
Stephane G. Harel | CA | Grandby | 2014-06-26 / 20140179034 - SEMICONDUCTOR PHOTONIC PACKAGE | 2 |
Alex Harel | IL | Savion | 2016-03-17 / 20160075271 - System for Converting Standard Truck to Water Tanker | 2 |
Dipak Harel | IN | Maharashtra | 2011-01-27 / 20110021494 - NOVEL TETRAHYDRO-FUSED PYRIDINES AS HISTONE DEACETYLASE INHIBITORS | 1 |
Erez Harel | IL | Rishon Letzion | 2014-11-13 / 20140333715 - Method and System for Switching Between Video Streams in a Continuous Presence Conference | 2 |
Tom Harel | IL | Shefayim | 2015-10-01 / 20150282183 - APPARATUS, METHOD AND SYSTEM OF SCRAMBLING A WIRELESS TRANSMISSION | 1 |
Boaz Harel | IL | Hertzelia Herzliya | 2015-10-15 / 20150293815 - PREDICTING STORAGE CAPACITY AND PERFORMANCE REQUIREMENTS OF A BACKUP SOLUTION | 1 |
Mordechai Harel | US | Pikesville | 2016-02-11 / 20160038428 - ENCAPSULATION OF HYDROPHOBIC BIOLOGICALLY ACTIVE COMPOUNDS | 1 |
Roey Harel | US | New Providence | 2013-01-31 / 20130028556 - WAVELENGTH SELECTIVE SWITCH WITH MULTIPLE INPUT/OUTPUT PORTS | 2 |
Olivier Francois Joseph Harel | US | Mountain View | 2012-06-28 / 20120162525 - Method And System For Digital Noise Reduction Of Scaled Compressed Video Pictures | 2 |
Elad Harel | US | Oakland | 2010-12-23 / 20100321017 - ULTRAHIGH TIME RESOLUTION MAGNETIC RESONANCE | 1 |
Jean Claude Harel | US | San Jose | 2013-07-25 / 20130187623 - SMART DIMMING SOLUTION FOR LED LIGHT BULB AND OTHER NON-LINEAR POWER AC LOADS | 6 |
Jacob Harel | US | San Mateo | 2010-08-19 / 20100206645 - Data Entry Device Utilizing Writing Implement Rotation | 1 |
Jean-Pierre Harel | FR | Lannion | 2015-08-27 / 20150244072 - MULTIBAND ANTENNA WITH VARIABLE ELECTRICAL TILT | 11 |
Orit Harel | IL | Qadima | 2011-12-15 / 20110307404 - MANAGING CONSISTENT INTERFACES FOR BUSINESS DOCUMENT MESSAGE MONITORING VIEW, CUSTOMS ARRANGEMENT, AND FREIGHT LIST BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 1 |
Kenneth N. Harel | US | Anaheim | 2009-11-19 / 20090283218 - TRIM DEVICE RESISTANT TO VARIATIONS IN ATMOSPHERIC TEMPERATURE AND HUMIDITY | 1 |
Jacob Harel | US | Redwood City | 2012-03-15 / 20120062519 - Pre-assembled part with an associated surface convertible to a transcription apparatus | 6 |
Josée Harel | CA | Saint-Bruno | 2010-07-22 / 20100183627 - ANTIBODIES FOR PREVENTING AND TREATING ATTACHING AND EFFACING ESCHERICHIA COLI (AEEC) ASSOCIATED DISEASES | 2 |
Fabien Harel | FR | Giromagny | 2014-03-06 / 20140065503 - COOLING SYSTEM FOR A FUEL CELL | 5 |
Moti Harel | US | Rikesville | 2013-10-31 / 20130287896 - Dry Food Product Containing Live Probiotic | 1 |
Amir Harel | IL | Rehovot | 2016-02-18 / 20160046290 - RECOGNITION AND PREDICTION OF LANE CONSTRAINTS AND CONSTRUCTION AREAS IN NAVIGATION | 1 |
Liraz Harel | IL | Petach-Tikva | 2009-02-05 / 20090036380 - Composition And Method For Brain Tumor Therapy | 1 |
Oshrit Harel | IL | Qiryat Gat | 2016-04-14 / 20160103282 - OPTICAL DEVICE USING ECHELLE GRATING THAT PROVIDES TOTAL INTERNAL REFLECTION OF LIGHT | 1 |
Assaf Harel | IL | Netanya | 2013-01-10 / 20130014195 - Method and System for Displaying Via a Network of an Interactive Movie | 2 |
Zion Harel | IL | Ramat Hasharon | 2014-11-13 / 20140334630 - ADDING AUDIO SOUND EFFECTS TO MOVIES | 1 |
Boaz Harel | IL | Tel Aviv | 2015-07-23 / 20150206367 - CONTROL OF OPERATION OF A LOCK | 2 |
Dror Harel | IL | Hod Hasharon | 2016-05-12 / 20160134439 - FREQUENCY SHIFTING A COMMUNICATIONS SIGNAL(S) IN A MULTI-FREQUENCY DISTRIBUTED ANTENNA SYSTEM (DAS) TO AVOID OR REDUCE FREQUENCY INTERFERENCE | 8 |
David Harel | IL | Rehovot | 2015-02-19 / 20150051842 - PREDICTING ODOR PLEASANTNESS WITH AN ELECTRONIC NOSE | 2 |
Laurent Harel | FR | Montoir De Bretagne | 2016-03-31 / 20160089835 - SYSTEM AND METHOD FOR POSITIONING AT LEAST ONE SPACER IN A LONGITUDINAL PIPE | 1 |
Stephane Harel | CA | Quebec | 2016-03-24 / 20160085044 - COMPONENT ASSEMBLY APPARATUS | 2 |
Tomer Harel | IL | Beit Yitzhak | 2013-06-27 / 20130166505 - MONITORING REPLICATION LAG BETWEEN GEOGRAPHICALLY DISPERSED SITES | 1 |
Tom Harel | IL | Shfaim | 2015-12-03 / 20150351102 - TECHNIQUES FOR INTERFERENCE MITIGATION IN DIRECTIONAL MULTI-GIGABIT NETWORKS | 16 |
Tom Harel | US | 2013-07-04 / 20130170534 - SUCCESSIVE INTERFERENCE CANCELLATION SYSTEM AND METHOD FOR MIMO HORIZONTAL ENCODING AND DECODING | 1 | |
Erez Harel | IL | Misgav | 2016-03-17 / 20160076482 - INTEGRATED ELECTRICITY GENERATING DEVICE AND HOT WATER BUFFER TANK | 2 |
Mordechi Harel | US | Pikesville | 2015-07-09 / 20150190439 - DELIVERY VEHICLE FOR PROBIOTIC BACTERIA COMPRISING A DRY MATRIX OF POLYSACCHARIDES, SACCHARIDES AND POLYOLS IN A GLASS FORM AND METHODS OF MAKING SAME | 2 |
Jean-Pierre Harel | FR | Lannion | 2015-08-27 / 20150244072 - MULTIBAND ANTENNA WITH VARIABLE ELECTRICAL TILT | 11 |
Amir Harel | DE | Berlin | 2015-09-10 / 20150254219 - METHOD AND SYSTEM FOR INJECTING CONTENT INTO EXISTING COMPUTERIZED DATA | 1 |
Haim Harel | US | New York | 2015-11-26 / 20150341807 - METHOD AND SYSTEM FOR EXPLICIT AP-TO-AP SOUNDING IN AN 802.11 NETWORK | 75 |
Jonathan Harel | US | Los Angeles | 2015-11-19 / 20150332192 - Estimating Future Inventory | 1 |
Josee Harel | CA | St-Bruno | 2009-09-03 / 20090220513 - STREPTOCOCCUS SUIS POLYPEPTIDES AND POLYNUCLEOTIDES ENCODING SAME AND THEIR USE IN VACCINAL AND DIAGNOSTIC APPLICATIONS | 1 |
Olivier Harel | US | Mountain View | 2016-03-24 / 20160085280 - ADAPTIVE POWER CONFIGURATION FOR A MHL AND HDMI COMBINATION MULTIMEDIA DEVICE | 1 |
Yoav Harel | US | Folsom | 2015-10-01 / 20150279055 - MIPMAP COMPRESSION | 4 |
Alex Harel | IL | Tel Aviv | 2013-02-21 / 20130043365 - Emergency Water Tank with Inflatable Elevation Device | 1 |
Zvi Har'El | IL | Haifa | 2009-06-04 / 20090144322 - Exploiting Metadata for Performing Structure-Oriented Operations on Content-Specific Data Representations | 1 |
Nadav Yosef Har'El | IL | Misgav | 2014-06-26 / 20140181950 - Performance Optimization in a Secured Computing Environment | 4 |
Nadav Har'El | IL | Manof | 2013-01-10 / 20130013666 - MONITORING DATA ACCESS REQUESTS TO OPTIMIZE DATA TRANSFER | 1 |
Nadav Y. Har'El | IL | Misgav | 2012-05-24 / 20120131571 - PERFORMANCE IN A NESTED VIRTUALIZED ENVIRONMENT | 1 |
Nadav Yosef Har'El | IL | Manof | 2015-01-29 / 20150032910 - INPUT/OUTPUT MONITORING MECHANISM | 2 |
Nadav Y. Har'El | IL | Haifa | 2009-12-31 / 20090327271 - Information Retrieval with Unified Search Using Multiple Facets | 3 |
Nadav Har'El | IL | Haifa | 2011-12-15 / 20110307294 - DYNAMIC GENERATION OF PRODUCTS FOR ONLINE RECOMMENDATION | 3 |
Geir Hareland | CA | Calgary | 2015-11-19 / 20150329762 - USE OF NANOPARTICLES AS A LUBRICITY ADDITIVE IN WELL FLUIDS | 8 |
Geir Hareland | CA | Calgary | 2015-11-19 / 20150329762 - USE OF NANOPARTICLES AS A LUBRICITY ADDITIVE IN WELL FLUIDS | 8 |
Scott A. Hareland | US | Tigard | 2011-01-27 / 20110020987 - NONPLANAR SEMICONDUCTOR DEVICE WITH PARTIALLY OR FULLY WRAPPED AROUND GATE ELECTRODE AND METHODS OF FABRICATION | 3 |
Annick Harel-Bellan | FR | Paris | 2013-10-24 / 20130281313 - PROGNOSTIC AND/OR PREDICTIVE BIOMARKERS AND BIOLOGICAL APPLICATIONS THEREOF | 3 |
Gadi Hareli | IL | Beit Shemesh | 2012-03-22 / 20120068470 - MANUFACTURE OF WIND TURBINE ENHANCEMENT SYSTEMS | 2 |
Ludovic Harelle | US | Uniontown | 2009-05-21 / 20090130383 - Biaxially Oriented Polystyrene Film Containing Small Rubber Particles and Low Rubber Particle Gel Content | 1 |
Sylke Haremza | DE | Neckargemuend | 2015-05-07 / 20150125936 - LACCASES FOR BIO-BLEACHING | 18 |
Sylke Haremza | DE | Neckargemund | 2011-10-20 / 20110257265 - UV Absorbers for Reducing the E/Z Isomerization of Pesticides | 6 |
Sylke Haremza | DE | Neckargemuend | 2015-05-07 / 20150125936 - LACCASES FOR BIO-BLEACHING | 18 |
Shawn Van Haren | US | Gilbert | 2009-12-10 / 20090302877 - Reduced Ground Spring Probe Array and Method for Controlling Signal Spring Probe Impedance | 2 |
Koichi Haren | JP | Fukuoka | 2009-04-09 / 20090090481 - CONTINUOUS CASTING NOZZLE AND PRODUCTION METHOD THEREFOR | 2 |
Kenneth C. Haren | US | Portland | 2014-07-03 / 20140189212 - PRESENTATION OF DIRECT ACCESSED STORAGE UNDER A LOGICAL DRIVE MODEL | 3 |
Edgar Diego Haren | US | Houston | 2013-10-31 / 20130285601 - ALTERNATING CURRENT DIRECT CURRENT ADAPTER WITH WIRELESS CHARGING | 5 |
William David Haren | US | Davidson | 2011-03-03 / 20110049447 - SAFETY VEHICLE RAMP AND METHOD | 1 |
Pierre Haren | US | Sandy | 2016-03-10 / 20160071031 - ACTIONABLE BUSINESS ENTITY OPERATING MODELS TO DRIVE USER INTERFACE BEHAVIOR | 3 |
Edgar Haren | US | Houston | 2011-04-07 / 20110080342 - DOCKING STATION | 1 |
Job Harenberg | DE | Heidelberg | 2015-12-03 / 20150344935 - ANALYSIS OF DIRECT FACTOR Xa INHIBITORS | 4 |
Michael Harenbrock | DE | Ludwigsburg | 2015-12-31 / 20150380750 - Humidifier, for Example for a Fuel Cell | 8 |
Rohini Harendra | US | Sunnyvale | 2009-03-26 / 20090082042 - SMS SPAM CONTROL | 1 |
Norbert Harendt | DE | Regensburg | 2012-10-25 / 20120268588 - METHOD AND SYSTEM FOR DETERMINING OPTICAL PROPERTIES OF A LENS SYSTEM | 1 |
Christine Harendt | DE | Muehlacker | 2012-06-28 / 20120161293 - METHOD FOR PRODUCING AN INTEGRATED CIRCUIT AND RESULTING FILM CHIP | 1 |
Norbert Harendt | DE | Hutthurm | 2012-05-31 / 20120133760 - OPTICAL INSPECTION SYSTEM AND METHOD | 4 |
Jacob Harer | US | Nashua | 2015-09-17 / 20150260803 - Circuits and Methods for Limiting a Smallest Separation of Thresholds in a Magnetic Field Sensor | 1 |
Johann Harer | AT | Graz | 2009-06-18 / 20090156966 - MODULAR SENSOR CASSETTE | 1 |
Kevin M. Harer | US | Cornelius | 2014-09-11 / 20140258954 - RANKING VERIFICATION RESULTS FOR ROOT CAUSE ANALYSIS | 1 |
Wolfgang Harer | DE | Erlangen | 2009-07-09 / 20090175407 - Computer tomography method for determining an object region | 5 |
Kevin Michael Harer | US | Cornelius | 2016-05-19 / 20160140281 - System On Chip I/O Connectivity Verification In Presence Of Low Power Design Considerations | 1 |
Matthias Harer | DE | Waiblingen | 2015-10-01 / 20150273721 - HANDHELD WORK APPARATUS | 2 |
Kevin Harer | US | Cornelius | 2012-08-02 / 20120198397 - ABSTRACTION-BASED LIVELOCK/DEADLOCK CHECKING FOR HARDWARE VERIFICATION | 1 |
Susan Hares | US | Saline | 2016-02-04 / 20160036620 - Virtual Layer 2 and Mechanism to Make it Scalable | 5 |
George B. Hares | US | Corning | 2009-03-05 / 20090056379 - Optical elements and methods of making optical elements | 2 |
Susan K. Hares | US | Saline | 2009-10-01 / 20090245137 - HIGHLY AVAILABLE VIRTUAL STACKING ARCHITECTURE | 1 |
George Bigelow Hares | US | Corning | 2009-04-02 / 20090084137 - VISIBLE POLARIZING GLASS AND PROCESS | 1 |
Susan Kay Hares | US | Saline | 2014-04-24 / 20140115154 - Linked Identifiers for Multiple Domains | 2 |
Luke Hares | GB | Milton | 2015-04-02 / 20150094732 - Remote Catheter Positioning System with Hoop Drive Assembly | 3 |
Luke David Ronald Hares | GB | Milton | 2013-05-23 / 20130131660 - BATTERY INITIALIZATION CLIP | 1 |
Raghavendra Rao Haresamudram | IN | Bangalore | 2015-11-19 / 20150333611 - ACCURATE ZERO CURRENT DETECTOR CIRCUIT IN SWITCHING REGULATORS | 2 |
Nadav Haresh | IL | Ramat Raziel | 2016-03-24 / 20160085078 - LASER DIODE UNIFORM ILLUMINATOR | 2 |
Kristian Harestad | NO | Randaberg | 2015-11-19 / 20150330183 - Valve arrangement and method of operating the same | 4 |
Ronen Hareuveny | IL | Rehovot | 2010-11-04 / 20100280382 - BONE FRACTURE DETECTION | 1 |
Francis John Harewood | IE | Co. Galway | 2011-03-24 / 20110071616 - Stent Including a Toggle Lock Strut | 1 |
Glenn Harewood | CA | Brampton | / - | 1 |
Frank Harewood | IE | Galway | 2014-11-13 / 20140331475 - DEVICES AND METHODS FOR CRIMPING A MEDICAL DEVICE | 3 |
Patrick S.a. Harewood | US | West Haven | 2012-05-03 / 20120108487 - Unit Dose Detergent Compositions And Methods Of Production And Use Thereof | 1 |
Addison I. Harewood | US | Salinas | 2016-01-21 / 20160015224 - Scrubbing Device | 1 |
Frank Harewood | IE | Ballybrit. | 2010-10-21 / 20100268327 - Mobile External Coupling for Branch Vessel Connection | 1 |
Kosuke Hareyama | JP | Oita | 2015-12-31 / 20150380347 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takeshi Hareyama | JP | Tsurugashima-Shi | 2013-01-10 / 20130011524 - WHITE CHOCOLATE-IMPREGNATED FOOD AND METHOD FOR PRODUCING SAME | 1 |
Kosuke Hareyama | JP | Kanagawa | 2015-08-13 / 20150230329 - WIRING BOARD AND METHOD OF MANUFACTURING WIRING BOARD | 1 |
Youhei Hareyama | JP | Gotenba-Shi | 2016-02-25 / 20160052520 - VEHICLE CONTROL DEVICE AND VEHICLE CONTROL METHOD | 2 |
Takumi Hareyama | JP | Morioka-Shi | 2016-02-25 / 20160053351 - HIGH-STENGTH, HIGH-DAMPING-CAPACITY CAST IRON | 1 |
Nana Hareyama | JP | Kamakura | 2013-02-14 / 20130041157 - THERAPEUTIC AGENT OR PREVENTIVE AGENT FOR URINE COLLECTION DISORDER | 1 |
Daniel T. Harfe | US | Santa Clara | 2012-10-18 / 20120265094 - Endoscopic Methods and Devices for Transnasal Procedures | 3 |
Daniel T. Harfe | US | Los Altos | 2015-09-10 / 20150250992 - MECHANICAL DILATION OF THE OSTIA OF PARANASAL SINUSES AND OTHER PASSAGEWAYS OF THE EAR, NOSE AND THROAT | 4 |
Steven A. Harfenist | US | San Luis Obispo | 2009-03-26 / 20090082216 - Metallic nanostructures self-assembly, and testing methods | 1 |
Ivonne Harfenstein | DE | Greinfswald | 2012-05-10 / 20120111359 - PROCESS FOR TEXTILE CLEANING AND DISINFECTION BY MEANS OF PLASMA AND PLASMA LOCK | 1 |
Stacy Harfert | US | Arvada | 2011-11-10 / 20110272429 - Diaper And Wipe Dispensing System | 1 |
Nathan E. Harff | US | Rochester | 2013-08-08 / 20130202292 - POWER RECAPTURE IN AN OPTICAL COMMUNICATIONS SYSTEM | 1 |
Carsten Harfmann | DE | Frankfurt Am Main | 2013-01-24 / 20130019415 - DYES FOR POLYMER COLORATION, THEIR PREPARATION AND THEIR USE | 3 |
Carsten Harfmann | DE | Frankfurt | 2015-02-26 / 20150053345 - SOLVENT WELDING AGENT AND USE OF A SOLVENT WELDING AGENT | 3 |
Kaitlyn Harfmann | US | Baltimore | 2015-12-17 / 20150359605 - ULTRASOUND-DETECTABLE MARKERS, ULTRASOUND SYSTEM, AND METHODS FOR MONITORING VASCULAR FLOW AND PATENCY | 1 |
Markus Harfmann | AT | Villach | 2013-09-19 / 20130240902 - Semiconductor Arrangement | 1 |
Walter R. Harfmann | US | Weddington | 2009-01-29 / 20090029111 - EMBOSSED LOW DENSITY POLYMERIC FOAMS AND ARTICLES MADE THEREOF | 1 |
Jason E. Harfoot | US | Walled Lake | 2014-09-18 / 20140270922 - WELDED BLANK ASSEMBLY AND METHOD | 4 |
Sal Harfouch | US | Lodi | 2012-11-15 / 20120289739 - Rinsable Dyes and Methods for their Preparation | 3 |
Sai Harfouch | US | Lodi | 2012-09-06 / 20120226030 - Rinsable Dyes and Methods for their Preparation | 1 |
Fady Harfoush | US | Naperville | 2015-12-17 / 20150363387 - Systems And Methods of Detecting, Measuring, And Extracting Signatures of Signals Embedded in Social Media Data Streams | 2 |
Jack H. Harfoushian | AU | Perth | 2012-12-06 / 20120304757 - METHODS AND APPARATUS TO ESTIMATE FLUID COMPONENT VOLUMES | 1 |
Michael D. Harfst | US | Milwaukie | 2014-09-18 / 20140260875 - FORMED WIRE TIE STRAP WITH INTEGRATED RIVET FOR A SAW CHAIN | 2 |
Wilfried Harfst | DE | Mainz | 2012-04-19 / 20120090392 - SEALING DEVICE FOR A DEVICE FOR MEASURING THE FILL LEVEL IN A FLUID CONTAINER | 3 |
Mike Harfst | US | Milwaukie | 2010-01-14 / 20100005666 - CHAIN LINK SHARPENING METHOD AND APPARATUS | 1 |
Paul K. Hargadon | CA | Ottawa | 2008-08-21 / 20080199824 - MAGNETIC DENTAL APPLIANCE | 1 |
Ebrahim Hargan | US | Boise | 2014-02-20 / 20140053040 - MEMORY SYSTEM AND METHOD USING A MEMORY DEVICE DIE STACKED WITH A LOGIC DIE USING DATA ENCODING, AND SYSTEM USING THE MEMORY SYSTEM | 5 |
Ebrahim H. Hargan | US | Boise | 2014-02-27 / 20140056127 - REDUNDANT SIGNAL TRANSMISSION | 9 |
Ebrahim H. Hargan | US | Boise | 2014-02-27 / 20140056127 - REDUNDANT SIGNAL TRANSMISSION | 9 |
Christopher S. Hargarten | US | Seattle | 2014-06-26 / 20140181643 - GENERATING FINANCIAL DATA DOCUMENTS USING TEMPLATES | 6 |
Mark A. Hargarten | US | Cedarburg | 2010-08-19 / 20100206923 - Carrying Cases Having Sound Enhancing Capability, For Portable Communication Devices | 1 |
Jim Hargarten | US | Lafayette | 2008-09-11 / 20080218899 - Quiet high performance seek procedure for disk drives | 1 |
Scott Matthew Hargash | US | Kingston | 2013-12-12 / 20130327412 - PIPE MONITORING SYSTEM AND METHOD | 1 |
Scott M. Hargash | US | Kingston | 2009-03-12 / 20090066502 - EMERGENCY MACHINE OFF FEATURE WITH SAFETY CONTROL INTERFACE | 1 |
Scott M. Hargash | US | Esopus | 2008-09-04 / 20080210161 - GUARD WAFER FOR SEMICONDUCTOR STRUCTURE FABRICATION | 1 |
Alan R. Hargens | US | San Diego | 2009-10-29 / 20090270910 - Method and Apparatus for Increasing Blood Flow in a Body Part | 1 |
Kendra Hargens | US | Santa Rosa | 2015-05-21 / 20150136785 - CAMERA BAG DIVIDER WITH LED LIGHTING | 1 |
Tanner Hargens | US | Charlotte | 2014-09-18 / 20140275765 - PROBE ASSEMBLY AND DISPOSABLE COVER PARTICULARLY FOR USE IN ENDOSCOPE APPLICATIONS OF LOW COHERENCE INTERFEROMETRY | 2 |
Tanner J. Hargens | US | Charlotte | 2016-05-19 / 20160135984 - REVERSIBLE OCCLUSION DEVICE | 2 |
James Robert Harger | US | Rockford | 2008-12-11 / 20080301950 - CABLE CUTTER WITH RECIPROCATING CUTTING WHEEL FOR CUTTING FLEXIBLE CABLE | 1 |
Keith Ray Harger | US | Kalamazoo | 2016-03-31 / 20160091109 - LOW TORQUE MULTI-CIRCUIT CONTROL VALVE | 1 |
Mark S. Harger | US | Bristol | 2016-01-28 / 20160025127 - BONDING LUG WASHER | 2 |
Douglas Scott Harger | US | Spicewood | 2009-04-02 / 20090089332 - METHOD AND SYSTEM FOR ASSOCIATING DATA RECORDS IN MULTIPLE LANGUAGES | 2 |
Mark Harger | US | Colorado Springs | 2012-09-13 / 20120227318 - Plant Training Structure | 1 |
Mark S. Harger | US | Libertyville | 2013-08-08 / 20130199747 - EXOTHERMIC WELDING ASSEMBLY | 3 |
Denise S. Harges | US | Salt Lake | 2008-12-18 / 20080308110 - CONTRACEPTIVE SYSTEM AND METHOD OF USE | 1 |
David Charles Harget | GB | Bleasby | 2010-01-07 / 20100000622 - FASTENING OF PIPES | 1 |
Ken D. Hargett | US | Pearland | 2009-05-21 / 20090131915 - CATHETER HAVING INSERTION CONTROL MECHANISM | 1 |
Michael Steven Hargett | US | Albany | 2010-01-07 / 20100001686 - Electric vehicle battery alternating recharging process | 1 |
Mark Mason Hargett | US | Liberty Township | 2014-11-27 / 20140349021 - METHODS AND ASSEMBLIES FOR APPLYING FLOWABLE SUBSTANCES TO SUBSTRATES | 8 |
Mark Mason Hargett | US | Liberty Township | 2014-11-27 / 20140349021 - METHODS AND ASSEMBLIES FOR APPLYING FLOWABLE SUBSTANCES TO SUBSTRATES | 8 |
Jimmy Ray Hargett | US | Bells | 2009-03-26 / 20090078130 - UNLOADER WITH LOOSE COTTON RETAINING APPARATUS FOR A COTTON COMPACTOR | 3 |
Steven Michael Hargett | US | Salem | 2011-04-28 / 20110094810 - Energy retention and release onboard recharging process | 1 |
Michael Steven Hargett | US | Salem | 2014-03-13 / 20140070605 - Reciprocating energy, self recharging, alternating energy process | 4 |
William Hargett | US | Broomfield | 2015-12-10 / 20150353378 - TECHNIQUES FOR INCREASING THE EVAPORATION RATE IN EVAPORATION PONDS | 1 |
William Gabriel Hargett | US | Asheville | 2012-11-15 / 20120285973 - CONTAINER JACKET FOR A BEVERAGE GLASS | 1 |
Bobby Ray Hargett, Jr. | US | Raleigh | 2014-11-20 / 20140344779 - System and Method for Replacing Java Beans | 1 |
Bobby Hargett, Jr. | US | Raleigh | 2014-11-20 / 20140344668 - SYSTEMS AND METHODS FOR PROCESSING MODIFIABLE FILES GROUPED INTO THEMED DIRECTORIES FOR PRESENTATION OF WEB CONTENT | 2 |
Wyatt Price Hargett, Jr. | US | Matthews | 2013-11-14 / 20130302220 - CONTROLLED PRESSURE RELEASE VESSEL FOR MICROWAVE ASSISTED CHEMISTRY | 4 |
Wyatt Hargett, Jr. | US | Matthews | 2011-02-17 / 20110039351 - High Temperature High Pressure Vessel for Microwave Assisted Chemistry | 2 |
Asaf Hargil | IL | Hogla | 2013-09-05 / 20130232321 - Unpacking Packed Data In Multiple Lanes | 3 |
Asaf Hargil | IL | Binyamina | 2014-07-03 / 20140189296 - SYSTEM, APPARATUS AND METHOD FOR LOOP REMAINDER MASK INSTRUCTION | 1 |
Asaf Hargil | IL | Haifa | 2010-05-06 / 20100115014 - Instruction and logic for performing range detection | 1 |
Billy Hargis | US | Fayetteville | 2016-04-28 / 20160114025 - COMPOSITIONS AND METHODS OF ENHANCING IMMUNE RESPONSES | 16 |
Samuel Pierce Hargis | US | Hilliard | 2010-10-07 / 20100252706 - WIND TURBINE MOUNTING ASSEMBLY | 1 |
Larry N. Hargis | US | Pembroke Pines | 2009-04-16 / 20090095162 - Dehumidifier system | 1 |
Luther Calvin Hargis | US | Warwick | 2009-10-08 / 20090254010 - Device and Method for Cervical Curvature Restoration | 1 |
Billy M. Hargis | US | Fayetteville | 2015-10-22 / 20150297714 - NOVEL MUCOSAL ADJUVANTS AND DELIVERY SYSTEMS | 2 |
Colin Hargis | GB | Oswestry | 2016-03-17 / 20160079902 - INVERTER DRIVES HAVING A CONTROLLED POWER OUTPUT | 8 |
Jonathan Glynn Hargis | US | Memphis | 2013-12-12 / 20130331870 - PRESSURIZATION OPTIMIZATION F0R CORNEAL GRAFT PREPARATION | 1 |
Robert Hargis | US | Alexandria | 2009-03-26 / 20090083676 - SYSTEMS AND METHODS FOR PROPAGATING ALERTS VIA A HIERARCHY OF GRIDS | 1 |
Marian C. Hargis | US | Kokomo | 2012-01-26 / 20120019781 - MULTIPLE VIEW DISPLAY SYSTEM USING A SINGLE PROJECTOR AND METHOD OF OPERATING THE SAME | 1 |
Jason Michael Hargis | US | Bellevue | 2014-10-09 / 20140299490 - Package for a Medicament | 1 |
Greg Hargis | US | Vancouver | 2014-02-27 / 20140055514 - COMPENSATION OF BI-DIRECTIONAL ALIGNMENT ERROR | 1 |
Colin Hargis | GB | Newtown | 2013-10-10 / 20130264985 - Fail-Safe Interface | 1 |
Gregory W. Hargis | US | Elgin | 2016-01-28 / 20160027289 - COMMUNICATION SYSTEM | 1 |
Clayton D. Hargis | US | Houston | 2013-04-18 / 20130092279 - COILED TUBING WITH IMPROVED FATIGUE RESISTANCE AND METHOD OF MANUFACTURE | 2 |
Novis Gene Hargis | US | Escondido | 2015-01-15 / 20150014196 - Golf bag divider accessory and method of making same | 1 |
Annalisa Hargis | US | Wilmington | 2013-07-04 / 20130172621 - INTEGRATED PROCESSES FOR THE PREPARATION OF POLYBENZIMIDAZOLE PRECURSORS | 2 |
Annalisa Hargis | US | Wimington | 2013-01-10 / 20130012741 - 2,4,5-TRIAMINOPHENOLS AND RELATED COMPOUNDS | 1 |
Barry W. Hargis | US | Florence | 2012-11-29 / 20120304279 - System for Isolating a Secured Data Communication Network | 1 |
David R. Hargis | US | Sunnyvale | 2013-04-18 / 20130092216 - Solar Cell Module Junction Box | 1 |
Colin Hargis | GB | Oswestry | 2016-03-17 / 20160079902 - INVERTER DRIVES HAVING A CONTROLLED POWER OUTPUT | 8 |
Calvin Hargis | US | Warwick | 2014-07-17 / 20140197115 - Pool Skimmer | 2 |
Billy Hargis | US | Fayetteville | 2016-04-28 / 20160114025 - COMPOSITIONS AND METHODS OF ENHANCING IMMUNE RESPONSES | 16 |
Jeremy Lynn Hargis | US | Farmington | 2016-02-25 / 20160053870 - MULTI-SPEED TRANSMISSION | 2 |
Veronica Rose Hargis | US | Warwick | 2009-10-08 / 20090254010 - Device and Method for Cervical Curvature Restoration | 1 |
David E. Hargis | US | San Diego | 2016-01-28 / 20160028210 - COMPACT, THERMALLY STABLE MULTI-LASER ENGINE | 7 |
Tracy Hargiss | US | Chapel Hill | 2014-11-20 / 20140344999 - FAMILY OF PESTICIDAL PROTEINS AND METHODS FOR THEIR USE | 9 |
Tracy Hargiss | US | Chapel Hill | 2014-11-20 / 20140344999 - FAMILY OF PESTICIDAL PROTEINS AND METHODS FOR THEIR USE | 9 |
Marcie Hargiss | US | Jacksonville | 2009-09-24 / 20090239954 - PHOSPHATE BUFFERED OPHTHALMIC SOLUTIONS DISPLAYING IMPROVED EFFICACY | 1 |
Tracy Hargiss | US | Kernersville | 2010-02-11 / 20100037348 - AXMI-010, A DELTA-ENDOTOXIN GENE AND METHODS FOR ITS USE | 5 |
Tamas Hargittai | GB | Kent | 2015-01-29 / 20150032219 - Prosthetic Devices and Implants | 1 |
Graham K. Hargrave | GB | Loughborough | 2008-10-09 / 20080245055 - APPARATUS AND METHOD FOR OPERATING AN EMISSION ABATEMENT SYSTEM | 1 |
Bentley John Hargrave | US | Deland | 2009-04-30 / 20090112783 - METHOD AND SYSTEM OF ASSOCIATING A RUNTIME EVENT WITH A COMPONENT | 1 |
Steven E. Hargrave | US | Roswell | 2014-09-18 / 20140270986 - SHEET PILING AND INSTALLATION METHOD | 5 |
Gerald Burk Hargrave | US | Saluda | 2008-10-02 / 20080236501 - Pet bed cover | 1 |
Benjamin Priest Hargrave | US | Bellevue | 2015-04-23 / 20150108685 - Self-Centering Sealant Applicator | 1 |
Jonathan Hargrave | GB | Somerset | 2015-01-22 / 20150025057 - Triazine-oxadiazoles | 2 |
Chad Owen Hargrave | AU | Queensland | 2009-08-27 / 20090212216 - Mining methods and apparatus | 2 |
David C. Hargrave | US | Granger | 2010-06-10 / 20100139638 - OVEN DOOR LIGHTING | 1 |
Kent Hargrave | US | Edmonds | 2013-11-28 / 20130317856 - SYSTEM AND METHOD FOR CONVEYING PATIENT INFORMATION | 2 |
Brian Hargrave | US | Dickinson | 2012-05-03 / 20120109379 - ROBUST OPERATION OF TENDON-DRIVEN ROBOT FINGERS USING FORCE AND POSITION-BASED CONTROL LAWS | 2 |
Craig J. Hargrave | US | Abbeville | 2011-12-29 / 20110315163 - Limited space separation and cleaning system and method | 1 |
Barbara Y. Hargrave | US | Norfolk | 2014-04-17 / 20140106430 - ACTIVATION AND AGGREGATION OF HUMAN PLATELETS AND FORMATION OF PLATELET GELS BY NANOSECOND PULSED ELECTRIC FIELDS | 2 |
Brian Hargrave | US | Dickenson | 2013-08-01 / 20130193704 - ROBOTIC FINGER ASSEMBLY | 8 |
Perry Hargrave | US | Lynnwood | 2014-07-03 / 20140186849 - FLUORESCENT DETECTOR SYSTEMS FOR THE DETECTION OF CHEMICAL PERTURBATIONS IN STERILE STORAGE DEVICES | 3 |
Brian Hargrave | US | Dickerson | 2010-06-17 / 20100152898 - JOINT-SPACE IMPEDANCE CONTROL FOR TENDON-DRIVEN MANIPULATORS | 1 |
Steve Hargrave | US | Roswell | 2016-04-28 / 20160115666 - BARRIER PANEL INTERLOCK SEALING SYSTEM | 1 |
Heather Leigh Hargrave | US | Saluda | 2008-10-02 / 20080236501 - Pet bed cover | 1 |
Graham Hargrave | GB | Quorn | 2010-02-04 / 20100024537 - High pressure cavitation system | 1 |
Kevin Maichle Hargrave | US | Lexington | 2009-03-26 / 20090080091 - Laser Diode/Pre-Scan Assembly | 1 |
James Roger Hargrave | US | Wylie | 2016-04-07 / 20160100281 - DEVICE, METHOD AND COMPUTER READABLE MEDIUM FOR COMMUNICATION ON A ZIGBEE NETWORK | 11 |
Brian Hargrave | US | Dickenson | 2013-08-01 / 20130193704 - ROBOTIC FINGER ASSEMBLY | 8 |
James Roger Hargrave | US | Wylie | 2016-04-07 / 20160100281 - DEVICE, METHOD AND COMPUTER READABLE MEDIUM FOR COMMUNICATION ON A ZIGBEE NETWORK | 11 |
Brian K. Hargrave, Jr. | US | Mequon | 2014-10-23 / 20140316665 - COLLISION DETECTION AND MITIGATION SYSTEMS AND METHODS FOR A SHOVEL | 4 |
John Edward Hargraves | US | Washington | 2016-01-28 / 20160026942 - SYSTEM AND METHOD FOR IDENTIFYING RELATIONSHIPS IN COMMUNITY HEALTHCARE MEASURES | 2 |
Katie M. Hargraves | US | Warwick | 2011-08-04 / 20110187488 - ALARM CONSOLIDATION SYSTEM AND METHOD | 1 |
Ryan E. Hargraves | US | Charlottesville | 2012-09-06 / 20120226568 - Bar Bandit | 1 |
Kenneth Christie Hargraves | NZ | Auckland | 2010-11-11 / 20100282770 - DISPENSING APPARATUS | 1 |
Nigel J. Hargraves | GB | Basingstoke | 2009-08-27 / 20090214713 - BEVERAGE PREPARATION CAPSULES | 1 |
Michael Dean Hargraves | US | Angleton | 2009-04-23 / 20090103306 - Light It Up | 1 |
Devon Hargraves | US | Salt Lake City | 2009-06-11 / 20090149232 - SYSTEM AND METHOD FOR TOUCH DRIVEN COMBAT SYSTEM | 1 |
Curtis Scott Hargraves | US | Clarkston | 2009-07-30 / 20090188081 - FOUR-BAR LINKAGE HINGE FOR A MOTOR VEHICLE HOOD | 1 |
Rosalyn Hobson Hargraves | US | Richmond | 2014-08-21 / 20140233820 - Segmentation and Fracture Detection in CT Images | 1 |
Linnea M. Hargraves | US | Huntersville | 2009-12-31 / 20090321671 - SOLENOID VALVE AND METHOD OF ASSEMBLY THEREOF | 1 |
Donald Edwin Hargraves | US | Huntersville | 2010-06-03 / 20100132186 - METHOD OF ASSEMBLING A PUMP MOTOR WITH BEARING PRELOAD | 1 |
Brian Hayden Hargraves | US | Guilford | 2014-04-03 / 20140095295 - METHOD AND SYSTEM FOR IMPLEMENTING CALENDAR OPTIMIZATION | 1 |
Donald E. Hargraves | US | Huntersville | 2009-12-31 / 20090321671 - SOLENOID VALVE AND METHOD OF ASSEMBLY THEREOF | 1 |
Ian Hargraves | US | Pittsburgh | 2011-01-27 / 20110022552 - Systems and Methods for Implementing a Machine-Learning Agent to Retrieve Information in Response to a Message | 1 |
Kirk Hargreaves | US | Sunnyvale | 2015-11-12 / 20150324036 - CAPACITIVE SENSING ON LARGE TOUCH SCREENS | 15 |
Shawn Hargreaves | US | Redmond | 2008-11-27 / 20080293484 - Programming Framework for Closed Systems | 5 |
Kenneth M. Hargreaves | US | San Antonio | 2015-07-30 / 20150209442 - METHOD TO IMPROVE PHARMACOKINETICS OF DRUGS | 1 |
Craig Hargreaves | US | Vancouver | 2012-08-23 / 20120212883 - Electronic controller box | 6 |
Nichola Hargreaves | GB | Bradford | 2015-02-12 / 20150042075 - Child Support Apparatus | 1 |
Don Hargreaves | CA | Victoria | 2012-10-25 / 20120268014 - Comparative Lighting Network | 1 |
Jonathon David Hargreaves | GB | Kilmalcolm Renfrewshire Strathclyde | 2013-08-29 / 20130226286 - PROSTHETIC AORTIC CONDUIT WITH REPLACEMENT VALVE LOCATING MEANS | 1 |
Diana Clare Hargreaves | US | San Mateo | 2015-07-02 / 20150185221 - METHODS FOR IDENTIFYING AND TREATING CANCER PATIENTS | 1 |
Carole Ann Hargreaves | GB | Reading | 2011-11-17 / 20110280990 - TASTE POTENTIATOR COMPOSITIONS AND EDIBLE CONFECTIONERY AND CHEWING GUM PRODUCTS CONTAINING SAME | 2 |
Michael Derek Hargreaves | US | Lawrence | 2016-05-12 / 20160131586 - HEROIN DETECTION BY RAMAN SPECTROSCOPY FROM IMPURE COMPOSITIONS COMPRISING AN INTERFERING FLUORESCENT CONTAMINANT | 2 |
Steven Hargreaves | CA | Edmonton | 2015-03-19 / 20150075814 - MECHANCIAL ROUGHNECK POSITIONER | 1 |
Michael John Hargreaves | AU | Sunbury | 2013-01-17 / 20130018899 - ELECTRONIC DATA GENERATION METHODSAANM HARGREAVES; Michael JohnAACI SunburyAACO AUAAGP HARGREAVES; Michael John Sunbury AUAANM LEVINE; MicheleAACI MelbourneAACO AUAAGP LEVINE; Michele Melbourne AUAANM MOR; Jonathan ZioAACI CheltenhamAACO AUAAGP MOR; Jonathan Zio Cheltenham AUAANM NGO; Duc AnhAACI SunshineAACO AUAAGP NGO; Duc Anh Sunshine AUAANM REEVES; Tristan AaronAACI FootscrayAACO AUAAGP REEVES; Tristan Aaron Footscray AUAANM RHYS-JONES; Grant LeslieAACI MacleodAACO AUAAGP RHYS-JONES; Grant Leslie Macleod AUAANM TARRANT; Marcus AdrianAACI Middle ParkAACO AUAAGP TARRANT; Marcus Adrian Middle Park AUAANM WILDENAUER; Michael ErnstAACI Mount MacedonAACO AUAAGP WILDENAUER; Michael Ernst Mount Macedon AU | 1 |
Neil Hargreaves | GB | London | 2014-04-17 / 20140102694 - GEOPHYSICAL SURVEYING | 1 |
Kirk Hargreaves | US | Mountain View | 2015-12-03 / 20150346896 - CURRENT FEEDBACK DIGITAL CHARGE ACCUMULATOR | 10 |
Michael D. Hargreaves | US | Burlington | 2015-11-12 / 20150323383 - VISUAL INDICATOR OF SCAN WORKFLOW | 1 |
Jack Elliot Hargreaves | GB | London | 2014-08-28 / 20140244057 - METHOD AND SYSTEM FOR ENERGY MODELLING | 1 |
Kirk Hargreaves | US | Sunnyvale | 2015-11-12 / 20150324036 - CAPACITIVE SENSING ON LARGE TOUCH SCREENS | 15 |
Kirk Hargreaves | US | Mountain View | 2015-12-03 / 20150346896 - CURRENT FEEDBACK DIGITAL CHARGE ACCUMULATOR | 10 |
William R. Hargreaves | US | Bellevue | 2014-02-06 / 20140037355 - SUPPORT ACCESSORY FOR SPLIT KEYBOARD | 3 |
David Richard Hargreaves | GB | Cambridge | 2015-06-04 / 20150156740 - Increased Bluetooth Clock Accuracy | 7 |
Rodney Brian Hargreaves | GB | Cheshire | 2008-08-28 / 20080207636 - Compounds Effecting Glucokinase | 1 |
Neil Graham Hargreaves | GB | Chester | 2009-02-19 / 20090047391 - Method Of Preparing Dough Products | 1 |
Kenneth Michael Hargreaves | US | San Antonio | 2016-02-18 / 20160045610 - COMPOSITIONS OF MATTER THAT REDUCE PAIN, SHOCK, AND INFLAMMATION BY BLOCKING LINOLEIC ACID METABOLITES AND USES THEREOF | 4 |
Rodney Brian Hargreaves | GB | Macclesfield | / - | 1 |
Jeremy Hargreaves | GB | York | 2012-02-02 / 20120027907 - HIGHLY AERATED CONFECTION | 1 |
David Hargreaves | GB | Cambridge | 2013-01-24 / 20130024201 - ADAPTIVE TUNING OF THE PERCEPTUAL MODEL | 4 |
Michael D. Hargreaves | US | Lawrence | 2014-01-02 / 20140005980 - METHOD FOR TAGGING REFERENCE MATERIALS OF INTEREST IN SPECTROSCOPIC SEARCHING APPLICATIONS | 1 |
Colin Hargreaves | GB | Accrington | 2011-11-24 / 20110283903 - PRINTING METHOD AND PRINTING APPARATUS | 2 |
David Hargreaves | GB | Lancashire | 2012-11-29 / 20120298731 - CARTON AND METHOD OF MANUFACTURE THEREOF | 1 |
Peter Hargreaves | GB | Nr Accrington | 2011-02-24 / 20110045191 - MIXED-BLOCKED POLYISOCYANATES AND USES THEREOF | 1 |
Carole Ann Hargreaves | GB | Caversham | 2011-05-05 / 20110104329 - TASTE POTENTIATOR COMPOSITIONS AND EDIBLE CONFECTIONERY AND CHEWING GUM PRODUCTS CONTAINING SAME | 1 |
David Richard Hargreaves | GB | Cambridgeshire | 2010-03-25 / 20100075606 - SELECTIVE TRANSCODING OF ENCODED MEDIA FILES | 1 |
James Alan Hargreaves | US | Old Town | 2013-08-29 / 20130224805 - System and Method for Converting Cellulosic Biomass into a Sugar Solution | 1 |
David Hargreaves | GB | Accrington | 2011-11-24 / 20110283903 - PRINTING METHOD AND PRINTING APPARATUS | 2 |
Jaret James Hargreaves | CA | Calgary | 2015-03-12 / 20150074181 - ARCHITECTURE FOR DISTRIBUTED SERVER-SIDE AND CLIENT-SIDE IMAGE DATA RENDERING | 2 |
Michael Derek Hargreaves | US | Burlington | 2016-01-28 / 20160025569 - ADAPTATION OF FIELD USE SPECTROSCOPY EQUIPMENT | 1 |
Adam Hargreaves | GB | Sutton | 2013-06-13 / 20130145555 - MATTRESS | 1 |
Jeremy Michael Hargreaves | CH | Montreux | 2010-06-10 / 20100143545 - MOUSSE | 1 |
Steven Hargreaves | CA | St. Albert | 2013-01-17 / 20130014612 - Internally Retained Jaw Roller Pin | 4 |
Brian Hargreaves | US | Menlo Park | 2011-10-06 / 20110241683 - MULTI-CHANNEL BREAST MRI RADIO FREQUENCY RECEIVER COIL | 4 |
Brian A. Hargreaves | US | Menlo Park | 2014-09-18 / 20140266191 - DISTORTION-FREE MAGNETIC RESONANCE IMAGING NEAR METALLIC IMPLANTS | 6 |
Steve Hargreaves | AU | Queensland | / - | 1 |
Andrew Hargreaves | US | Burlingame | 2014-08-07 / 20140223542 - SECURE NOTE SYSTEM FOR COMPUTING DEVICE LOCK SCREEN | 2 |
Ivan D. Hargreaves | GB | Southampton | 2013-03-14 / 20130067500 - LEGACY APPLICATION INTEGRATION WITHIN A BUNDLE FRAMEWORK | 2 |
Ivan D. Hargreaves | GB | Hampshsire | 2015-07-09 / 20150193237 - TECHNIQUES FOR HYBRID COMPUTER THREAD CREATION AND MANAGEMENT | 1 |
Gareth Hargreaves | NZ | Dunedin | 2009-01-29 / 20090028700 - APPLIANCE PUMP | 1 |
David A. Hargreaves | US | Lewisburg | 2008-10-16 / 20080250741 - Fire resistant fibrous composite articles | 1 |
Colin Hargreaves | GB | Lancashire | 2012-11-29 / 20120298731 - CARTON AND METHOD OF MANUFACTURE THEREOF | 2 |
John Hargreaves | US | Whitehouse Station | 2008-10-02 / 20080241282 - Sorghum Extract Compositions | 1 |
Ian Hargreaves | CA | Calgary | 2016-05-19 / 20160142471 - SYSTEMS AND METHODS FOR FACILITATING COLLABORATION AMONG MULTIPLE COMPUTING DEVICES AND AN INTERACTIVE DISPLAY DEVICE | 1 |
William Rudolph Hargreaves | US | Bellevue | 2015-11-19 / 20150331697 - DRIVERLESS PROGRAMMABLE COMPUTER INPUT DEVICES AND RELATED METHODS | 2 |
Jonathan Hargreaves | GB | London | 2014-09-18 / 20140280639 - Methods, Systems, and Devices for Analyzing Social Media Content | 1 |
Dwayne Paul Hargroder | US | Opelousas | 2011-01-20 / 20110016056 - INTERACTIVE CREDENTIAL SYSTEM AND METHOD | 3 |
Ty Hargroder | US | Los Angeles | 2016-03-10 / 20160067544 - Ski Exercise Apparatus | 2 |
Ty Hargroder | US | Portland | 2008-10-09 / 20080246011 - Portable Pulling Tool | 1 |
Todd Hargroder | US | San Antonia | 2010-11-04 / 20100276975 - ANGLE ADJUSTABLE BACKREST MOUNTING | 1 |
Garrard L. Hargrove | US | Birmingham | 2014-11-13 / 20140331727 - FERTILIZER GRANULES HAVING POLYMERIC COATING WITH ORGANIC CARBONATE | 3 |
James Mcchesney Hargrove | US | Riverside | 2013-01-17 / 20130016353 - ELECTRIC GATED INTEGRATOR DETECTION METHOD & DEVICE THEREOF | 2 |
Thomas Hargrove | US | Kirkland | / - | 1 |
James M. Hargrove | US | Alpharetta | 2009-06-18 / 20090157418 - Method And System For Obtaining Geographic Location Information | 1 |
Mike Hargrove | US | Clinton Corners | 2009-06-04 / 20090142891 - MASKLESS STRESS MEMORIZATION TECHNIQUE FOR CMOS DEVICES | 1 |
James Hargrove | US | 2009-05-14 / 20090120212 - NOy and Components of NOy by Gas Phase Titration and NO2 Analysis with Background Correction | 1 | |
James M. Hargrove | US | Riverside | 2011-02-03 / 20110027899 - HAZARDOUS CHEMICALS DETECTOR & METHODS OF USE THEREOF | 1 |
Joshua Wade Hargrove | US | Mason | 2009-03-12 / 20090065978 - LOAD BEARING INSULATION AND METHOD OF MANUFACTURE AND USE | 1 |
Mark A. Hargrove | US | Laurys Station | 2011-02-10 / 20110035318 - CREDIT AND DEBIT CARD TRANSACTION APPROVAL USING LOCATION VERIFICATION | 1 |
Drake Hargrove | US | Havelock | 2011-10-20 / 20110253054 - STABILIZED PET DISH ASSEMBLY AND METHOD | 2 |
Michael Hargrove | US | Clinton Corners | 2016-03-31 / 20160093713 - SEMICONDUCTOR DEVICES WITH REPLACEMENT GATE STRUCTURES | 24 |
David C. Hargrove | US | Woodinville | 2014-12-04 / 20140354310 - Capacitive Sensor Testing | 2 |
Garrard Lee Hargrove | US | Birmingham | 2012-05-10 / 20120111076 - CONTROLLED RELEASE FERTILIZERS MADE FROM CROSS-LINKED GLYCERIDE MIXTURES | 2 |
Pamela L. Hargrove | US | Cary | 2015-10-08 / 20150284543 - SPRAY-APPLIED JOINT COMPOUND, WALL ASSEMBLY, AND METHODS AND PRODUCTS RELATED THERETO | 3 |
Levi Hargrove | US | Chicago | 2016-03-03 / 20160058582 - Minimum Jerk Swing Control for Assistive Device | 5 |
Michael John Hargrove | US | Clinton Corners | 2015-01-22 / 20150021709 - STRUCTURES AND METHODS INTEGRATING DIFFERENT FIN DEVICE ARCHITECTURES | 1 |
James Hargrove | US | Riverside | 2013-01-17 / 20130017618 - CHEMICAL EXPLOSIVE DETECTOR | 1 |
David G. Hargrove | US | Graham | 2014-03-06 / 20140067433 - Method and System for Insurance Claims Adjustment | 1 |
Phillip K. Hargrove | US | Olathe | 2011-06-16 / 20110145037 - Document management method and apparatus to process a workflow task by parallel or serially processing subtasks thereof | 1 |
William L. Hargrove | US | Kihei | 2012-09-20 / 20120237420 - Absorber | 1 |
Cary Hargrove | US | Irving | 2016-03-03 / 20160063559 - Method and Apparatus for Mobile Link to Advertising Content | 1 |
Michael J. Hargrove | US | Windsor Locks | 2011-06-30 / 20110155347 - ECONOMIZER WATER RECIRCULATION SYSTEM FOR BOILER EXIT GAS TEMPERATURE CONTROL IN SUPERCRITICAL PRESSURE BOILERS | 1 |
Michael Roc Hargrove | US | Cambridge | 2015-07-23 / 20150205918 - SERVICE PROVIDER IDENTIFICATION IN A COMPUTER NETWORK ENVIRONMENT | 1 |
John T. Hargrove | US | Midlothian | 2016-04-21 / 20160107787 - CARTON AND CARTON BLANK | 1 |
Robert Hargrove | US | Bozeman | 2015-07-16 / 20150198312 - Light Mounting Device | 1 |
Jeffrey B. Hargrove | US | Bancroft | 2015-07-23 / 20150201879 - Method and Apparatus for Diagnosing and Assessing Centralized Pain | 10 |
Michael J. Hargrove | US | Clinton Corners | 2015-12-31 / 20150380514 - JUNCTION OVERLAP CONTROL IN A SEMICONDUCTOR DEVICE USING A SACRIFICIAL SPACER LAYER | 18 |
William Hargrove | US | Kihei | 2014-09-04 / 20140245887 - Absorber | 1 |
Michael Hargrove | US | Clinton Corners | 2016-03-31 / 20160093713 - SEMICONDUCTOR DEVICES WITH REPLACEMENT GATE STRUCTURES | 24 |
Robin S. Hargrove | GB | Newbury | 2008-10-02 / 20080241332 - Process for Producing Nut-Based Expandable Pellets and Nut-Based Snack Chips | 1 |
Robin S. Hargrove | GB | Reading | 2009-11-12 / 20090280224 - PROCESS FOR PRODUCING BAKED POTATO SLICES WITH EXPANDED TEXTURE | 1 |
Robin S. Hargrove | GB | Berkshire | 2011-03-31 / 20110076381 - PROCESS FOR PRODUCING BAKED POTATO SLICES WITH EXPANDED TEXTURE | 1 |
Jeffrey B. Hargrove | US | Bancroft | 2015-07-23 / 20150201879 - Method and Apparatus for Diagnosing and Assessing Centralized Pain | 10 |
John Hargrove | US | Honolulu | 2008-09-18 / 20080226148 - Method of image quality assessment to produce standardized imaging data | 2 |
John Taylor Hargrove | US | Honolulu | 2010-05-27 / 20100130868 - Process and device for detection of precancer tissues with infrared spectroscopy | 3 |
Douglas R. Hargrove, Jr. | US | Plymouth Meeting | 2013-09-26 / 20130248777 - LOW SILVER CONTENT PASTE COMPOSITION AND METHOD OF MAKING A CONDUCTIVE FILM THEREFROM | 1 |
Farid Harhad | US | Baton Rouge | 2010-10-28 / 20100271391 - Presenting Textual and Graphic Information to Annotate Objects Displayed by 3D Visualization Software | 2 |
Warren Harhay | US | Boulder City | 2012-07-26 / 20120191253 - HVAC/R SYSTEM WITH MULTIPLE POWER SOURCES AND TIME-BASED SELECTION LOGIC | 10 |
Warren Harhay | US | Boulder City | 2012-07-26 / 20120191253 - HVAC/R SYSTEM WITH MULTIPLE POWER SOURCES AND TIME-BASED SELECTION LOGIC | 10 |
Edward P. Harhen | US | Duxbury | 2013-10-03 / 20130257226 - SIGNAL RETURN FOR ULTRASONIC TRANSDUCERS | 4 |
Edward Paul Harhen | US | Duxbury | 2015-04-02 / 20150094594 - TRANSESOPHAGEAL ULTRASOUND PROBE WITH AN ADAPTIVE BENDING SECTION | 11 |
Robert P. Harhen | US | Haverhill | 2013-01-24 / 20130023749 - FORCE REGULATING DEVICE APPLICATORS | 4 |
Robert Harhen | US | Haverhill | 2014-10-02 / 20140296683 - SKIN PREPARATION DEVICE AND BIOPOTENTIAL SENSOR | 2 |
Edward Paul Harhen | US | Duxbury | 2015-04-02 / 20150094594 - TRANSESOPHAGEAL ULTRASOUND PROBE WITH AN ADAPTIVE BENDING SECTION | 11 |
Matthew Paul Harhen | US | Seattle | 2014-10-09 / 20140304104 - IDENTIFYING PART INTERCHANGES AT ELECTRONIC MARKETPLACES | 1 |
Dror Har Hen | IL | Raanana | 2012-02-09 / 20120033727 - EFFICIENT VIDEO CODEC IMPLEMENTATION | 1 |
Burkhard Harhoff | DE | Bielefeld | 2011-07-21 / 20110174270 - PRESSURE RELIEF VALVE | 2 |
Jesper Harholt | DK | Frederiksberg | 2012-05-10 / 20120117686 - STRESS-TOLERANT PLANTS EXPRESSING MANNOSYLGLYCERATE-PRODUCING ENZYMES | 1 |
Karim Harhouri | FR | Marseille | 2011-11-24 / 20110286963 - HUMAN SOLUBLE CD146, PREPARATION AND USES THEREOF | 1 |
Venkat Hari | US | Oakland | 2010-07-29 / 20100189813 - Cidal formulations and methods of use | 1 |
Adiseshu Hari | US | Holmdel | 2016-03-03 / 20160065386 - SCALABLE VIRUTAL NETWORKS IN SDN-BASED ETHERNET NETWORKS USING VLANS | 10 |
Adiseshu Hari | US | Holmdel | 2016-03-03 / 20160065386 - SCALABLE VIRUTAL NETWORKS IN SDN-BASED ETHERNET NETWORKS USING VLANS | 10 |
Yoshiyuki Hari | JP | Osaka | 2012-08-16 / 20120208991 - BRIDGED ARTIFICIAL NUCLEOSIDE AND NUCLEOTIDE | 1 |
Hirofumi Hari | JP | Kodaira-Shi | 2008-12-11 / 20080304390 - DATA RECORDER | 2 |
Dhanalakoti Hari | IN | Hyderabad A.p | 2013-10-31 / 20130290265 - Backup jobs scheduling optimization | 1 |
Kuchibhotla Venkata Subrahmanya Hari | IN | Bangalore | 2012-07-19 / 20120185525 - Filtering Discrete Time Signals Using a Notch Filter | 2 |
G. Hari | US | Plano | 2008-10-09 / 20080247423 - SYSTEM AND METHOD FOR TRANSPORTING MULTIPLE CLIENT DATA SIGNALS VIA A SIGNAL SERVER SIGNAL | 1 |
Mohan Saxena Hari | IN | Ludhiana | 2012-09-27 / 20120244552 - Modified Method of Agglutination to Detect Infections Caused by Microorganisms | 1 |
Anitha Hari | US | High Point | 2014-07-24 / 20140206660 - Phenyl-Heteroaryl Derivatives and Methods of Use Thereof | 8 |
Balasubramani Hari | IN | Bangalore | 2015-06-04 / 20150156907 - UNINTERRUPTIBLE POWER SUPPLY HAVING ADJUSTABLE INTERFACE | 1 |
Bhuvani Hari | US | Richardson | 2008-10-02 / 20080240060 - SYSTEM AND METHOD FOR REAL-TIME CORRELATION OF AAL2 AND AAL5 MESSAGES FOR CALLS IN UTRAN | 1 |
Yog Hari | US | Charlotte | 2015-03-12 / 20150073993 - SAVINGS SWEEP PROGRAM | 1 |
Kumiko Hari | JP | Tokushima-Shi, Tokushima | 2015-10-29 / 20150306594 - RADIOACTIVE MATERIAL ADSORBENT, ADSORPTION VESSEL, ADSORPTION TOWER, AND WATER TREATMENT DEVICE | 1 |
Adiseshu Hari | US | Matawan | 2010-03-11 / 20100061309 - METHOD AND SYSTEM FOR MOBILITY ACROSS HETEROGENEOUS ADDRESS SPACES | 2 |
Abarajith S. Hari | US | Rigecrest | 2015-12-24 / 20150368377 - PREPARATION OF POLYOLEFIN | 1 |
Sastry Hari | US | San Jose | 2013-10-24 / 20130283340 - OPTIMIZED POLICY MATCHING AND EVALUATION FOR NON-HIERARCHICAL RESOURCES | 2 |
Om Hari | US | Louisville | 2012-06-21 / 20120152290 - PORT ASSEMBLY FOR USE WITH A CLEANING DEVICE AND METHOD FOR ASSEMBLING THE SAME | 2 |
Robbie Singh Hari | CA | Calgary | 2015-03-19 / 20150075772 - System and Method for Separating Gaseous Material From Formation Fluids | 1 |
Daniel Hari | DE | Koeln | 2016-02-18 / 20160046219 - HEADREST FOR A VEHICLE SEAT | 4 |
Shanker Singh Hari | IN | Noida | 2011-06-30 / 20110158649 - INTEGRATING PLURALITY OF BUILDING MANAGEMENT SERVICES AND HOME AUTOMATION SERVICES WITH PLURALITY OF MULTI - PLAY SERVICES ON NEUTRAL ACCESS NETWORK OPERATIONS BASIS | 1 |
Mahender Hari | US | Redmond | 2015-10-29 / 20150312159 - MECHANISM TO SAVE SYSTEM POWER USING PACKET FILTERING BY NETWORK INTERFACE | 2 |
Bhavani Kumar Hari | US | Campbell | 2015-10-08 / 20150287080 - CONTEXTUAL PROMOTIONS | 1 |
Anitha Hari | US | High Point | 2014-07-24 / 20140206660 - Phenyl-Heteroaryl Derivatives and Methods of Use Thereof | 8 |
Radhakrishna Hari | US | Belmont | 2010-09-23 / 20100241269 - Inventory replication based upon order fulfillment rates | 1 |
Balasubramani Hari | IN | Bangalore-Karnataka | 2013-02-07 / 20130033801 - USER INTERFACE MOUNTING MODULE AND METHOD TO MOUNT A USER INTERFACE ON A UPS | 1 |
Kenji Hari | JP | Aichi | 2009-06-18 / 20090152792 - Solenoid device, automatic document feeder, and image forming apparatus | 1 |
Rahul Hari | IN | Bangalore | 2014-04-17 / 20140108144 - METHODS AND SYSTEMS FOR USING VOICE INPUT IN DISPLAY ADVERTISEMENTS | 3 |
Prakash Hari | US | Germantown | 2011-10-06 / 20110243562 - METHOD AND APPARATUS FOR INTEGRATED WAVEGUIDE TRANSMIT-RECEIVE ISOLATION, FILTERING, AND CIRCULAR POLARIZATION | 1 |
Krishna Prakash Hari | US | Germantown | 2013-12-26 / 20130342412 - ANTENNA FEEDHORN WITH ONE-PIECE FEEDCAP | 1 |
Rob Hari | CA | Calgary | 2015-09-24 / 20150267523 - Systems and Methods for Producing Formation Fluids | 2 |
Ajay Karthik Hari | US | Scottsdale | 2015-12-31 / 20150381039 - CASCADED BUCK BOOST DC TO DC CONVERTER AND CONTROLLER FOR SMOOTH TRANSITION BETWEEN BUCK MODE AND BOOST MODE | 2 |
Abarajith S. Hari | US | Ridgecrest | 2015-07-30 / 20150210782 - Controlling a Polyolefin Reaction | 2 |
Abarajith Hari | US | Houston | 2013-08-01 / 20130195432 - TRICHLOROSILANE VAPORIZATION SYSTEM | 2 |
Yasuko Hari | JP | Yamato-Shi | 2009-09-17 / 20090229970 - SPUTTERING CATHODE, SPUTTERING APPARATUS PROVIDED WITH SPUTTERING CATHODE, FILM-FORMING METHOD, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 1 |
Kishan Hari | IN | New Delhi | 2009-12-10 / 20090302263 - CONDUCTING COPOLYMER FERROMAGNETIC COMPOSITE AND A PROCESS FOR THE PREPARATION THEREOF | 1 |
Kenji Hari | JP | Kanagawa | 2015-11-12 / 20150321872 - SHEET PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Santosh Hari | US | Lake Mary | 2015-07-02 / 20150189513 - SYSTEM AND METHOD FOR MITIGATING INTERFERENCE WHEN INITIALIZING LINKS BETWEEN COGNITIVE RADIOS | 4 |
Abizer I. Harianawala | US | Lexington | 2015-04-16 / 20150104510 - Aliphatic Amine Polymer Salts for Tableting | 2 |
Abizer Harianawala | US | Acton | 2016-05-05 / 20160120842 - AMORPHOUS AND A CRYSTALLINE FORM OF GENZ 112638 HEMITARTRATE AS INHIBITOR OF GLUCOSYLCERAMIDE SYNTHASE | 6 |
Widya Harianto | US | Woodland Hills | 2013-04-11 / 20130090952 - SYSTEM AND METHOD FOR TRANSFER CONTROL | 1 |
Rajiv Haribhakti | US | Churchville | 2008-12-04 / 20080299211 - FEXOFENADINE SUSPENSION FORMULATION | 1 |
Moustapha Hariche | FR | Combaillaux | 2015-08-27 / 20150241352 - Method for Compensating for the Aging of a Reagent During Fluorescence Measurements Carried out on Particles, and Biological Analysis Device Implementing the Method | 1 |
Bijan Harichian | US | Brookfield | 2016-02-18 / 20160045419 - PERSONAL CARE PHOTOPROTECTIVE COMPOSITIONS WITH TRICYCLODECANE AMIDES | 15 |
Bijan Harichian | US | Brookfield | 2016-02-18 / 20160045419 - PERSONAL CARE PHOTOPROTECTIVE COMPOSITIONS WITH TRICYCLODECANE AMIDES | 15 |
Bijan Harichian | US | Trumbull | 2013-05-23 / 20130129644 - SUNSCREEN COMPOSITION WITH POLYHYDROXY QUATERNARY AMMONIUM SALTS | 6 |
Harshal S. Haridas | US | Jamison | 2015-07-30 / 20150215339 - POLICY-BASED SECURE COMMUNICATION WITH AUTOMATIC KEY MANAGEMENT FOR INDUSTRIAL CONTROL AND AUTOMATION SYSTEMS | 2 |
Nakul Raghavanand Haridas | GB | Edinburgh | 2014-12-11 / 20140361947 - ANTENNA ELEMENT & ANTENNA DEVICE COMPRISING SUCH ELEMENTS | 1 |
Sriram Haridas | US | Cary | 2011-03-17 / 20110064081 - NETWORK PROTOCOL HEADER ALIGNMENT | 1 |
Sankar Haridas | IN | Mumbai | 2011-10-20 / 20110257144 - NOVEL DERMACEUTICAL CREAM MADE USING SODIUM FUSIDATE | 1 |
Valsala Haridas | US | Pearland | 2014-06-26 / 20140179624 - CYTOPROTECTIVE DERIVATIVES OF AVICIN D AND METHODS OF MAKING AND USING THEREOF | 2 |
Ganesh Haridas | IN | Tamilnadu | 2015-12-03 / 20150350321 - OFFLINE MESSAGING BETWEEN A REPOSITORY STORAGE OPERATION CELL AND REMOTE STORAGE OPERATION CELLS VIA AN INTERMEDIARY MEDIA AGENT | 1 |
Dinesh Haridas | US | Redmond | 2012-06-21 / 20120159240 - RECOVERY OF A COMPUTER THAT INCLUDES VIRTUAL DISKS | 2 |
Balakrishna Haridas | US | Mason | 2013-04-18 / 20130096399 - METHODS AND DEVICES FOR DETECTING BOWEL PERFORATION | 3 |
Paresh Ramchandra Haridas | US | Bellevue | 2009-05-21 / 20090132642 - DELEGATING APPLICATION INVOCATION BACK TO CLIENT | 1 |
Sriram Haridas | IN | Bangalore | 2011-08-11 / 20110194411 - APPLYING ROUTER QUALITY OF SERVICE ON A CABLE MODEM INTERFACE ON A PER-SERVICE-FLOW BASIS | 1 |
Nakul R. Haridas | GB | Edinburgh | 2010-11-18 / 20100289717 - RECONFIGURABLE ANTENNA | 2 |
Jaiden Haridas | US | Redmond | 2015-12-03 / 20150350316 - DATA TRANSFER SERVICE | 2 |
Valsala Haridas | US | Houston | 2015-04-23 / 20150111843 - DERIVATIVES OF AVICIN D AND METHODS OF MAKING AND USING THEREOF | 1 |
Govind Haridas | US | Palo Alto | 2016-04-14 / 20160105456 - VIRTUAL MACHINE COMPLIANCE CHECKING IN CLOUD ENVIRONMENTS | 1 |
Snehal Haridas | US | Santa Clara | 2010-04-15 / 20100094945 - CACHING CONTENT AND STATE DATA AT A NETWORK ELEMENT | 1 |
Valsala Haridas | US | 2015-04-23 / 20150111843 - DERIVATIVES OF AVICIN D AND METHODS OF MAKING AND USING THEREOF | 1 | |
Sajeet Haridas | US | Walnut Creek | 2013-07-25 / 20130189677 - ABC terpenoid transporters and methods of using the same | 1 |
Sridevi Haridasa | US | San Jose | 2013-09-19 / 20130246925 - SYSTEM AND METHOD FOR MANAGING DATA AND POLICIES | 1 |
Maya Haridasan | US | San Jose | 2011-11-17 / 20110282825 - COMPARING AND IDENTIFYING SIMILAR TRACKS | 2 |
Anoop Haridasan | IE | Leixlip Co. Kildare | 2016-03-31 / 20160089890 - VENT THROUGH A PRINTHEAD SUPPORT STRUCTUR | 2 |
Anoop Haridasan | IE | Leixlip | 2013-09-19 / 20130242011 - VENT PATH FOR A LIQUID CONTAINER | 1 |
Anand Haridass | IN | Nagawara | 2012-08-09 / 20120204141 - Noise Coupling Reduction and Impedance Discontinuity Control in High-Speed Ceramic Modules | 2 |
Anand Haridass | US | Austin | 2014-03-20 / 20140080300 - MULTI-LAYER CIRCUIT SUBSTRATE FABRICATION METHOD PROVIDING IMPROVED TRANSMISSION LINE INTEGRITY AND INCREASED ROUTING DENSITY | 21 |
Anand Haridass | IN | Bangalore | 2016-02-18 / 20160050301 - SYSTEM INTERCONNECT DYNAMIC SCALING HANDSHAKE USING SPARE BIT-LANE | 24 |
Anand Haridass | IN | Bangalore | 2016-02-18 / 20160050301 - SYSTEM INTERCONNECT DYNAMIC SCALING HANDSHAKE USING SPARE BIT-LANE | 24 |
Balaji Haridasu | IN | Bangalore | 2014-05-01 / 20140119937 - WIND TURBINE ROTOR BLADE WITH FABRIC SKIN AND ASSOCIATED METHOD FOR ASSEMBLY | 5 |
Seif Haridi | SE | Stockholm | 2015-04-30 / 20150120952 - AGGRESSIVE PREFETCHING | 1 |
Motti Haridim | IL | Givat Zeev | 2011-10-20 / 20110254747 - SYSTEM FOR RADIATING RADIO FREQUENCY SIGNALS | 2 |
Rajkumar Haridoss | US | Seattle | 2014-09-11 / 20140258479 - MANAGING CONFIGURATION UPDATES | 1 |
Gershon Harif | IL | Ramat Gan | 2015-05-21 / 20150139744 - METHOD FOR DESIGNING A CUTTING EDGE OF A CUTTING TOOL, CUTTING TOOLS COMPRISING THE SAME, AND CUTTING ELEMENTS WITH MULTIPLE SUCH CUTTING PORTIONS | 13 |
Gershon Harif | IL | Holon | 2012-05-03 / 20120107061 - CUTTING TOOLS, CUTTING TOOL HOLDERS AND CUTTING INSERTS THEREFOR | 1 |
Shlomi Harif | US | Austin | 2016-02-11 / 20160043684 - METHOD AND APPARATUS FOR PROVISIONING AN ALTERNATIVE ENERGY SOURCE GENERATOR | 1 |
Gershon Harif | IL | Ramat Gan | 2015-05-21 / 20150139744 - METHOD FOR DESIGNING A CUTTING EDGE OF A CUTTING TOOL, CUTTING TOOLS COMPRISING THE SAME, AND CUTTING ELEMENTS WITH MULTIPLE SUCH CUTTING PORTIONS | 13 |
Tino Harig | DE | Langelsheim | 2012-05-31 / 20120135144 - COATING DEVICE AND COATING METHOD | 4 |
Volker Harig | DE | Saarbrucken | 2009-01-08 / 20090012493 - PRE-EVACUATABLE OR PRE-EVACUATED CONTAINER FOR MEDICAL PURPOSES | 1 |
Andreas Harig | DE | Augustdorf | 2011-04-21 / 20110088879 - Temperature Control System for Printing Machines Having Several Temperature Levels | 2 |
Jeffery Harig | US | Mesa | 2014-10-30 / 20140321512 - Embedded Meta-Carrier with Spread Spectrum for Periodic-Burst Carriers via Overlaid Carriers | 11 |
Christian Harig | DE | Koln | 2009-05-28 / 20090136361 - Vacuum turbomolecular pump | 1 |
Christopher W. Harig | US | Ashland | 2012-09-27 / 20120240499 - Modular Panel Wall Assemblies | 2 |
Tino Harig | DE | Braunschweig | 2011-12-29 / 20110318490 - METHOD FOR DEPOSITING A COATING | 1 |
Rene Harig | DE | Dresden | 2013-09-26 / 20130247301 - Operating Table Top Assemblies and Related Devices | 2 |
Roland Harig | DE | Hamburg | 2013-12-19 / 20130335734 - Gas cell for the optical analysis of gases | 1 |
Thomas Harig | DE | Neunkirchen-Seelschied | 2009-05-21 / 20090127511 - Method and Device for Providing a Gaseous Substance Mixture | 1 |
Jeffery Harig | US | Mesa | 2014-10-30 / 20140321512 - Embedded Meta-Carrier with Spread Spectrum for Periodic-Burst Carriers via Overlaid Carriers | 11 |
Stefan Harig | DE | Losheim | 2014-01-23 / 20140020302 - PROFILED SEALING SECTION, IN PARTICULAR FOR SEALING A MOVABLE VEHICLE WINDOW PANE | 1 |
Roland Harig | DE | Waldbronn | 2015-07-02 / 20150185075 - Gas cell for the optical analysis of gases | 2 |
Christian Harig | DE | Koeln | 2010-12-23 / 20100322798 - RAPIDLY ROTATING VACUUM PUMP | 4 |
Lena Harig | DE | Muenster | 2015-12-10 / 20150353945 - NUCLEIC ACID SEQUENCES AND PEPTIDES/PROTEINS OF THE FT FAMILY PROVIDING FLOWER REPRESSING PROPERTIES IN TOBACCO AND TRANSGENIC PLANTS TRANSFORMED THEREWITH | 1 |
Kenichi Harigae | JP | Saitama | 2015-06-11 / 20150159025 - Conductive Paste for Forming Conductive Film for Semiconductor Devices, Semiconductor Device, and Method for Producing Semiconductor Device | 1 |
Isao Harigae | JP | Oyama-Shi | 2012-08-09 / 20120198901 - APPARATUS AND METHOD FOR DRAWING METAL TUBE | 1 |
Shinichi Harigae | JP | Kyoto | 2013-09-19 / 20130242206 - INFORMATION PROCESSING DEVICE | 2 |
Akihiro Harigae | JP | Ome-Shi | 2012-10-04 / 20120250174 - INFORMATION RECORDING DEVICE AND INFORMATION RECORDING METHOD | 2 |
Yusuke Harigae | JP | Suwa-Shi | 2016-02-11 / 20160039226 - TAPE PRINTER | 1 |
Ryo Harigae | JP | Koganei-Shi | 2016-05-19 / 20160136981 - PRINTING APPARATUS AND SHEET WINDING METHOD | 4 |
Makato Harigae | JP | Tokyo | 2014-05-22 / 20140139864 - FILE MANAGEMENT APPARATUS, FILE MANAGEMENT METHOD, AND FILE MANAGEMENT SYSTEM | 1 |
Makoto Harigae | JP | Tokyo-To | 2015-05-14 / 20150131120 - IMAGE FORMING APPARATUS AND STORAGE METHOD OF PRINT DATA | 1 |
Emi Harigae | JP | Tokyo | 2015-10-29 / 20150307675 - DECORATIVE SHEET, AND DECORATIVE RESIN-MOLDED ARTICLE EMPLOYING SAME | 3 |
Masato Harigae | JP | Ibaraki-Shi | 2014-07-03 / 20140186682 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Makoto Harigae | JP | Tokyo | 2012-04-26 / 20120099134 - IMAGE FORMING APPARATUS AND SETTING METHOD FOR THE SAME | 3 |
Naohiro Harigai | JP | Kiryu-Shi | 2013-09-12 / 20130234792 - TIME DIFFERENCE AMPLIFIER CIRCUIT | 1 |
Tetsuji Harigai | JP | Tokyo | 2012-08-23 / 20120215012 - PROCESS AND SYSTEM FOR PURIFICATION OF TETRAHYDROFURAN | 1 |
Jungo Harigai | JP | Kanagawa | 2012-02-23 / 20120045124 - COLOR CORRECTION COEFFICIENT GENERATING APPARATUS, COLOR CORRECTION COEFFICIENT GENERATION METHOD, AND COMPUTER READABLE MEDIUM STORING PROGRAM | 3 |
Takakiyo Harigai | JP | Kyoto | 2013-11-14 / 20130298674 - PIEZOELECTRIC FILM AND METHOD OF MANUFACTURING THE SAME, INK JET HEAD, METHOD OF FORMING IMAGE BY THE INK JET HEAD, ANGULAR VELOCITY SENSOR, METHOD OF MEASURING ANGULAR VELOCITY BY THE ANGULAR VELOCITY SENSOR, PIEZOELECTRIC GENERATING ELEMENT, AND METHOD OF GENERATING ELECTRIC POWER USING THE PIEZOELECTRIC GENERATING ELEMENT | 14 |
Takakiyo Harigai | JP | Kyoto | 2013-11-14 / 20130298674 - PIEZOELECTRIC FILM AND METHOD OF MANUFACTURING THE SAME, INK JET HEAD, METHOD OF FORMING IMAGE BY THE INK JET HEAD, ANGULAR VELOCITY SENSOR, METHOD OF MEASURING ANGULAR VELOCITY BY THE ANGULAR VELOCITY SENSOR, PIEZOELECTRIC GENERATING ELEMENT, AND METHOD OF GENERATING ELECTRIC POWER USING THE PIEZOELECTRIC GENERATING ELEMENT | 14 |
Jungo Harigai | JP | Ashigarakami-Gun | 2009-08-20 / 20090208101 - COLOR CORRECTION COEFFICIENT GENERATOR, COLOR CORRECTION COEFFICIENT GENERATION METHOD AND COMPUTER READABLE MEDIUM | 1 |
Hisao Harigai | JP | Kanagawa | 2011-09-29 / 20110238931 - MEMORY DEVICE, MEMORY SYSTEM AND MICROCONTROLLER INCLUDING MEMORY DEVICE, AND MEMORY CONTROL DEVICE | 1 |
Takakiyo Harigai | JP | Osaka | 2015-08-27 / 20150243878 - NBT-BT CRYSTAL PIEZOELECTRIC FILM AND PIEZOELECTRIC STACKING STRUCTURE COMPRISING THE SAME | 8 |
Naoto Harigai | JP | Tokyo | 2011-12-15 / 20110306126 - METHOD FOR PRODUCING ANTIBODY DIRECTED AGAINST PROTEIN EXPRESSED ON CELL SURFACE | 1 |
Kaori Harigai | JP | Narashino-Shi | 2008-10-09 / 20080245910 - Medium agitation mill | 1 |
Takakiyo Harigai | JP | Osaka | 2015-08-27 / 20150243878 - NBT-BT CRYSTAL PIEZOELECTRIC FILM AND PIEZOELECTRIC STACKING STRUCTURE COMPRISING THE SAME | 8 |
Hideki Hariguchi | JP | Tokyo | 2010-10-14 / 20100258959 - VAPORIZATION FILTER FOR HUMIDIFICATION, VAPORIZATION FILTER LAMINATE FOR HUMIDIFICATION AND HUMIDIFICATION METHOD USING THESE | 1 |
Norimitsu Hariguchi | JP | Tokushima-Shi | 2010-09-16 / 20100233202 - NOVEL VIRAL VECTOR | 1 |
Norimitsu Hariguchi | JP | Osaka | 2013-01-24 / 20130022637 - RECOMBINANT BACULOVIRUS VACCINE | 2 |
Makoto Harihara | JP | Osaka-Shi | 2015-09-17 / 20150259321 - FLUORENE-TYPE COMPOUND, PHOTOPOLYMERIZATION INITIATOR COMPRISING SAID FLUORENE-TYPE COMPOUND, AND PHOTOSENSITIVE COMPOSITION CONTAINING SAID PHOTOPOLYMERIZATION INITIATOR | 1 |
Parasuram Padmanabhan Harihara | US | Painted Post | 2015-09-24 / 20150268174 - SKINNING OF CERAMIC HONEYCOMB BODIES | 5 |
Shankar Harihara | US | Irving | 2012-06-21 / 20120158642 - ENTERPRISE RESOURCE PLANNING (ERP) SYSTEM CHANGE DATA CAPTURE | 1 |
Yasumasa Harihara | JP | Tokyo | 2013-04-11 / 20130088398 - ANTENNA APPARATUS AND WIRELESS COMMUNICATION DEVICE USING SAME | 9 |
Shankar Srinivasan Harihara | US | Irving | 2012-05-17 / 20120124081 - METHOD AND SYSTEM FOR PROVIDING DATA MIGRATION | 1 |
Parasuram Padmanabhan Harihara | US | Pinted Post | 2016-03-24 / 20160082618 - METHOD AND SYSTEM FOR CONTROL OF AN AXIAL SKINNING APPARATUS | 1 |
Yasumasa Harihara | JP | Tokyo | 2013-04-11 / 20130088398 - ANTENNA APPARATUS AND WIRELESS COMMUNICATION DEVICE USING SAME | 9 |
Sriram Hariharan | US | San Diego | 2013-10-03 / 20130259230 - Bluetooth Low Energy Privacy | 1 |
Kandasamy Hariharan | US | San Diego | 2013-07-18 / 20130183288 - NON-FUCOSYLATED ANTIBODIES | 13 |
Srivats Hariharan | SG | Singapore | 2014-07-10 / 20140192178 - METHOD AND SYSTEM FOR TRACKING MOTION OF MICROSCOPIC OBJECTS WITHIN A THREE-DIMENSIONAL VOLUME | 1 |
Vivek Hariharan | US | Sunnyvale | 2010-09-30 / 20100250365 - AD GROUPS FOR USING ADVERTISEMENTS ACROSS PLACEMENTS | 4 |
Raghunath Hariharan | US | Streamwood | 2010-11-18 / 20100291876 - TECHNIQUES FOR REDUCING NOISE AND INTERFERENCE IN WIRELESS COMMUNICATION SYSTEMS | 2 |
Ram Hariharan | US | Springfield | 2013-08-29 / 20130224134 - NITROCELLULOSE-FREE NAIL POLISH COMPOSITIONS | 3 |
Srikanth Hariharan | US | San Ramon | 2014-06-12 / 20140162682 - OVERSHOOTING CELL DETECTION FOR SELF OPTIMIZING NETWORK APPLICATIONS | 2 |
Suresh Hariharan | US | Livermore | 2015-07-09 / 20150194097 - ELIMINATING VISIBLE FLICKER IN LED-BASED DISPLAY SYSTEMS | 8 |
Madhu Hariharan | US | Munster | 2013-10-10 / 20130266520 - ORAL FILM DOSAGE FORM HAVING PHYSICAL-CHEMICAL IDENTIFIER THEREON | 6 |
Anand Hariharan | US | San Jose | 2015-02-19 / 20150049767 - METHOD AND SYSTEM FOR PROVIDING DISTRIBUTED ALLOWED DOMAINS IN A DATA NETWORK | 5 |
Peringandoor Raman Hariharan | US | Houston | 2012-09-13 / 20120228030 - Subsea Solids Processing Apparatuses and Methods | 3 |
Gangadhar Hariharan | US | Santa Clara | 2015-02-26 / 20150055662 - INTERNET GROUP MANAGEMENT PROTOCOL (IGMP) LEAVE MESSAGE PROCESSING SYNCHRONIZATION | 3 |
Anand Hariharan | US | Franklin Park | 2010-08-26 / 20100214650 - BUTTERFLY LASER | 1 |
Sivaramakrishnan Hariharan | IN | Mumbai | 2016-02-04 / 20160031867 - AN IMPROVED PROCESS FOR THE PREPARATION OF APREPITANT | 10 |
Mahesh Hariharan | US | Redmond | 2014-10-30 / 20140325607 - PROGRAMMATICALLY ENABLING USER ACCESS TO CRM SECURED FIELD INSTANCES BASED ON SECURED FIELD INSTANCE SETTINGS | 3 |
Ramaswamy Hariharan | US | Redmond | 2014-01-09 / 20140012874 - INTEGRATION OF LOCATION LOGS, GPS SIGNALS, AND SPATIAL RESOURCES FOR IDENTIFYING USER ACTIVITIES, GOALS, AND CONTEXT | 1 |
Ganesh Shekharipuram Hariharan | US | San Ramon | 2013-08-29 / 20130226709 - METHODS AND SYSTEMS TO PRESENT NETWORK NOTIFICATIONS IN CONJUNCTION WITH DISPLAY ADVERTISEMENTS | 2 |
Rajaraman Hariharan | IN | Karnataka | 2015-02-05 / 20150039422 - COMMUNICATION WITH SHOPPERS IN A RETAIL ENVIRONMENT | 2 |
Priya Hariharan | US | Coppell | 2014-02-20 / 20140053020 - SYSTEM FOR AND METHOD OF IMPROVING TRANSACTION PROCESSING AND FLOW-THROUGH | 1 |
Rahul Shankar Hariharan | US | Cambridge | 2012-11-01 / 20120275510 - SCALING SIGNAL QUALITY WITH CHANNEL QUALITY | 1 |
Ramprasath X. Hariharan | IN | Chennai | 2013-08-01 / 20130196720 - MOBILE BATTERY PARTITIONING SYSTEM AND METHOD | 2 |
Rajaraman Hariharan | IN | Bangalore | 2015-03-12 / 20150074011 - SUPPLY CHAIN MANAGEMENT ANOMALY DETECTION | 10 |
Sivaramakrishnan Hariharan | IN | Mumbai | 2016-02-04 / 20160031867 - AN IMPROVED PROCESS FOR THE PREPARATION OF APREPITANT | 10 |
Sanjaya G. Hariharan | IN | Bangalore | 2014-03-20 / 20140081686 - SYSTEMS AND METHODS OF KNOWLEDGE TRANSFER | 1 |
Sriram Hariharan | US | San Jose | 2015-04-02 / 20150092642 - DEVICE SYNCHRONIZATION OVER BLUETOOTH | 1 |
Krishnan Seethalakshmy Hariharan | IN | Bangalore | 2016-01-07 / 20160006286 - METHOD AND SYSTEM OF CHARGING A BATTERY | 1 |
Trichur Easwaran Hariharan | IN | Chennai | 2011-08-25 / 20110208782 - METHOD AND COMPUTER PROGRAM PRODUCT FOR CREATING ORDERED DATA STRUCTURE | 2 |
Ramprasath Hariharan | IN | Tamil Nadu | 2014-01-23 / 20140025707 - METHOD AND APPARATUS FOR ESTABLISHING A CONNECTION WITH KNOWN INDIVIDUALS | 3 |
Prabhu Hariharan | US | Fremont | 2016-04-28 / 20160119421 - METHODS AND SYSTEMS FOR ACCESSING VIRTUAL STORAGE SERVERS IN A CLUSTERED ENVIRONMENT | 1 |
Hari S. Hariharan | US | Madison | 2010-08-19 / 20100211456 - Adaptive Marketing Using Insight Driven Customer Interaction | 1 |
Manoj Hariharan | IN | Delhi | 2010-01-21 / 20100016414 - TARGETS FOR HUMAN MICRO RNAS IN AVIAN INFLUENZA VIRUS (H5N1) GENOME | 1 |
Rajaraman Hariharan | IN | Chennai | 2011-07-21 / 20110178889 - A METHOD, MEDIUM, AND SYSTEM FOR ALLOCATING A TRANSACTION DISCOUNT DURING A COLLABORATIVE SHOPPING SESSION | 2 |
Sivaramakrishnan Hariharan | IN | Maharashtra | 2013-02-14 / 20130040988 - 3-AMINO-PYRIDINE DERIVATIVES FOR THE TREATMENT OF METABOLIC DISORDERS | 2 |
Ramakrishnan Hariharan | US | Springfield | 2015-11-05 / 20150313831 - NAIL COMPOSITIONS | 3 |
Srikanth Hariharan | US | Sunnyvale | 2015-05-21 / 20150141027 - SELF-ADAPTIVE COVERAGE OF WIRELESS NETWORKS | 1 |
Rema Hariharan | US | Austin | 2016-03-03 / 20160065486 - SOFTWARE LOAD BALANCER TO MAXIMIZE UTILIZATION | 2 |
Venkatasubramanian Hariharan | IN | Chennai, Tamilnadu | 2009-04-09 / 20090093423 - NOVEL 2-SUBSTITUTED METHYL PENAM DERIVATIVES | 1 |
V. Hariharan | IN | Tamil Nadu | 2008-10-30 / 20080269223 - Mouth Dissolvable and Meltable, and Water Dispersable Delivery Formulation | 1 |
Ramprasath Hariharan | IN | Chennai | 2013-05-23 / 20130128058 - VIDEO RESPONSES TO MESSAGES | 1 |
Bharath Hariharan | US | San Francisco | 2016-03-10 / 20160070442 - USER INTERFACE FOR IDENTITY SWITCHING | 1 |
Nathan S. Hariharan | US | Vernon | 2012-11-01 / 20120276517 - MODEL-BASED EGRESS SUPPORT SYSTEM | 4 |
Madhusudan Hariharan | US | Munster | 2016-04-28 / 20160114043 - Stabilized Amine-Containing Actives in Oral Film Compositions | 13 |
Ram Kumar Hariharan | US | 2012-09-20 / 20120239452 - Fleet Management Systems and Processes | 1 | |
Ramakrish Hariharan | US | Springfield | 2011-11-17 / 20110280818 - COMPOSITIONS CONTAINING HYPERBRANCHED POLYOL AND TACKIFIER | 1 |
Subramaniya Hariharan | US | Akron | 2014-03-27 / 20140083095 - THERMAL ENERGY HARVESTING DEVICE | 1 |
Magesh Hariharan | US | San Diego | 2016-05-19 / 20160142455 - MULTI-CHANNEL AUDIO ALIGNMENT SCHEMES | 6 |
Sriram Hariharan | US | Cupertino | 2015-12-03 / 20150350334 - METHOD AND APPARATUS FOR INITIATING A HIGH-POWER CONNECTION VIA A LOW-POWER INTERFACE | 1 |
Subramaniya I. Hariharan | US | Akron | 2015-12-10 / 20150354548 - THERMAL ENERGY HARVESTING SYSTEM | 1 |
Gurushyam Hariharan | US | Gilbert | 2015-04-23 / 20150113413 - REDUCING SYSTEM RESOURCE REQUIREMENTS FOR USER INTERACTIVE AND CUSTOMIZABLE IMAGE PRODUCT DESIGNS | 2 |
Sanjaya Ganesh Hariharan | IN | Bangalore | 2016-03-17 / 20160077570 - DISTRIBUTED INFORMATION TECHNOLOGY INFRASTRUCTURE DYNAMIC POLICY DRIVEN PEAK POWER MANAGEMENT SYSTEM | 1 |
Moorthy N. Hariharan | US | Germantown | 2009-09-03 / 20090221317 - METHOD FOR UPLINK POWER CONTROL FOR DISTRIBUTED SATELLITE NETWORKS TO COMPENSATE FOR RAIN FADE | 1 |
Suresh Hariharan | US | Livermore | 2015-07-09 / 20150194097 - ELIMINATING VISIBLE FLICKER IN LED-BASED DISPLAY SYSTEMS | 8 |
Bhasker Hariharan | US | Sunnyvale | 2010-03-25 / 20100077040 - DETECTION OF OUTBOUND SENDING OF SPAM | 2 |
Rajan Hariharan | US | Duluth | 2014-06-05 / 20140153167 - CONDUCTIVE COMPOSITIONS AND METHODS OF USING THEM | 2 |
Jeanette Hariharan | US | Akron | 2008-10-30 / 20080265087 - Power and imaging system for an airship | 1 |
Mahadevan Hariharan | TW | Taipei City | 2014-11-20 / 20140344573 - Decrypting Files for Data Leakage Protection in an Enterprise Network | 1 |
Sivaramakrishnan Hariharan | IN | Egattur | 2015-09-10 / 20150252001 - PROCESS FOR PREPARATION OF PERINDOPRIL INTERMEDIATE | 1 |
Madhu Hariharan | US | Greensboro | 2016-02-11 / 20160038425 - Non-Gelatin Enteric Soft Capsules | 2 |
Moorthy Hariharan | US | Rockville | 2011-07-07 / 20110164499 - SLOTTED ALOHA CONGESTION CONTROL | 5 |
Puneet Hariharan | US | Bellevue | 2013-04-18 / 20130097209 - DISPLAY OF TEMPORAL DATA OVER MULTIPLE ORDERS OF MAGNITUDE | 1 |
Ramakrishnan Hariharan | US | Sugar Land | 2012-06-14 / 20120150515 - In-Situ Stress Measurements In Hydrocarbon Bearing Shales | 1 |
Anand K. Hariharan | US | Marietta | 2015-08-13 / 20150227860 - DEFECT TURNAROUND TIME ANALYTICS ENGINE | 1 |
Rajaraman Hariharan | US | Santa Clara | 2015-08-27 / 20150242786 - INTEGRATING PROCESS CONTEXT FROM HETEROGENEOUS WORKFLOW CONTAINERS TO OPTIMIZE WORKFLOW PERFORMANCE | 1 |
Lakshminarayan Hariharan | US | Glendora | 2012-04-05 / 20120081598 - MEMS ACTUATOR DEVICE DEPLOYMENT | 1 |
Balaji Hariharan | IN | Kerala | 2015-03-05 / 20150062284 - System and Method for Synthesizing and Preserving Consistent Relative Neighborhood Position in Multi-Perspective Multi-Point Tele-Immersive Environments | 1 |
Rajaraman Hariharan | US | Sunnyvale | 2015-05-28 / 20150149921 - CONTENT FILTERING FOR PERSONAL PRODUCTIVITY APPLICATIONS | 3 |
Ramaswamy Hariharan | US | Irvine | 2011-06-30 / 20110161276 - INTEGRATION OF LOCATION LOGS, GPS SIGNALS, AND SPATIAL RESOURCES FOR IDENTIFYING USER ACTIVITIES, GOALS, AND CONTEXT | 1 |
Shankar Hariharan | US | Inwood | 2011-03-17 / 20110065938 - METHOD FOR THE PREPARATION OF ESCITALOPRAM | 1 |
Krishnan S. Hariharan | IN | Bangalore | 2013-08-22 / 20130218496 - BATTERY STATE ESTIMATOR WITH OVERPOTENTIAL-BASED VARIABLE RESISTORS | 2 |
Priya Hariharan | US | Dallas | 2013-07-18 / 20130182654 - TRANSMIT POWER CONTROL SIGNALING FOR COMMUINICATION SYSTEMS USING CARRIER AGGREGATION | 6 |
Gurushyam Hariharan | US | Santa Clara | 2013-10-03 / 20130262274 - PERSONALIZED DELIVERY TIME ESTIMATE SYSTEM | 1 |
Madhusudan Hariharan | US | Munster | 2016-04-28 / 20160114043 - Stabilized Amine-Containing Actives in Oral Film Compositions | 13 |
Hari Hariharan | US | Mount Laurel | 2016-02-25 / 20160051188 - QUANTIFICATION OF IN VIVO METABOLITE | 5 |
Gautham Hariharan | US | Sunnyvale | 2015-02-19 / 20150049651 - DYNAMICALLY UPDATING FILTERING CONFIGURATION IN MODEM BASEBAND PROCESSING | 1 |
Seeta Hariharan | US | Raleigh | 2010-04-29 / 20100106780 - Software Architecture for Managing a System of Heterogenous Network Processors and for Developing Portable Network Processor Applications | 3 |
Rekha Hariharan | IN | Mumbai | 2015-01-15 / 20150017687 - METHOD FOR OBTAINING A GLYCOPROTEIN COMPOSITION | 1 |
Srirama Hariharan | SG | Singapore | 2014-08-07 / 20140220448 - PRODUCTION OF NANOSTRUCTURED LI4TI5O12 WITH SUPERIOR HIGH RATE PERFORMANCE | 2 |
Sundar Hariharan | US | Milpitas | 2011-04-28 / 20110099006 - AUTOMATED AND ENHANCED NOTE TAKING FOR ONLINE COLLABORATIVE COMPUTING SESSIONS | 1 |
Nishant Hariharan | US | San Diego | 2014-01-02 / 20140002730 - ADAPTIVE FRAME RATE CONTROL | 3 |
Alleppey V. Hariharan | US | Austin | 2015-07-02 / 20150183055 - Laser conversion of high purity silicon powder to densified granular forms | 5 |
Rajaraman Hariharan | IN | Bangalore | 2015-03-12 / 20150074011 - SUPPLY CHAIN MANAGEMENT ANOMALY DETECTION | 10 |
Rajaraman Hariharan | US | 2012-09-13 / 20120233594 - SHARABLE DEVELOPMENT ENVIRONMENT BOOKMARKS FOR FUNCTIONAL/DATA FLOW | 1 | |
Venkatachalam Hariharan | US | San Jose | 2016-04-28 / 20160114458 - POLISHING PADS PRODUCED BY AN ADDITIVE MANUFACTURING PROCESS | 3 |
Madhu Sudan Hariharan | US | Greensboro | 2015-08-27 / 20150238429 - SOFT ELASTIC CAPSULES CONTAINING TABLETS AND LIQUID OR SEMISOLID FILLS AND METHODS FOR THEIR MANUFACTURE | 2 |
Ramya Hari Hara Prasad | IN | Pune | 2015-10-22 / 20150300829 - TASK PRIORITIZATION AND OPTIMIZATION USING INTERCONNECTED DATA SOURCES | 1 |
Mariappan Hariharaputhiran | US | Ballston | 2015-11-19 / 20150333067 - DEVICES AND METHODS OF FORMING FINFETS WITH SELF ALIGNED FIN FORMATION | 4 |
Mariappan Hariharaputhiran | US | Ballston Lake | 2016-02-11 / 20160043081 - METHOD OF FORMING SEMICONDUCTOR FINS | 5 |
Swaminathan Hariharaputhran | IN | Chennai | 2015-10-15 / 20150294263 - SHIP PERFORMANCE ANALYSIS AND LOG MANAGEMENT | 1 |
Girish Hariharasubramani | IN | Chennai | 2015-08-27 / 20150245187 - Community Interaction Using Mobile Communication Devices | 2 |
Sriram Hariharasubramanian | IN | Chennai | 2013-09-12 / 20130238639 - METHODS, SYSTEMS AND COMPUTER READABLE MEDIA FOR COMPARING XML DOCUMENTS | 1 |
K. R. Hariharasudhan | IN | Noida | 2013-05-16 / 20130124121 - BATTERY PACK MANAGEMENT | 1 |
Seralaathan Hariharesan | US | Flower Mound | 2014-05-29 / 20140148064 - ELECTRICAL CONNECTOR ASSEMBLY | 9 |
Norikazu Harii | JP | Yaminashi | 2012-09-20 / 20120238610 - Use of phenylmethimazoles, methimazole derivatives, and tautomeric cyclic thiones for the treatment of autoimmune/inflammatory diseases associated with toll-like receptor overexpression | 1 |
Tetsuo Harii | JP | Kanagawa | 2015-10-15 / 20150296658 - POWER TRANSMISSION APPARATUS | 2 |
Kazuya Harii | JP | Kanagawa | 2010-04-22 / 20100097063 - METHOD FOR CHANGING SPIN RELAXATION, METHOD FOR DETECTING SPIN CURRENT AND SPINTRONICS DEVICE USING SPIN RELAXATION | 1 |
Kazuya Harii | JP | Miyagi | 2012-01-19 / 20120012956 - MAGNETIC SENSOR AND MAGNETIC MEMORY | 2 |
Georges R. Harik | US | Mountain View | 2014-02-06 / 20140040027 - SERVING ADVERTISEMENTS BASED ON CONTENT | 8 |
Georges R. Harik | US | Palo Alto | 2013-07-18 / 20130185149 - SUGGESTING AND/OR PROVIDING TARGETING CRITERIA FOR ADVERTISEMENTS | 1 |
Hisham R. Harik | US | Palo Alto | 2014-03-06 / 20140067931 - Soft Matching User Identifiers | 1 |
Hisham Rabih Harik | US | Palo Alto | 2015-12-31 / 20150379130 - Soft Matching User Identifiers | 3 |
Georges Harik | US | Palo Alto | 2016-02-25 / 20160055530 - Methods and Apparatus For Serving Relevant Advertisements | 17 |
George R. Harik | US | Mountain View | 2011-10-27 / 20110264508 - SCORING, MODIFYING SCORES OF, AND/OR FILTERING ADVERTISEMENTS USING ADVERTISER INFORMATION | 1 |
Georges Harik | US | Mountain View | 2013-01-03 / 20130006812 - MICRO-PAYMENT SYSTEM ARCHITECTURE | 11 |
Nadine Harik | US | Palo Alto | 2008-10-09 / 20080249850 - Providing Information About Content Distribution | 1 |
Georges R. Harik | US | Mountain View | 2014-02-06 / 20140040027 - SERVING ADVERTISEMENTS BASED ON CONTENT | 8 |
Georges Harik | US | Palo Alto | 2016-02-25 / 20160055530 - Methods and Apparatus For Serving Relevant Advertisements | 17 |
Ralph Harik | US | Palo Alto | 2014-01-30 / 20140033284 - METHOD FOR USER AUTHENTICATION | 5 |
Georges Harik | US | Mountain View | 2013-01-03 / 20130006812 - MICRO-PAYMENT SYSTEM ARCHITECTURE | 11 |
Georges Harik | US | Mountain | 2011-07-21 / 20110179023 - Methods and Apparatus for Employing Usage Statistics in Document Retrieval | 1 |
Shinya Harikae | JP | Kiratsuka-Shi, Kanagawa | 2015-10-22 / 20150298510 - Pneumatic Tire | 1 |
Shinya Harikae | JP | Hiratsuka-Shi, Kanagawa | 2015-10-29 / 20150306920 - Pneumatic Tire | 2 |
Masato Harikae | JP | Yokohama | 2010-06-24 / 20100155125 - Circuit Device and Electronic Device | 1 |
Masato Harikae | JP | Yokohama-Shi | 2011-07-28 / 20110181512 - Portable Electronic Device, Control Method and Program | 1 |
Shinya Harikae | JP | Kanagawa | 2012-12-13 / 20120312441 - PNEUMATIC RADIAL TIRE FOR USE ON PASSENGER CAR | 1 |
Yusuke Harikae | JP | Kanuma-Shi | 2009-10-01 / 20090246730 - DENTAL HANDPIECE | 1 |
Masato Harikae | JP | Kanagawa | 2012-02-09 / 20120033100 - IMAGE CAPTURING DEVICE AND IMAGE CAPTURING METHOD | 3 |
Kohei Harikae | JP | Kawasaki | 2014-01-02 / 20140006092 - MANAGEMENT APPARATUS AND MANAGEMENT METHOD | 1 |
Shinya Harikae | JP | Hiratsuka-Shi | 2010-08-12 / 20100200140 - PNEUMATIC TIRE | 5 |
Shinya Harikae | JP | Kanagawa-Ken | 2009-04-16 / 20090095396 - Pneumatic radial tire | 2 |
Atsushi Harikai | JP | Osaka | 2015-11-26 / 20150340208 - PLASMA PROCESSING METHOD AND APPARATUS | 5 |
Atsushi Harikai | JP | Saga | 2010-08-05 / 20100197115 - METHOD OF SEGMENTING SEMICONDUCTOR WAFER | 4 |
Timothy Allan Harikkala | US | Greenville | 2012-12-06 / 20120304389 - Multi-Purpose Furniture | 1 |
Prabahar Harikrishnan | IN | Bangalore | 2013-07-04 / 20130173217 - DEVICE TO VERIFY SEQUENCE OF EVENTS DATA COLLECTION IN A CONTROL SYSTEM | 1 |
Lalgudi S. Harikrishnan | US | Skillman | 2011-12-01 / 20110294816 - PYRROLOTRIAZINE KINASE INHIBITORS | 1 |
Seetharaman Harikrishnan | US | Clyde Hill | 2014-08-07 / 20140223227 - METHOD, SYSTEM, AND APPARATUS FOR PROVIDING ALERT SYNTHESIS IN A DATA PROTECTION SYSTEM | 2 |
G. Harikrishnan | US | Minneapolis | 2011-02-24 / 20110046287 - CLAY-ISOCYANATE NANODISPERSIONS AND POLYURETHANE NANOCOMPOSITE PRODUCED THEREWITH | 1 |
Lalgudi S. Harikrishnan | US | Princeton | 2012-12-20 / 20120322761 - HETEROCYCLIC CETP INHIBITORS | 2 |
Yamini Harikrishnan | IN | Bangalore | 2015-10-15 / 20150296404 - METHOD AND SYSTEM FOR PROVIDING DATA COMMUNICATION THROUGH A CLUSTER HEAD FOR MACHINE TYPE COMMUNICATION (MTC) BASED GROUP COMMUNICATION | 1 |
Gurumurthy Harikrishnan | IN | Chennai | 2014-06-26 / 20140180949 - SYSTEM AND METHOD FOR AUTOMATED CODING AND TESTING OF BENEFITS | 1 |
Seetharaman Harikrishnan | US | Redmond | 2012-04-05 / 20120084265 - CONFIGURING A DATA PROTECTION SYSTEM | 3 |
Gopal Harikumar | US | South Bend | 2012-07-19 / 20120183034 - Spectrally constrained impulse shortening filter for a discrete multi-tone receiver | 1 |
Ajay Harikumar | IN | Bangalore | 2014-03-27 / 20140089943 - METHOD, SYSTEM AND APPARATUS FOR HANDLING EVENTS FOR PARTITIONS IN A SOCKET WITH SUB-SOCKET PARTITIONING | 6 |
Gopal Harikumar | US | Westford | 2011-06-02 / 20110128851 - Reverse Link Power Control | 3 |
Krishnan R. Harikumar | CA | Toronto | 2009-08-20 / 20090208672 - Method of linear patterning at surfaces | 1 |
Gopal Harikumar | US | Norwood | 2013-08-15 / 20130208778 - Filter For Impulse Response Shortening With Additional Spectral Constraints For Multicarrier Transmission | 2 |
Padmanabhkurup Harikumar | IN | Mumbai | 2010-07-22 / 20100184132 - Enzymatic Process for Debittering of Protein Hydrolysate Using Immobilized Peptidases | 1 |
Gopal Harikumar | US | Waltham | 2011-03-17 / 20110065464 - BOOSTING A SIGNAL-TO-INTERFERENCE RATIO OF A MOBILE STATION | 4 |
Gopal Harikumar | US | Fremont | 2015-01-29 / 20150029944 - HYBRID JOINT PROCESSING FOR USE IN A RADIO ACCESS NETWORK | 2 |
Jayakrishnan Harikumaran | NL | Groningen | 2016-01-07 / 20160007429 - Lighting Control System and Method for Association of Nodes in a Multi-Node Network | 2 |
Takayuki Harima | JP | Kawaguchi-Shi | 2009-05-28 / 20090135657 - SEMICONDUCTOR MEMORY | 1 |
Issei Harima | JP | Hiroshima | 2012-10-04 / 20120248814 - STRUCTURE OF LIFT GATE FOR VEHICLE | 1 |
Yutaka Harima | JP | Higashihiroshima-Shi | 2013-01-03 / 20130005979 - THIENOPYRIDINE DERIVATIVE, METHOD FOR PRODUCING SAME AND ORGANIC SEMICONDUCTOR DEVICE USING SAME | 1 |
Hidenori Harima | JP | Saitama | 2014-10-30 / 20140320225 - BONDING TYPE CRYSTAL CONTROLLED OSCILLATOR | 9 |
Hidenori Harima | JP | Sayama-Shi | 2010-03-11 / 20100060367 - CRYSTAL OSCILLATOR FOR SURFACE MOUNTING | 4 |
Jun Harima | JP | Ibaraki-Shi | 2010-01-21 / 20100012266 - PROCESS FOR PRODUCING ADHESIVE PATCH | 3 |
Yukio Harima | JP | Osaka | 2012-05-17 / 20120121954 - HERMETICALLY SEALED BATTERY AND METHOD FOR MANUFACTURING THE SAME | 2 |
Kazunori Harima | JP | Susono-Shi, Shizuoka, | 2014-04-17 / 20140106919 - DRIVING BELT AND METHOD FOR ASSEMBLING THE SAME | 1 |
Jun Harima | JP | Osaka | 2014-06-12 / 20140158571 - PACKAGING STRUCTURE FOR PATCHES | 5 |
Yousuke Harima | JP | Musashino-Shi | 2011-05-19 / 20110116080 - METHOD OF MEASURING OPTICAL LOSS AND APPARATUS FOR MEASURING OPTICAL LOSS | 1 |
Shinichi Harima | JP | Daisen-Shi | 2011-12-08 / 20110297539 - WATER QUALITY ANALYZER | 1 |
Kazunori Harima | JP | Susono-Shi | 2014-01-30 / 20140031154 - BELT-DRIVEN CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Yukio Harima | JP | Hirakata-Shi | 2015-12-03 / 20150349303 - SECONDARY BATTERY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tatsuji Harima | JP | Machida-Shi | 2010-06-10 / 20100142721 - CONFERENCE AUDIO SYSTEM | 1 |
Kenji Harima | JP | Aichi-Ken | 2010-02-18 / 20100037595 - CONTROL DEVICE OF AN INTERNAL COMBUSTION ENGINE | 2 |
Hidetoshi Harima | JP | Kariya-Shi | 2015-12-10 / 20150354359 - TURBOCHARGER IMPELLER, METHOD OF MANUFACTURING THE SAME, TURBOCHARGER, AND TURBOCHARGER UNIT | 1 |
Kenji Harima | JP | Numazu-Shi | 2012-07-12 / 20120179332 - VIBRATION-DAMPING CONTROLLING APPARATUS | 3 |
Hidenori Harima | JP | Saitama | 2014-10-30 / 20140320225 - BONDING TYPE CRYSTAL CONTROLLED OSCILLATOR | 9 |
Masahiro Harima | JP | Kawasaki | 2012-04-05 / 20120083315 - SIM-CARD SUSPEND CONTROL APPARATUS, SIM-CARD SUSPEND CONTROL METHOD, AND INFORMATION PROCESSING APPARATUS | 1 |
Yoshihiro Harima | JP | Hadano | 2010-07-22 / 20100186012 - VIRTUAL MACHINE SYSTEM AND VIRTUAL MACHINE CONTROL METHOD | 1 |
Tatsuji Harima | JP | Tokyo | 2010-09-09 / 20100226660 - INFRARED TRANSMITTER | 2 |
Kenji Harima | JP | Shizuoka-Ken | 2012-04-26 / 20120101691 - VEHICULAR DAMPING CONTROL SYSTEM | 3 |
Fumio Harima | JP | Kanagawa | 2011-06-09 / 20110133853 - SEMICONDUCTOR DEVICE WITH FILTER CIRCUIT | 2 |
Tatsuya Harima | JP | Miyagi | 2010-12-30 / 20100328575 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Noriko Harima | JP | Atsugi | 2011-03-03 / 20110049588 - Semiconductor Device and Manufacturing Method Thereof | 2 |
Yoshiharu Harimoto | JP | Osaka | / - | 1 |
Kazuya Harimoto | JP | Nagano | 2009-12-03 / 20090296049 - PROJECTION DISPLAY DEVICE | 1 |
Yukinari Harimoto | JP | Ciba | 2010-10-21 / 20100267885 - Metal Particle Dispersion Structure, Microparticles Comprising This Structure, Articles Coated With This Structure, And Methods Of Producing The Preceding | 1 |
Ryuji Harimoto | JP | Yokohama-Shi | 2015-03-12 / 20150072248 - ALKALI METAL-SULFUR-BASED SECONDARY BATTERY | 1 |
Yukinari Harimoto | JP | Chiba | 2011-01-20 / 20110011447 - Method of Forming A Ceramic Silicon Oxide Type Coating, Method of Producing An Inorganic Base Material, Agent For Forming A Ceramic Silicon Oxide Type Coating, and Semiconductor Device | 1 |
Yukinari Harimoto | JP | Ichihara-Shi | 2011-02-10 / 20110033708 - Silicon-Containing Particle, Process For Producing The Same, Organic-Polymer Composition, Ceramic, And Process For Producing The Same | 1 |
Hiroshi Harimoto | JP | Hamamatsu-Shi | 2015-08-06 / 20150220105 - REACTION FORCE GENERATOR | 10 |
Yukinari Harimoto | JP | Hadano-Shi | 2014-01-23 / 20140023929 - Silicon-Containing Carbonaceous Composite Material | 4 |
Yukinari Harimoto | KR | Uiwang-Si | 2015-05-14 / 20150132572 - Polycarbonate Glazing and Method of Preparing the Same | 1 |
Yukinari Harimoto | JP | Kanagawa | 2010-07-29 / 20100188766 - Cyclic Dihydrogenpolysiloxanes, Hydrogenpolysiloxanes, Processes For Their Production, Silica Type Glass Moldings And A Process For Their Production, Optical Elements And A Process For Their Production | 5 |
Yukinari Harimoto | JP | Handano-Shi | 2011-09-15 / 20110223421 - Silicone Composition And A Method For Preparing The Same | 1 |
Hiroshi Harimoto | JP | Hamamatsu-Shi | 2015-08-06 / 20150220105 - REACTION FORCE GENERATOR | 10 |
Venkatesh Harinarayan | US | Saratoga | 2012-05-10 / 20120117482 - AUTOMATIC SELECTION OF USER-ORIENTED WEB CONTENT | 2 |
Venky Harinarayan | US | Saratoga | 2014-03-27 / 20140089130 - SYSTEM AND METHOD FOR MAKING GIFT RECOMMENDATIONS USING SOCIAL MEDIA DATA | 3 |
Seshadri Harinarayanan | IN | Bangalore | 2012-04-12 / 20120089852 - ENERGY OPTIMIZATION TECHNIQUES IN A COMPUTING SYSTEM | 1 |
Arvind Vyas Harinath | US | Greensboro | 2009-09-24 / 20090238743 - GOLD-SILVER NANOCATALYSTS AND PROCESSES FOR SYNTHESIZING THE SAME | 4 |
Arvind V. Harinath | US | Columbus | 2016-03-24 / 20160084136 - SYSTEM AND METHOD FOR CREATING CATALYST OBD LIMIT PARTS FOR EXHAUST AFTERTREATMENT APPLICATIONS | 4 |
Shyamnath Harinath | US | Montgomery | 2011-01-20 / 20110013022 - MULTILANE VEHICLE INFORMATION CAPTURE SYSTEM | 5 |
Ganesh Harinath | US | San Ramon | 2016-03-03 / 20160063544 - MARKETING PLATFORM THAT DETERMINES A TARGET USER SEGMENT BASED ON THIRD PARTY INFORMATION | 1 |
Marinus Harinck | NL | Mh Goes | 2009-03-05 / 20090058602 - Vehicle identification | 1 |
Rajmohan Harindranath | IN | Palakkad District | 2011-08-11 / 20110195721 - SYSTEM AND METHOD FOR PROVIDING LOCATION BASED REMINDERS | 3 |
Rajmohan Harindranath | IN | Palakkad | 2009-04-23 / 20090106037 - ELECTRONIC BOOK LOCATOR | 1 |
Steven M. Haring | US | Ooltewah | 2010-10-28 / 20100270895 - KITCHEN APPLIANCE HAVING FLOATING GLASS PANEL | 1 |
Tapio Haring | FI | Kuopio | 2011-07-14 / 20110169504 - METHOD AND APPARATUS FOR MEASURING BEARING CURRENTS IN AN ELECTRICAL MACHINE | 1 |
Christopher Haring | US | New Orleans | 2011-10-20 / 20110253070 - Hydrogen generator | 1 |
Stuart J. Haring | US | West Fargo | 2010-09-09 / 20100227392 - Use of RPA32, RPA4, and RPA4/RPA32 Hybrid Polypeptides and Polynucleotides for Assessing and Modulating Cell Proliferation | 1 |
Menno Haring | NL | Eindhoven | 2015-02-26 / 20150056045 - PROCESS STATION FOR A MACHINE AS WELL AS CONTROL DEVICE AND CONTROL METHOD FOR CONTROLLING A MOVEMENT IN A PROCESS OF A MACHINE | 2 |
Robert Haring | BE | Woluwe-Saint-Pierre (brussels) | 2011-05-05 / 20110105669 - PROCESS OF FORMING VULCANIZABLE ELASTOMERIC COMPOSITIONS USING ULTRA ACCELERATORS AND PRODUCTS FORMED THEREFROM | 1 |
Petrus Gerardus Maria Haring | US | Tenafly | 2009-10-22 / 20090263510 - Process of making Hoodia plant extract with improved flavor | 1 |
Shawn Haring | US | Flint | 2009-08-27 / 20090212546 - BALL RAMP CLAMPING MECHANISM | 1 |
Pascal Haring | CH | Aesch | 2009-10-22 / 20090261292 - METHOD FOR PRODUCING BATTERY ELECTRODES, ELECTRODES PRODUCED BY THIS METHOD, AND BATTERIES CONTAINING SUCH ELECTRODES | 1 |
Bryan P. Haring | US | Snellville | 2009-03-19 / 20090072055 - Shaker dispensing container | 1 |
Rudolf A. Haring | US | Cortlandt Manor | 2013-01-31 / 20130031418 - TESTING AND OPERATING A MULTIPROCESSOR CHIP WITH PROCESSOR REDUNDANCY | 5 |
Shawn A. Haring | US | Flint | 2014-12-25 / 20140377031 - SELF-LOCKING INSERT | 2 |
Tal Michael Haring | IL | Ramat Hasharon | 2016-04-21 / 20160110922 - METHOD AND SYSTEM FOR ENHANCING COMMUNICATION BY USING AUGMENTED REALITY | 1 |
Michael Albertus Haring | NL | Haarlem | 2011-12-15 / 20110307972 - FARMESENE SYNTHASE | 2 |
David Den Haring | US | Atlanta | 2015-09-17 / 20150261422 - ZOOMING USER INTERFACE FOR A MATERIAL HANDLING CONTROL SYSTEM | 2 |
Helmut Haring | DE | Reinheim | 2008-09-18 / 20080224339 - Prismatic Films for Optical Applications | 1 |
Hans-Ulrich Haring | DE | Stuttgart | 2015-07-23 / 20150204839 - BIOMARKERS FOR TYPE 2 DIABETES | 1 |
Wolfgang Haring | DE | Munchen | 2012-03-15 / 20120060692 - MEMBRANE TUBE AND REACTOR HAVING A MEMBRANE TUBE | 1 |
Steve M. Haring | US | Wichita | 2013-08-01 / 20130192509 - COVERLESS TOWABLE STRAP SYSTEM | 1 |
Michael Albertus Haring | DE | Wageningen | 2014-06-19 / 20140173771 - TRANSCRIPTION FACTOR MODULATING TERPENE BIOSYNTHESIS | 1 |
Micheal Abertus Haring | NL | Wageningen | 2014-06-05 / 20140157456 - PEST RESISTANT PLANTS | 1 |
Michael Albertus Haring | NL | Wageningen | 2015-04-09 / 20150101084 - PEST RESISTANT PLANTS | 1 |
Martin Haring | DE | Germering | 2015-12-10 / 20150356395 - Transponder Layer and Method for Producing Same | 1 |
Anton Haring | DE | Hanau | 2010-10-21 / 20100263779 - RUN-FLAT TIRE | 1 |
Sherri Lynne Haring | US | Santan Valley | 2011-07-28 / 20110180103 - Multi-Purpose Wet or Dry Cleaning Apparatus | 1 |
Rudolf Haring | US | Cortlandt Manor | 2009-01-01 / 20090006873 - POWER THROTTLING OF COLLECTIONS OF COMPUTING ELEMENTS | 1 |
Reto Haring | DE | Munchen | 2016-05-12 / 20160131524 - COLORIMETER CALIBRATION | 2 |
Michael Haring | US | Katy | 2012-08-23 / 20120210913 - Utility Table | 1 |
Fritz Haring | AT | Bruck | 2014-10-16 / 20140307403 - METHOD FOR INTEGRATING AN ELECTRONIC COMPONENT INTO A PRINTED CIRCUIT BOARD | 2 |
Rudolf A. Haring | US | Yorktown Heights | 2011-09-08 / 20110219208 - MULTI-PETASCALE HIGHLY EFFICIENT PARALLEL SUPERCOMPUTER | 2 |
Jules Harings | NL | Nijmegen | 2016-03-10 / 20160069649 - BALLISTIC RESISTANT ARTICLE, SEMI-FINISHED PRODUCT FOR AND METHOD OF MAKING A SHELL FOR A BALLISTIC RESISTANT ARTICLE | 1 |
Ryan Harings | US | Madison | 2014-07-24 / 20140202196 - CONTROL SYSTEM FOR A DOOR OF AN ICE DISPENSER CHUTE | 1 |
Jules Harings | NL | Eindhoven | 2011-02-24 / 20110046303 - POLYAMIDE WITH REDUCED CRYSTALLINITY | 1 |
Jules Armand Wilhelmina Harings | NL | Nijmegen | 2012-10-11 / 20120255430 - BALLISTIC-RESISTANT ARTICLES | 1 |
Henry J. Haringsma | US | San Francisco | 2013-08-22 / 20130217756 - RNA INTERFERENCE MEDIATED INHIBITION OF GENE EXPRESSION USING SHORT INTERFERING NUCLEIC ACIDS (siNA) | 1 |
Alexis Harington | FR | Paris | 2010-09-09 / 20100229253 - CHARACTERIZATION OF THE I-SPOMI ENDONUCLEASE FROM FISSION YEAST | 1 |
Seshadri Harinipriya | IN | Jodhpur | 2014-11-06 / 20140326917 - INK COMPOSITION | 1 |
Shota Hario | JP | Osaka | 2013-05-23 / 20130129312 - DATA PROCESSING DEVICE | 1 |
Chandra Haripin | US | San Marcos | 2015-04-09 / 20150099375 - Methods for Depositing Silicon Nitride Films | 1 |
Sham S. Hariram | US | Seattle | 2016-05-19 / 20160138958 - Optical Impedance Modulation for Fuel Quantity Measurement | 7 |
Prakash Hariramani | US | San Francisco | 2014-11-13 / 20140337175 - Universal Electronic Payment Apparatuses, Methods and Systems | 4 |
Bijan Harirchian | US | Brookfield | 2014-03-13 / 20140070487 - Stabilizer for Stacked Gaming Chips | 1 |
Ayman Hariri | US | Bethesda | 2015-06-25 / 20150181035 - UNIVERSAL POINT OF CONTACT IDENTIFIER SYSTEM AND METHOD | 2 |
Lida P. Hariri | US | Boston | 2014-07-03 / 20140187970 - OPTICAL PROBE APPARATUS, SYSTEMS, METHODS FOR GUIDING TISSUE ASESSMENT | 1 |
Mohammed Hariri | SA | Riyadh | 2011-10-06 / 20110240794 - AIRSHIP FOR TRANSPORTATION | 1 |
Robert Hariri | US | Bernardsville | 2016-05-12 / 20160129048 - Placental Stem Cell Populations | 1 |
Robert J. Hariri | US | Bernardsville | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 42 |
Robert J. Hariri | US | Benardsville | 2015-08-27 / 20150239949 - GENETICALLY MODIFIED MICROORGANISMS | 2 |
Robert J. Hariri | US | 2015-08-13 / 20150225697 - NATURAL KILLER CELLS AND USES THEREOF | 1 | |
Kamal Hariri | FR | Mulhouse | 2009-08-27 / 20090214864 - POLYMER DISPERSION IN A REACTIVE ORGANIC MEDIUM, PREPARATION METHOD AND USES | 1 |
Negar Hariri | US | Chicago | 2015-11-19 / 20150332372 - System and Method for Context-Aware Recommendation through User Activity Change Detection | 1 |
Robert J. Hariri | US | Florham Park | 2014-01-16 / 20140017211 - METHODS AND COMPOSITIONS FOR TREATMENT OF BONE DEFECTS WITH PLACENTAL CELL POPULATIONS | 20 |
Sahar Hariri | US | 2016-02-11 / 20160040560 - Power Generation by Converting Low Grade Thermal Energy to Hydropower | 1 | |
Robert J. Hariri | US | Bernardsville | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 42 |
Sahar Hariri | CA | Toronto | 2016-02-11 / 20160040560 - Power Generation by Converting Low Grade Thermal Energy to Hydropower | 1 |
Aliasghar Hariri | CA | Toronto | 2016-02-11 / 20160040560 - Power Generation by Converting Low Grade Thermal Energy to Hydropower | 1 |
Aliasghar Hariri | US | 2016-02-11 / 20160040560 - Power Generation by Converting Low Grade Thermal Energy to Hydropower | 1 | |
Salim Hariri | US | Tucson | 2011-03-03 / 20110055920 - METHOD AND SYSTEM FOR AUTONOMOUS CONTROL AND PROTECTION OF COMPUTER SYSTEMS | 1 |
Clinton M. Haris | US | Lunenburg | 2011-10-06 / 20110241845 - Automated Material Handling System with Identification Features | 1 |
Mohammad Haris | QA | Doha | 2016-03-03 / 20160061919 - IMAGING OF CREATINE KINASE ENZYME EXPRESSION IN CANCEROUS TISSUES | 1 |
Muhammad Haris | US | Eatontown | 2011-02-03 / 20110026925 - Hybrid Optical Add-Drop Multiplexing Network and Wavelength Allocation for the Same | 1 |
Mohammad Haris | US | Philadelphia | 2016-02-11 / 20160041245 - MAGNETIC RESONANCE IMAGING OF POLY-L-GLUTAMATE | 3 |
Shiv Haris | US | Palo Alto | 2015-07-30 / 20150215168 - FABRIC FORMATION FOR VIRTUAL CLUSTER SWITCHING | 4 |
Clint Haris | US | Lunenburg | 2010-11-18 / 20100292826 - RETICLE MANIPULATION DEVICE | 1 |
Chandrashekhara Harischandra | IN | Bangalore | 2012-05-24 / 20120127976 - RADIO FREQUENCY IDENTIFICATION SYSTEM AND RELATED OPERATING METHODS | 1 |
Aparna Harischandrakar | IN | Pune | 2011-12-29 / 20110320456 - TIPS MANAGEMENT SYSTEM AND PROCESS FOR MANAGING ORGANIZATION-WIDE KNOWLEDGE TIPS | 1 |
Ziv Harish | US | Tenafly | 2014-12-18 / 20140370086 - SUBLINGUAL IMMUNOTHERAPY WITH REDUCED ORAL ITCHINESS | 10 |
Ziv Harish | US | Tenafly | 2014-12-18 / 20140370086 - SUBLINGUAL IMMUNOTHERAPY WITH REDUCED ORAL ITCHINESS | 10 |
Ayyangar R. Harish | IN | Kanpur | 2013-07-25 / 20130187759 - PRODUCTION TOOL HAVING RFID DEVICE MOUNTED WITHIN A DIELECTRIC INCLUSION | 1 |
J.p. Harish | IN | Rajajinagar | 2011-06-16 / 20110145225 - CUSTOMIZABLE ORDERING OF SEARCH RESULTS AND PREDICTIVE QUERY GENERATION | 1 |
Kochuparambil Harish | US | Chicago | 2015-10-01 / 20150278463 - SYSTEMS AND METHODS FOR PRE-AUTHORIZING IMAGE STUDIES | 3 |
Diyyasimba Harish | US | Union City | 2009-05-07 / 20090114041 - CAPACITIVE SENSOR BASED INVENTORY CONTROL | 1 |
Divyasimha Harish | US | Union City | 2011-07-21 / 20110174086 - CAPACITIVE SENSOR BASED STRUCTURE AND METHOD WITH TILT COMPENSATION CAPABILITY | 4 |
Sucheta Kumar Harish | US | San Diego | 2015-02-26 / 20150054568 - LAYOUT CONSTRUCTION FOR ADDRESSING ELECTROMIGRATION | 1 |
Divyasimha Harish | US | Fremont | 2013-10-24 / 20130282936 - UNIVERSAL INTERFACE FOR ONE OR MORE SENSORS | 12 |
Vanitha R Harish | IN | Bangalore | 2012-03-29 / 20120077436 - METHOD AND APPARATUS TO TRANSFER FILES BETWEEN TWO TOUCH SCREEN INTERFACES | 1 |
Vishnu Gowda Harish | IN | Bangalore | 2016-03-24 / 20160088014 - METHODS, SYSTEMS, AND APPARATUS FOR MITIGATING NETWORK-BASED ATTACKS | 1 |
Dwarakinath Harish | US | Duluth | 2010-11-04 / 20100279659 - WEB-ENABLED ELECTRONIC VIDEO MAIL SYSTEM FOR USE WITH MOBILE PHONES AND OTHER HANDHELD ELECTRONIC DEVICES | 1 |
Janardhan Harish | IN | Chennai | 2013-02-21 / 20130045723 - CALL ATTEMPT NOTIFICATION | 1 |
Manohara Harish | US | Arcadia | 2014-03-27 / 20140088361 - MULTI-ANGLE REAR-VIEWING ENDOSCOPE AND METHOD OF OPERATION THEREOF | 1 |
Mohd Harish | US | Columbus | 2014-12-11 / 20140365152 - Interactive and Adaptive Data Acquisition System for Use with Electrical Capacitance Volume Tomography | 2 |
Raman Harishankar | US | Blacklick | 2016-02-25 / 20160056993 - Tenant-Specific Log for Events Related to a Cloud-Based Service | 15 |
Elad Harison | IL | Hod Ha'Sharon | 2010-10-14 / 20100259498 - USER INTERFACE FOR A TACTILE SENSING DEVICE | 1 |
Russell B. Harison | US | Jupiter | 2010-03-04 / 20100050596 - THRUST VECTORABLE FAN VARIABLE AREA NOZZLE FOR A GAS TURBINE ENGINE FAN NACELLE | 1 |
Barak Harison | IL | Be'Er Ya'Akov | 2010-10-14 / 20100259498 - USER INTERFACE FOR A TACTILE SENSING DEVICE | 1 |
Kapil Harit | FR | Annecy | 2015-11-05 / 20150313307 - HELMET ADAPTED TO ITS USAGE CONSTRAINTS | 1 |
Masaaki Harita | JP | Tochigi | 2008-12-04 / 20080296291 - Warm Wave Generating System | 1 |
Keisuke Harita | JP | Fukaya-Shi | 2013-03-07 / 20130058025 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 4 |
Yasuhiro Harita | JP | Kosai-Shi | 2008-09-25 / 20080229537 - VEHICLE WIPER/WASHER CONTROL APPARATUS AND VEHICLE WIPER/WASHER APPARATUS | 1 |
Takahiro Harita | JP | Toyota-Shi | 2013-05-09 / 20130113239 - VEHICLE SEAT | 3 |
Keisuke Harita | JP | Ome Tokyo | 2016-03-03 / 20160058190 - VIDEO DISPLAY UNIT AND MOUNTING UNIT | 1 |
Keisuke Harita | JP | Fukaya Saitama | 2015-11-26 / 20150342065 - DISPLAY DEVICE | 2 |
Takeshi Haritani | JP | Tokyo-To | 2011-10-20 / 20110253932 - OPTICAL FUNCTIONAL FILM, RETARDATION FILM, COMPOSITION FOR FORMING OPTICAL FUNCTIONAL LAYER AND PRODUCING METHOD OF OPTICAL FUNCTIONAL FILM | 3 |
Makoto Haritani | JP | Aichi | 2013-10-31 / 20130288838 - STEEL FOR BELT-TYPE CVT PULLEY AND BELT-TYPE CVT PULLEY | 1 |
Dai Haritani | JP | Niwa-Gun | 2014-03-13 / 20140069252 - GROOVING METHOD, GROOVING TOOL, AND GROOVING TOOL HOLDING STRUCTURES | 1 |
Ismail Haritaoglu | US | San Jose | 2014-02-13 / 20140044355 - METHOD AND APPARATUS FOR DETECTING NEAR-DUPLICATE VIDEOS USING PERCEPTUAL VIDEO SIGNATURES | 3 |
Christos Haritoglou | DE | Munchen | 2013-05-23 / 20130131354 - Naphthocyanines for Use as Contrast Agents | 2 |
Ilia Hariton | IL | Zichron Yaakov | 2013-08-29 / 20130226288 - MINIMALLY INVASIVE SURGICAL TECHNIQUES | 1 |
Ilia Hariton | IL | Zihron | 2015-10-15 / 20150289977 - Valve Prosthesis and Method for Delivery | 3 |
Ilia Hariton | IL | Netanya | 2014-07-10 / 20140194982 - Valve Prosthesis and Method for Delivery | 1 |
Ilia Hariton | IL | Zichron Yaackov | 2014-12-18 / 20140371789 - MINIMALLY INVASIVE SURGICAL TECHNIQUES | 6 |
Nicholas T. Hariton | US | Tarzana | 2010-06-17 / 20100153850 - DISTRIBUTED SCRIPTING FOR PRESENTATIONS WITH TOUCH SCREEN DISPLAYS | 1 |
Nicholas T. Hariton | US | Los Angeles | 2013-09-26 / 20130254665 - Distributed Scripting for Presentations with Touch Screen Displays | 2 |
Ilia Hariton | IL | Zichron-Yaacov | 2015-05-14 / 20150134052 - LOW PROFILE TRANSCATHETER HEART VALVE | 4 |
Nicolas Hariton | CA | Burnaby | 2011-12-29 / 20110320221 - METHOD AND SYSTEM FOR COMMUNICATING PATIENT INFORMATION | 2 |
Dan Hariton | US | Pinole | 2009-01-29 / 20090028218 - USB system with spread spectrum EMI reduction | 1 |
Illia Hariton | IL | Netanya | 2016-03-31 / 20160089236 - Valve Prosthesis and Method for Delivery | 1 |
Victoria S. Haritos | AU | Australian Capital Territory | 2011-07-21 / 20110177997 - Cross-Beta Silk Genes | 1 |
Victoria S. Haritos | AU | O'Connor | 2010-04-22 / 20100100975 - SILK PROTEINS | 1 |
Victoria Haritos | AU | O'Connor | 2011-11-03 / 20110269205 - USE OF ENZYME CATALYSTS IN CO2 PCC PROCESSES | 1 |
Victoria S. Haritos | AU | Kingsville | 2015-02-12 / 20150045567 - SYNTHESIS OF FATTY ACIDS | 3 |
Victoria S. Haritos | AU | Victoria | 2011-08-04 / 20110190521 - Synthesis of fatty acids | 1 |
Christos S. Haritou | GB | Cleveland | 2011-11-24 / 20110284326 - METHOD AND APPARATUS FOR USE IN LINING A CURVED WALL | 2 |
Christos Haritou | GB | Redcar | 2013-08-29 / 20130222090 - Magnetic Attachment Device | 1 |
Susan Jane Alexia Haritou | GB | Nottingham | 2009-11-19 / 20090285916 - METHODS OF DIAGNOSIS AND TREATMENT OF EQUINE LAMINITIS AND CUSHING'S SYNDROME | 1 |
Jun Hariu | JP | Saitama | 2010-09-30 / 20100243364 - CONNECTION STRUCTURE ARRANGEMENT BETWEEN A FRAME MEMBER AND AN ENGINE OF A SADDLE-TYPE VEHICLE, AND VEHICLE INCORPORATING SAME | 2 |
Daisuke Hariu | JP | Toyota-Shi | 2009-02-05 / 20090033302 - Voltage conversion device | 1 |
Tetsuo Hariu | JP | Hekinan-City | 2012-11-29 / 20120297920 - ACCELERATOR DEVICE | 3 |
Tetsuo Hariu | JP | Kariya-City | 2009-10-29 / 20090266196 - ACCELERATOR APPARATUS | 1 |
Satoshi Hariu | JP | Aichi-Ken | 2011-09-15 / 20110220327 - EBULLIENT COOLING DEVICE | 2 |
Satoshi Hariu | JP | Aichi | 2015-05-21 / 20150135688 - HEAT STORAGE DEVICE | 2 |
Jun Hariu | JP | Wako-Shi | 2015-10-01 / 20150274254 - SADDLE TYPE VEHICLE | 2 |
Takeo Hariu | JP | Musashino-Shi | 2016-05-05 / 20160127396 - INFORMATION PROCESSING APPARATUS AND IDENTIFYING METHOD | 2 |
Satoshi Hariu | JP | Kariya-Shi | 2016-05-05 / 20160123202 - CHEMICAL HEAT STORAGE DEVICE | 3 |
Kiyotoshi Hariu | JP | Kawasaki | 2009-10-01 / 20090245483 - INFORMATION PROCESSING TERMINAL DEVICE AND METHOD FOR NOTIFYING MISSED CALL OR UNREAD E-MAIL | 1 |
Takeo Hariu | JP | Suginami-Ku | 2015-11-19 / 20150332045 - MONITORING DEVICE AND MONITORING METHOD | 1 |
Tetsuo Hariu | JP | Kairya-City | 2009-07-23 / 20090183589 - ACCELERATOR PEDAL MODULE | 1 |
Anne-Cecile Harivel | FR | Creteil | / - | 1 |
Hideki Hariya | JP | Annaka-Shi | 2010-05-27 / 20100129990 - SUSCEPTOR AND METHOD FOR MANUFACTURING SILICON EPITAXIAL WAFER | 1 |
Yutaka Hariya | JP | Utsunomiya-Shi | 2011-05-19 / 20110118876 - TEACHING LINE CORRECTING APPARATUS, TEACHING LINE CORRECTING METHOD, AND PROGRAM THEREOF | 1 |
Akinori Hariya | JP | Nagasaki-Shi | 2015-09-17 / 20150263631 - CURRENT RESONANCE DC-DC CONVERTER | 1 |
Osamu Hariya | JP | Kanazawa | 2015-04-02 / 20150095599 - STORAGE PROCESSING APPARATUS, COMPUTER-READABLE RECORDING MEDIUM STORING PROGRAM FOR CONTROLLING STORAGE, AND STORAGE SYSTEM | 2 |
Michitaka Hariya | JP | Kanagawa | 2011-05-12 / 20110109637 - PROCESSING DEVICE, PROCESSING METHOD AND COMPUTER READABLE MEDIUM | 1 |
Masayuki Hariya | JP | Kasumigaura | 2013-12-05 / 20130321415 - Analytical Model Information Delivery Device and Analytical Model Information Delivery Program | 2 |
Yutaka Hariya | JP | Tochigi | 2012-11-22 / 20120291819 - CLEANING APPARATUS AND CLEANING METHOD FOR COATING GUN | 1 |
Masayuki Hariya | JP | Tokyo | 2015-07-09 / 20150193557 - DESIGN SUPPORT SYSTEM, DESIGN SUPPORT PROCESSING METHOD, AND DESIGN SUPPORT PROCESSING PROGRAM | 4 |
Tomokazu Hariya | JP | Noda-Shi | 2015-05-07 / 20150124368 - IONIZER AND CONTROL METHOD THEREOF | 3 |
Takahiko Hariyama | JP | Shizuoka | 2015-08-13 / 20150224452 - ORGANIC POLYMER THIN MEMBRANE, AND METHOD FOR PRODUCING SAME | 1 |
Tatsuo Hariyama | JP | Yokohama-Shi | 2013-06-06 / 20130140445 - Multi-Point Measuring Method of FBG Sensor and Multi-Point Measuring Apparatus | 1 |
Tatsuo Hariyama | JP | Tokyo | 2016-05-12 / 20160131473 - Shape Measuring Method and Device | 2 |
Tatsuo Hariyama | JP | Fujisawa | 2010-08-12 / 20100201975 - DISK SURFACE INSPECTION APPARATUS, INSPECTION SYSTEM THEREOF, AND INSPECTION METHOD THEREOF | 3 |
Tatsuo Hariyama | JP | Yokohama | 2013-01-03 / 20130003038 - Distance Measuring Device and Distance Measuring Method | 1 |
Ryu Hariyoshi | US | Novi | 2009-07-09 / 20090173064 - EXHAUST SYSTEM WITH IMPROVED NOx EMISSION CONTROL | 1 |
Wally Hariz | SE | Spanga | 2015-10-29 / 20150311951 - METHOD FOR USING POWER LINES FOR WIRELESS COMMUNICATION | 2 |
Fred H. Hariz | US | Fremont | 2009-03-12 / 20090068356 - HIGH PRODUCTIVITY PLASMA PROCESSING CHAMBER | 1 |
Stavros Harizopoulos | US | San Francisco | 2014-09-18 / 20140279838 - Scalable Analysis Platform For Semi-Structured Data | 10 |
Stavros Harizopoulos | US | San Francisco | 2014-09-18 / 20140279838 - Scalable Analysis Platform For Semi-Structured Data | 10 |
Jitendra R. Harjani | CA | Ottawa | 2011-05-26 / 20110124745 - Switchable Anionic Surfactants and Methods of Making and Using Same | 1 |
Nand Hotchand Harjani | US | Long Beach | 2013-07-11 / 20130175426 - MULTI-MODE HOLDER FOR ELECTRONIC DEVICE | 1 |
Ramesh Harjani | US | Minneapolis | 2015-10-01 / 20150280752 - PSEUDO TRUE TIME DELAY FOR MULTI-ANTENNA SYSTEMS | 2 |
Dono Harjanto | US | Irvine | 2016-03-10 / 20160070895 - VERIFICATION THAT AN AUTHENTICATED USER IS IN PHYSICAL POSSESSION OF A CLIENT DEVICE | 21 |
Andy Harjanto | US | Sammamish | 2009-02-05 / 20090037987 - Application Programming Interface for Implementing Directory Service Access Using Directory Service Markup Language | 1 |
Nahid Harjee | US | Mountain View | 2015-08-06 / 20150221869 - Methods of Manufacturing Electronic Display Devices Employing Nozzle-Droplet Combination Techniques to Deposit Fluids in Substrate Locations within Precise Tolerances | 2 |
Nahid Harjee | US | Sunnyvale | 2015-04-09 / 20150099059 - Techniques for Print Ink Droplet Measurement and Control to Deposit Fluids within Precise Tolerances | 1 |
Nahid Harjee | US | Charlotte | 2016-05-12 / 20160133881 - Nozzle-Droplet Combination Techniques to Deposit Fluids in Substrate Locations within Precise Tolerances | 4 |
Daniel I. Harjes | US | Acton | 2015-08-20 / 20150233512 - MICROFLUIDIC MANIFOLD FOR SHEAR SENSITIVE FLUIDS | 7 |
Daniel I. Harjes | US | Cambridge | 2010-12-30 / 20100328001 - Switchable Permanent Magnet and Related Methods | 2 |
Daniel I. Harjes | US | Allston | 2010-07-15 / 20100176006 - THREE-DIMENSIONAL METAL ION SENSOR ARRAYS ON PRINTED CIRCUIT BOARDS | 2 |
Daniel Harjes | US | Acton | 2016-05-05 / 20160121033 - Apical Connectors and Instruments for Use in a Heart Wall | 2 |
Daniel Harjes | US | Allston | 2011-03-17 / 20110066286 - In-line loss-on-ignition measurement system and method | 2 |
Carlos Harjes | US | St. Louis | 2015-10-01 / 20150272030 - PLANTS AND SEEDS OF CORN VARIETY CV799972 | 2 |
Bashir Harji | GB | Cambridgeshire | 2015-11-12 / 20150323938 - TEMPERATURE-BASED LEVEL DETECTION AND CONTROL METHOD AND APPARATUS | 2 |
Mahmud Harji | CA | Surrey | 2016-04-14 / 20160105977 - Unit for attaching and distribution of multimedia peripherals | 1 |
Petri Harju | FI | Hamina | 2013-04-18 / 20130092606 - APPARATUS FOR SCREENING FIBROUS SUSPENSIONS | 2 |
Arto Harju | FI | Helsinki | 2008-08-28 / 20080208503 - Method and device for compensating temperature dependencies | 1 |
Antti Harju | FI | Tampere | 2014-01-23 / 20140021280 - CONE CRUSHER AND PROCESSING PLANT FOR MINERAL MATERIAL | 2 |
Matti Erkki Harju | FI | Nummela | 2014-10-09 / 20140302219 - METHOD FOR PRODUCING A MILK PRODUCT | 1 |
Rey P. Harju | US | San Clemente | 2015-01-29 / 20150028209 - Refrigerant Gas Leak Detector | 1 |
Vesa Harju | FI | Tampere | 2014-08-07 / 20140217218 - Blade Element and Refiner | 2 |
Mikko Antero Harju | FI | Kangasala | 2015-10-08 / 20150286372 - METHOD, AN APPARATUS AND A COMPUTER PROGRAM PRODUCT FOR CREATING A USER INTERFACE VIEW | 1 |
Mikko Antero Harju | FI | Tampere | 2009-12-31 / 20090327979 - USER INTERFACE FOR A PERIPHERAL DEVICE | 2 |
Michael E. Harju | US | Dorr | 2013-08-15 / 20130205835 - OPTICAL ELEMENT CLEAVER AND SPLICER APPARATUS AND METHODS | 2 |
Timo Harju | FI | Espoo | 2014-11-13 / 20140336788 - METHOD OF OPERATING A PROCESS OR MACHINE | 1 |
Marko Harju | FI | Helsinki | 2013-05-23 / 20130128454 - METHOD AND ARRANGEMENT FOR REDUCING THE AMOUNT OF CONDENSED MOISTURE INSIDE AN ENCLOSURE FOR ELECTRICAL EQUIPMENT | 1 |
Lasse Harju | DE | Germering | 2016-05-12 / 20160134381 - Method and Apparatus for Powering a Portable Device | 1 |
Teemu Harju | FI | Espoo | 2011-03-24 / 20110072099 - MESSAGE DELIVERY MECHANISM | 2 |
Matti Harju | FI | Nummela | 2014-01-16 / 20140017332 - MILK-BASED PRODUCT AND A METHOD FOR ITS PREPARATION | 4 |
Timo Harju | FI | Orivesi | 2014-01-02 / 20140007262 - Method, an Apparatus and a Computer Program Product for Extending an Application in a Client Device | 1 |
Teemu Samuli Harju | FI | Sundsberg | 2010-05-13 / 20100121958 - INPUT-SENSITIVE INTERFACE SELECTION FOR POSTING | 1 |
Bert Harju | SE | Harads | 2009-11-05 / 20090272209 - TRANSMISSION ARRANGEMENT | 2 |
Lauri Ilmari Harju | FI | Turku | 2012-10-11 / 20120258755 - Control of Cell Search Procedure | 1 |
Teemu Harju | FI | Masala | 2010-11-04 / 20100280965 - METHOD AND APPARATUS FOR INTUITIVE MANAGEMENT OF PRIVACY SETTINGS | 1 |
Raimo Harju | FI | Turku | 2016-03-03 / 20160066072 - A METHOD AND A DEVICE FOR CROSS-TALK CORRECTION OF MEASURED INTENSITIES | 3 |
David A. Harju | US | Franklin | 2009-04-30 / 20090111046 - Direct laser and ultraviolet lithography of porous silicon photonic crystal devices | 1 |
Ilkka Harjula | FI | Oulu | 2016-05-05 / 20160128097 - METHOD AND APPARATUS FOR CONFIGURING SCHEDULING PERIODS BASED ON INFORMATION ABOUT THE MODE OF OPERATION | 3 |
Ari Harjula | FI | Helsinki | 2011-05-26 / 20110123572 - ACTIVATED FIBROBLASTS FOR TREATING TISSUE AND/OR ORGAN DAMAGE | 1 |
Tero Petteri Harjula | FI | Espoo | 2016-05-12 / 20160134423 - OFF DEVICE STORAGE OF CRYPTOGRAPHIC KEY MATERIAL | 5 |
Ari Lasse Juhani Harjula | FI | Helsinki | 2009-12-03 / 20090298171 - Method and Device for Treating or Selecting Cells | 1 |
Pete Harjunen | FI | Nokia | 2010-07-29 / 20100186452 - METHOD AND APPARATUS FOR BENDING AND TEMPERING A GLASS PANEL | 3 |
Iiro Harjunkoski | DE | Weinheim | 2014-06-26 / 20140180741 - SYSTEM AND METHOD FOR AUTOMATIC ALLOCATION OF MOBILE RESOURCES TO TASKS | 3 |
Iiro Harjunkoski | DE | Schriesheim | 2010-01-07 / 20100004771 - Method and System for Corrective Planning and Optimization of Processing Processes | 1 |
Hannu Harjunmaa | US | Holden | 2014-09-18 / 20140275870 - CONTINUOUS NONINVASIVE MEASUREMENT OF ANALYTE CONCENTRATION USING AN OPTICAL BRIDGE | 3 |
Jarmo Harjuntausta | FI | Helsinki | 2012-09-13 / 20120227852 - Layer for Pipes Made of Multimodal Polyethylene Composition | 2 |
Seong Hark | KR | Seongnam-Si | 2015-09-10 / 20150256570 - Method for Operating Application Providing Group Call Service Using Mobile Voice over Internet Protocol | 1 |
Dan Harkabi | IL | Kibutz Einat | 2011-03-10 / 20110058048 - APPARATUS, METHOD AND SYSTEM FOR COLLECTING AND UTILIZING DIGITAL EVIDENCE | 1 |
Dan Harkabi | IL | Moshav Lakis | 2011-02-03 / 20110025858 - METHOD, APPARATUS, AND SYSTEM FOR ADDING FUNCTIONALITY TO A DIGITAL CAMERA | 2 |
Dan Harkabi | US | Foster City | 2009-06-11 / 20090149049 - Electrical Connector | 1 |
Dan Harkabi | IL | Moshav Lachish | 2011-11-03 / 20110271354 - APPARATUS, SYSTEM AND METHOD FOR SECURING DIGITAL DOCUMENTS IN A DIGITAL APPLIANCE | 2 |
Dan Harkabi | IL | Moshav Lahish | 2014-11-27 / 20140351607 - REMOVABLE, ACTIVE, PERSONAL STORAGE DEVICE, SYSTEM AND METHOD | 2 |
Daniel Harkabi | US | Foster City | 2008-12-04 / 20080301003 - System for Online Buying | 2 |
Umesh Harkal | US | Fargo | 2015-10-29 / 20150307739 - MODIFIED GLYCIDYL CARBAMATE RESINS | 2 |
Surendra Harkal | DE | Dortmund | 2010-08-05 / 20100197983 - METHOD FOR THE PRODUCTION OF UNBRANCHED ACYCLIC OCTACTRIENES | 1 |
Katharina Harkamp | AT | Vienna | 2013-04-18 / 20130096082 - HEMOSTATIC COMPOSITIONS | 1 |
Phillip T. Harkawik | US | Fairfield | 2015-08-06 / 20150219508 - Strain Sensor Assembly | 2 |
W. Scott Harkcom | US | Furnace | 2014-07-17 / 20140197249 - APPARATUS AND METHOD FOR NO-TILL INTER-ROW SIMULTANEOUS APPLICATION OF HERBICIDE AND FERTILIZER, SOIL PREPARATION, AND SEEDING OF A COVER CROP IN A STANDING CROP | 2 |
Melanie W. Harkcom | US | New Holland | 2015-10-29 / 20150305236 - ROCK GUARD FOR QUICK CHANGE KNIVES ON A DISC MOWER | 9 |
Michael Harke | US | Madison | 2015-06-25 / 20150177328 - AUTOMATED MOTOR ADAPTATION | 1 |
Kristi Harke | US | Cheboygan | 2009-06-18 / 20090152268 - LAMINATED PAPERBOARD PACKAGING AND METHOD OF MANUFACTURING THE SAME | 1 |
Stefan Harke | DE | Wollerau | 2009-10-08 / 20090254298 - DETERMINATION OF A SURFACE TEMPERATURE OF A COOLING BODY | 1 |
Stephen Harke | CA | Calgary | 2012-10-18 / 20120263161 - MULTIPLE SATELLITE MODEM SYSTEM USING A SINGLE ANTENNA | 1 |
Michael C. Harke | US | Madison | 2012-03-15 / 20120062161 - ROTOR POSITION DETECTION AT STANDSTILL AND LOW SPEEDS USING A PMG TO OPERATE A WOUND FIELD SYNCHRONOUS MACHINE | 5 |
Stefan Harke | CH | Wollerau | 2015-12-10 / 20150356895 - ILLUMINABLE DISPLAY ELEMENT AND METHOD FOR PRODUCING SUCH A DISPLAY ELEMENT | 3 |
Ola Harkegard | SE | Linkoping | 2009-01-22 / 20090024259 - Stores dependent angle of attack feedback | 1 |
Susan J. Harkema | US | Louisville | 2015-08-20 / 20150231396 - Neurostimulator | 6 |
Stephan Harkema | NL | Eindhoven | 2016-02-04 / 20160030128 - ILLUMINATING SURGICAL DEVICE | 3 |
Jonah J. Harkema | US | Newberg | 2015-09-17 / 20150264037 - TRUSTED VENDOR ACCESS | 4 |
Stephan Harkema | NL | 'S-Gravenhage | 2016-02-18 / 20160049610 - NANOCOMPOSITE, METHOD TO PRODUCE THE SAME, A BARRIER STRUCTURE FOR AN ELECTRONIC DEVICE AND AN OLED COMPRISING THE SAME | 1 |
Sytze Hessel Matthes Harkema | NL | Petten | 2014-10-23 / 20140311555 - ENCAPSULATION FOR PHOTOVOLTAIC MODULE | 1 |
Randall C. Harkema | US | Caledonia | 2014-10-23 / 20140314588 - FUEL INJECTION PUMP | 2 |
Mark S. Harkema | US | Grand Rapids | 2009-04-23 / 20090101475 - ROLLER TRANSPORT CONVEYOR AND METHOD | 2 |
Susan Harkema | US | Louisville | 2016-01-21 / 20160016036 - SUPINE CYCLE | 1 |
Alden Harken | US | Walnut Creek | 2009-02-12 / 20090043381 - Atrioventricular valve annulus repair systems and methods including retro-chordal anchors | 1 |
K. Robert Harker | US | West Jordan | 2016-05-19 / 20160138992 - METHODS OF FORMING A CONDITIONED ISOLATION ELEMENT FOR A SENSOR ASSEMBLY, AND RELATED CONDITIONED ISOLATION ELEMENTS AND SENSOR ASSEMBLIES | 3 |
Brian Harker | US | Sturgis | 2013-03-14 / 20130065121 - STAMPED BATTERY GRID WITH EMBOSSED BORDER AND KINKED GRID WIRES | 1 |
Jay D. Harker | US | Denver | 2013-09-26 / 20130249591 - SYSTEM AND METHOD FOR DECREASING SIGNAL INTEGRITY NOISE BY USING VARYING DRIVE STRENGTHS BASED ON LIKELIHOOD OF SIGNALS BECOMING VICTIMS | 1 |
Andrew Thomas Harker | GB | Suffolk | 2011-08-11 / 20110194166 - APPARATUS FOR COMBINING LASER RADIATION | 1 |
Brett Harker | US | Simpsonville | 2015-05-14 / 20150133273 - Apparatus and method to stretch upper and lower extremities | 1 |
Ernest R. Harker | US | Draper | 2014-07-03 / 20140188589 - Price Match Loyalty Program | 1 |
Yale Deon Harker | US | Idaho Falls | 2011-11-10 / 20110274233 - NUCLEAR VOLTAIC CELL | 1 |
Alan R. Harker | US | Springville | 2015-08-20 / 20150231674 - SOIL REMEDIATION SYSTEM | 1 |
Andrew Harker | GB | Ipswich | 2008-11-27 / 20080291450 - Wavelength Monitoring Method and Apparatus and Method of Making Same | 1 |
Jeffrey Paul Harker | US | Snoqualmie | 2012-05-24 / 20120131681 - RELIABLE SOFTWARE PRODUCT VALIDATION AND ACTIVATION WITH REDUNDANT SECURITY | 2 |
Brad C. Harker | CA | Calgary | 2010-06-24 / 20100155062 - Use Of A Heavy Petroleum Fraction As A Drive Fluid In The Recovery of Hydrocarbons From A Subterranean Formation | 1 |
Mallorie Harker | US | Springville | 2015-10-22 / 20150303024 - AMORPHOUS CARBON AND ALUMINUM X-RAY WINDOW | 3 |
Shon L. Harker | US | Syracuse | 2011-10-13 / 20110251025 - Modular Exercise Pole And Anchoring System | 2 |
Rob Harker | US | Sparks | 2014-11-06 / 20140330584 - PATIENT MEDICAL DATA ACCESS SOFTWARE | 1 |
Neil Kenneth Harker | US | South Jordan | 2011-03-31 / 20110072642 - COMPACT WAVEGUIDE LOAD | 1 |
Joshua Lee Harker | US | Chicago | 2011-02-17 / 20110037246 - Devices and Methods for Supporting Printed Matter | 1 |
Alan B. Harker | US | Thousand Oaks | 2012-05-10 / 20120111719 - Multilayer self-decontaminating coatings | 1 |
William Joseph Harker | US | Columbia | 2009-02-26 / 20090055585 - METHOD FOR AUTO-CORRECTION OF ERRORS IN A RAID MEMORY SYSTEM | 1 |
Joe Harker | US | Littleton | 2016-03-24 / 20160086128 - SYSTEM AND METHOD FOR ON-DEMAND TRANSPORTATION OF PARCELS | 1 |
Neil K. Harker | US | South Jordan | 2015-03-26 / 20150087349 - Transmitting Portions Of A Data Block In Transmission Bursts From A Plurality Of Transmitters | 1 |
Andrew Harker | GB | Herts | 2010-12-02 / 20100306517 - SECURITY OF OPERATION OF A COMPUTING DEVICE THROUGH THE USE OF VENDOR IDS | 5 |
Josh Harker | US | Elk Grove Village | 2014-05-15 / 20140135706 - NEEDLE COVER | 3 |
Mark Harker | GB | Wirral | 2010-07-22 / 20100184213 - APOCRINE CELL LINE | 1 |
Grant Cecil Harker | ZA | Paarl | 2010-03-11 / 20100060301 - NOISE REJECTION | 1 |
Michael Lynn Harker | US | North Salt Lake | 2013-02-21 / 20130046580 - COMPUTERIZED, PULL BASED, EVENT SCHEDULING APPARATUS AND METHOD | 1 |
Erik Jan Harkes | NL | Warmond | 2014-10-02 / 20140291405 - REUSABLE ELECTRONIC BAG TAG | 1 |
Scott L. Harkey | US | Concord | 2016-04-14 / 20160104158 - METHOD AND APPARATUS FOR PROVIDING REAL TIME MUTABLE CREDIT CARD INFORMATION WITH FUTURE TIMESTAMP FUNCTIONALITY | 4 |
Jacob F. Harkey | US | Davenport | 2015-03-05 / 20150064741 - METAL WORKING FLUID COMPOSITION AND METHOD OF DETECTING FLUID DETERIORATION | 1 |
Scott Lee Harkey | US | Concord | 2015-11-19 / 20150332264 - TOKENIZATION OF USER ACCOUNTS FOR DIRECT PAYMENT AUTHORIZATION CHANNEL | 32 |
Jerry P. Harkey | US | Bloomfield Hills | 2011-02-10 / 20110031058 - PENDULUM ABSORBER SYSTEM | 1 |
Deon Austin Harkey | US | Mckinney | 2012-12-13 / 20120314221 - LASER SURVEILLANCE SYSTEM | 1 |
William Harkey | US | Harrisburg | 2009-09-03 / 20090218732 - System and method for edge heating of stretch film | 1 |
Daniel A. Harki | US | Pasadena | 2008-10-30 / 20080269138 - Polyamides with tail structures | 1 |
Louise Harkin | AU | South Australia | 2010-04-08 / 20100088778 - Methods of Treatment, and Diagnosis of Epilepsy by Detecting Mutations in the SCN1A Gene | 1 |
Dennis Paul Harkin | GB | Dromore, County Down | 2016-01-07 / 20160002732 - MOLECULAR DIAGNOSTIC TEST FOR CANCER | 1 |
James Harkin | IE | Galway | 2012-01-26 / 20120019614 - Variable Stereo Base for (3D) Panorama Creation on Handheld Device | 2 |
Paul Harkin | IE | Dromore | 2009-03-26 / 20090082218 - 3'-Based sequencing approach for microarray manufacture | 1 |
Richard Lee Harkin | GB | Worthing | 2015-03-19 / 20150078043 - CONTROLLER FOR A VOLTAGE CONVERTER | 1 |
James Harkin | IE | Carndonagh | 2009-12-17 / 20090313195 - Artificial neural network architecture | 1 |
Louise Anne Harkin | AU | Northgate | 2010-06-03 / 20100136623 - MUTATIONS IN ION CHANNELS | 1 |
Paul Harkin | GB | Belfast | 2008-10-30 / 20080268435 - Brca1 Markers | 1 |
Patrick A. Harkin | US | Beaverton | 2011-10-27 / 20110261082 - METHODS AND APPARATUS FOR RENDERING OR PREPARING DIGITAL OBJECTS OR PORTIONS THEREOF FOR SUBSEQUENT PROCESSING | 2 |
Paul Harkin | IE | Belfast | 2009-09-03 / 20090221437 - Transcriptome microarray technology and methods of using the same | 1 |
Gerard Francis Harkin | NL | Eindhoven | 2009-11-26 / 20090289577 - CONTROL OF BATH WATER COLOR WITH LIGHT | 1 |
Denis Paul Harkin | GB | Dromore | 2016-03-03 / 20160060705 - MOLECULAR DIAGNOSTIC TEST FOR CANCER | 3 |
Damien Harkin | AU | Queensland | 2010-12-16 / 20100316613 - FEEDER CELL-FREE CULTURE MEDIUM AND SYSTEM | 1 |
William James Harkin | GB | Glasgow | 2014-09-11 / 20140257936 - PROJECT MANAGEMENT | 2 |
Paul Harkin | US | 2010-09-16 / 20100229492 - Adjustable structural header beam | 1 | |
James Harkin | IE | County Donegal | 2012-04-19 / 20120090605 - MEDICATION DELIVERY DEVICE | 1 |
Dennis Paul Harkin | GB | Dromore | 2014-11-20 / 20140342924 - Molecular Diagnostic Test for Cancer | 2 |
Louise Anne Harkin | AU | South Australis | 2009-03-26 / 20090081724 - Mutations in Ion Channels | 1 |
James B. Harkins | US | Knoxville | 2010-06-03 / 20100133098 - Methods and Devices for Concentration and Purification of Analytes for Chemical Analysis Including Matrix-Assisted Laser Desportion/Ionization (MALDI) Mass Spectrometry (MS) | 1 |
Dan Harkins | US | La Selva Beach | 2012-08-09 / 20120204031 - SYSTEM AND METHOD FOR DISTRIBUTING KEYS IN A WIRELESS NETWORK | 2 |
William Michael Harkins | US | Geneva | 2016-02-04 / 20160032638 - EDGE CLADDING | 1 |
Kevin Harkins | US | Mclean | 2010-06-03 / 20100138474 - Computer-aided system and method for creating human resource competency-based tools for personnel decisions | 1 |
Daniel Harkins | US | La Selva Beach | 2013-05-02 / 20130111209 - Authenticating an Ephemeral Diffie-Hellman using a Trusted Third Party | 1 |
Richard N. Harkins | US | Alameda | 2015-02-12 / 20150044768 - METHODS AND COMPOSITIONS FOR PRODUCTION OF RECOMBINANT PROTEIN IN HBX-EXPRESSING MAMMALIAN CELLS | 3 |
Robin Michel Harkins | US | Mendham | 2008-09-11 / 20080221982 - Systems and methods for advertising | 1 |
Michael J. Harkins | US | Freehold | 2010-04-01 / 20100082812 - RAPID RESOURCE PROVISIONING WITH AUTOMATED THROTTLING | 1 |
Amy B. Harkins | US | St. Louis | 2015-05-07 / 20150125899 - FLUORESCENCE-ASSISTED COUNTING APPARATUS FOR QUALITATIVE AND/OR QUANTITATIVE MEASUREMENT OF FLUORESCENTLY TAGGED PARTICLES | 1 |
Daniel N. Harkins | US | La Selva Beach | 2015-04-23 / 20150113277 - Provisioning Devices For Secure Wireless Local Area Networks | 2 |
Peter Harkins | US | Glendale | 2014-11-06 / 20140327550 - Warning alert system for induced AC on pipeline systems for crew safety | 1 |
William Wayne Harkins | US | Montgomery | 2014-07-03 / 20140182513 - HYBRID SCREENING NOZZLE | 1 |
Henry D. Harkins | US | Garden Plain | 2008-11-13 / 20080280156 - Preform For Forming Complex Contour Structural Assemblies | 1 |
George Christopher Harkins | US | Costa Mesa | 2014-09-18 / 20140274589 - LOWER EXTREMITY EXERCISE WEDGE | 1 |
Kevin D. Harkins | US | Mclean | 2012-03-29 / 20120078669 - COMPUTER-ASSISTED DATA COLLECTION, ORGANIZATION AND ANALYSIS SYSTEM | 1 |
Lualhati E. Harkins | US | Birmingham | 2013-01-31 / 20130029375 - BIOLOGICAL FIXATIVE AND METHOD OF USING THE BIOLOGICAL FIXATIVE | 2 |
Joseph M. Harkins | US | Calabasas | 2012-09-20 / 20120236006 - MUSCULO-SKELETAL SHAPE SKINNING | 1 |
Charles Harkins | US | Hampstead | 2016-01-28 / 20160025395 - SYSTEM AND METHOD FOR RETROFITTING A REFRIGERATION SYSTEM FROM HCFC TO HFC REFRIGERANT | 2 |
Brian J. Harkins | US | Westford | 2009-02-12 / 20090040098 - RCS signature generation for closely spaced multiple objects using N-point models | 1 |
Tomas G. Harkins | US | San Jose | 2015-07-02 / 20150187224 - DRIVING ASSESSMENT AND TRAINING METHOD AND APPARATUS | 2 |
Peter William Harkins | AU | New South Wales | 2008-10-09 / 20080245807 - Closure For Refuse Container | 1 |
Robert A. Harkins | US | Savage | 2014-08-28 / 20140243786 - DELIVERY SYSTEM FOR HOLLOW MICRONEEDLE ARRAYS | 4 |
Daniel J. Harkins | US | Port Charlotte | 2014-03-20 / 20140075859 - Building Insulation System | 2 |
Patrick Harkins | US | Franklin | 2014-08-21 / 20140236614 - Financial Triage | 2 |
Ian Harkins | US | Flat Rock | 2015-04-02 / 20150092389 - PRISM FOR LIGHT REFLECTING/DIFFUSION BETWEEN LED'S | 1 |
Richard Harkins | US | Alameda | 2012-11-29 / 20120302492 - Antibody Mimetic Scaffolds | 6 |
William W. Harkins | US | Montgomery | 2014-03-13 / 20140070032 - CARTRIDGE BLOCK FOR MULTILAYER CERAMIC SCREENING | 4 |
William M. Harkins | US | Clarkston | 2013-01-24 / 20130019564 - EDGE CLADDING | 2 |
Jim Harkins | US | Edmonds | 2009-05-28 / 20090133344 - FIRE-RESISTANT FRAME ASSEMBLIES FOR BUILDING | 1 |
Randall Dean Harkins | US | Spring | 2015-01-22 / 20150021043 - SAFETY DEVICE FOR RETRIEVING COMPONENT WITHIN WELLHEAD | 2 |
Paul Harkins | US | Central Valley | 2013-08-29 / 20130226687 - SYSTEMS AND METHODS FOR INTERMEDIARY PRICING AND RETAIL SALES OF COMMODITIES | 1 |
Brian A. Harkins | US | Dover | 2013-10-03 / 20130260171 - CHARGE COLLECTION TAPE | 2 |
Simon D. Harkins | US | San Jose | 2013-11-07 / 20130293017 - ENVIRONMENTAL SYSTEM AND MODULAR POWER SKID FOR A FACILITY | 1 |
James Harkins | US | Canton | 2015-09-17 / 20150258947 - DC-TO-DC CONVERTER WITH VARIABLE SET-POINT CONTROL | 1 |
Michael T. Harkins | US | Portland | 2012-03-08 / 20120055235 - Carbon monoxide detector, system and method for signaling a carbon monoxide sensor end-of-life condition | 2 |
Michael Harkins | US | Portland | 2012-05-24 / 20120126936 - Access control system | 1 |
Peter Lowe Harkins | US | Glendale | 2012-11-15 / 20120286772 - Metallic Pipeline Current Reader and Third Party Strike Damage Detector | 1 |
James B. Harkins, Iv | US | Knoxville | 2009-03-19 / 20090071834 - Methods and Devices for Concentration and Fractionation of Analytes for Chemical Analysis Including Matrix-Assisted Laser Desorption/Ionization (MALDI) Mass Spectrometry (MS) | 1 |
Thomas John Harkins, Jr. | US | Whaleyville | / - | 1 |
Thomas John Harkins, Jr. | US | Pitman | / - | 1 |
Thomas John Harkins, Jr. | US | Cherry Hill | / - | 1 |
Alvin E. Harkins, Jr. | US | Baton Rouge | 2009-09-24 / 20090240091 - SEPARATION AND/OR RECOVERY OF PROPYL BROMIDE | 3 |
Gary L. Harkleroad | US | Indiana | 2009-08-13 / 20090202922 - Dimensional stabilization of precision etched masks | 1 |
Jonathan D. Harkless | US | Newcastle | 2015-08-13 / 20150224706 - TAPE APPLICATOR ASSEMBLY AND TAPE ASSEMBLY | 3 |
Elijah Harkless, Ii | US | Florence | 2013-07-25 / 20130191205 - System and method for electronic retrieval and redemption of coupons | 1 |
Gerald A. Harkness | US | East Leroy | 2009-01-15 / 20090017170 - CRACKER-PRETZEL FOOD ITEMS AND METHODS RELATED THERETO | 1 |
Johnnie C. Harkness | US | Hanahan | 2008-10-02 / 20080243350 - SYSTEM AND METHOD FOR RECEIVING AND USING DATA ASSOCIATED WITH DRIVING CONDITIONS AND RELATED PARAMETERS | 1 |
Kristin P. Harkness | US | Norwalk | 2015-06-11 / 20150161527 - MULTI-PHASE SEARCH AND PRESENTATION FOR VERTICAL SEARCH WEBSITES | 2 |
Laura Harkness | US | New Fairfield | 2014-08-21 / 20140234476 - Preparation and Incorporation of Co-Products into Beverages to Achieve Metabolic and Gut Health Benefits | 1 |
Alexander W. Harkness | US | Gibsonia | 2016-04-28 / 20160118150 - Method and Apparatus for the Shielded Relocation of a Nuclear Component | 17 |
Graeme Harkness | GB | Glasgow | 2009-12-10 / 20090307536 - METHOD FOR PROTECTING SOFTWARE PROGRAMS | 2 |
Jeffrey G. Harkness | US | Montgomery | 2008-12-25 / 20080314059 - DOUBLE CLUTCH DRIVE SYSTEM | 1 |
William Anthony Harkness | US | Everett | 2009-12-24 / 20090319902 - MULTIPURPOSE INFORMATION TRANSFER MEDIUM EYEPIECE | 1 |
Ian Roy Harkness | GB | Oxford | 2015-07-23 / 20150207153 - PROCESS FOR PREPARING A CATALYTIC MATERIAL | 4 |
Graeme Kerr Harkness | GB | Edinburgh | 2011-06-23 / 20110154503 - METHOD OF PROTECTING COMPUTER PROGRAM CODE | 2 |
John Harkness | US | Bowling Green | 2015-08-13 / 20150224664 - CUTTER ASSEMBLY | 1 |
John C. Harkness | US | Lakewood | 2010-01-14 / 20100006191 - HIGH STRENGTH Be/Cu ALLOYS WITH IMPROVED ELECTRICAL CONDUCTIVITY | 1 |
Samuel D. Harkness | US | Berkeley | 2014-10-23 / 20140313615 - APPARATUS COMPRISING MAGNETICALLY SOFT UNDERLAYER | 2 |
William A. Harkness | US | Everett | 2011-03-24 / 20110068227 - SYSTEM AND METHOD FOR AN ANTICIPATORY PASSENGER CABIN | 8 |
Stephen Scott Harkness | US | Greenville | 2010-06-17 / 20100152688 - Wetness sensor insert | 1 |
Alexander W. Harkness | US | Gibsonia | 2016-04-28 / 20160118150 - Method and Apparatus for the Shielded Relocation of a Nuclear Component | 17 |
Steven Harkness | AU | Wingfield | 2015-07-30 / 20150211191 - ANCHORING DEVICES FOR RAIL FASTENING CLIPS | 2 |
Jon M Harkness | US | Maple Grove | 2014-09-18 / 20140261130 - Boat Drain Plug Storage and Reminder Device | 1 |
Laura Joanne Harkness | GB | Yarm | 2015-05-21 / 20150142383 - RADIATION DETECTOR DEVICE AND METHOD | 1 |
Alex W. Harkness | US | Gibsonia | 2013-10-17 / 20130272474 - PASSIVE CONTAINMENT AIR COOLING FOR NUCLEAR POWER PLANTS | 1 |
Brian R. Harkness | US | Midland | 2014-10-02 / 20140291872 - Gel Having Improved Thermal Stability | 4 |
Harrington Hunter Harkness | US | Canton | 2015-06-25 / 20150178424 - CAD-Based Initial Surface Geometry Correction | 2 |
William A. Harkness | US | Mukilteo | 2016-02-04 / 20160031156 - Free-Form Spatial 3-D Printing Using Part Levitation | 1 |
David Henry Harkness | US | Wilton | 2014-07-03 / 20140189724 - METHODS, APPARATUS AND ARTICLES OF MANUFACTURE TO PROVIDE SECONDARY CONTENT IN ASSOCIATION WITH PRIMARY BROADCAST MEDIA CONTENT | 2 |
Brian Robert Harkness | US | Midland | 2015-06-11 / 20150159022 - Composition For Surface Treatment, Method Of Preparing A Surface-Treated Article, And Surface-Treated Article | 3 |
Steve Harkness | US | Mountain View | 2016-04-14 / 20160104111 - OBTAINING LOADS FOR NEXT LEG OR BACKHAUL | 1 |
Tammy L. Harkness | US | Barto | 2014-02-27 / 20140059505 - METHOD FOR DESIGNING INTEGRATED CIRCUITS EMPLOYING CORRECT-BY-CONSTRUCTION PROGRESSIVE MODELING AND AN APPARATUS EMPLOYING THE METHOD | 1 |
Richard Harkness | US | Sacramento | 2014-08-07 / 20140220513 - SENIOR DRIVER TRAINING | 2 |
David H. Harkness | US | Wilton | 2011-12-22 / 20110314128 - USE OF BROWSER HISTORY FILE TO DETERMINE WEB SITE REACH | 10 |
Samuel Dacke Harkness | US | Berkeley | 2010-03-25 / 20100073809 - X-AMR ASSISTED RECORDING ON HIGH DENSITY BPM MEDIA | 4 |
Brian Harkness | US | Midland | 2015-02-19 / 20150051345 - Filled Silicone Composition, In Situ Preparation And Use Thereof | 6 |
Ashley Harkness | US | Dublin | 2012-04-12 / 20120086435 - MANIPULATION ASSEMBLY FOR ONLINE ELECTRICAL SYSTEM TEST PROBE INSTALLATION | 2 |
Samuel Dacke Harkness, Iv | US | Berkeley | 2014-06-26 / 20140178714 - Method and Manufacture Process for Exchange Decoupled First Magnetic Layer | 9 |
Samuel D. Harkness, Iv | US | Berkeley | 2014-09-25 / 20140287268 - CoPtCr-BASED BIT PATTERNED MAGNETIC DEVICE | 7 |
Samuel Dacke Harkness, Iv | US | Berkeley | 2014-06-26 / 20140178714 - Method and Manufacture Process for Exchange Decoupled First Magnetic Layer | 9 |
Arthur E. Harkness, Jr. | US | Milford | 2014-09-11 / 20140251675 - HIGH SPEED PRINTED CIRCUIT BOARD WITH UNIFORM VIA INSIDE DIAMETER | 1 |
Mika Harkonen | FI | Vtt | 2016-03-03 / 20160060387 - GLYCOLIC ACID POLYMERS AND METHOD OF PRODUCING THE SAME | 2 |
Kari Harkonen | FI | Kauniainen | 2013-01-10 / 20130009264 - MOISTURE BARRIER | 5 |
Pasi Harkonen | FI | Savolinna | 2014-12-04 / 20140353260 - METHOD AND APPARATUS FOR WASHING PULP BY MEANS OF INTENSIFIED SUCTION | 1 |
Matti Harkonen | FI | Oulu | 2011-09-08 / 20110217215 - SUBSTRATE HAVING POROUS SHEET(S) FOR TREATING EXHAUST GASES OF COMBUSTION ENGINES | 3 |
Ari Harkonen | FI | Riihimaki | 2014-11-13 / 20140332322 - ELEVATOR SYSTEM INCLUDING MONITORING ARRANGEMENT TO ACTIVATE EMERGENCY BRAKING PROCEDURE BASED ON DECELERATION AND METHOD OF OPERATING THE SAME | 5 |
Hamza Harkous | CH | Lausanne | 2014-05-01 / 20140122882 - METHODS AND APPARATUS FOR DATA SECURITY IN MOBILE AD HOC NETWORKS | 1 |
Curtis J. Harkrider | US | Wheaton | 2008-10-23 / 20080259435 - Electro-optic crystal, diffraction-based, beam-steering element | 1 |
John David Harkrider | US | Greenwood Village | 2013-08-01 / 20130192828 - APPARATUS AND METHOD FOR SEALING A PORTION OF A COMPONENT DISPOSED IN A WELLBORE | 1 |
Godefridus Antonius Harks | NL | Rijen | 2015-09-24 / 20150265241 - ULTRASOUND DATA VISUALIZATION APPARATUS | 6 |
Erik Godefridus Antonius Harks | NL | Rijen | 2013-09-19 / 20130245440 - FILTERING APPARATUS FOR FILTERING AN ULTRASOUND SIGNAL | 2 |
Erik Godefridus Antonius Harks | NL | Eindhoven | 2013-09-19 / 20130245433 - LOCATION DETERMINATION APPARATUS | 2 |
Godefridus Antonius Harks | NL | Eindhoven | 2014-02-20 / 20140052241 - GUIDED DELIVERY OF PROSTHETIC VALVE | 8 |
Erik Harks | NL | Eindhoven | 2012-01-05 / 20120004547 - MONITORING APPARATUS FOR MONITORING AN ABLATION PROCEDURE | 1 |
Godefridus Antonius Harks | NL | Eindhoven | 2014-02-20 / 20140052241 - GUIDED DELIVERY OF PROSTHETIC VALVE | 8 |
Godefridus Antoniius Harks | NL | Rijen | 2014-06-12 / 20140163372 - ABLATION APPARATUS | 1 |
Joseph A. Harkulich | US | Willoughby | 2015-11-26 / 20150341469 - INDUSTRIAL AUTOMATION SERVICE TEMPLATES FOR PROVISIONING OF CLOUD SERVICES | 18 |
Kara L. Harl | US | Naperville | 2009-11-05 / 20090274799 - Seasoning Bag | 4 |
Donna Harla | US | Ponte Vedra Beach | 2013-05-16 / 20130122479 - PARENT COLLABORATION PROFESSIONAL LEARNING COMMUNITY | 1 |
Marc A. Harlacher | US | Herndon | 2013-02-28 / 20130051434 - SYSTEM AND METHOD FOR MITIGATING SEVERE MULTIPATH INTERFERENCE FOR GEOLOCATION & NAVIGATION | 1 |
Harald Harlacher | DE | Mannheim | 2013-12-26 / 20130344294 - FIBROUS PRODUCT AND METHOD FOR MANUFACTURING SUCH A FIBROUS PRODUCT | 7 |
James Patrick Harlacher | US | San Jose | 2015-09-17 / 20150264070 - METHOD AND SYSTEM FOR DETECTING ALGORITHM-GENERATED DOMAINS | 1 |
Peter Harlacher | DE | Buhl | 2014-08-07 / 20140221928 - DEVICE FOR SUCTION OF LIQUIDS AND/OR PARTICLES FROM BODY ORIFICES | 1 |
James Harlacher | US | San Jose | 2015-03-19 / 20150082433 - SYSTEMS AND METHODS FOR CAPTURING, REPLAYING, OR ANALYZING TIME-SERIES DATA | 4 |
Stephen Harlacher | DE | Mödingen | 2011-06-30 / 20110155189 - DOMESTIC DISHWASHER | 1 |
Dominik Harlacher | DE | Wiggensbach | 2013-09-26 / 20130247510 - PACKAGING MACHINE WITH SEALING STATION FOR GAS FLUSHING A PACKAGE | 1 |
Mitesh Harlalka | US | Lake Mary | 2015-02-05 / 20150039354 - SELF-SERVICE SYSTEM AND METHOD OF TAGGING BAGGAGE | 1 |
C. Jeff Harlan | US | Houston | 2016-05-05 / 20160122270 - PROTECTING PHENOL GROUPS | 4 |
Fiona Karen Harlan | US | Eugene | 2015-08-06 / 20150219654 - INTRACELLULAR ORGANELLE PEPTIDE TARGETED ENZYME SUBSTRATES | 1 |
Tod M. Harlan | US | Mechanicsburg | 2015-06-04 / 20150155643 - Shelf Lighting Connector Assembly | 16 |
Jeffrey Lawrence Harlan | US | Corona | 2015-06-25 / 20150173316 - METHOD AND APPARATUS FOR AEROPONIC GROWTH | 1 |
Kathryn Kersey Harlan | US | Nashville | 2015-10-22 / 20150302426 - SYSTEMS AND METHODS FOR VIRTUAL ENVIRONMENT CONSTRUCTION FOR BEHAVIORAL RESEARCH | 3 |
Eric Marshall Harlan | US | Ripley | 2014-11-27 / 20140346100 - OVERFLOW STRAINER | 1 |
Lesley J. Harlan | US | Palo Alto | 2012-09-20 / 20120234251 - POOP TRANSPORTER | 1 |
Ken Harlan | US | Colorado Springs | 2013-12-19 / 20130338500 - CARDIOVASCULAR IMAGING SYSTEM | 1 |
John S. Harlan | US | Salinas | 2013-04-04 / 20130084151 - PORTABLE TRANSITION DOCK FOR PALLETIZED PRODUCT | 1 |
Jeffrey Laurence Harlan | US | Corona | 2013-01-17 / 20130014435 - METHOD AND APPARATUS FOR AEROPONIC GROWTH | 1 |
George Harlan | US | Braintree | 2012-02-23 / 20120044644 - FAN SYSTEM AND ELECTRONIC DEVICE | 1 |
Jay Harlan | US | Fort Collins | 2012-02-23 / 20120047439 - USER-INITIATED MODE FOR REMOTE SUPPORT | 1 |
Thomas A. Harlan | US | Austin | 2010-10-07 / 20100254581 - DEVICE, METHOD, AND APPARATUS FOR BIOLOGICAL TESTING WITH A MOBILE DEVICE | 1 |
Jeffery L. Harlan | US | Corona | 2010-06-17 / 20100147862 - READILY CLEANABLE SPILL-RESISTANT DRINKING VESSEL AND VALVE | 1 |
Jeff Harlan | US | Corona | 2014-10-09 / 20140302974 - PROPRIOCEPTION TRAINING AND EXERCISE APPARATUS | 5 |
Timothy S. Harlan | US | New Orleans | 2009-06-04 / 20090144081 - METHODS AND SYSTEMS FOR DYNAMIC MEAL PLAN GENERATION | 1 |
Tod M. Harlan | US | Mechanicsburg | 2015-06-04 / 20150155643 - Shelf Lighting Connector Assembly | 16 |
John E. Harlan | US | Lake Zurich | 2013-06-13 / 20130149313 - ANTIBODIES TO RECEPTOR OF ADVANCED GLYCATION END PRODUCTS (RAGE) AND USES THEREOF | 4 |
John M. Harlan | US | Seattle | 2013-02-28 / 20130053329 - METHODS OF INHIBITING APOPTOSIS OR INFLAMMATION IN A MAMMAL BY ADMINISTERING AN ISOLATED BCL PROTEIN | 6 |
Ken Harlan | US | Peyton | 2011-01-13 / 20110009750 - CARDIOVASCULAR IMAGING SYSTEM | 1 |
Kenneth D. Harlan | US | Peyton | 2016-01-07 / 20160001064 - ENDOCARDIAL LEAD CUTTING APPARATUS | 4 |
Aaron Alphuus Harlan | US | Muscadine | 2010-03-04 / 20100052605 - Supplement wind turbine car charging system | 1 |
Cloyce M. Harlan | US | Wellston | 2009-08-20 / 20090205594 - Hydraulic Spring Drive Apparatus | 1 |
Hugh P. Harlan | US | Indianapolis | 2008-09-25 / 20080233255 - PROCESS FOR PRODUCING A FREEZER-TO-OVEN BAGEL | 1 |
Jeffrey L. Harlan | US | Corona | 2014-05-29 / 20140144825 - FLASH CHROMATOGRAPHY CARTRIDGE | 7 |
Steve Harlan | US | Des Moines | 2008-08-28 / 20080206363 - Skin stabilizer preparation | 1 |
Mark A. Harland | US | Hilton | 2010-12-30 / 20100328619 - COOLING CELL FOR LIGHT MODULATOR | 7 |
Mark A. Harland | US | Rochester | 2009-08-13 / 20090200543 - METHOD OF FORMING AN ELECTRONIC DEVICE ON A SUBSTRATE SUPPORTED BY A CARRIER AND RESULTANT DEVICE | 1 |
Mark Alan Harland | US | Hilton | 2009-08-13 / 20090202857 - METHOD FOR FORMING AN ELECTRONIC DEVICE ON A FLEXIBLE METALLIC SUBSTRATE AND RESULTANT DEVICE | 1 |
Richard Harland | CA | Mississauga | 2015-10-15 / 20150293118 - CROSS-REACTIVE DETERMINANTS AND METHODS FOR THEIR IDENTIFICATION | 2 |
Gary D. Harland | US | Fremont | 2011-09-15 / 20110220285 - METHODS AND SYSTEMS FOR TEXTURING CERAMIC COMPONENTS | 1 |
Henri Harland | CA | Rosemere | 2014-05-22 / 20140141074 - CONCENTRATED THERAPEUTIC PHOSPHOLIPID COMPOSITIONS | 2 |
Richard Harland | CA | Calgary | 2012-02-09 / 20120034262 - Bovine Herpes Virus-1 Compositions, Vaccines and Methods | 1 |
Christopher Harland | GB | Brighton | 2010-11-18 / 20100289479 - SENSOR SYSTEM AND METHOD | 3 |
Paul Harland | US | Middlebury | 2010-10-21 / 20100263292 - MULTI-MATERIAL LAYERED EXTRUSION | 1 |
Ronald S. Harland | US | Yardley | 2013-03-28 / 20130078299 - Transdermal Patches Having Ionized Beam Crosslinked Polymers and Improved Release Characteristics | 1 |
Richard Harland | US | Houston | 2012-12-20 / 20120318522 - AIR-FREIGHTABLE CONTAINMENT CAP FOR CONTAINING A SUBSEA WELL | 2 |
Charles Harland | CA | Embrum | 2009-05-07 / 20090114521 - Contaminated solvent recycling system | 1 |
Mark Harland | US | Hilton | 2013-11-14 / 20130300918 - Camera Module with MEMS Autofocus and Zoom | 9 |
Charles Harland | CA | Embrun | 2013-12-05 / 20130319845 - Contaminated Solvent Recycling System | 1 |
David Neil Harland | GB | Salisbury | 2010-03-04 / 20100055123 - VACCINE AGAINST BURKHOLDERIA INFECTIONS | 2 |
Scott Harland | US | Valencia | 2015-06-04 / 20150153683 - Remanufactured Toner Cartridge with Added Cleaning Roller for the Primary Charge Roller, and Methods | 3 |
Christopher J. Harland | GB | Brighton | 2011-10-06 / 20110245702 - ELECTRODYNAMIC SENSORS AND APPLICATIONS THEREOF | 1 |
William Harland | US | Brooks | 2016-03-10 / 20160067592 - TABLE GAME WITH COLOR CODED GAME PIECES | 1 |
Charles Harland | CA | Waterloo | 2014-01-30 / 20140028553 - METHOD, SYSTEM AND APPARATUS FOR DETERMINING LOCATIONS IN A PROJECTED IMAGE | 1 |
John M. Harlander | US | St. Cloud | 2009-09-17 / 20090231592 - REFRACTIVE SPATIAL HETERODYNE SPECTROMETER | 2 |
Susan Kay Harlander | US | Jackson | 2012-10-04 / 20120253883 - Food product contamination event management system and method | 2 |
Susan K. Harlander | US | Jackson | 2013-05-02 / 20130110580 - SYSTEM AND METHOD OF PROVIDING PRODUCT QUALITY AND SAFETY | 4 |
Lisa M. Harlan-Williams | US | Lenexa | 2012-10-11 / 20120259005 - BRCA1-Based Breast or Ovarian Cancer Prevention Agents and Methods of Use | 2 |
Rotem Har-Lavan | IL | Nes Harim | 2016-05-05 / 20160126402 - SYSTEMS AND METHODS FOR DETECTORS HAVING IMPROVED INTERNAL ELECTRICAL FIELDS | 1 |
Thomas Harle | DE | Oy-Mittelberg | 2014-06-19 / 20140172349 - Method and Apparatus for Determining a Process Variable | 2 |
Arti Harle | IN | Pune | 2015-06-25 / 20150174657 - NANO AGGREGATES OF MOLECULAR ULTRA SMALL CLUSTERS OF NOBLE METALS AND A PROCESS FOR THE PREPARATION THEREOF | 1 |
Blair Thomas Harle | US | Lake Mary | 2015-08-06 / 20150216229 - SLEEVE FOR CIGARETTE PACKS | 1 |
Virginie Harle | FR | Senlis | 2015-08-20 / 20150232775 - SYNERGISTIC DETERGENT AND ACTIVE METAL COMPOUND COMBINATION | 15 |
Christophe Harle | US | Austin | 2014-11-13 / 20140333638 - POWER-EFFICIENT NESTED MAP-REDUCE EXECUTION ON A CLOUD OF HETEROGENEOUS ACCELERATED PROCESSING UNITS | 1 |
Volker Harle | DE | Laaber | 2014-07-24 / 20140203413 - Composite Substrate, Semiconductor Chip Having a Composite Substrate and Method for Producing Composite Substrates and Semiconductor Chips | 16 |
Anette Harle | SE | Malmö | 2011-09-15 / 20110220334 - PLATE HEAT EXCHANGER | 1 |
Francois Harle | FR | Clermont-Ferrand | 2015-06-11 / 20150158338 - TIRE COMPRISING A TREAD FORMED BY MULTIPLE ELASTOMER BLENDS | 7 |
Virginie Harle | FR | Senlis | 2015-08-20 / 20150232775 - SYNERGISTIC DETERGENT AND ACTIVE METAL COMPOUND COMBINATION | 15 |
David Harle | GB | Glasgow | 2010-07-15 / 20100177718 - USE OF NETWORK CAPACITY | 2 |
Allan Harle | GB | Cheshire | 2008-12-04 / 20080296312 - Apparatus and a Method of Inserting a Series of Contents Into a Series of Containers | 1 |
Douglas Harle | CA | Regina | 2008-11-13 / 20080280024 - Lentil Extract | 1 |
Ronald Harleman | US | Appleton City | 2012-02-16 / 20120037424 - VIBRATORY DRILLING APPARATUS | 1 |
Frank Harleman | NL | Hengelo | 2015-04-30 / 20150118341 - APPARATUS AND METHOD FOR ROTATIONAL MOLDING OF PLASTIC MATERIAL | 1 |
David P. Harleman | US | Vinita Park | 2009-05-21 / 20090127257 - FOLDABLE RECYCLING APPARATUS | 1 |
Gregg R. Harleman | US | Jim Thorpe | 2009-01-01 / 20090002017 - Multiple-Mode Compensated Buffer Circuit | 1 |
Gregg R. Harleman | US | Thorpe | 2014-02-06 / 20140040847 - SYSTEM AND METHOD FOR GENERATING PHYSICAL DETERMINISTIC BOUNDARY INTERCONNECT FEATURES FOR DUAL PATTERNING TECHNOLOGIES | 1 |
Steven S. Harlen | US | Jesup | 2015-04-23 / 20150108028 - ULTRA THIN LAMINATE WITH PARTICULATES IN DENSE PACKAGES | 6 |
Michael Harless | US | Humble | 2014-10-09 / 20140301984 - METHOD FOR THE USE OF NITRATE REDUCING BACTERIA AND PHAGES FOR MITIGATING BIOGENIC SULFIDE PRODUCTION | 3 |
Eric Harless | US | Farmingville | 2012-09-13 / 20120233123 - SYSTEM AND METHOD FOR PROVIDING ASSURED RECOVERY AND REPLICATION | 1 |
William G. Harless | US | Bethesda | 2013-01-17 / 20130018895 - SYSTEMS AND METHODS FOR EXTRACTING MEANING FROM SPEECH-TO-TEXT DATA | 1 |
Mark Harless | US | Plymouth | 2010-07-01 / 20100166292 - WAFER HOLDING MECHANISM | 2 |
Daniel E. Harless | US | King City | 2012-10-04 / 20120246945 - CUTTING HEAD FOR STRING TRIMMER | 1 |
Michael L. Harless | US | Humble | 2015-10-22 / 20150300144 - Method for the Use of Nitrates and Nitrate Reducing Bacteria In Hydraulic Fracturing | 5 |
Christopher George Harless | US | Orlando | 2016-04-14 / 20160102940 - MULTI-MATERIAL HANDGUN HOLSTER | 1 |
Michael G. Harless | US | Rockville | 2013-01-17 / 20130018895 - SYSTEMS AND METHODS FOR EXTRACTING MEANING FROM SPEECH-TO-TEXT DATA | 1 |
Mark Harless | US | New Hope | 2012-04-12 / 20120087569 - AUTOMATED WAFER DEFECT INSPECTION SYSTEM AND A PROCESS OF PERFORMING SUCH INSPECTION | 2 |
David K. Harless | US | Clovis | 2012-10-04 / 20120246945 - CUTTING HEAD FOR STRING TRIMMER | 1 |
Douglas Malcolm Harless | US | Belle Mead | 2013-10-17 / 20130274400 - ALUMINUM PHOSPHATES, COMPOSITIONS COMPRISING ALUMINUM PHOSPHATE, AND METHODS FOR MAKING THE SAME | 1 |
Doron Harlev | US | Brookline | 2016-04-14 / 20160100884 - TISSUE DIAGNOSIS AND TREATMENT USING MINI-ELECTRODES | 27 |
Doron Harlev | US | Boston | 2012-05-24 / 20120130267 - IMPEDANCE BASED ANATOMY GENERATION | 1 |
Amos Harlev | IL | Kfar Truman | 2010-11-25 / 20100299207 - DYNAMIC SYSTEM AND METHOD FOR PASSENGER INTERACTIVE EXCHANGE | 1 |
Doron Harlev | US | Brookline | 2016-04-14 / 20160100884 - TISSUE DIAGNOSIS AND TREATMENT USING MINI-ELECTRODES | 27 |
Doron Harlev | US | Cambridge | 2013-01-03 / 20130006084 - TRACKING USING FIELD MAPPING | 18 |
Andrew James Harley | US | Novi | 2009-02-05 / 20090033126 - AUTOMOTIVE VEHICLE INSTRUMENT PANEL SYSTEM | 1 |
Tom Harley | GB | Camelon Falkirk | 2015-05-21 / 20150137500 - Passenger Service Vehicle | 1 |
Christopher M. Harley | US | Lakewood | 2012-07-19 / 20120185951 - METHOD AND APPARATUS FOR MEDICAL INFORMATION ENCRYPTION | 1 |
Gregory D. Harley | US | Manor | 2014-05-22 / 20140143765 - ORPHAN TOKEN MANAGEMENT DURING IN-FLIGHT PROCESS SYSTEM MIGRATION | 1 |
Chris Harley | US | Boynton Beach | 2014-06-12 / 20140161425 - Accessible Cabinet Electric Heating System and Method | 1 |
Jessica Rose Harley | US | Chicago | 2011-10-20 / 20110253156 - METHOD FOR SCULPTING EYELASHES | 1 |
Ronald Gordon Harley | US | Lawrenceville | 2014-12-04 / 20140358456 - METHOD AND SYSTEM EMPLOYING FINITE STATE MACHINE MODELING TO IDENTIFY ONE OF A PLURALITY OF DIFFERENT ELECTRIC LOAD TYPES | 2 |
Naomi H. Harley | US | New York | 2014-03-20 / 20140077074 - SEISMIC PREDICTION WITH DECAY PRODUCTS | 1 |
Joel B. Harley | US | Pittsburgh | 2014-01-23 / 20140025316 - Temperature Compensation in Wave-Based Damage Detection Systems | 1 |
Eric C. Harley | US | Bel Air | 2016-03-17 / 20160079397 - PARTIAL FIN ON OXIDE FOR IMPROVED ELECTRICAL ISOLATION OF RAISED ACTIVE REGIONS | 1 |
Jason Charles Harley | US | Gaithersburg | 2015-05-14 / 20150132861 - CLINICAL DIAGNOSTIC SYSTEMS | 4 |
Brendan Harley | US | Urbana | 2013-08-29 / 20130226313 - Bioactive Scaffold for Therapeutic and Adhesion Prevention Applications | 1 |
Jonah A. Harley | US | Mountain View | 2012-12-27 / 20120331546 - INTELLIGENT STYLUS | 9 |
Mayra I. Harley | US | Oldsmar | 2013-10-31 / 20130290041 - RESERVATION SYSTEM FOR DISTRIBUTED MULTICHANNEL TRANSACTIONS | 1 |
Stephen J. Harley | US | Davis | 2011-07-28 / 20110184681 - Authentication device for full intact wine bottles | 1 |
J. Robert Harley | US | Apollo Beach | 2011-12-22 / 20110308152 - Self watering system | 1 |
Jonah A. Harley | US | Los Gatos | 2015-04-16 / 20150103049 - ACTIVE STYLUS | 5 |
Eric C. Harley | US | Lagrangeville | 2016-02-04 / 20160035878 - FINFET WITH DIELECTRIC ISOLATION AFTER GATE MODULE FOR IMPROVED SOURCE AND DRAIN REGION EPITAXIAL GROWTH | 17 |
Robert Dion Harley | US | Hagerstown | 2016-02-11 / 20160037863 - SHOE COVER STORAGE APPARATUS TO PROTECT LACES | 1 |
Clive Harley | US | Ann Arbor | 2013-10-31 / 20130285772 - SOFT-START SYSTEMS AND METHODS FOR VEHICLE STARTERS | 2 |
Brendan A. Harley | US | Urbana | 2014-10-16 / 20140309738 - Membrane-Scaffold Composites for Tissue Engineering Applications | 1 |
Calvin B. Harley | US | Murphys | 2015-09-10 / 20150250863 - Method for Eliciting an Immune Response to Human Telomerase Reverse Transcriptase | 9 |
John Warren Harley | US | Peninsula | 2013-03-21 / 20130068564 - Lube by Number | 1 |
Gabriel Harley | US | Mountain View | 2016-05-12 / 20160133759 - FOIL TRIM APPROACHES FOR FOIL-BASED METALLIZATION OF SOLAR CELLS | 26 |
John W. Harley | US | Peninsula | 2015-03-12 / 20150068555 - CLEANER FOR GREASE REJUVENATION AND METHOD OF MAINTAINING BEARINGS, BUSHINGS, LINKAGE PINS, AND CHAINS | 1 |
Gabriel Harley | US | Mountain View | 2016-05-12 / 20160133759 - FOIL TRIM APPROACHES FOR FOIL-BASED METALLIZATION OF SOLAR CELLS | 26 |
Calvin Harley | US | Murphys | 2016-03-31 / 20160090630 - MEASURES OF SHORT TELOMERE ABUNDANCE | 2 |
Phillip Edward Harley | GB | Newcastle-Upon-Tyne | 2014-03-13 / 20140069542 - DETECTION APPARATUS AND METHOD | 1 |
John C. Harley | US | Santa Barbara | 2016-03-31 / 20160093531 - METHOD FOR FORMING THROUGH SUBSTRATE VIAS WITH TETHERS | 10 |
Claire Harley | IE | Kildare | 2010-05-13 / 20100116655 - CHEMICAL MESSENGER SENSOR | 1 |
James Harley | CA | Nepean | 2016-02-18 / 20160050470 - SYSTEMS AND METHODS FOR MANAGING EXCESS OPTICAL CAPACITY AND MARGIN IN OPTICAL NETWORKS | 11 |
Vincent Russel Harley | AU | Malvern East | 2010-10-14 / 20100260732 - ROLE FOR SRY IN PARKINSON'S DISEASE | 1 |
Peter Harley | AU | Yarraville | 2010-04-01 / 20100081551 - Yoga Asana Stand | 1 |
Phillip E. Harley | GB | Hexham | 2010-03-11 / 20100061885 - INSTRUMENT FOR DETERMINING OZONE CONCENTRATION | 1 |
George C. Harley | GB | Winchester | 2009-12-24 / 20090319923 - METHOD FOR GENERATING ROLE-BASED USER INTERFACES UTILIZING UML MODELS | 1 |
David Harley | ZA | Cape Town | 2009-12-17 / 20090308119 - Electromechanical Locking System | 1 |
Vincent Russel Harley | AU | Victoria | 2008-08-21 / 20080199432 - ROLE FOR SRY IN PARKINSON'S DISEASE | 1 |
Jason A. Harley | US | Warren | 2016-01-28 / 20160026659 - Centrally Managing Electrical Vehicle Recharging Station Infrastructure Data Using Over-the-Air Telematics Communications | 1 |
John C. Harley | US | Santa Barbara | 2016-03-31 / 20160093531 - METHOD FOR FORMING THROUGH SUBSTRATE VIAS WITH TETHERS | 10 |
Jonah A. Harley | US | Cupertino | 2016-04-14 / 20160103543 - Force Sensor with Capacitive Gap Sensing | 13 |
Jonah A. Harley | US | Cupertino | 2016-04-14 / 20160103543 - Force Sensor with Capacitive Gap Sensing | 13 |
James Harley | CA | Richmond | 2015-06-11 / 20150162987 - MULTI-RATE TRANSPARENT MUX FOR OPTICAL COMMUNICATIONS NETWORKS | 1 |
Walter Sims Harley | US | Seattle | 2014-02-13 / 20140047117 - RESOLVING INFORMATION IN A MULTITENANT DATABASE ENVIRONMENT | 5 |
Jonah Harley | US | Mountain View | 2009-05-28 / 20090135157 - Capacitive Sensing Input Device with Reduced Sensitivity to Humidity and Condensation | 2 |
Jonah A. Harley | US | Mountain View | 2012-12-27 / 20120331546 - INTELLIGENT STYLUS | 9 |
Calvin Bruce Harley | US | Murphys | 2015-04-02 / 20150093455 - COMPOSITIONS AND METHODS FOR INCREASING TELOMERASE ACTIVITY | 3 |
Calvin B. Harley | US | Murphys | 2015-09-10 / 20150250863 - Method for Eliciting an Immune Response to Human Telomerase Reverse Transcriptase | 9 |
John B. Harley | US | Cincinnati | 2015-10-15 / 20150290281 - METHODS AND DEVICES FOR BONE INFECTION TREATMENT SELECTION | 1 |
Lynn L. Harley | US | Worcester | / - | 1 |
Scott Harley | US | Pensacola | 2009-07-02 / 20090170192 - METHODS AND DNA CONSTRUCTS FOR HIGH YIELD PRODUCTION OF POLYPEPTIDES | 1 |
James Harley | CA | Nepean | 2016-02-18 / 20160050470 - SYSTEMS AND METHODS FOR MANAGING EXCESS OPTICAL CAPACITY AND MARGIN IN OPTICAL NETWORKS | 11 |
Thomas R. Harley | US | Indiana | 2009-08-27 / 20090211728 - Hydro-Thermal Energy System | 1 |
John B. Harley | US | Oklahoma City | 2009-10-01 / 20090246768 - Predicting and Diagnosing Patients With Autoimmune Disease | 1 |
Howard A. Harley | US | Brookville | 2008-08-21 / 20080198692 - MIXING MACHINE AND ASSOCIATED BOWL GUARD SYSTEM | 1 |
Zenon Harley | CA | Waterloo | 2015-08-06 / 20150216413 - SYSTEMS, DEVICES, AND METHODS FOR ANALYZING AND ENHANCING PATIENT HEALTH | 1 |
Robert Guy Harley | US | Spring | 2015-10-08 / 20150285033 - INSULATED CONDUCTORS FORMED USING A FINAL REDUCTION STEP AFTER HEAT TREATING | 9 |
Richard D. Harley | US | Sammamish | 2015-03-26 / 20150084865 - Input Device Backlighting | 1 |
Eric C. T. Harley | US | Lagrangeville | 2016-03-31 / 20160093740 - UNIFORM JUNCTION FORMATION IN FINFETS | 5 |
Eric Harley | US | Lagrangeville | 2010-01-14 / 20100009502 - Semiconductor Fabrication Process Including An SiGe Rework Method | 1 |
Eric C.t. Harley | US | Lagrangeville | 2012-05-10 / 20120112208 - STRESSED TRANSISTOR WITH IMPROVED METASTABILITY | 3 |
Gregory R. Harley | US | Flagstaff | 2010-05-06 / 20100112317 - PART MARKING OF COATED PLASTIC SUBSTRATES | 1 |
Eric C. Harley | US | Lagrangeville | 2016-02-04 / 20160035878 - FINFET WITH DIELECTRIC ISOLATION AFTER GATE MODULE FOR IMPROVED SOURCE AND DRAIN REGION EPITAXIAL GROWTH | 17 |
Brendan A. Harley | US | Cambridge | 2012-11-22 / 20120294925 - BIOMATERIAL | 4 |
Catherine Harley | US | Seattle | 2015-05-14 / 20150135054 - Comments on Named Objects | 2 |
Stephen Harley | US | Morgantown | 2010-10-14 / 20100260769 - ENDOSIALIN BINDING MOLECULES | 1 |
Stuart Harley | GB | Greater Manchester | 2014-05-08 / 20140125301 - CONTROL CIRCUITRY | 1 |
David Ernest Harley | AU | Wannanup | 2014-07-17 / 20140200651 - PARARENAL STENT GRAFT | 1 |
Gregory Raffi Harley | US | Pittsfield | 2014-05-01 / 20140120330 - PART MARKING OF COATED PLASTIC SUBSTRATES | 1 |
Robert Guy Harley | US | Spring | 2015-10-08 / 20150285033 - INSULATED CONDUCTORS FORMED USING A FINAL REDUCTION STEP AFTER HEAT TREATING | 9 |
Brendan Harley | US | Cambridge | 2010-12-02 / 20100303880 - Tissue scaffolding comprising surface folds for tissue engineering | 3 |
Ronald G. Harley | US | Lawrenceville | 2014-03-06 / 20140067299 - SYSTEM AND METHOD FOR ELECTRIC LOAD IDENTIFICATION AND CLASSIFICATION EMPLOYING SUPPORT VECTOR MACHINE | 4 |
Eric C. T. Harley | US | Bel Air | 2016-03-31 / 20160093720 - EPITAXIAL GROWTH OF MATERIAL ON SOURCE/DRAIN REGIONS OF FINFET STRUCTURE | 1 |
Richard Dean Harley, Jr. | US | Sammamish | 2015-08-20 / 20150234108 - Input Device Outer Layer and Backlighting | 2 |
Peter J. E. Harlick | CA | Metcalf | 2014-08-28 / 20140242684 - SYSTEM FOR HYDROLYZING A CELLULOSIC FEEDSTOCK SLURRY USING ONE OR MORE UNMIXED AND MIXED REACTORS | 1 |
Peter John Edward Harlick | US | Houston | 2015-10-22 / 20150299739 - PROCESSES FOR PRODUCING FERMENTATION PRODUCTS | 1 |
Bruce Harlick | US | 2012-09-20 / 20120238362 - ONLINE GAME WITH MECHANIC FOR COMBINING VISUAL DISPLAY PARAMETERS OF VIRTUAL OBJECTS | 2 | |
Bruce Harlick | US | San Francisco | 2016-03-10 / 20160067599 - ONLINE GAME WITH MECHANIC FOR COMBINING VISUAL DISPLAY PARAMETERS | 1 |
Peter J.e. Harlick | CA | Metcalfe | 2012-09-20 / 20120237983 - METHOD FOR INTRODUCING CELLULASE ENZYME TO LIGNOCELLULOSIC FEEDSTOCK SLURRY | 1 |
Peter J.e. Harlick | CA | Gatineau | 2008-11-13 / 20080276804 - Functionalized Adsorbent for Removal of Acid Gases and Use Thereof | 1 |
Rodney C. Harlin | US | Sulphur Springs | 2009-02-19 / 20090044471 - Fiber-Reinforced Composites and Building Structures Comprising Fiber-Reinforced Composites | 1 |
Ali Harlin | FI | Espoo | 2015-11-12 / 20150321173 - Process for the hydrothermal treatment of high molar mass biomaterials | 6 |
Ky Harlin | US | New York | 2012-09-20 / 20120239489 - METHOD AND SYSTEM FOR VIRAL PROMOTION OF ONLINE CONTENT | 1 |
Elina Harlin | FI | Vantaa | 2010-11-18 / 20100287821 - Process for the manufacture of diesel range hydro-carbons | 1 |
Ali Harlin | FI | Vtt | 2016-03-03 / 20160060387 - GLYCOLIC ACID POLYMERS AND METHOD OF PRODUCING THE SAME | 7 |
Ali Harlin | FI | Kerava | 2015-06-25 / 20150175500 - METHODS OF DEOXYGENATION OF TALL OIL AND PRODUCTION OF POLYMERIZABLE MONOMERS THEREFROM | 6 |
Elina Harlin | FI | Kerava | 2011-04-14 / 20110087058 - DEOXYGENATION OF MATERIALS OF BIOLOGICAL ORIGIN | 3 |
Ali Harlin | FI | Vantaa | 2013-03-07 / 20130059088 - PRIMING AND COATING PROCESS | 1 |
Barry Harlin | US | Roanoke | 2010-05-13 / 20100117334 - Self-Locking, Universal Trailer Hitch and Method of Use Thereof | 2 |
John David Harling | GB | Hertfordshire | 2009-04-16 / 20090099231 - 3-Sulfonylamino-Pyrrolidine-2-One Derivatives as Factor Xa Inhibitors | 2 |
John David Harling | GB | Herfordshire | 2012-03-08 / 20120058984 - PYRIMIDINE DERIVATIVES USED AS ITK INHIBITORS | 1 |
Mark Harling | US | Salt Lake City | 2015-08-20 / 20150235579 - ILLUMINATED ATM SURROUND | 2 |
Troy L. Harling | US | Gresham | 2011-06-23 / 20110147897 - OFFSET FIELD GRID FOR EFFICIENT WAFER LAYOUT | 1 |
Robert Martin Harling | US | Valley Center | 2014-03-13 / 20140070004 - SYSTEM AND METHOD FOR INVENTORY COUNTING CONTROL | 1 |
Karen Harling | US | Las Vegas | 2016-01-28 / 20160022057 - Hanging Mechanism and Component-based Storage System | 1 |
Oliver Harling | DE | Oyten | 2010-04-08 / 20100087070 - Electrical appliance having an electrical connection | 1 |
Steffen Harling | CH | Winterthur | 2015-09-10 / 20150252231 - LATENT-REACTIVE HOT-MELT ADHESIVE COMPOSITION | 1 |
Robert M. Harling | US | Valley Center | 2009-10-29 / 20090268941 - VIDEO MONITOR FOR SHOPPING CART CHECKOUT | 4 |
Sandra Jane Harling | GB | Stevenage | 2012-08-30 / 20120220779 - Novel Processes | 1 |
John David Harling | GB | Stevenage | 2016-05-19 / 20160136230 - NOVEL COMPOUNDS | 2 |
Gord Harling | CA | Bromont | 2010-01-21 / 20100017381 - TRIGGERING OF DATABASE SEARCH IN DIRECT AND RELATIONAL MODES | 1 |
Susan L. Harlocker | US | Seattle | 2011-06-23 / 20110150919 - COMPOSITIONS AND METHODS FOR THE THERAPY AND DIAGNOSIS OF BREAST CANCER | 3 |
Susan L. Harlocker | US | 2008-09-11 / 20080219988 - COMPOSITIONS AND METHODS FOR THE THERAPY AND DIAGNOSIS OF PROSTATE CANCER | 1 | |
William Brent Harlow | US | Seattle | 2016-05-05 / 20160121589 - METHOD AND SYSTEM OF FORMING A COMPOSITE LAMINATE | 1 |
Robert W. Harlow | US | Houston | 2011-07-28 / 20110183302 - Situational Awareness Training System and Method | 1 |
Kathleen Harlow | US | Austin | 2012-02-16 / 20120042318 - AUTOMATIC PLANNING OF SERVICE REQUESTS | 1 |
Cathryn H. "katie" Harlow | US | Richmond | 2013-08-29 / 20130226685 - SYSTEMS AND METHODS FOR PROVIDING CUSTOMERS WITH MATCHING REWARDS | 1 |
Philip Harlow | GB | Aylesford | 2016-03-03 / 20160062225 - Display Apparatus | 1 |
Steven E. Harlow | US | Lee'S Summit | 2016-05-05 / 20160125156 - ROLE BASED COMMUNICATION | 2 |
Ed Harlow | US | Boston | 2015-03-05 / 20150064146 - Blood Brain Barrier Device | 16 |
Jonathan Alister Harlow | GB | London | 2010-07-01 / 20100165294 - Vision Testing Apparatus & Method | 1 |
Randall Alan Harlow | US | Brimfield | 2014-02-20 / 20140047827 - AERATION IN LIQUID RESERVOIRS | 2 |
John Bruce Harlow | US | Middletown | 2013-11-14 / 20130304466 - METHOD AND DEVICE FOR PROVIDING SPEECH-TO-TEXT ENCODING AND TELEPHONY SERVICE | 4 |
James H. Harlow | US | Mentone | 2009-06-11 / 20090146637 - LOAD TAP CHANGER | 1 |
Steve Harlow | US | Lee'S Summit | 2013-05-09 / 20130117816 - ACCESSING MULTIPLE CLIENT DOMAINS USING A SINGLE APPLICATION | 1 |
Steven A. Harlow | US | San Diego | 2012-07-12 / 20120174483 - Obstruction Detector Power Control | 1 |
Robert J. Harlow | US | Louisville | 2009-12-10 / 20090306962 - SYSTEM AND METHOD TO PROVIDE WARNINGS ASSOCIATED WITH NATURAL LANGUAGE SEARCHES TO DETERMINE INTENDED ACTIONS AND ACCIDENTAL OMISSIONS | 1 |
John Harlow | US | Richmond | 2010-02-04 / 20100024745 - Protective pet device | 1 |
Nicholas George Harlow | US | Santa Cruz | 2010-06-10 / 20100146290 - TOKEN CACHING IN TRUST CHAIN PROCESSING | 1 |
Jeffrey D. Harlow | US | Holland | 2014-07-17 / 20140197025 - HOT TILE SPUTTERING SYSTEM | 3 |
James D. Harlow | US | Los Gatos | 2012-10-04 / 20120254286 - Managing Computer Network Resources | 2 |
Jason Harlow | US | Watertown | 2016-03-03 / 20160066081 - EARPHONES | 16 |
Ed Harlow | US | Boston | 2015-03-05 / 20150064146 - Blood Brain Barrier Device | 16 |
Jason Harlow | US | Watertown | 2016-03-03 / 20160066081 - EARPHONES | 16 |
Randall A. Harlow | US | Brimfield | 2015-01-22 / 20150020511 - METHOD FOR ENERGY RECOVERY OF HYDRAULIC MOTOR | 2 |
Christopher John Harlow | GB | Ellon | 2013-10-31 / 20130284910 - Process For Analyzing Gas Emitted During Drilling Of A Borehole | 1 |
Andrew Mahlon Harlow | US | Brick | 2015-09-24 / 20150267426 - DECONTAMINATION SHELTERS WITH GRADE-VARIANT SUMP SYSTEMS | 3 |
Shannon M. Harlow | US | Atlanta | 2011-09-08 / 20110217903 - Sports Bra | 1 |
Scott Harlow | US | Tucson | 2014-07-31 / 20140209601 - Breather Valve Assemblies | 1 |
Christelle Harly | FR | Nantes | 2015-12-10 / 20150353643 - ANTI-CD277 ANTIBODIES AND USES THEREOF | 2 |
Michael W. Harm | US | New York | 2015-07-09 / 20150193421 - Web-Based Spreadsheet Interaction with Large Data Set | 8 |
Stephan Harm | AT | Furth | 2015-11-19 / 20150328387 - EXTRACORPOREAL PERFUSION APPARATUS | 2 |
Oliver Harm | DE | Stuttgart | 2010-03-04 / 20100057788 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR IMPLEMENTING BACK UP HISTORY CLEANUP OPERATIONS FOR A DATABASE MANAGEMENT SYSTEM | 1 |
Michael W. Harm | US | New York | 2015-07-09 / 20150193421 - Web-Based Spreadsheet Interaction with Large Data Set | 8 |
Christopher R. Harm | US | State College | 2009-01-01 / 20090006323 - System and Method for Analyzing Intelligence Information | 3 |
Michael R. Harm | US | Colts Neck | 2011-03-10 / 20110059623 - SYSTEM FOR CONNECTING APPLIANCES TO WALL OUTLETS | 1 |
Stephan Harm | AT | Krustetten | 2013-05-02 / 20130105396 - NOVEL SORBENT FOR ENDOTOXINS | 1 |
Jeonghyun Harm | KR | Gumi-City | 2010-12-30 / 20100327732 - PLASMA DISPLAY PANEL | 1 |
Joerg Harm | DE | Hamburg | 2009-03-26 / 20090081697 - Methods of growing crystals of free and antibiotic complexed large ribosomal subunits, and methods of rationally designing or identifying antibiotics using structure coordinate data derived from such crystals | 1 |
Alexander Otto Harm | NL | Nijmegen | 2011-12-08 / 20110298535 - SYSTEM AND METHOD FOR COMPENSATING FOR CHANGES IN AN OUTPUT IMPEDANCE OF A POWER AMPLIFIER | 1 |
Gregory Harm | US | Los Angeles | 2013-09-26 / 20130254612 - TRANSPARENT RECOVERY FROM HARDWARE MEMORY ERRORS | 2 |
Glen Harm | US | Port St. Lucie | 2015-10-15 / 20150292637 - SUPER HIGH FLOW PRESSURE RELIEF VENT | 2 |
Gregory T. Harm | US | Los Angeles | 2015-01-15 / 20150019906 - Transparent and Lightweight Recovery From Hardware Memory Errors | 1 |
Stephan Harm | AT | Durnstein | 2015-07-09 / 20150190461 - DOSING INSTRUCTIONS FOR ENDOTOXIN-BINDING LIPOPEPTIDES | 1 |
Lex Harm | NL | Nijmegen | 2008-11-13 / 20080278241 - Device Comprising an Element with Electrodes Coupled to Connections | 1 |
Klaus Harm | DE | Stuttgart | 2011-05-12 / 20110108242 - Method and Apparatus for Cooling a Temperature-Sensitive Assembly of a Motor Vehicle | 1 |
William H. Harm | US | Robbinsdale | 2015-11-26 / 20150336139 - DECONTAMINATION SYSTEM CONNECTORS | 1 |
William Harm | US | Robbinsdale | 2009-09-03 / 20090221914 - Medical Fluid Injection System | 1 |
Aki Sakari Harma | NL | Eindhoven | 2016-03-17 / 20160080886 - AN AUDIO PROCESSING APPARATUS AND METHOD THEREFOR | 19 |
Yukio Harma | JP | Osaka | 2010-09-30 / 20100247992 - SEALED SECONDARY BATTERY, AND METHOD FOR MANUFACTURING THE BATTERY | 1 |
Harri Harma | FI | Turku | 2015-04-02 / 20150094234 - Method for characterizing and/or determining samples | 4 |
Aki Sakari Harma | NL | Eindhoven | 2016-03-17 / 20160080886 - AN AUDIO PROCESSING APPARATUS AND METHOD THEREFOR | 19 |
Abdallah Harmache | FR | Trappes | 2009-08-20 / 20090208529 - Recombinant Novirhabdoviruses and Uses Thereof | 1 |
Subhash Harmalker | US | Somerville | 2010-08-05 / 20100196295 - Cleansing Compositions | 1 |
Subhash Harmalker | US | Somerset | 2015-10-29 / 20150305997 - Cleansing Composition | 1 |
Melissa Grachan Harman | US | Moreno Valley | 2014-04-17 / 20140107166 - HISTONE DEACETYLASE INHIBITORS AND METHODS OF USE THEREOF | 1 |
Daniel Harman | US | Brooklyn | 2014-02-13 / 20140046804 - CUSTOMIZING ONLINE AUTOMOTIVE VEHICLE SEARCHES | 1 |
Edward Bruce Harman | US | Lakewood | 2012-02-09 / 20120030926 - ROBOT-DEPLOYED ASSEMBLY TOOL AND METHOD FOR INSTALLING FASTENERS IN AIRCRAFT STRUCTURES | 1 |
James Roger Harman | US | Gig Harbor | 2014-09-18 / 20140259509 - Central Vacuum System Hose Retractor Valve With Vacuum Assisted Hose Lock And Seal | 2 |
Murray R. Harman | CA | Ottawa | 2014-08-21 / 20140233779 - Electrostatic Loudspeaker Capable of Dispersing Sound Both Horizontally and Vertically | 2 |
David J. Harman | GB | Southampton | 2015-06-04 / 20150154099 - TRACE VALUE CORRELATION WITH DATA FIELD DECLARATIONS | 3 |
Gary E. Harman | US | Geneva | 2015-06-11 / 20150157027 - NANOSYSTEMS FOR FORMULATION OF EFFECTIVE MINIMUM RISK BIOCIDES | 11 |
Joseph G. Harman | US | Ann Arbor | 2011-05-05 / 20110099962 - Agricultrual Harvester And Header Height Control System | 1 |
Bobbie Harman | US | Colfax | 2014-05-01 / 20140119727 - INTERNET PROTOCOL SWITCHING SYSTEM AND ASSOCIATED METHOD OF USE | 1 |
Susan A. Harman | US | Poway | 2009-01-08 / 20090012884 - METHOD AND SYSTEM FOR POPULATING TAX RETURNS USING AGGREGATED DATA | 1 |
Todd D. Harman | US | Huntington Beach | 2014-11-06 / 20140329615 - MUSCLE-BACK, WITH INSERT, IRON TYPE GOLF CLUB HEAD | 5 |
Anthony Harman | GB | Essex | 2011-02-10 / 20110030823 - DIVERTER VALVE | 1 |
Jayden D. Harman | US | San Rafael | 2012-12-13 / 20120312379 - HEATING AND COOLING SYSTEMS AND METHODS | 2 |
Elizabeth Harman | US | Alleman | 2015-09-10 / 20150250207 - Rapid Acting Lactobacillus Strains and Their Use to Improve Aerobic Stability of Silage | 1 |
Larry L. Harman | US | Abbottstown | 2012-05-24 / 20120124887 - CPU-controlled, reaming electronic animal trap with three-killing-plate configuration | 2 |
Jayden Harman | US | Novato | 2010-11-18 / 20100287954 - Supersonic Cooling System | 1 |
David J. Harman | GB | Eastleigh | 2014-09-11 / 20140258785 - IDENTIFYING A STORAGE LOCATION FOR A STORAGE ADDRESS REQUESTED DURING DEBUGGING | 4 |
Patrick Harman | US | Moorpark | 2010-05-06 / 20100110200 - GENERATION AND USE OF USER-SELECTED SCENES PLAYLIST FROM DISTRIBUTED DIGITAL CONTENT | 1 |
Anthony D. Harman | GB | Checkendon | 2009-02-12 / 20090041827 - Therapeutic Microfoam | 1 |
Kelly K. Harman | US | Chicago | 2011-10-13 / 20110250327 - Intermediate Moisture Bar Using A Dairy-Based Binder | 1 |
David S. Harman | US | Simsbury | 2014-10-02 / 20140292370 - SYNCHRONOUS INPUT SIGNAL CAPTURE SYSTEM | 2 |
Patrick Harman | US | Moor Park | 2010-04-08 / 20100085857 - SELECTION AND DISTRIBUTION OF SECOND DIGITAL CONTENT TO REMOTE DEVICE USING APPLICATION EMBEDDED IN FIRST DIGITAL CONTENT PACKAGE | 1 |
R. Lee Harman | US | Camano Island | 2016-04-07 / 20160096580 - A SYSTEM AND APPARATUS FOR ADJUSTING THE POSITION OF A GRIP ON A VEHICLE, AND RELATED METHODS | 1 |
Gary Harman | US | Geneva | 2010-06-03 / 20100136102 - TERPENE-CONTAINING COMPOSITIONS AND METHODS OF MAKING AND USING THEM | 1 |
Jay Harman | US | San Rafael | 2011-03-03 / 20110048066 - Battery Cooling | 3 |
Charles L. Harman | US | Abbottstown | 2014-09-18 / 20140279259 - METHOD AND APPARATUS FOR CONNECTING VENDORS WITH CUSTOMERS THROUGH A VIRTUAL INTERFACE | 2 |
Chris Harman | US | Abingdon | 2015-11-12 / 20150322691 - CABLE BACKED GUARDRAIL END TERMINAL SYSTEM | 1 |
David Harman | GB | Winchester | 2012-02-16 / 20120041932 - METHOD FOR VALIDATING EQUIVALENT DATA STRUCTURES | 1 |
Benjamin C. Harman | US | Oaklyn | 2016-03-10 / 20160068605 - CD123 Binding Agents and Uses Thereof | 1 |
Jeffrey Kyle Harman | US | Hiwassee | 2015-01-29 / 20150027340 - HY-GEAR RAILWAY TRANSPORT | 2 |
Thomas Harman | US | Palo Alto | 2011-03-31 / 20110076425 - Pull Up Tree System | 2 |
Jayden Harman | US | San Rafael | 2014-11-27 / 20140345696 - ATMOSPHERIC CIRCULATION SYSTEM AND METHOD | 6 |
David Grant Harman | AU | Keiraville | 2010-10-21 / 20100267148 - METHOD FOR THE DETERMINATION OF THE POSITION OF UNSATURATION IN A COMPOUND | 2 |
Keith Leslie Harman | GB | London | 2010-11-18 / 20100287892 - APPARATUS AND METHOD FOR SEALING A CONTAINER | 1 |
Thomas M. Harman | US | Portola Valley | 2014-09-25 / 20140287168 - APPARATUS AND METHOD FOR ATTACHING AN ORNAMENTAL TREE TOP FIXTURE | 1 |
Nancy W. Harman | US | Savannah | 2015-04-09 / 20150098912 - BRANCHED POLYETHER-POLYAMIDE BLOCK COPOLYMERS AND METHODS OF MAKING AND USING THE SAME | 3 |
Dale D. Harman | US | Freehold | 2014-09-18 / 20140278432 - Method And Apparatus For Providing Silent Speech | 4 |
Gary E. Harman | US | Geneva | 2015-06-11 / 20150157027 - NANOSYSTEMS FOR FORMULATION OF EFFECTIVE MINIMUM RISK BIOCIDES | 11 |
Stuart A. Harman | US | Peoria | 2009-03-05 / 20090060746 - BLADE RETAINING CLIP | 1 |
Jayden David Harman | US | San Rafael | 2014-11-06 / 20140326591 - Vapor Absorption System | 12 |
James D. Harman | US | Arvada | 2011-06-30 / 20110161384 - SYSTEM AND METHOD FOR STORING ITEM ATTRIBUTES IN AN ELECTRONIC CATALOG | 1 |
Gordon E. Harman | US | Boulder | 2008-10-23 / 20080258876 - Distributed Antenna Array With Centralized Data Hub For Determining Presence And Location Of RF Tags | 1 |
Eric J. Harman | US | Boulder | 2014-05-01 / 20140117661 - ARTICULATED GUTTER DOWNSPOUT FITTING | 1 |
Brett Harman | US | Santa Barbara | 2015-12-03 / 20150348064 - SYSTEMS AND METHODS FOR TAKE-OUT ORDER ANALYTICS | 5 |
Elizabeth K. Harman | US | New Virginia | 2009-01-29 / 20090028993 - Lactobacillus buchneri strain LN1326 and its use to improve aerobic stability of silage | 3 |
Matthew Harman | US | Stockton | 2014-12-25 / 20140376333 - SYSTEMS AND METHODS FOR REDUCING FALSE TARGETS IN ULTRASONIC RANGE SENSING APPLICATIONS | 1 |
Rodney James Harman | CA | Whistler | 2015-10-01 / 20150276260 - DUCT PLUG FOR A DUCT TERMINATION OPENING | 2 |
John C. Harman | US | Evansville | 2011-05-19 / 20110114234 - Explosive mixtures containing readily gasified additives | 1 |
David J. Harman | GB | Hursley | 2016-05-19 / 20160139975 - RECORDING THE CORE DATA OF A COMPUTER PROCESS WHICH PROVIDES TRACE DATA | 6 |
Donald Edward Harman | US | Anchorage | 2009-08-27 / 20090212047 - Environment controlled cargo container | 1 |
Davidson Harman | GB | Aberdeen | 2016-04-28 / 20160115761 - Isolation Barrier | 3 |
Thomas L. Harman | US | Houston | 2013-04-18 / 20130094840 - Tankless Water Heater | 1 |
Joshua Harman | US | Swords Creek | 2014-08-21 / 20140231735 - REINFORCED GUARDRAIL EXTRUDER HEAD | 1 |
Mark David William Harman | GB | Cambridge | 2012-08-30 / 20120221297 - Global Deformation for a Modeled Object | 1 |
Roger Harman | DE | Wehrheim | 2011-11-03 / 20110269096 - POLYHEDRAL TOOL AND METHOD OF USING THE TOOL FOR PRODUCING AN ORTHODONTIC APPLIANCE | 1 |
Andrew P. Harman | US | Battle Creek | 2015-05-07 / 20150126320 - DIFFERENTIAL ASSEMBLY | 1 |
Anthony David Harman | GB | Oxfordhsire | 2013-03-07 / 20130059923 - Therapeutic Foam | 1 |
Karl Harman | US | New Ulm | 2009-01-22 / 20090020074 - Remote Control Wildlife Feeder | 1 |
Anthony David Harman | GB | Rotherfield Peppard Oxfordshie | 2009-04-16 / 20090099478 - METHOD AND APPARATUS FOR PIERCING THE SKIN AND DELIVERY OR COLLECTION OF LIQUIDS | 1 |
Sean Gordon Harman | GB | Southend On Sea | 2014-08-28 / 20140238335 - OIL PUMP DRIVE | 1 |
William R. Harman | US | Tucson | 2016-05-05 / 20160124694 - Configurable Document Server | 4 |
Anthony David Harman | GB | Oxon | 2011-02-03 / 20110024448 - Apparatus and method for dispensing foam | 1 |
Anthony David Harman | GB | London | 2014-12-11 / 20140361045 - PREPARATION OF THERAPEUTIC FOAM | 6 |
Wes Harman | US | Harrisonburg | 2014-05-01 / 20140115937 - FIREARM SECURING DEVICES | 1 |
Jon P. Harman | US | Louisville | 2014-05-01 / 20140117661 - ARTICULATED GUTTER DOWNSPOUT FITTING | 1 |
Anthony David Harman | GB | Oxfordshire | 2014-08-07 / 20140221501 - THERAPEUTIC FOAM | 2 |
Anthony David Harman | US | 2012-04-19 / 20120095390 - Generation of Therapeutic Microfoam | 2 | |
Robert M. Harman | US | Troutville | 2015-05-14 / 20150129206 - System for Monitoring Linearity of Down-Hole Pumping Systems During Deployment and Related Methods | 22 |
Anthony David Harman | GB | Henley-On-Thames | 2014-11-20 / 20140343484 - CONTAINER FOR THE GENERATION OF THERAPEUTIC MICROFOAM | 5 |
Polly Harman | US | Wilmington | 2012-08-23 / 20120212345 - DEVICE FOR THE TREATMENT OF SLEEP-RELATED CONDITIONS | 1 |
Stuart Andrew Harman | US | Sun City | 2015-07-23 / 20150204194 - TURBINE ROTOR ASSEMBLIES WITH IMPROVED SLOT CAVITIES | 3 |
Mary Palmer Harman | US | Darien | 2015-03-05 / 20150066772 - INTEGRATED RISK ASSESSMENT AND MANAGEMENT SYSTEM | 6 |
John C. Harman | US | Morganfield | 2012-01-26 / 20120018064 - EXPLOSIVE SUSPENSION | 1 |
Joyce Harman | US | Flint Hill | 2015-09-17 / 20150257368 - ADJUSTABLE MUZZLE | 1 |
Rodney James Harman | US | 2015-10-01 / 20150276260 - DUCT PLUG FOR A DUCT TERMINATION OPENING | 1 | |
Richard Harman | GB | Cheshire | 2013-07-25 / 20130187419 - VEHICLE SEAT | 1 |
John R. Harman | US | Versailles | 2014-09-18 / 20140266227 - FRACTIONAL DEPLETION ESTIMATION FOR BATTERY CONDITION METRICS | 1 |
Jayden Harman | US | 2014-11-27 / 20140345696 - ATMOSPHERIC CIRCULATION SYSTEM AND METHOD | 1 | |
Jayden David Harman | US | San Rapael | 2012-01-19 / 20120016461 - Fluid Flow Controller | 1 |
David John Harman | GB | Hampshire | 2015-08-27 / 20150242191 - METHOD FOR VALIDATING EQUIVALENT DATA STRUCTURES | 1 |
Robert M. Harman | US | Troutville | 2015-05-14 / 20150129206 - System for Monitoring Linearity of Down-Hole Pumping Systems During Deployment and Related Methods | 22 |
Jayden David Harman | US | San Rafael | 2014-11-06 / 20140326591 - Vapor Absorption System | 12 |
Andrew Paul Harman | US | Battle Creek | 2014-05-01 / 20140116366 - PIVOT FOOT FOR DEACTIVATING ROCKER ARM | 2 |
Kelly K. Harman | US | Highland Park | 2013-08-08 / 20130202745 - Intermediate Moisture Bar Using A Dairy-Based Binder | 1 |
William G. Harman | US | Glen Rock | 2013-11-14 / 20130298735 - RATCHETING WRENCH | 1 |
Stephen Anthony Harman | GB | Worchestershire | 2013-01-24 / 20130021194 - SYSTEM FOR THE DETECTION OF INCOMING MUNITIONS | 1 |
Lucas A. Harman | US | Waynesboro | 2010-08-05 / 20100194417 - Interface Device | 1 |
Harman Becker Automotive Systems Gmbh | DE | Karlsbad | 2013-08-08 / 20130203274 - CIRCUIT BOARD SYSTEM | 16 |
Oztan Harmanci | US | Rochester | 2010-08-12 / 20100202652 - Video Fingerprinting Using Watermarks | 1 |
Oztan Harmanci | US | San Jose | 2013-02-14 / 20130039584 - METHOD AND APPARATUS FOR DETECTING NEAR-DUPLICATE IMAGES USING CONTENT ADAPTIVE HASH LOOKUPS | 1 |
Oztan Harmanci | US | Mountain View | 2009-03-05 / 20090060362 - IMAGE AND VIDEO COMPRESSION USING SPARSE ORTHONORMAL TRANSFORMS | 2 |
Marie-Francoise Harmand | FR | Bordeaux | 2014-05-15 / 20140134258 - IMPLANTS FOR "LOAD BEARING" BONE SUBSTITUTIONS HAVING HIERARCHICAL ORGANIZED ARCHITECTURE DERIVING FROM TRANSFORMATION OF VEGETAL STRUCTURES | 1 |
Helene Harmand | FR | Paris | 2008-11-27 / 20080290082 - Glass-Ceramic Plates, Their Manufacturing Process, and Cooktops Equipped with These Plates | 1 |
Jean-Christophe Harmange | US | Andover | 2016-03-03 / 20160060267 - PYRAZOLO COMPOUNDS AND USES THEREOF | 34 |
Jean-Christophe Harmange | US | Nndover | 2011-06-16 / 20110144101 - MACROCYCLIC COMPOUNDS USEFUL AS PHARMACEUTICALS | 1 |
George William Harman, Jr. | US | Baldwin | 2009-02-05 / 20090032197 - Joint compound guide bead dispenser and process for finishing wallboard joints | 1 |
Ronald C. Harman, Jr. | US | Durham | 2013-06-27 / 20130160336 - DISPLAY DEVICE FOR VEHICLES | 1 |
Oz Harmanli | US | Longmeadow | 2013-01-31 / 20130025604 - VAGINAL PESSARY | 2 |
Hendrik F. Harmann | US | Yorktown Heights | 2009-12-17 / 20090308844 - MONOLITHIC HIGH ASPECT RATIO NANO-SIZE SCANNING PROBE MICROSCOPE (SPM) TIP FORMED BY NANOWIRE GROWTH | 2 |
Hans-Peter Harmann | DE | Lindau | 2011-04-21 / 20110089836 - HIGH-VOLTAGE INSULATOR ARRANGEMENT AND ION ACCELERATOR ARRANGEMENT HAVING SUCH A HIGH-VOLTAGE INSULATOR ARRANGEMENT | 4 |
Antje Harmann | DE | Dieskau | 2008-10-23 / 20080262065 - NOVEL INHIBITORS OF GLUTAMINYL CYCLASES | 1 |
Jeffery D. Harman, Sr. | US | Salisbury | 2014-02-27 / 20140054632 - SILICONE COATED LIGHT-EMITTING DIODE | 4 |
János Harmatos | HU | Budapest | 2011-02-03 / 20110026502 - Method and System for Simultaneous Local and EPC Connectivity | 2 |
János Harmatos | HU | Budapest | 2016-04-14 / 20160105369 - TRANSMITTING NODE, RECEIVING NODE AND METHODS THEREIN | 20 |
János Harmatos | HU | Budapest | 2016-04-14 / 20160105369 - TRANSMITTING NODE, RECEIVING NODE AND METHODS THEREIN | 20 |
János Harmatos | HU | Budapest | 2016-04-14 / 20160105369 - TRANSMITTING NODE, RECEIVING NODE AND METHODS THEREIN | 20 |
János Harmatos | HU | Budapest | 2011-02-03 / 20110026502 - Method and System for Simultaneous Local and EPC Connectivity | 2 |
Borys Harmaty | US | New York | 2011-09-29 / 20110238556 - SYSTEM FOR MATCHING INTERNAL ORDERS | 1 |
Anja Harmeier | DE | Berlin | 2011-05-12 / 20110113495 - SCREENING METHOD FOR AGENTS SUITABLE FOR PROPHYLAXIS AND THERAPY OF ALZHEIMER'S DISEASE (AD) | 1 |
Gautier Harmel | FR | Paris | 2008-08-21 / 20080198759 - Traffic Analyis on High-Speed Networks | 1 |
Douglas M. Harmel | US | Idaho Falls | 2011-10-20 / 20110253721 - CONTAINER LID EVACUATION DEVICE | 1 |
Warren S. Harmel | US | Plano | 2013-11-07 / 20130292480 - Billboard advertising system and method | 1 |
Gregory K. Harmelink | US | Moorhead | 2015-08-06 / 20150216110 - SENSOR AND SENSOR MOUNT ASSEMBLY FOR SEED DELIVERY SYSTEM | 3 |
Chris J. Harmelink | US | Grandville | 2015-12-17 / 20150360623 - VEHICLE INTERIOR ZERO GAP SYSTEM AND METHOD | 1 |
Quentin Harmer | GB | Wiltshire | 2012-12-20 / 20120321717 - DEVICES AND PHARMACEUTICAL COMPOSITIONS FOR ENHANCING DOSING EFFICIENCY | 1 |
Tracy Harmer | US | Pflugerville | 2012-10-11 / 20120260077 - BIOS FIELD MAPPING | 2 |
Gregory Peter Harmer | AU | Torrensville | 2013-09-12 / 20130234716 - METHOD FOR DISPLAYING METAL DETECTION INFORMATION | 2 |
Andrea Harmer | GB | Sheffield | 2016-04-14 / 20160103135 - METHOD | 4 |
Craig Harmer | US | San Francisco | 2015-09-10 / 20150254004 - SCHEDULING OF I/O WRITES IN A STORAGE ENVIRONMENT | 13 |
Craig Harmer | US | San Francisco | 2015-09-10 / 20150254004 - SCHEDULING OF I/O WRITES IN A STORAGE ENVIRONMENT | 13 |
Mark Harmer | US | Landenberg | 2010-08-12 / 20100204521 - PROCESSES FOR MAKING DIBUTYL ETHERS FROM 2-BUTANOL | 1 |
Jonathan Aubrey Harmer | US | Atlanta | 2010-08-12 / 20100205284 - Client Application Installer | 1 |
Quentin John Harmer | GB | Chippenham | 2014-12-25 / 20140373839 - INHALER | 1 |
Richard John Harmer | US | Houston | 2015-12-17 / 20150362621 - Calibrations for A Well Drilling Apparatus | 1 |
Mark Andrew Harmer | GB | Landenberg | 2014-04-03 / 20140093719 - CLOSED-CELL TANNIN-BASED FOAMS WITHOUT FORMALDEHYDE | 1 |
Tracy D. Harmer | US | Taylor | 2013-08-01 / 20130198505 - REMOTE MANAGEMENT OF UEFI BIOS SETTINGS AND CONFIGURATION | 4 |
Paul Harmer | GB | Comberton | 2009-02-12 / 20090043282 - Drug Delivery Devices and Related Components, Systems and Methods | 1 |
Richard Harmer | GB | Cheam | 2009-12-03 / 20090294174 - DOWNHOLE SENSOR SYSTEM | 1 |
Stuart Harmer | GB | London | 2010-01-07 / 20100005044 - Remote Detection and Measurement of Objects | 1 |
Nick Harmer | GB | Wiltshire | 2015-04-02 / 20150095102 - COMPUTER IMPLEMENTED SYSTEM AND METHOD FOR ENSURING COMPUTER INFORMATION TECHNOLOGY INFRASTRUCTURE CONTINUITY | 1 |
Richard Harmer | GB | Surrey | 2014-10-16 / 20140309978 - METHODS FOR ANALYZING AND DESIGNING BOTTOM HOLE ASSEMBLIES | 4 |
Stuart Harmer | GB | Herefordshire | 2016-04-07 / 20160097852 - Remote Detection And Measurement Of Objects | 1 |
Quentin Harmer | GB | Cambridge | 2011-03-10 / 20110056488 - INHALER | 4 |
Craig Harmer | US | Tipp City | 2014-05-29 / 20140144077 - PLANT CULTIVATING POT | 1 |
Quentin Harmer | GB | Waterbeach | 2013-11-28 / 20130312747 - INHALER | 4 |
Kyle M. Harmer | US | Swartz Creek | 2014-06-19 / 20140168661 - HIGH-SPEED, 3-D METHOD AND SYSTEM FOR OPTICALLY MEASURING A GEOMETRIC DIMENSION OF MANUFACTURED PARTS | 2 |
Richard Harmer | US | Houston | 2015-12-24 / 20150369031 - System and Method for Controlling Drilling Process | 1 |
Tracy Harmer | US | Taylor | 2010-01-14 / 20100011197 - ENHANCED UEFI FRAMEWORK LAYER | 1 |
Brent Jay Harmer | US | Johnstown | 2010-07-22 / 20100182715 - Closed Loop Calibration of Back EMF Measurement | 2 |
Quentin John Harmer | GB | Cambridge | 2014-01-16 / 20140018663 - Magnetic Marker for Surgical Localization | 4 |
Russell Harmer | FR | Montreuil | 2010-09-02 / 20100223037 - BIOLOGICAL MODELS | 1 |
Robert J. Harmer | US | Midland | 2012-09-13 / 20120231186 - ROTATIONAL CASTING PROCESS | 1 |
Craig Keatley Harmer | US | San Francisco | 2012-04-19 / 20120095971 - ONLINE FILE SYSTEM CONSISTENCY CHECK | 2 |
David Harmer | US | San Francisco | 2015-05-28 / 20150149228 - Personal data lending system and method | 1 |
Marj Andrew Harmer | US | Landenberg | 2014-03-27 / 20140087175 - CLOSED-CELL TANNIN-BASED FOAMS | 1 |
Mark Andrew Harmer | US | Landenberg | 2016-03-24 / 20160083547 - MIXED TANNIN-PHENOLIC FOAMS | 34 |
Mark Andrew Harmer | US | Kennett Square | 2010-09-23 / 20100239794 - Donor elements and processes for thermal transfer of nanoparticle layers | 1 |
Craig K. Harmer | US | San Francisco | 2010-07-01 / 20100169707 - FAILURE HANDLING USING OVERLAY OBJECTS ON A FILE SYSTEM USING OBJECT BASED STORAGE DEVICES | 2 |
Martin P. Harmer | US | Trexlertown | 2010-06-10 / 20100144511 - MICROPOROUS CERAMICS AND METHODS OF MANUFACTURE | 2 |
Stuart Harmer | GB | Manchester | 2015-12-24 / 20150369756 - SCANNING APPARATUS | 3 |
Adam D. Harmetz | US | Kirkland | 2008-12-25 / 20080320011 - INCREASING FILE STORAGE SCALE USING FEDERATED REPOSITORIES | 1 |
Adam David Harmetz | US | Seattle | 2013-11-07 / 20130297576 - EFFICIENT IN-PLACE PRESERVATION OF CONTENT ACROSS CONTENT SOURCES | 4 |
John V. Harmeyer | US | Cleves | 2015-11-26 / 20150335507 - SYSTEMS, METHODS, AND DEVICES FOR TREATMENT OF SLEEP DISORDERS | 2 |
John V. Harmeyer | US | 2015-10-15 / 20150290061 - PERSON SUPPORT APPARATUSES HAVING EXERCISE THERAPY FEATURES | 1 | |
Keith Harmeyer | US | Batesville | 2009-07-30 / 20090189616 - POLYMERIC STRUCTURES AND METHODS FOR PRODUCING AND MONITORING POLYMERIC STRUCTURES | 1 |
Tapio Harmia | DE | Kaiserslautern | 2012-09-13 / 20120231275 - MIXTURE, LUMINESCENT COMPOSITION, PRODUCTION PROCESS AND USE | 1 |
Charles B. Harmke | US | Huntley | 2014-01-02 / 20140004807 - METHOD AND APPARATUS FOR OPERATING ACCESSORY INTERFACE FUNCTIONS OVER A SINGLE SIGNAL | 1 |
Charles B. Harmke | US | Port Barrington | 2008-08-28 / 20080207264 - METHOD AND SYSTEM FOR AUTOMATIC AUDIO ACCESSORY USE POSITION DETECTION AND AUDIO ADJUSTMENT | 1 |
D. Aaron Harmon | US | Enid | 2011-09-29 / 20110232971 - AUTOMATED CAROUSEL DRILL PIPE STORING AND HANDLING SYSTEM AND METHOD | 1 |
Stephen K. Harmon | US | Cypress | 2013-02-14 / 20130037256 - Rotary Shoe Direct Fluid Flow System | 2 |
Billy D. Harmon | US | Simpsonville | 2015-06-25 / 20150174807 - POLYACRYLONITRILE (PAN) POLYMERS WITH LOW POLYDISPERSITY INDEX (PDI) AND CARBON FIBERS MADE THEREFROM | 1 |
Duane A. Harmon | US | Kansas City | 2014-08-28 / 20140244539 - BUSINESS PROCESS MANAGEMENT, CONFIGURATION AND EXECUTION | 2 |
Alexander M. Harmon | US | Clifton | 2014-06-05 / 20140154226 - REGENERATION AND REPAIR OF NEURAL TISSUE USING POSTPARTUM-DERIVED CELLS | 4 |
Harold James Harmon | US | Edmond | 2010-02-04 / 20100028853 - OPTICAL DETERMINATION OF LIVING VS. NON LIVING CELLS | 1 |
Jeffrey Harmon | US | Fort Wayne | 2012-07-19 / 20120180415 - Trench Drain System and Method of installation on Level Floor Surface, Particularly for Shower Rooms | 5 |
John Harmon | US | Baltimore | 2012-05-10 / 20120115938 - COMPOSITIONS AND METHODS FOR GENETIC MODIFICATION OF CELLS HAVING COSMETIC FUNCTION TO ENHANCE COSMETIC APPEARANCE | 1 |
Robert Lynn Harmon | US | Katy | 2016-05-05 / 20160125423 - Managing Customs Information | 1 |
Neal Stoker Harmon | US | Provo | 2013-11-28 / 20130318000 - Auto Ship Program that Adjusts to Consumer's Usage | 1 |
Jeffrey Michael Harmon | US | Provo | 2013-11-28 / 20130318000 - Auto Ship Program that Adjusts to Consumer's Usage | 1 |
Jack D. Harmon | US | Carmel | 2010-09-16 / 20100231180 - Alternator Regulator With Automatic Regulation Dependent on System Voltage | 3 |
Randall Blair Harmon | US | Tucson | 2011-12-08 / 20110302029 - Interactive Business Promotion System | 2 |
Alexander M. Harmon | US | Clinton | 2013-01-24 / 20130022585 - REGENERATION AND REPAIR OF NEURAL TISSUE USING POSTPARTUM-DERIVED CELLS | 6 |
Tim Harmon | US | Gardnerville | 2010-01-21 / 20100016068 - NETWORKED GAMING SYSTEM WITH ENTERPRISE ACCOUNTING METHODS AND APPARATUS | 2 |
David Harmon | US | New York | 2010-08-19 / 20100211368 - METHODS, SYSTEMS AND MEDIA FOR SIMULATING CONTACT SCENARIOS | 1 |
Aaron B. Harmon | US | Provo | 2010-07-22 / 20100182012 - Wired Pipe Signal Transmission Testing Apparatus and Method | 1 |
Julie P. Harmon | US | Tampa | 2010-06-24 / 20100155220 - CARBON NANOTUBE/POLYMER COMPOSITES RESISTANT TO IONIZING RADIATION | 2 |
Tonya Joy Harmon | US | Great Falls | 2014-11-27 / 20140351791 - CONFIGURABLE SOFTWARE APPLICATION | 2 |
Larry Harmon | US | North Canton | 2010-09-30 / 20100243729 - Banking system that operates responsive to data read from data bearing records | 1 |
Ian Robert Harmon | US | Minneapolis | 2016-04-28 / 20160116444 - SENSORS, METHODS OF MAKING AND DEVICES | 2 |
Frank Harmon | US | Pocatello | 2012-11-08 / 20120281799 - Irradiation Device and Method for Preparing High Specific Activity Radioisotopes | 1 |
Brian Harmon | US | Loveland | 2016-04-07 / 20160097710 - MOBILE WATER ANALYSIS | 5 |
Thomas L. Harmon | US | Houston | 2016-01-28 / 20160025372 - Tankless Water Heater | 1 |
Rebecca Harmon | US | Ventura | 2014-02-27 / 20140057528 - BACKLESS STRAPLESS BRA HAVING REMOVABLE SIDE EXTENSIONS | 1 |
Joseph Harmon | US | Mooresville | 2014-11-20 / 20140341697 - PROTECTION SYSTEMS AND METHODS FOR CARGO LOADS DURING EXPOSED AERIAL TRANSPORT | 1 |
Jordan Harmon | US | Burley | 2016-02-04 / 20160037217 - Curating Filters for Audiovisual Content | 1 |
Neal Harmon | US | Provo | 2016-02-04 / 20160037217 - Curating Filters for Audiovisual Content | 1 |
Jeff Harmon | US | Fort Wayne | 2012-02-02 / 20120023658 - PERIMETER DRAINAGE SYSTEM FOR SHOWERS | 1 |
Jason L. Harmon | US | Lafayette | 2015-03-12 / 20150068969 - Mobile Fluid Clarifying System | 1 |
Darrell L. Harmon | US | Denver | 2015-03-12 / 20150071330 - Spread Spectrum Non-linear Junction Detector | 1 |
Sean B. Harmon | US | Columbus | 2016-03-10 / 20160067773 - FILTERING CHECK VALVE FOR METAL CASTING | 5 |
Frederick G. Harmon | US | Cedarville | 2012-08-16 / 20120209456 - Parallel Hybrid-Electric Propulsion Systems for Unmanned Aircraft | 1 |
Robert Harmon | US | Piney Flats | 2015-08-27 / 20150239547 - STRAPPED WINDSHIELD ASSEMBLY FOR ROTORCRAFT | 1 |
Sharon R. Harmon | US | Herndon | 2016-03-24 / 20160087716 - System and Method for Broadband Signal Disambiguation based on Sub-Sampled Analog Optical Links Employing Sample Rate Modulation | 1 |
Larry Shane Harmon | US | Sausalito | 2013-05-02 / 20130109549 - ADAPTABLE BI-DIRECTIONAL RANGE-OF-MOTION EXERCISE APPARATUS PROVIDING REPOSE CONFIGURATION | 1 |
Cyrus L. Harmon | US | Bolinas | 2015-07-16 / 20150197506 - NOVEL BENZOPYRAN COMPOUNDS, COMPOSITIONS AND USES THEREOF | 2 |
Charles Joseph Harmon | US | Boulder | 2012-07-26 / 20120186285 - DRINKING WATER COOLER | 1 |
Alex Harmon | US | New Brunswick | 2013-08-22 / 20130217753 - AMPHIPHILIC MACROMOLECULES FOR NUCLEIC ACID DELIVERY | 2 |
Kevin Harmon | US | Erie | 2013-04-04 / 20130082481 - INGRESS/EGRESS SYSTEM AND METHOD | 1 |
Edwin L. Harmon | US | Tomball | 2013-10-31 / 20130289792 - Thermal Management | 1 |
Aaron Michael Harmon | US | Dunlap | 2016-03-24 / 20160084184 - EXHAUST SYSTEM HAVING AFTERTREATMENT REGENERATION CYCLE CONTROL | 1 |
David L. Harmon | US | Essex Junction | 2012-03-29 / 20120076172 - MICRO-ELECTRO-MECHANICAL-SYSTEM TEMPERATURE SENSOR | 1 |
Michael P. Harmon | US | Dunlap | 2013-09-05 / 20130228029 - SCISSORS GEAR ASSEMBLY | 3 |
Roy Joseph Harmon | US | Ridgecrest | 2009-04-02 / 20090084254 - Method for Rapid Countermeasure Deployment Using a Pod | 2 |
Paul Edward Harmon | US | Dexter | 2011-06-02 / 20110127801 - PILLAR FOR VEHICLE BODY STRUCTURE | 1 |
Aaron Harmon | US | Dunlap | 2016-03-24 / 20160084135 - Catalyst Protection Against Hydrocarbon Exposure | 1 |
Billy Harmon | US | Simpsonville | 2015-04-02 / 20150091216 - RESIN-SOLUBLE VEILS FOR COMPOSITE ARTICLE FABRICATION AND METHODS OF MANUFACTURING THE SAME | 2 |
Jason Harmon | US | Bristol | 2014-09-18 / 20140268505 - ARC CHUTE ASSEMBLY FOR AN AUTOMATIC TRANSFER SWITCH SYSTEM AND METHODS OF ASSEMBLING THE SAME | 1 |
Greg Harmon | US | Cedar Bluff | 2013-11-14 / 20130300104 - ADJUSTABLE ELBOWS AND METHOD FOR USING THE SAME | 1 |
Michele Muscarella Harmon | US | Ballwin | 2014-09-18 / 20140278599 - Mobile Device-Enhanced User Selection of Specific Rental Vehicles for a Rental Vehicle Reservation | 1 |
Anthony David Harmon | GB | Oxfordshire | 2016-04-14 / 20160101881 - THERAPEUTIC FOAM | 1 |
Patrick H. Harmon | US | Naperville | 2015-02-12 / 20150046193 - CONTRACTOR SELECTION SYSTEM AND METHOD | 3 |
David L. Harmon | US | Essex | 2013-11-14 / 20130299938 - ISOLATED ZENER DIODE, AN INTEGRATED CIRCUIT INCORPORATING MULTIPLE INSTANCES OF THE ZENER DIODE, A METHOD OF FORMING THE ZENER DIODE AND A DESIGN STRUCTURE FOR THE ZENER DIODE | 3 |
Scott A. Harmon | US | Concord | 2015-08-20 / 20150234942 - METHOD OF MAKING A MASK WITH CUSTOMIZED FACIAL FEATURES | 1 |
James V. Harmon | US | Mahtomedi | 2008-09-11 / 20080216480 - Internal combustion engine with auxiliary steam power recovered from waste heat | 1 |
John R. Harmon | US | San Diego | 2014-09-18 / 20140270802 - QUANTUM SYNCHRONIZATION FOR CLASSICAL DISTRIBUTED SYSTEMS | 1 |
Brendan Harmon | US | Patchogue | 2015-04-23 / 20150111946 - METHODS FOR DELIVERY TO THE CENTRAL NERVOUS SYSTEM OF NUCLEIC ACID NANOPARTICLES TO TREAT CENTRAL NERVOUS SYSTEM DISORDERS | 1 |
Thomas G. Harmon | US | St. Louis | 2008-11-13 / 20080276559 - Low Density Concrete Wall Panel With Reinforced Insulation Members | 1 |
John Paul Harmon | US | Albany | 2016-04-21 / 20160108267 - INKS FOR 3D PRINTING GRADIENT REFRACTIVE INDEX (GRIN) OPTICAL COMPONENTS | 6 |
Troy M. Harmon | US | Lansdale | 2011-10-20 / 20110256218 - CONTROLLED RELEASE COMPOSITIONS COMPRISING MECLIZINE OR RELATED PIPERAZINE DERIVATIVES | 2 |
Charles J. Harmon | US | Boulder | 2009-01-22 / 20090019886 - Method and Apparatus for liquefaction of a Gas | 1 |
Tal Harmon | IL | Gedera | 2015-05-28 / 20150148940 - SYSTEMS AND METHODS FOR TREATING AND HANDLING CARDBOARD SHEETS | 2 |
Kristyn S. Harmon | US | Wellesley | 2012-09-27 / 20120246044 - Account and Investment Market Monitoring Tools | 1 |
Joseph Harmon | US | Fairfax | 2009-02-19 / 20090047989 - Cellular notebook | 1 |
Darren L. Harmon | US | Madison | 2014-09-18 / 20140265837 - TRAILER WIRING OVER-CURRENT PROTECTION | 5 |
John Watson Harmon | US | Baltimore | 2009-07-23 / 20090186805 - Compositions and Methods for Genetic Modification of Cells Having Cosmetic Function to Enhance Cosmetic Appearance | 1 |
David Harmon | US | Rhome | 2009-07-23 / 20090183536 - QUICK ACTION PADLOCK PROTECTOR POUCH AND CHAIN LOCKING SYSTEM | 1 |
Matthew C. Harmon | US | Columbus | 2012-11-08 / 20120279606 - INTERNALLY INSULATED RIGID EXHAUST SYSTEM AND METHOD FOR MAKING SAME | 1 |
Matthew T. Harmon | US | Santa Cruz | 2015-12-10 / 20150351797 - APPARATUS AND METHODS TO COMMUNICATE FLUIDS AND/OR SUPPORT INTRAOSSEOUS DEVICES | 9 |
Patrick Harmon | US | Naperville | 2015-01-22 / 20150025692 - TELECOMMUNICATION DEVICE FOR WATER DAMAGE MITIGATION MANAGEMENT | 1 |
David Joseph Harmon | US | Palo Alto | 2016-03-10 / 20160071324 - SYSTEMS AND METHODS FOR IMAGE GENERATION AND MODELING OF COMPLEX THREE-DIMENSIONAL OBJECTS | 2 |
John Paul Harmon | US | Corvallis | 2013-07-25 / 20130187885 - SYSTEM FOR EXTENDING THE LIFESPAN OF A TOUCH SCREEN ASSEMBLY | 1 |
Matthew C. Harmon | US | Elton | 2013-10-24 / 20130283483 - Maize Event DP-004114-3 and Methods for Detection Thereof | 1 |
Kevin Harmon | US | Cambridge | 2015-12-31 / 20150381771 - Reducing TCP connection establishment time in an overlay network | 2 |
Matthew Curtis Harmon | US | Elkton | 2015-05-28 / 20150147757 - BRASSICA GENOMIC ASSAYS | 2 |
Jason N. Harmon | US | Monrovia | 2013-12-26 / 20130346433 - SYSTEM FOR LINKED AND NETWORKED DOCUMENT OBJECTS | 1 |
Fred Harmon | US | Sumter | 2014-01-23 / 20140025529 - Systems and Methods for Generating Three-Dimensional Product Configuration | 1 |
Geoff W. Harmon | US | Hayward | 2015-11-05 / 20150317111 - MULTI-TIERED CONSTRAINT CHECKING FOR MANAGING PRINT JOBS | 1 |
Matthew R. Harmon | US | Hamilton | 2016-05-12 / 20160131458 - ARMORED CAB FOR LIGHT TACTICAL VEHICLES | 2 |
Kirk Charles Harmon | US | San Ramon | 2015-08-06 / 20150216471 - DIAGNOSTIC DEVICE AND METHOD FOR SENSING HYDRATION STATE OF A MAMMALIAN SUBJECT | 1 |
Shona Harmon | IE | Dublin | 2015-10-15 / 20150291615 - COMPOUNDS WITH SUPER-ASPIRIN EFFECTS | 1 |
Roger W. Harmon | US | Crystal Lake | 2016-02-04 / 20160037036 - CAMERA ASSEMBLY FOR AN ELECTRONIC DEVICE HAVING A SEAL MEMBER INTEGRALLY FORMED THEREWITH | 14 |
Nicholas Harmon | US | Waitsfield | 2012-04-19 / 20120093688 - MOBILE DISINFECTANT DEVICE AND METHODS | 3 |
Nick Harmon | US | Albuquerque | 2010-04-29 / 20100104757 - Surface Finishing System and Method | 1 |
Thomas B. Harmon | US | Odessa | 2011-11-03 / 20110266381 - Asphalt shingle recycling system | 4 |
David M. Harmon | US | Eugene | 2014-09-18 / 20140275353 - AMINO-FORMALDEHYDE RESINS AND APPLICATIONS THEREOF | 3 |
John W. Harmon | US | Baltimore | 2015-06-25 / 20150174154 - SKIN AND HAIR REGENERATION USING POLYSACCHARIDE-BASED HYDROGELS | 3 |
Jon A. Harmon | US | Byhalia | 2015-07-16 / 20150196333 - BONE PLATE AND BONE PLATE ASSEMBLIES INCLUDING POLYAXIAL FASTENERS | 6 |
Jon Andrew Harmon | US | Byhalia | 2009-06-04 / 20090143824 - SYSTEMS AND METHODS FOR USING POLYAXIAL PLATES | 2 |
Richard M. Harmon | US | Lake Forest | 2009-03-12 / 20090070249 - CONTINGENT EVENT RIGHTS RELATING TO TEAM LOCATION | 4 |
Bruce D. Harmon | US | Vineland | 2016-04-14 / 20160103162 - VEHICLE POWER FLOW MONITORING | 1 |
Matthew Harmon | US | Dearborn | 2011-12-08 / 20110298245 - REDUCED HEIGHT PICKUP TRUCK BED SUPPORT AND METHOD OF MANUFACTURING | 1 |
Shona Harmon | GB | Liverpool | 2014-01-23 / 20140024681 - COMPOUNDS WITH SUPER-ASPIRIN EFFECTS | 1 |
Charles F. Harmon | US | Sumter | 2012-08-16 / 20120204362 - ARTICULATING CAGE | 1 |
Daryl L. Harmon | US | Evansville | 2014-06-19 / 20140167591 - METHOD AND APPARATUS FOR ROUTING UTILITIES IN A REFRIGERATOR | 3 |
Ian R. Harmon | US | St. Paul | 2015-09-24 / 20150268197 - DIAGNOSTIC TESTING SENSORS FOR RESONANT DETECTORS | 1 |
Mathew T. Harmon | US | / - | 1 | |
Tim Harmon | US | Charlotte | 2015-07-23 / 20150202788 - PELLETIZING DEVICE WITH A CUTTING ROTOR | 1 |
Peter Harmon | US | China Grove | 2015-07-23 / 20150203025 - Reverse Light Assembly for Tractor-Trailers | 1 |
Larry Shane Harmon | US | Park City | 2010-05-20 / 20100125033 - Adaptable bi-directional range-of-motion exercise apparatus providing repose configuration | 1 |
Matthew Ryan Harmon | US | Dearborn | 2009-01-29 / 20090026428 - EXTENSION ROD USED FOR A JACK ARM | 1 |
Kent M. Harmon | US | Troy | 2009-01-15 / 20090019299 - METHOD AND APPARATUS FOR ADJUSTING WAKEUP TIME IN ELECTRICAL POWER CONVERTER SYSTEMS AND TRANSFORMER ISOLATION | 1 |
Jerald I. Harmon | US | Suagar Land | 2009-01-08 / 20090012711 - Vertical seismic profiling method utilizing seismic communication and synchronization | 1 |
Ronald Harmon | US | Clearwater | 2015-12-10 / 20150355387 - Composition for Transparent Antistatic Coating | 2 |
Matthew T. Harmon | US | 2009-08-27 / 20090216232 - MODULAR SEGMENTED INTRAMEDULLARY SYSTEM, APPARATUS AND METHODS | 2 | |
Hans Patrick Harmon | US | Lawrence | 2009-08-13 / 20090203958 - Apparatus and method for automatically inducing sleep deprivation in rodents | 1 |
Eric S. Harmon | US | Norfolk | 2015-09-24 / 20150270430 - Integrated Avalanche Photodiode Arrays | 4 |
James V. Harmon | US | Minneapolis | 2008-09-11 / 20080216480 - Internal combustion engine with auxiliary steam power recovered from waste heat | 1 |
Casey Harmon | US | Warsaw | 2015-01-15 / 20150014397 - METHOD FOR BONDING A TANTALUM STRUCTURE TO A COBALT-ALLOY SUBSTRATE | 3 |
Roger Harmon | US | Crystal Lake | 2011-06-30 / 20110157799 - Coupling assembly for a foldable electronic device | 1 |
Kirk Thomas Harmon | US | Tacoma | 2011-06-30 / 20110161103 - SYSTEMS AND METHODS FOR ELECTRONIC MEDICAL SUPPORT | 1 |
Robert Harmon | US | Cedar Grove | / - | 1 |
Stephen C. Harmon | US | Mahtomedi | 2009-08-20 / 20090205338 - High efficiency dual cycle internal combustion engine with steam power recovered from waste heat | 2 |
Aaron Harmon | US | Provo | 2011-05-05 / 20110100703 - TRANSDUCER DEVICE HAVING STRAIN RELIEF COIL HOUSING | 1 |
Sean R Harmon | US | Columbus | 2015-10-22 / 20150298201 - RISER SLEEVE WITH AIR GAP | 1 |
Kirk C. Harmon | US | San Ramon | 2008-09-04 / 20080214919 - SYSTEM AND METHOD FOR IMPLEMENTATION OF GLYCEMIC CONTROL PROTOCOLS | 1 |
Marianne Elisabeth Harmon | US | Granada Hills | 2009-06-25 / 20090163692 - AROMATIC POLYETHERS | 1 |
Benjamin Brewer Harmon | US | Santa Cruz | 2010-01-28 / 20100023454 - Transaction Authorization | 2 |
Paul A. Harmon | US | Audubon | 2015-07-09 / 20150190402 - SOLID DOSAGE FORMULATIONS OF AN OREXIN RECEPTOR ANTAGONISTS | 1 |
Alexander M. Harmon | US | New Brunswick | 2012-02-16 / 20120039983 - AMPHIPHILIC MACROMOLECULE-LIPID COMPLEXES | 1 |
Jay Scott Harmon | US | Leawood | 2011-04-14 / 20110086623 - METHOD AND SYSTEM FOR PROVIDING CONTACT INFORMATION FOR MOBILE COMMUNICATION DEVICE USERS | 1 |
Matthew T. Harmon | US | Santa Cruz | 2015-12-10 / 20150351797 - APPARATUS AND METHODS TO COMMUNICATE FLUIDS AND/OR SUPPORT INTRAOSSEOUS DEVICES | 9 |
Kirk Harmon | US | San Ramon | 2011-07-28 / 20110184752 - DIABETES MANAGEMENT UNIT, METHOD, AND SYSTEM | 3 |
Ian Robert Harmon | US | St. Paul | 2014-06-05 / 20140154697 - APPARATUS AND METHOD FOR MEASURING BINDING KINETICS WITH A RESONATING SENSOR | 2 |
David Lynn Harmon | US | Portland Park | 2014-08-07 / 20140221765 - PATIENT/PROFESSIONAL-COLLABORATIVE, TRADITIONAL-DIAGNOSIS-BYPASSING, MENTAL HEALTH THERAPY SYSTEM AND METHODOLOGY | 1 |
Nathan Harmon | US | Roseville | 2009-06-18 / 20090154440 - Wireless Communications Systems and Wireless Communications Methods | 1 |
Brandon H. Harmon | US | Murrieta | 2009-11-05 / 20090272378 - RESPIRATORY PROTECTION DEVICE | 1 |
Marianne Elisabeth Harmon | US | Redondo Beach | 2010-02-04 / 20100029864 - POLYARYLETHER COMPOSITION AND MEMBRANE | 2 |
Geoff W. Harmon | US | Mission Viejo | 2010-07-29 / 20100188700 - PRINT MANAGEMENT METHOD AND APPARATUS WITH MULTIPLE VIEWS | 7 |
J. Scott Harmon | US | Portola Valley | 2013-01-24 / 20130021175 - Asset Management Systems and Methods | 3 |
John Harmon | US | Bakersfield | 2011-12-29 / 20110318239 - Sulfur dioxide generator with aqueous gas mixer/aerator | 5 |
J. Douglas Harmon | US | Lincolnton | 2011-03-31 / 20110074585 - PATIENT TRACKING SYSTEM | 1 |
Caleb G. Harmon | US | Hartland | 2014-04-24 / 20140112697 - Serrated Shaft Debris Collector | 4 |
Michael Patrick Harmon | US | Dunlap | 2012-11-15 / 20120285414 - Thrust Plate For An Internal Combustion Engine And Method Of Operating Same | 5 |
Larry Harmon | US | Kent | 2012-06-07 / 20120138676 - CARD ACTIVATED CASH DISPENSING AUTOMATED BANKING MACHINE | 1 |
Paul A. Harmon | US | Perkiomenville | 2014-09-11 / 20140256772 - PHARMACEUTICAL COMPOSITIONS THAT INHIBIT DISPROPORTIONATION | 1 |
James C. Harmon | US | Salt Lake City | 2010-12-16 / 20100313972 - Check Valve For A Self-Priming Pump | 1 |
Ian Robert Harmon | US | Hudson | 2012-04-26 / 20120100636 - APPARATUS AND METHOD FOR MEASURING BINDING KINETICS WITH A RESONATING SENSOR | 1 |
John R. Harmon | US | Encinitas | 2015-05-07 / 20150123720 - QUANTUM CLOCKS FOR A MASTER/SLAVE CLOCK ARCHITECTURE | 1 |
Kevin Harmon | US | Somerville | 2015-07-02 / 20150188943 - Virtual private network (VPN)-as-a-service with delivery optimizations while maintaining end-to-end data security | 1 |
Bruce D. Harmon | US | Ridley Park | 2014-09-11 / 20140257624 - ELECTRICAL POWER HEALTH MONITORING SYSTEM | 1 |
Jason Edward Harmon | US | Bristol | 2010-03-18 / 20100066470 - CIRCUIT INTERRUPTER TRIP APPARATUS AND METHOD | 2 |
Dennis W. Harmon | US | Tallahassee | 2012-06-14 / 20120149453 - METHOD OF ADMINISTERING A GAME OF CHANCE | 1 |
Tracy Harmon Blumenfeld | US | Haverford | 2014-05-22 / 20140142968 - ONLINE, INTERACTIVE EVALUATION OF RESEARCH PERFORMANCE | 1 |
Timo Harmonen | FI | Nummela | 2013-06-20 / 20130160124 - Disinfection of a File System | 1 |
Timo Harmonen | FI | Espoo | 2012-01-19 / 20120017275 - Identifying polymorphic malware | 1 |
Timo Harmonen | FI | Numela | 2012-06-21 / 20120159631 - Anti-Virus Scanning | 1 |
James V. Harmon, Jr. | US | Minneapolis | 2009-08-20 / 20090205338 - High efficiency dual cycle internal combustion engine with steam power recovered from waste heat | 1 |
James V. Harmon, Sr. | US | Mahtomedi | 2011-04-14 / 20110083434 - Method and Apparatus For Achieving Higher Thermal Efficiency In A Steam Engine or Steam Expander | 4 |
Edith Harmon-Weiss | US | Swampscott | 2010-03-25 / 20100071231 - Shoe sole element for stabilization | 2 |
Chadi Harmouche | CA | Quebec | 2014-10-02 / 20140296736 - CONTACT ASSESSMENT OF BALLOON CATHETERS | 2 |
Chadi Harmouche | CA | St-Laurent | 2015-08-13 / 20150223859 - FLUID CONTROL SYSTEM FOR A MEDICAL DEVICE | 10 |
Chadi Harmouche | CA | St-Laurent | 2015-08-13 / 20150223859 - FLUID CONTROL SYSTEM FOR A MEDICAL DEVICE | 10 |
Kirsten Harmrols | DE | Saarbrücken | 2015-04-23 / 20150111298 - Method for Producing Recombinant 11-De-O-Methyltomaymycin | 1 |
John F. Harms | US | Mechanicsburg | 2011-03-03 / 20110052668 - Identification and Characterization of a Specific CCK-C Receptor Antibody for Human Pancreatic Cancer and Its Use for Early Detection and Staging of Pancreatic Cancer | 1 |
Steven E. Harms | US | Fayetteville | 2014-05-22 / 20140142464 - Systems and Methods for Surgery Rotating Needles and Therapy Treatments | 5 |
Louis C. Harms | US | Evanston | 2011-03-24 / 20110067391 - HYDRAULIC DRIVE SYSTEM FOR SAND AND SALT SPREADERS | 1 |
Gerda Harms | US | Middleton | 2010-04-08 / 20100086908 - METHODS FOR THE DETECTION OF RESPIRATORY VIRUSES | 1 |
G. Kevin Harms | US | San Jose | 2015-07-30 / 20150215171 - METHOD AND APPARATUS FOR A HOME NETWORK AUTO-TREE BUILDER | 3 |
Donn K. Harms | US | Carlsbad | 2014-12-11 / 20140364202 - Computer Enabled Application for Nutrition and Advertising | 1 |
Arthur E. Harms | US | Overland Park | 2012-06-21 / 20120157447 - Quinolone Carboxylic Acids, Derivatives Thereof, and Methods of Making and Using Same | 6 |
Dieter Harms | DE | Bad Nenndorf | 2012-03-15 / 20120065443 - MONOLITH CATALYST AND USE THEREOF | 1 |
Timothy Edward Harms | US | The Colony | 2016-05-05 / 20160123093 - TRAVEL JOINT RELEASE DEVICES AND METHODS | 6 |
Jonathan S. Harms | US | Las Vegas | 2015-12-17 / 20150362201 - Evaporative HVAC Apparatus | 3 |
Herbert Andrew Harms | US | Great Bend | 2010-01-28 / 20100022205 - Wireless Microphone Beacon | 1 |
Kent D. Harms | US | Richmond | 2015-05-07 / 20150122478 - Modular Connector And Method | 4 |
Jeffrey W. Harms | US | Lawrence | 2009-05-14 / 20090119961 - COMPACT YARD SIGN PACKAGE REINFORCED BY FOLDED BAIL | 1 |
John Harms | US | Wichita | 2009-03-05 / 20090057045 - HYDRAULIC SYSTEM TO DETER LIFT ARM CHATTER | 1 |
Andreas Harms | DE | Ofen | 2015-11-19 / 20150328037 - PATIENT STABILIZATION AND TRANSPORT AID | 1 |
Ewald Harms | DE | Schlangen | 2011-06-02 / 20110130027 - SERVER SYSTEM AND SERVER SUITABLE FOR USE IN THE SERVER SYSTEM AND SUITABLE CONNECTION MODULE | 1 |
Scott Paul Harms | US | Ypsilanti | 2015-12-10 / 20150353283 - TORQUE CONTROL CARGO LOADING SYSTEMS AND METHODS | 1 |
Michael Harms | DE | Frankfurt Am Main | 2015-08-20 / 20150231339 - Cap for a Drug Delivery Device and Drug Delivery Device | 27 |
Austin Harms | US | Grand Rapids | 2016-01-28 / 20160024793 - MODULAR ROOF PANEL WITH INTEGRATED DRAINAGE SYSTEM | 5 |
Volker Harms | DE | Kassel | 2011-12-08 / 20110301546 - HINGED CAP FOR NEEDLE DEVICE | 3 |
Kent David Harms | US | Richmond | 2016-05-05 / 20160123318 - Adaptive Pump Control For Positive Displacement Pump Failure Modes | 11 |
Donn K. Harms | US | Del Mar | 2015-12-10 / 20150355820 - Device And Method For Media Player Mated To Printed Media | 11 |
Daniel Harms | DE | Siegburg | 2015-06-04 / 20150153291 - METHOD FOR DETERMINING THE RETENTION CAPACITY OF FUEL VAPOR FILTERS | 1 |
Phillip R. Harms | US | Belleville | 2014-12-25 / 20140373311 - HOLD DOWN DEVICE FOR WINDOW COVERING LOOPED OPERATOR | 1 |
Guido Harms | DE | Wittmund | 2008-09-11 / 20080221020 - Dendrimers as Molecular Translocators | 1 |
Dirk Harms | AT | Gmunden | 2008-11-13 / 20080277022 - Method for Compacting a Surface of a Wooden Workpiece and Device Therefor | 1 |
Jonathan D. Harms | US | Hopkins | 2012-12-13 / 20120314489 - SYSTEMS AND METHODS FOR DIRECT COMMUNICATION BETWEEN MAGNETIC TUNNEL JUNCTIONS | 1 |
Christopher Louis Harms | AU | Melbourne | 2009-02-19 / 20090048892 - COMMUNICATION SYSTEM AND METHOD | 1 |
Cigdem Harms | US | Las Vegas | 2016-04-21 / 20160109176 - Cooling Bottle Holder | 1 |
Heiko Harms | DE | Menden | 2014-03-13 / 20140070030 - FLUID DISCHARGE HEAD | 3 |
Stephan Harms | DE | Upgant-Schott | 2014-10-09 / 20140300497 - METHOD FOR CONTROLLING AN OBSTRUCTION LIGHT AND A WIND PARK FOR CARRYING OUT SUCH A METHOD | 2 |
Torsten Harms | DE | Kempen | 2008-11-13 / 20080278228 - CONTROL DEVICE WITH A SWITCHABLE BANDWIDTH | 1 |
Klaus-Christoph Harms | AT | A-8051 Graz | / - | 1 |
David C. Harms | US | West Chicago | 2012-07-05 / 20120172003 - AUTHENTICATION LOADING CONTROL AND INFORMATION RECAPTURE IN A UMTS NETWORK | 3 |
Greg Harms | US | Durham | 2012-03-22 / 20120070362 - QUANTITATIVE MEASUREMENT OF GAS PHASE PROCESS INTERMEDIATES USING RAMAN SPECTROSCOPY | 1 |
Arthur E. Harms | US | Niskayuna | 2008-12-25 / 20080318997 - NOVEL 4-PHENYL SUBSTITUTED TETRAHYDROISOQUINOLINES AND THERAPEUTIC USE THEREOF | 1 |
Jürgen Harms | DE | Karlsruhe | 2013-03-21 / 20130072933 - BONE SCREW AND BONE SCREW WITH HOLDING ELEMENT | 22 |
Steven Lee Harms | US | Maple Valley | 2015-02-19 / 20150052252 - METHOD AND SYSTEM FOR OPTIMIZING A NETWORK BY INDEPENDENTLY SCALING CONTROL SEGMENTS AND DATA FLOW | 2 |
Flemming Nicolas Harms | DK | Vejle | 2012-10-04 / 20120253710 - METHODS AND SYSTEMS FOR MANAGING FACILITY POWER AND COOLING | 2 |
Todd M. Harms | US | Granville | 2011-06-23 / 20110146351 - METHOD AND APPARATUS FOR DIRECTLY FORMING CONTINUOUS GLASS FILAMENTS | 2 |
Brian Harms | US | San Jose | 2016-03-24 / 20160086379 - INTERACTION WITH THREE-DIMENSIONAL VIDEO | 1 |
Lisa Harms | US | Madison | 2016-04-14 / 20160102289 - GENERATION OF KERATINOCYTES FROM PLURIPOTENT STEM CELLS AND MAINTENANCE OF KERATINOCYTE CULTURES | 1 |
Klaus-Christoph Harms | AT | Graz | 2010-01-21 / 20100017169 - Test assembly and procedure for capturing performance data | 1 |
Klaus-Christoph Harms | AT | Thal/graz | 2012-10-11 / 20120257473 - METHOD FOR OPERATING AN ELECTROMECHANICAL TRANSDUCER SYSTEM AND ELECTROMECHANICAL TRANSDUCER SYSTEM | 2 |
Fabrice Harms | FR | Orsay | 2013-09-12 / 20130235383 - FULL-FIELD OPTICAL COHERENCE TOMOGRAPHY SYSTEM FOR IMAGING AN OBJECT | 5 |
Haio Harms | AU | Gmunden | 2014-10-16 / 20140308870 - REGENERATED CELLULOSE FIBER | 1 |
Stefan Harms | CA | Winnipeg | 2010-12-09 / 20100307489 - Bougie Device | 1 |
Haio Harms | AT | Gmunden | 2015-12-10 / 20150354095 - REGENERATED CELLULOSE FIBER | 5 |
Gerd J. Harms | DE | Goslar | 2009-02-05 / 20090032573 - AMORPHOUS CAESIUM ALUMINUM FLUORIDE COMPLEX, ITS PRODUCTION AND USE | 1 |
Thomas Michael Harms | ZA | Stellenbosch | 2013-07-18 / 20130185037 - System for Modelling the Conversion of Lignocellulosic Materials | 1 |
Scott Harms | US | Poplar | 2014-09-18 / 20140260225 - VARIABLE CONTROL FOR A HYDRAULIC CIRCUIT | 1 |
George Harms | US | San Jose | 2013-10-31 / 20130290638 - TRACKING OWNERSHIP OF DATA ASSETS IN A MULTI-PROCESSOR SYSTEM | 2 |
Jeremy Aaron Harms | US | Bismarck | 2014-09-18 / 20140260224 - Control System for Variable Displacement Hydraulic Motor | 1 |
Heiko Harms | DE | Hemer | 2014-07-24 / 20140203049 - FLUID DISPENSER | 1 |
Hauke Harms | DE | Leipzig | 2015-09-24 / 20150267231 - BIOTECHNOLOGICAL 2-HYDROXYISOBUTYRIC ACID PRODUCTION | 1 |
Guido Harms | DE | Limburgerhof | 2011-06-16 / 20110139604 - Dividing wall column for fractionation of a multicomponent mixture | 1 |
Michael Harms | DE | Frankfurt | 2013-08-15 / 20130211326 - Coded Cartridge Holder System for a Fluid Delivery Device | 1 |
Jelto Christian Harms | DE | Uplengen-Remels | 2008-08-28 / 20080202430 - MILKING STATION AND METHOD FOR MILKING | 1 |
Stephan Harms | DE | Aurich | 2012-06-14 / 20120146783 - METHOD FOR CONTROLLING AN OBSTRUCTION LIGHT | 1 |
Christopher Lee Harms | US | Mobile | 2015-08-27 / 20150240984 - PIPE CRAWLER APPARATUS AND METHOD FOR INTERNAL PIPE INSPECTION | 1 |
Jonathan D. Harms | US | White Plains | 2015-09-24 / 20150270480 - MEMORY CELLS HAVING A SELF-ALIGNING POLARIZER | 2 |
Fritz Harms | DE | Eppingen | 2013-07-18 / 20130185726 - Method for Synchronous Execution of Programs in a Redundant Automation System | 1 |
Ulrich Harms | DE | Hamburg | 2013-02-21 / 20130043845 - METHOD FOR OPERATING A WIND TURBINE IN THE EVENT OF THE OCCURRENCE OF A GRID FAULT WITH A VOLTAGE DROP AND SUCH A WIND TURBINE | 10 |
Eberhard Harms | DE | Muppert | 2012-09-20 / 20120234747 - FILTER DEVICE | 1 |
Daryl Dean Harms | CA | Calgary | 2015-10-22 / 20150304283 - Source Based Anonymity and Segmentation for Visitors | 1 |
Karsten Harms | DE | Worms | 2012-12-13 / 20120315673 - MICROORGANISMS HAVING ENHANCED SUCROSE MUTASE ACTIVITY | 4 |
Stefan Harms | DE | Hamburg | 2014-10-23 / 20140311096 - METHOD AND DEVICE FOR PRODUCING A PACKAGE FOR A GROUP OF SMOKABLE ARTICLES | 3 |
J+e,uml U+ee Rgen Harms | DE | Karlsruhe | 2012-02-16 / 20120041495 - Bone Screw | 1 |
Timothy E. Harms | US | The Colony | 2014-06-19 / 20140166318 - APPARATUS AND METHODS FOR RETRIEVING A WELL PACKER | 2 |
Christian Harms | DE | Stuttgart | 2016-05-19 / 20160138645 - Crankshaft for a Reciprocating Piston Engine | 1 |
Donald Harms | US | Del Mar | 2014-02-13 / 20140043682 - Flip Up Interchangeable System | 1 |
John T. Harms | US | Wayne | 2014-01-02 / 20140006082 - System And Method For Intelligent Conversation-Based Appointment Tool | 1 |
Michael Harms | DE | Oberursel | 2014-02-06 / 20140039410 - Medication Delivery Device | 5 |
Rodney Harms | US | Anderson | 2009-10-01 / 20090241353 - Toolless Apparatus for Guide Bar for Chain Saw | 1 |
Alwin Harms | DE | Wedemark | 2015-03-26 / 20150086058 - Microphone having a microphone capsule, dynamic sound transducer for headphones, earphones or headsets and method for producing a microphone capsule or a sound transducer | 2 |
Ulrich Harms | DE | Hamburg | 2013-02-21 / 20130043845 - METHOD FOR OPERATING A WIND TURBINE IN THE EVENT OF THE OCCURRENCE OF A GRID FAULT WITH A VOLTAGE DROP AND SUCH A WIND TURBINE | 10 |
Jürgen Harms | DE | Karlsruhe | 2013-03-21 / 20130072933 - BONE SCREW AND BONE SCREW WITH HOLDING ELEMENT | 22 |
Jon Harms | US | Seminole | 2014-11-20 / 20140340011 - HIGH EFFICIENCY PERMANENT MAGNET MACHINE | 2 |
Michael Harms | DE | Frankfurt Am Main | 2015-08-20 / 20150231339 - Cap for a Drug Delivery Device and Drug Delivery Device | 27 |
Volker Harms | DE | Hamburg | 2015-02-26 / 20150057762 - SURGICAL IMPLANT | 4 |
Juergen Harms | DE | Karlsruhe | 2012-06-07 / 20120143264 - BONE ANCHORING DEVICE | 2 |
Jurgen Harms | DE | Karlsruhe | 2016-03-10 / 20160067050 - SPACE HOLDER FOR VERTEBRAE OR INTERVERTEBRAL DISCS | 50 |
Michael R. Harms | US | Mendota Heights | 2012-04-05 / 20120081908 - LIGHT DIRECTING EXPANDABLE ENVELOPE | 1 |
Hannes Jens Dieter Harms | US | San Francisco | 2015-11-05 / 20150316999 - ACCESSORY AND CONTROL METHOD | 1 |
Adrian Harms | DE | Hannover | 2015-01-15 / 20150013407 - APPARATUS AND METHOD FOR IMPRESSING A CORRUGATION INTO A PIPE | 1 |
Kent Harms | US | Richmond | 2014-11-06 / 20140326512 - Pump Actuated Valve | 1 |
Torsten Harms | DE | Hamburg | 2015-03-19 / 20150076804 - Steering Column for a Motor Vehicle | 2 |
Michael Harms | DE | Diepholz | 2015-07-16 / 20150197617 - COMBINATION FOAM | 5 |
Harold H. Harms | US | Palm Beach Gardens | 2012-01-05 / 20120000843 - INVOLUTE CARTRIDGE FILTER SYSTEM | 1 |
Rodney Dale Harms | US | Bartonville | 2015-07-23 / 20150204322 - PUMP SYSTEM HAVING SPEED-BASED CONTROL | 1 |
Jon Eric Harms | US | Seminole | 2014-03-20 / 20140077504 - VERTICAL AXIS WIND TURBINE WITH CAMBERED AIRFOIL BLADES | 3 |
Brian Harms | US | Roslindale | 2014-10-09 / 20140302035 - ANTI-C-MET Tandem Fc Bispecific Antibodies | 9 |
Lorenz Harms | US | Oakhurst | 2011-01-06 / 20110000811 - CLAMSHELL PACKAGE FOR HOLDING AND DISPLAYING CONSUMER PRODUCTS | 1 |
Kent David Harms | US | Richmond | 2016-05-05 / 20160123318 - Adaptive Pump Control For Positive Displacement Pump Failure Modes | 11 |
Arthur Harms | US | Pasadena | 2012-03-15 / 20120064135 - Benzoyl Peroxide Composition, Methods for Making Same, and Pharmaceutical or Cosmetic Formulations Comprising Same, and Uses Thereof | 1 |
Alexander Harms | DE | Oebisfelde | 2016-01-07 / 20160006138 - Method for Cohesive Joining to a Cable End, and also Configured Cable | 1 |
Donn K. Harms | US | Del Mar | 2015-12-10 / 20150355820 - Device And Method For Media Player Mated To Printed Media | 11 |
John H. Harms | US | Seattle | 2009-07-30 / 20090188150 - DNA SAMPLING HOOK | 1 |
Brian Harms | US | Roslindale | 2014-10-09 / 20140302035 - ANTI-C-MET Tandem Fc Bispecific Antibodies | 9 |
Johan Harmse | ZA | Meyersdal | 2010-06-17 / 20100152282 - USE OF DOUBLE STRANDED RNA HAIRPIN DUPLEXES IN GENE SILENCING | 1 |
Magiel J. Harmse | US | Houston | 2015-11-05 / 20150316905 - ONLINE CONTROL CALCULATION FOR MODELS CONTAINING NEAR COLINEARITY AND UNCERTAINTY | 4 |
Jorgen Ernst Harmse | US | Austin | 2010-06-10 / 20100142328 - Projectile-Detection Collars and Methods | 1 |
Jorgen Harmse | US | Austin | 2015-07-09 / 20150193699 - DATA-ADAPTIVE INSIGHT AND ACTION PLATFORM FOR HIGHER EDUCATION | 2 |
Jeremiah Harmsen | US | Mountain View | 2013-09-12 / 20130238446 - NETWORK NODE AD TARGETING | 7 |
Matthew Harmsen | US | Los Altos | 2008-12-25 / 20080320465 - METHODS AND SYSTEMS FOR PORTING SOFTWARE PACKAGES FROM ONE FORMAT TO ANOTHER | 1 |
Matthew S. Harmsen | US | Zeeland | 2009-09-24 / 20090235711 - HEADREST FRAME AND METHOD | 1 |
Michael Marie Harmsen | NL | Am Weesp | 2011-07-28 / 20110182897 - AMINO ACID SEQUENCES DIRECTED AGAINST ENVELOPE PROTEINS OF A VIRUS AND POLYPEPTIDES COMPRISING THE SAME FOR THE TREATMENT OF VIRAL DISEASES | 1 |
Gerrit Jan Harmsen | NL | Amsterdam | 2010-09-02 / 20100218675 - GAS SEPARATION APPARATUS | 3 |
George Carl Harmsen | US | Toms River | / - | 1 |
Martin Harmsen | NL | Paterswolde | 2010-02-11 / 20100034794 - ENDOTHELIAL PROGENITOR CELL COMPOSITIONS AND NEOVASCULARIZATION | 1 |
Michael M. Harmsen | NL | Amsterdam | 2012-12-06 / 20120309054 - Products Comprising Inactivated Yeasts or Moulds and Active VHH-Type Antibodies | 1 |
Silvia Harmsen | DE | St. Leon-Rot | 2014-02-27 / 20140058911 - CONSISTENT INTERFACE FOR FINANCIAL INSTRUMENT IMPAIRMENT EXPECTED LOSS ANALYTICAL RESULT | 3 |
Peter Harmsen | DE | Schwarzenfeld | 2011-07-07 / 20110166675 - Function Module And Coupling Module For A Switch Device Control And System For Switch Device Control | 2 |
Allen Harmsen | US | Bozeman | 2011-02-03 / 20110027315 - PROTEIN CAGES AND THEIR USES | 1 |
Jan Harmsen | NL | Simpelveld | 2014-04-17 / 20140102079 - REGENERATION METHOD AND MOTOR VEHICLE | 13 |
Sven Harmsen | DE | Tiefenthal | 2012-04-12 / 20120090055 - DISPERSION OF A POLYURETHANE, CONTAINING A PESTICIDE | 4 |
Silvia Harmsen | DE | Munchen | 2015-10-01 / 20150278937 - SYSTEMS AND METHODS OF PROVIDING KEY FIGURE INFORMATION | 1 |
Nikolaus Harmsen | US | Watertown | 2013-11-14 / 20130300095 - TRACTOR WEIGHT TRANSFER MECHANISM | 1 |
Wilhelmus Hendrikus Johannes Harmsen | NL | Wehl | 2011-08-18 / 20110197727 - Device and Method for Sawing Electronic Components | 1 |
Rianne Harmsen | NO | Bergen | 2012-06-14 / 20120149908 - TRIAZOLYLPIPERIDINE DERIVATIVES AND METHOD FOR MAKING THE SAME | 1 |
Martin Conrad Harmsen | NL | Tilburg | 2013-06-20 / 20130157956 - BIOCOMPATIBLE COMPOSITIONS FOR TISSUE AUGMENTATION | 1 |
Hermanus Josef Martinus Harmsen | NL | Groningen | 2016-01-07 / 20160000838 - Use of Faecalibacterium Prausnitzii Htf-f (DSM 26943) to Suppress Inflammation | 2 |
Stefan Harmsen | US | New York | 2015-11-19 / 20150328346 - MULTIMODAL PARTICLES, METHODS AND USES THEREOF | 2 |
Jed Harmsen | US | Los Angeles | 2014-06-26 / 20140176743 - METHOD, APPARATUS AND SYSTEM FOR PUBLISHING CREATIVE LOOKS AS THREE-DIMENSIONAL LOOKUP TABLES | 5 |
Jeremiah Harmsen | US | San Jose | 2015-11-05 / 20150317357 - Searchable Index | 2 |
Jan Harmsen | NL | Simpelveld | 2014-04-17 / 20140102079 - REGENERATION METHOD AND MOTOR VEHICLE | 13 |
Dennis L. Harms, Jr. | US | Covington | 2015-08-27 / 20150240556 - Sealing Strip | 1 |
Gary W. Harms, Jr. | US | Fort Morgan | 2013-06-13 / 20130149089 - SYSTEMS AND DEVICES FOR REMOVING MATERIALS FROM VACUUM TRUCK TANKS | 1 |
Peter Johan Harmsma | NL | Delft | 2015-04-30 / 20150116705 - SPECTRAL IMAGER | 2 |
Marjan Harmsma | NL | Eijsden | 2011-03-03 / 20110053156 - SMALL CELL LUNG CARCINOMA BIOMARKER PANEL | 1 |
Terry Alan Harmston | US | Isanti | 2011-07-14 / 20110170805 - Accessory fixture for temporary shelter | 1 |
Christopher H. Harmston | US | Heber City | 2011-12-22 / 20110308336 - Methods and apparatus for specimen collection and transport | 1 |
George M. Harmuth | US | Hopewell Junction | 2013-02-14 / 20130038451 - SYSTEM AND METHOD FOR SAFEGUARDING WAFERS AND PHOTOMASKS | 2 |
Yeu-Chern Harn | TW | Taipei City | 2012-06-14 / 20120151545 - MULTIMEDIA SYSTEM, METHOD FOR DISPLAYING MULTIMEDIA CONTENT AND COMPUTER READABLE STORAGE MEDIUM FOR STORING THEREOF | 1 |
Horng-Jyh Harn | TW | New Taipei City | 2016-01-07 / 20160000751 - METHOD FOR TREATING AND/OR DELAYING THE DEGENERATION OF PURKINJE CELLS | 6 |
Horng-Jyh Harn | TW | Zhubei City | 2016-04-21 / 20160106783 - METHOD FOR THE ANTI-SENESCENCE OF AND/OR REJUVENATING STEM CELLS | 2 |
Horng-Jyh Harn | TW | Taichung | 2016-05-05 / 20160122710 - METHOD AND PHARMACEUTICAL COMPOSITION FOR CONTINUOUSLY MAINTAINING GROWTH OF A MOTOR NEURON PROGENITOR CELL | 3 |
Piin-Jye Harn | TW | Tainan City | 2009-09-03 / 20090221826 - Process for making topotecan | 3 |
Horng-Jyh Harn | TW | Taichung City | 2015-12-10 / 20150352213 - METHOD FOR TREATING PANCREATIC CANCER | 7 |
Yu-Chyi Harn | TW | Bao-Shan | 2011-05-19 / 20110115057 - DESIGN STRUCTURE FOR INTEGRATED CIRCUIT ALIGNMENT | 1 |
Horng-Jyh Harn | TW | Taipei | 2015-08-06 / 20150216836 - ANTICANCER FORMULATION | 5 |
Horng-Jyh Harn | TW | Banchiau City | 2010-02-04 / 20100028461 - Gamma-butyrolactone compound and pharmaceutical composition thereof | 1 |
Maggie Harn | US | Minneapolis | / - | 1 |
Bogyeol Harn | US | Waxhaw | 2014-09-18 / 20140268175 - METHOD AND SYSTEM FOR OPTICAL CAMBER MEASUREMENT OF FLAT SHEET MEMBRANES, FILMS, AND WEBS | 1 |
Jonathan R. Harn | US | Newport News | 2009-04-09 / 20090090166 - SHOCK SIMULATION GENERATOR | 1 |
Horng-Jyh Harn | TW | Hsinchu County | 2015-08-20 / 20150231052 - MESENCHYMAL STEM CELL EXTRACT AND ITS USE | 2 |
Donald A. Harn | US | Athens | 2015-12-31 / 20150374714 - GENERATION OF FUNCTIONAL DENDRITIC CELLS | 3 |
Mirco Harnack | DE | Berg | 2011-06-16 / 20110140971 - CERAMIC SUBSTRATE MATERIAL, METHOD FOR THE PRODUCTION AND USE THEREOF, AND ANTENNA OR ANTENNA ARRAY | 3 |
Oliver Harnack | DE | Potsdam Ot Golm | 2011-12-08 / 20110301442 - DIAGNOSTIC ANALYTE COLLECTION DEVICE BASED ON FLEXIBLE POLYMERS WITH BIOLOGICAL SURFACE MODIFICATION AND MICROFLUIDIC FUNCTIONALITY | 1 |
Mirco Harnack | DE | Alter Kirchweg 12 | 2009-04-16 / 20090098030 - MICROREACTOR AND METHOD FOR MANUFACTURING SAME AND METHOD FOR MANUFACTURING A SUBSTRATE FOR A MICROREACTOR | 1 |
Kurt Harnack | DE | Tangstedt | 2014-11-20 / 20140340674 - Cuvette, Insert, Adapter and Method for Optically Examining Small Amounts of Liquid | 5 |
Oliver Harnack | DE | Stuttgart | 2012-05-10 / 20120114925 - METHOD OF FABRICATING A MEMBRANE HAVING A TAPERED PORE | 6 |
Roland Harnack | DE | Eisenartz | 2012-02-09 / 20120035517 - ORTHOTIC FITTING HAVING A KNEE GUIDE JOINT | 1 |
Donald W. Harnack | US | Livonia | 2010-06-03 / 20100138133 - METHOD AND SYSTEM TO PREVENT UNAUTHORIZED USES OF ENGINE CONTROLLERS | 1 |
Earl Harnage | US | Hastings | 2012-09-20 / 20120234961 - Fishing Line Spool Holder | 2 |
Jean A. Harnapp | US | Irvine | 2008-10-09 / 20080249372 - Retractor | 1 |
Aaron R. Harnar | US | Newton Falls | 2010-08-12 / 20100199549 - Fuel Composition | 1 |
Rebecca A. Harnar | US | Bates City | 2008-10-02 / 20080237269 - DECORATIVE TRIGGER SPRAYER SHROUDS AND METHODS OF MAKING THE SAME | 1 |
Didier Harnay | FR | Cesson Sevigne | 2015-03-19 / 20150077165 - METHOD AND APPARATUS FOR AVOIDING SPURS IN CHIP | 3 |
Yann Frédéric Vincent Harnay | FR | Viry-Chatillon | 2012-05-17 / 20120121428 - BLADE RETENTION DISK | 1 |
Franck Harnay | FR | Rueil-Malmaison | 2015-06-11 / 20150163315 - METHOD FOR MANAGING ACCESS TO A SET OF RESOURCES DELIVERED VIA AN ELECTRONIC DEVICE | 1 |
Robert Harnden | US | Tamarac | 2009-12-03 / 20090294381 - METHODS FOR CONTROLLING PH IN WATER SANITIZED BY CHEMICAL OR ELECTROLYTIC CHLORINATION | 2 |
Daniel I. Harnden | US | New York | 2011-12-01 / 20110295848 - COMPUTER-IMPLEMENTED SYSTEM AND METHOD FOR DETERMINING A RESPONSE TO A STIMULUS | 1 |
Blake Jeffrey Harnden | US | Lake Forest | 2015-12-24 / 20150369569 - Techniques Deployment System | 1 |
James Harnden | US | Hollister | 2012-03-08 / 20120056261 - BI-DIRECTIONAL, REVERSE BLOCKING BATTERY SWITCH | 4 |
Mark Harnden | CA | Port Hope | 2015-03-05 / 20150059961 - PARA-ARAMID-REINFORCED GEL FOR PLASTER REHABILITATION | 1 |
Lynda Harnden | US | 2012-03-08 / 20120056261 - BI-DIRECTIONAL, REVERSE BLOCKING BATTERY SWITCH | 2 | |
Mark Alan Harnden | US | Shelby Township | 2011-07-28 / 20110179904 - DIFFERENTIAL ASSEMBLY WITH FEATURES FOR IMPROVED LUBRICATION | 1 |
Mark A. Harnden | US | Shelby Township | 2013-10-24 / 20130281251 - DIFFERENTIAL ASSEMBLY WITH FEATURES FOR IMPROVED LUBRICATION | 1 |
Mike Harnden | US | Manteca | 2009-04-09 / 20090093365 - Multilayer seed coating | 1 |
George W. Harndon | US | Thomasville | 2009-01-22 / 20090024336 - Method for weighing vehicles crossing a bridge | 1 |
Monty Lee Harned | US | Houston | 2013-07-11 / 20130175365 - SYSTEM FOR GASIFICATION FUEL INJECTION | 2 |
Nora-Jean Harned | US | Redding | 2012-07-05 / 20120171600 - Time Differential Reticle Inspection | 1 |
Fred H. Harned | US | Orlando | 2009-06-18 / 20090151798 - IRRIGATION SYSTEM EMITTER | 1 |
Dan B. Harned | US | Tempe | 2010-01-14 / 20100009654 - PREPAID SECURITY CELLULAR TELECOMMUNICATIONS SYSTEM | 1 |
Chad Harned | US | Louisville | 2014-12-18 / 20140366750 - BREADING SIFTING TABLE | 1 |
Robert D. Harned | US | Redding | 2010-05-27 / 20100128242 - Bonding Silicon Silicon Carbide to Glass Ceramics | 1 |
Glenn Cole Harned | US | Carrollton | 2011-02-10 / 20110030137 - HOT TUB PLATFORM | 1 |
Linde Louise Harned | US | Paradise Valley | 2015-07-16 / 20150199639 - SYSTEM FOR DEVELOPING A VALUES-BASED, BEHAVIOR-DRIVEN HUMAN RESOURCES SYSTEM | 1 |
Pamela Harned | US | Carrollton | 2011-02-10 / 20110030137 - HOT TUB PLATFORM | 1 |
Monty Lee Harned | US | Sugar Land | 2010-09-23 / 20100237173 - FUEL INJECTOR GASSIFER NOZZLE HAVING ADJUSTABLE ANNULUS | 3 |
Monty Harned | US | Sugar Land | 2010-02-18 / 20100037613 - FUEL INJECTOR AND METHOD OF ASSEMBLING THE SAME | 1 |
Monty L. Harned | US | Sugar Land | 2011-06-09 / 20110136654 - COMPONENT IN A COMBUSTION SYSTEM, AND PROCESS FOR PREVENTING SLAG, ASH, AND CHAR BUILDUP | 3 |
Monty Lee Harned | US | Sugarland | 2015-07-16 / 20150197697 - FEED INJECTOR FOR A GASIFICATION SYSTEM | 3 |
Laurie A. Harned | US | Saint Anthony | 2013-08-15 / 20130206571 - PROCESS FOR OBTAINING OILS, LIPIDS AND LIPID-DERIVED MATERIALS FROM LOW CELLULOSIC BIOMASS MATERIALS | 1 |
Richard L. Harned | US | Laurel | 2016-02-04 / 20160033344 - STRUCTURAL SHEAR LOAD SENSING PIN | 1 |
Lennart Harnefors | SE | Ludvika | 2012-02-09 / 20120033461 - CONTROLLING A HIGH-VOLTAGE DIRECT-CURRENT (HVDC) LINK | 1 |
Lennart Harnefors | SE | Eskilstuna | 2016-04-28 / 20160118908 - ARRANGEMENT, METHOD AND COMPUTER PROGRAM PRODUCT CONCERNED WITH TAPPING OF POWER FROM A DC POWER LINE TO AN AC POWER LINE | 8 |
Lennart Harnefors | SE | Eskilstuna | 2016-04-28 / 20160118908 - ARRANGEMENT, METHOD AND COMPUTER PROGRAM PRODUCT CONCERNED WITH TAPPING OF POWER FROM A DC POWER LINE TO AN AC POWER LINE | 8 |
Tom Harner | CA | Toronto | 2011-03-24 / 20110070597 - Thin-Film Passive Samplers for Detection of Hydrophobic Organic Contaminants and Estrogenicity in Various Environments | 1 |
Andrew E. Harner | US | Manchester | 2016-03-03 / 20160058933 - Control Systems and Methods for Blood or Fluid Handling Medical Devices | 1 |
Richard S. Harner | US | Midland | 2011-12-01 / 20110290635 - POLYMERIZATION INHIBITOR COMPOSITION AND METHOD OF INHIBITING POLYMERIZATION OF DISTILLABLE MONOMERS | 3 |
Tomasz Harner | CA | Toronto | 2015-05-14 / 20150128732 - PASSIVE DRY DEPOSITION (PAS-DD) COLLECTOR | 1 |
John W. Harner | US | Rochester | 2011-03-03 / 20110050586 - FLEXIBLE MULTITOUCH ELECTROLUMINESCENT DISPLAY | 1 |
Christopher D. Harner | US | Pittsburgh | 2013-10-10 / 20130268000 - TISSUE GRAFT ANCHORING | 1 |
Andreas Harnesk | US | Sandy | 2015-12-17 / 20150363716 - TILING PRODUCTION OF PACKAGING MATERIALS | 3 |
Magnus Harnesk | SE | Taby | 2015-08-06 / 20150222442 - Charging Decisions in an IP Multimedia Subsystem | 2 |
Andreas Harnesk | US | Salt Lake City | 2016-04-28 / 20160119436 - METHOD AND SYSTEM FOR FLEXIBLE NODE COMPOSITION ON LOCAL OR DISTRIBUTED COMPUTER SYSTEMS | 1 |
David Bradford Harness | US | Eads | 2013-11-14 / 20130304071 - ADJUSTABLE FEMORAL RESECTION GUIDE | 4 |
Henry Harness | US | Santa Ana | 2015-05-28 / 20150144105 - LPG Fuel System | 1 |
Darrell T. Harness | US | Las Vegas | 2014-01-09 / 20140009294 - Snooze alert | 1 |
David Harness | US | Eads | 2014-09-18 / 20140277178 - Posterior Ankle Fusion Plate | 3 |
Thomas P. Harness | US | Greenville | 2011-03-10 / 20110056175 - Heat-Shrinkable Holder for Articles, Heat-Shrinkable Package of Articles, Heat-Shrinkable Sleeve for Articles and Method and Device for Packaging and Sleeving Articles | 1 |
Ken Harness | US | Albuquerque | 2014-11-20 / 20140338929 - Fire Suppression Systems | 1 |
John R. Harness | US | Elgin | 2013-11-14 / 20130298765 - PROCESS AND APPARATUS FOR REMOVING CONTAMINANTS FROM A GAS STREAM | 3 |
David B. Harness | US | Eads | 2015-10-15 / 20150289904 - ORTHOPEDIC COMPRESSION/DISTRACTION DEVICE | 1 |
Eric Harness | US | Sunnyvale | 2016-05-12 / 20160130647 - SIGNAL CONFINEMENT SEQUENCING (SCS) AND NUCLEOTIDE ANALOGUES FOR SIGNAL CONFINEMENT SEQUENCING | 1 |
Bradley Simon Harness | US | Springfield | 2013-01-10 / 20130008987 - Material Reduction Machine | 1 |
Travis Harnetiaux | US | Bourbonnais | 2012-02-23 / 20120042814 - Coulter Assembly | 3 |
Travis L. Harnetiaux | US | Joliet | 2009-01-22 / 20090020298 - Method And Apparatus For A Folding Marker For An Agricultural Implement | 1 |
Travis L. Harnetiaux | US | Woodridge | 2010-04-29 / 20100101810 - Pump Support Coupler System | 2 |
Travis L. Harnetiaux | US | Bourbonnais | 2016-04-21 / 20160106026 - 3-WAY SEED FLOW SPLITTER FOR PLANTERS | 2 |
Derek Harnett | IE | Dublin | 2014-09-18 / 20140281321 - REGISTER ACCESS WHITE LISTING | 1 |
David F. Harnett | US | Seattle | 2014-10-16 / 20140307952 - MIXING INFRARED AND COLOR COMPONENT DATA POINT CLOUDS | 1 |
Paul Robert Harnett | AU | Hurstville Grove | 2009-08-13 / 20090203133 - Determinants of Sensitivity to Chemotherapeutic Agents | 1 |
Cindy K. Harnett | US | Louisville | 2010-08-12 / 20100201542 - SYSTEM AND METHOD FOR REMOTE SAMPLING OF CONDITIONS USING WIRED SENSORS CONNECTED TO WIRELESS NODES | 2 |
Gerard John Harnett | IE | Ennis | 2015-12-17 / 20150361034 - NEW PROCESS | 3 |
David Harnett | US | Seattle | 2013-12-05 / 20130321586 - CLOUD BASED FREE VIEWPOINT VIDEO STREAMING | 3 |
Tim Harnett | US | Mountain View | 2012-08-02 / 20120197914 - Dynamic Parsing Rules | 1 |
Michelle Harnett | NZ | Palmerston North | 2011-01-06 / 20110003032 - DAIRY PRODUCT AND PROCESS | 1 |
Jeremiah Harnett | FR | Gif-Su-Yvette | 2014-05-08 / 20140127303 - SUSTAINED-RELEASE COMPOSITION CONTAINING PEPTIDES AS ACTIVE INGREDIENT | 1 |
Gerard John Harnett | IE | County Clare | 2014-11-13 / 20140336403 - PROCESS | 5 |
Jeremiah Harnett | FR | Gif Sur Y Vette | 2011-03-10 / 20110059970 - 4-PHENYL-1,3-THIAZOLES AND 4-PHENYL-1,3-OXAZOLES DERIVATIVES AS CANNABINOID RECEPTOR LIGANDS | 1 |
Simon Harnett | US | Mountain View | 2014-03-13 / 20140068933 - CONNECTORS AND METHODS FOR MANUFACTURING CONNECTORS | 1 |
Sean O. Harnett | US | Penfield | 2010-03-25 / 20100073104 - Tune Range Limiter | 3 |
William Harnett | GB | Glasgow | 2015-08-06 / 20150218116 - Immunomodulatory Compounds | 1 |
Margaret Harnett | GB | Glasgow | 2015-08-06 / 20150218116 - Immunomodulatory Compounds | 1 |
Andrew Harnett | US | Englewood | 2009-04-23 / 20090101697 - Single-ply pressure seal mailer with removable pull tab | 1 |
Jeremiah Harnett | FR | Gif-Sur-Yvette | 2014-05-08 / 20140128422 - NEW THERAPEUTICAL COMPOSITION CONTAINING APOMORPHINE AS ACTIVE INGREDIENT | 4 |
Oren Harnevo | US | Brooklyn | 2014-11-06 / 20140330930 - USING CLOUD COMPUTING FOR GENERATING PERSONALIZED DYNAMIC AND BROADCAST QUALITY VIDEOS | 3 |
William M. Harney | US | Rush | 2010-08-26 / 20100213666 - MEDIA TRANSPORT DEVICE WITH VACUUM-CONTROLLED POSITIONING | 1 |
Kieran P. Harney | US | Andover | 2016-02-18 / 20160050475 - MICROELECTROMECHANICAL SYSTEMS DEVICE OPTIMIZED FOR FLIP-CHIP ASSEMBLY AND METHOD OF ATTACHING THE SAME | 15 |
Kieran P. Harney | US | Andover | 2016-02-18 / 20160050475 - MICROELECTROMECHANICAL SYSTEMS DEVICE OPTIMIZED FOR FLIP-CHIP ASSEMBLY AND METHOD OF ATTACHING THE SAME | 15 |
Patrick Harney | US | Liberty Hil | 2010-01-21 / 20100017484 - E-Mail Response Time Estimation on Compose or Send | 1 |
William L. Harney | US | Millersburg | 2013-01-10 / 20130008992 - PICK RETAINER | 1 |
Megan Colleen Harney | US | Wauwatosa | 2016-03-10 / 20160071424 - Learning Network System | 1 |
Raymond K. Harney | US | Rochester | 2013-04-25 / 20130104143 - RUN-TIME ALLOCATION OF FUNCTIONS TO A HARDWARE ACCELERATOR | 3 |
Kieran Harney | US | Andover | 2015-07-02 / 20150189445 - Wide Dynamic Range Microphone | 4 |
Robert Harney | US | Las Vegas | 2009-10-15 / 20090257218 - BACKLIT MIRROR ASSEMBLY AND METHOD FOR USE | 1 |
Matthew B. Harney | US | Fairfax | 2009-09-03 / 20090220786 - Methods for Modulated Degenerative Transfer Living Polymerization and Isotactic-Atactic Stereoblock and Stereogradient Poly(Olefins) Thereby | 2 |
Michael Harney | US | Pleasant Grove | 2009-01-01 / 20090003406 - Thermal switch calibration apparatus and methods | 1 |
William Harney | US | Millersburg | 2014-09-18 / 20140263787 - TAPERED PICK HOLDER | 1 |
James David Harney | US | San Diego | 2012-01-19 / 20120016355 - SYSTEM AND METHOD FOR REGULATING COOLANT FLOW THROUGH A CATHETER AND AN EXPANSION ELEMENT OF A CRYOABLATION SYSTEM | 1 |
William Matthew Harney | US | Rush | 2014-04-03 / 20140091515 - DUAL FLIP OVER ROLL INVERTER | 2 |
Raymond Keith Harney | US | Rochester | 2012-06-21 / 20120154412 - RUN-TIME ALLOCATION OF FUNCTIONS TO A HARDWARE ACCELERATOR | 1 |
Allison S. Harney | US | Bronx | 2014-09-18 / 20140271490 - BACTERIA-TARGETED MAGNETIC RESONANCE CONTRAST AGENTS | 1 |
Bruce Alan Harney | US | Lexington | 2010-06-24 / 20100162358 - Media Processing Device For Providing Access To Images In Remote Databases And Method Thereof | 1 |
Allison S. Harney | US | Chicago | 2013-11-14 / 20130303737 - TARGETED SCHIFF BASE COMPLEXES | 2 |
Phil Harnick | US | Sherman Oaks | 2009-10-01 / 20090248445 - PATIENT DATABASE | 2 |
Arie Harnik | IL | Haifa | 2014-06-12 / 20140161577 - METHOD AND SYSTEM FOR LOCALLY CONTROLLING SUPPORT OF A FLAT OBJECT | 2 |
Danny Harnik | IL | Mount Carmel | 2010-11-11 / 20100287397 - Method of a Full Coverage Low Power Mode for Storage Systems Storing Replicated Data Items | 1 |
Danny Harnik | IL | Tel Mond | 2016-02-04 / 20160034201 - MANAGING DE-DUPLICATION USING ESTIMATED BENEFITS | 11 |
Hans-Peter Harnisch | DE | Cadolzburg | 2010-05-27 / 20100126474 - HIGH-PRESSURE FUEL PUMP FOR A FUEL INJECTION SYSTEM OF AN INTERNAL COMBUSTION ENGINE | 1 |
Wolfgang Harnisch | DE | Lehesten | 2011-09-22 / 20110229010 - METHOD AND DEVICE FOR MEASURING THE RELATIVE LOCAL POSITION ERROR OF ONE OF THE SECTIONS OF AN OBJECT THAT IS EXPOSED SECTION BY SECTION | 2 |
Andreas Harnisch | AT | Innsbruck | 2016-04-07 / 20160096019 - Template for Bilateral Symmetric Stimulator Fixation/Implantation | 3 |
Gunter Harnisch | DE | Koenigsbrueck | 2014-11-13 / 20140332654 - Movement Unit for a Machine Tool and Machine Tool with such a Movement Unit | 3 |
Bernd Harnisch | NL | Noordwijkerhout | 2009-01-08 / 20090009897 - Wide field four mirror telescope using off-axis aspherical mirrors | 1 |
Carsten Harnisch | US | Houston | 2014-04-17 / 20140102814 - TILLER GUIDED INDUSTRIAL TRUCK | 1 |
Martin Harnisch | DE | Bernburg | 2009-05-07 / 20090113762 - Actively ventilated shoe | 1 |
Hartmut Harnisch | DE | Grefrath | 2009-12-10 / 20090304973 - THERMOPLASTIC FILM FOR AIRBAG COVERS | 3 |
Gerd Harnisch | DE | Jena | 2014-12-18 / 20140369640 - Coating of Optical Waveguides | 4 |
Carsten Harnisch | DE | Hamburg | 2012-09-06 / 20120226419 - Industrial truck with an electric travel drive | 2 |
Jeffrey M. Harnisch | US | Hooksett | 2014-09-11 / 20140258104 - AUTOMATIC PAYMENT COMPONENT FOR AN ELECTRONIC INVOICE PAYMENT SYSTEM | 1 |
Markus Harnisch | AT | Graz | 2010-03-04 / 20100056053 - SINGLE COMMUNICATION CHANNEL BETWEEN A CONTACTLESS FRONTEND DEVICE AND A TRANSCEIVER DEVICE | 2 |
Jeff Harnisch | US | Hooksett | 2014-10-02 / 20140297490 - W-9 EXCHANGE SYSTEM AND METHOD | 1 |
Heiko Harnischfeger | DE | Freinnsteinau-Weidenau | 2011-10-20 / 20110256939 - Constant velocity joint with small radial movements of the balls | 1 |
Bernhard Harnischfeger | DE | Dachau | 2015-10-22 / 20150298601 - Vehicle glazing | 3 |
Bernhard Harnischfeger | DE | Dachu | 2011-03-10 / 20110056632 - Roller Blind Device, Particularly for a Sliding Roof System | 1 |
Heiko Harnischfeger | DE | Freiensteinau-Wedenau | 2010-02-04 / 20100029395 - COUNTER TRACK JOINT FOR LARGE ARTICULATION ANGLES | 1 |
Heiko Harnischfeger | DE | Freiensteinau-Weidenau | 2009-10-29 / 20090269129 - Joint With Increased Splay Angle | 1 |
Harnischfeger Technologies, Inc. | US | Wilmington | 2013-08-01 / 20130197737 - SYSTEM AND METHOD FOR REMOTE MONITORING OF DRILLING EQUIPMENT | 12 |
Friedhelm Harnischmacher | DE | Waltrop | 2008-08-28 / 20080205006 - Housing for Receiving Printed Circuit Boards Whose Components form at Least Parts of a Communication System | 1 |
Friedhelm Harnischmacher | DE | Menden | 2010-10-28 / 20100271812 - Lighting Fixture and Rail Module | 2 |
Douglas Carl Harnish | US | Pennsburg | 2009-12-17 / 20090311271 - Methods and Compositions for Selective Inhibition of Ligand Binding to the Lectin-Like Receptor for Oxidized Low Density Lipoprotein (LOX-1) | 1 |
Stephen Wayne Harnish | US | Wharton | 2008-08-21 / 20080200496 - SUBSTITUTED 1H-PYRROLO[3,2-b, 3,2-c, and 2,3-c]PYRIDINE-2-CARBOXAMIDES AND RELATED ANALOGS AS INHIBITORS OF CASEIN KINASE Ie | 1 |
Douglas Harnish | US | Pennsburg | 2009-08-27 / 20090215748 - FXR agonists for treating vitamin D associated diseases | 3 |
Justin Harnish | US | Boise | 2009-09-24 / 20090239366 - Method Of Forming A Transistor Gate Of A Recessed Access Device, Method Of Forming A Recessed Transistor Gate And A Non-Recessed Transistor Gate, And Method Of Fabricating An Integrated Circuit | 1 |
Michelle Harnish | US | Sparta | 2012-05-10 / 20120114735 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF THROAT DISCOMFORT | 1 |
Philip T. Harnish | US | San Francisco | 2015-04-30 / 20150116593 - Autohiding Video Player Controls | 1 |
Scott David Harnish | US | Lancaster | 2012-02-23 / 20120045924 - FLEXIBLE BREAKAWAY CONNECTOR | 2 |
Marshall W. Harnish | US | Mercer Island | 2009-05-28 / 20090138385 - Crate Tool | 1 |
Michelle Harnish | US | East Hanover | 2013-12-12 / 20130330396 - METHODS FOR THE TREATMENT OF THROAT DISCOMFORT | 1 |
David Harnishfeger | US | Chandler | 2014-12-25 / 20140378073 - SYSTEM AND METHOD FOR CORRECTING INTEGRAL NONLINEARITY IN AN OSCILLATOR SYSTEM | 11 |
Pierre Harnist | FR | Roeschwoog | 2014-10-30 / 20140317870 - WINDSHIELD WIPER DRIVE | 2 |
Pierre Harnist | FR | Bartenheim | 2015-08-27 / 20150244697 - Method for Secure Servicing of a Field Device | 4 |
Kevin A. Harnist | US | Huntley | 2010-02-25 / 20100049377 - SENSOR AND ANTENNA ARRANGEMENT | 1 |
Donald A. Harn, Jr. | US | Athens | 2013-10-03 / 20130259891 - USE OF LISTERIA VACCINE VECTORS TO REVERSE VACCINE UNRESPONSIVENESS IN PARASITICALLY INFECTED INDIVIDUALS | 1 |
Stephane R. Harnois | CA | Laval | 2014-10-09 / 20140304603 - FULL FIDELITY REMOTE VIDEO EDITING | 1 |
Patrice Harnois | CA | Ste-Elisabeth | 2015-08-20 / 20150233107 - Retaining Membrane on a Structure | 1 |
Pascal Harnois | CA | Ottawa | 2010-12-30 / 20100332918 - Alarm correlation system | 2 |
Carey Harnois | US | Grayson | 2009-10-01 / 20090244816 - Generator Ready Load Center | 1 |
Martin Harnois | CA | Sainte Julie | 2010-09-02 / 20100219201 - SYSTEMS USEFUL FOR BEVERAGE MAKING MACHINES | 1 |
Stephane Harnois | FR | Guyancourt | 2009-08-27 / 20090211486 - Use of solvents derived from renewable resources, paints and coating including them, method for preparing them | 1 |
Patrice Harnois | CA | Ste. Elizabeth | 2009-04-16 / 20090094910 - Sealing Joint For A Window Assembly | 1 |
Carey D. Harnois | US | Grayson | 2013-09-26 / 20130250488 - BASEPAN ASSEMBLY FOR AN ELECTRICAL ENCLOSURE | 4 |
Michael Har-Noy | US | Modi'In, Jerusalem | 2013-04-25 / 20130101551 - Induction of IL-12 using immunotherapy | 1 |
Michael Har-Noy | IL | Jerusalem | 2016-05-19 / 20160136270 - Ablative immunotherapy | 15 |
Michael Har-Noy | IL | Jerusalem | 2016-05-19 / 20160136270 - Ablative immunotherapy | 15 |
Michael Har-Noy | IR | Jerusalem | 2010-04-08 / 20100086561 - Th1 vaccination priming for active immunotherapy | 1 |
Michael Har-Noy | IL | Modi'In | 2013-08-01 / 20130196428 - Cells expressing Th1 characteristics and cytolytic properties | 16 |
Michael Har-Noy | IL | Modi'In, Jerusalem | 2013-04-25 / 20130101562 - Methods for handling biological drugs containing living cells | 2 |
Shay Har-Noy | US | San Diego | 2016-01-07 / 20160004724 - SYSTEM AND METHOD FOR LARGE SCALE CROWDSOURCING OF MAP DATA CLEANUP AND CORRECTION | 7 |
Shay Har-Noy | US | La Jolla | 2009-09-24 / 20090238276 - Method and apparatus for video coding using prediction data refinement | 1 |
Arun Harnpapur | US | Norwalk | 2010-03-04 / 20100053329 - EXIT SECURITY | 1 |
Katheryn Haro | US | San Diego | 2016-05-19 / 20160135526 - Device and Method for Protecting Clothing | 2 |
John Haro | US | Chicago | 2015-11-12 / 20150324851 - Location Based Marketing System and Method | 3 |
Alexander Haro | US | San Francisco | 2015-12-10 / 20150358774 - APPARATUS AND METHOD FOR DETERMINING AND PROVIDING RELATIVE VALUES OF CONTACTS ASSOCIATED WITH MOBILE DEVICES WITHIN A LOCATION-BASED GROUP | 8 |
Hirotaka Haro | JP | Chuo-Shi | 2010-12-02 / 20100305517 - PUNCTURE DEVICE | 1 |
Gabriel Haro | US | Cedar Park | 2014-03-20 / 20140078071 - Stylus Attachment for Writing Utensils | 1 |
Edmond J. Haro | US | Canyon Country | 2008-09-11 / 20080221998 - PARTICIPANT INTERACTION WITH ENTERTAINMENT IN REAL AND VIRTUAL ENVIRONMENTS | 1 |
Carlos F. Haro | US | St. Paul | 2008-11-27 / 20080294060 - DEVICES AND METHODS FOR DISEASE DETECTION, MONITORING AND/OR MANAGEMENT | 1 |
Bob Haro | US | Gilbert | 2010-04-15 / 20100089314 - SUBSTRATE SUPPORT SYSTEM FOR REDUCED AUTODOPING AND BACKSIDE DEPOSITION | 2 |
Robert C. Haro | US | Gibert | 2010-05-06 / 20100107973 - SELF-CENTERING SUSCEPTOR RING ASSEMBLY | 1 |
Rafael Haro | US | Chicago | 2011-12-15 / 20110303455 - ANTENNA UNIVERSAL MOUNT JOINT CONNECTORS | 2 |
Carlos Haro | US | St. Paul | 2010-11-04 / 20100280564 - MONITORING OF CHRONOBIOLOGICAL RHYTHMS FOR DISEASE AND DRUG MANAGEMENT USING ONE OR MORE IMPLANTABLE DEVICE | 2 |
Robert C. Haro | US | Gilbert | 2014-11-20 / 20140338596 - SELF-CENTERING SUSCEPTOR RING ASSEMBLY | 4 |
Guillermo Haro | US | Skokie | 2014-09-11 / 20140255546 - NUTRITIONALLY ENHANCED PASTA | 2 |
Alexander Haro | US | San Francisco | 2015-12-10 / 20150358774 - APPARATUS AND METHOD FOR DETERMINING AND PROVIDING RELATIVE VALUES OF CONTACTS ASSOCIATED WITH MOBILE DEVICES WITHIN A LOCATION-BASED GROUP | 8 |
Alfred P. Haro | US | Tacoma | 2015-11-05 / 20150315430 - FIBER REINFORCED POLYMER COMPOSITE WITH A HARD INTERPHASE | 3 |
Ernest Haro | US | San Jose | 2015-10-01 / 20150273305 - Training Bat | 1 |
Jason A. Haro | US | Dayton | 2015-03-19 / 20150078824 - ADJUSTABLE EDGE FORMING APPARATUS FOR PAVING MACHINE | 1 |
Antonio Haro | US | Oak Park | 2013-12-19 / 20130337830 - METHOD AND APPARATUS FOR DETECTING POINTS OF INTEREST OR EVENTS BASED ON GEOTAGGED DATA AND GEOLOCATION SEEDS | 1 |
Alfred P. Haro | US | Spanaway | 2014-10-16 / 20140309336 - PREPREG, FIBER REINFORCED COMPOSITE MATERIAL, AND MANUFACTURING METHOD FOR FIBER REINFORCED COMPOSITE MATERIAL | 4 |
Hirotaka Haro | JP | Yamanashi | 2009-09-10 / 20090226503 - ADHESION INHIBITING MATERIAL FOR VERTEBRAL/SPINAL OPERATION | 1 |
Antonio Haro | US | Hercules | 2016-03-10 / 20160073229 - METHOD AND APPARATUS FOR PROVIDING POINT-OF-INTEREST DETECTION VIA FEATURE ANALYSIS AND MOBILE DEVICE POSITION INFORMATION | 2 |
Carlos Haro | US | Stevenson Ranch | 2013-10-31 / 20130289476 - MONITORING OF CHRONOBIOLOGICAL RHYTHMS FOR DISEASE AND DRUG MANAGEMENT USING ONE OR MORE IMPLANTABLE DEVICE | 5 |
Andrew B. Haroian | US | Boise | 2012-10-11 / 20120255218 - TIPPET DISPENSER | 1 |
William P. Harokopus | US | Mckinney | 2010-11-04 / 20100277867 - Thermal Dissipation Mechanism for an Antenna | 5 |
John R. Harold | US | Worcester | 2012-07-05 / 20120171096 - SYSTEMS AND METHODS FOR HIGH EFFICIENCY REGENERATIVE SELECTIVE CATALYTIC REDUCTION | 4 |
Edward A. Harold | CA | Scarborough | 2014-06-19 / 20140169481 - SCALABLE HIGH THROUGHPUT VIDEO ENCODER | 2 |
Michael P. Harold | US | Houston | 2012-12-06 / 20120309610 - Multi-Component and Layered Formulations for Enhanced Selective Catalytic Reduction Activity | 1 |
Redd J. Harold | US | Ocean View | 2014-01-16 / 20140014611 - Child-Resistant Cap for Liquid Medicaments | 1 |
Candice L. Harold | US | Riverwoods | 2013-06-27 / 20130166464 - METHODS AND SYSTEMS TO AUTHENTICATE A PRINTED MEDIUM | 1 |
Michael Harold | US | Shreveport | 2013-11-28 / 20130318095 - DISTRIBUTED COMPUTING ENVIRONMENT FOR DATA CAPTURE, SEARCH AND ANALYTICS | 1 |
Michelle Harold | US | Maysville | 2015-04-02 / 20150095231 - METHOD, APPARATUS AND SYSTEM FOR AUTOMATICALLY TRIGGERING A TRANSACTION | 5 |
John Robert Harold | US | Worchester | 2009-05-21 / 20090130011 - Systems and Methods for Removing Materials From Flue Gas Via Regenerative Selective Catalytic Reduction | 1 |
Wodlinger Harold | CA | Thornhill | 2013-09-19 / 20130245473 - SYSTEM AND METHODS FOR ASSESSING HEART FUNCTION | 1 |
Patrick J. Harold | US | Madison | 2013-12-05 / 20130321698 - APPARATUS AND METHODS FOR DOCUMENT IMAGE CAPTURE | 1 |
St. John Harold | GB | West Ealing | 2015-06-11 / 20150163242 - PROFILING CYBER THREATS DETECTED IN A TARGET ENVIRONMENT AND AUTOMATICALLY GENERATING ONE OR MORE RULE BASES FOR AN EXPERT SYSTEM USABLE TO PROFILE CYBER THREATS DETECTED IN A TARGET ENVIRONMENT | 1 |
Ward K. Harold | US | Austin | 2009-10-08 / 20090254648 - Method and System for Using Presence in a System Management Environment | 3 |
Brian Harold | AU | Dandenong South | 2014-11-13 / 20140333188 - FILE STORAGE CABINET | 1 |
Robert Harold | US | Southampton | 2009-12-17 / 20090309004 - BEACH UMBRELLA STAND INCLUDING FOOT OPERATED DRIVE ASSEMBLY FOR ANCHORING AND METHOD OF USE | 1 |
Nathan Harold | US | Pleasanton | 2016-03-03 / 20160064117 - TRIPLE HELIX DRIVELINE CABLE AND METHODS OF ASSEMBLY AND USE | 3 |
Victoria Stan Harold | CA | Edmonton | 2012-09-20 / 20120234327 - FACE MASK WITH TRUNCATED NOSEPIECE | 1 |
Nathan Harold | US | San Jose | 2014-03-06 / 20140060425 - SELECTIVELY COATING LUMINAL SURFACES OF STENTS | 6 |
Carina V. Harold | US | Pleasanton | 2009-12-24 / 20090319031 - Bioabsorbable Polymeric Stent With Improved Structural And Molecular Weight Integrity | 1 |
Lee C. Harold | US | Arlington | 2016-03-17 / 20160078003 - SYSTEM AND METHOD FOR NETWORK USER INTERFACE REPORT FORMATTING | 1 |
J. Tron Haroldsen | US | Herriman | 2013-05-30 / 20130134765 - STEERABLE SYSTEM FOR ASPHALT MILLING ATTACHMENT | 3 |
Peter E. Haroldsen | US | Pacifica | 2014-09-11 / 20140255380 - METHODS OF ADMINISTERING 3, 4-DIAMINOPYRIDINE | 1 |
Kyle Haroldsen | US | Aurora | 2009-06-04 / 20090144514 - Method of automated operating system deployment for a network of multiple data processors | 1 |
Cody Haroldsen | US | Sandy | 2008-12-25 / 20080319942 - METHOD AND SYSTEM FOR REPORT GENERATION INCLUDING EXTENSIBLE DATA | 1 |
Khalid Haron | MY | Selangor | 2014-10-02 / 20140290319 - Controlled-Release Fertilizer | 1 |
Hasni B. Haron | MY | Selangor | 2011-09-01 / 20110209446 - WET GAS SEPARATOR | 1 |
Toufique Haron | US | Wallingford | 2008-09-25 / 20080235043 - System and Method For Communicating Messages Between Users of a System | 1 |
Elina Haronsky | IL | Rosh Ha'Ain | 2014-02-20 / 20140050784 - PHARMACEUTICAL COMPOSITIONS OF MEMANTINE | 2 |
Raheel Haroon | US | Columbia | 2015-08-27 / 20150243390 - SYSTEM FOR STORAGE AND TRANSPORTATION OF SPENT NUCLEAR FUEL | 3 |
Muhammad Haroon | DE | Braunschweig | 2009-07-02 / 20090165535 - LEAK LOCALIZATION IN A CAVITATED BODY | 1 |
Zishan A. Haroon | US | Ironwood | 2013-12-26 / 20130344497 - USE OF NOVEL CYTOKINE RECEPTORS AS BIOMARKERS AND THERAPEUTIC TARGETS IN HUMAN CANCER | 4 |
Zishan Haroon | US | Chadds Ford | 2009-03-19 / 20090074672 - Tumor Boundary Imaging | 1 |
Hamied Ahmad Haroon | GB | Manchester Greater Manchester | 2010-06-03 / 20100135560 - IMAGE PROCESSING METHOD | 1 |
Usman Haroon | PK | Karachi | 2015-01-29 / 20150033127 - System and Method for Integrating Interactive Call-To-Action, Contextual Applications with Videos | 2 |
Zishan Haroon | US | Chapel Hill | 2013-08-22 / 20130217640 - GLYCOSYLATED ACETAMINOPHEN PRO-DRUG | 4 |
Hooshmand Harooni | US | Los Angeles | 2014-08-21 / 20140230324 - Spun Material Based Mass Plant Growing System Labeling Apparatus | 9 |
Hooshmand Harooni | US | Los Angeles | 2014-08-21 / 20140230324 - Spun Material Based Mass Plant Growing System Labeling Apparatus | 9 |
Hooshmand Harooni | US | 2013-05-23 / 20130125312 - Combined Travel Neck Pillow With an Attached Hood Assembly | 2 | |
Yaacov Haroosh | IL | Migdal Haemek | 2015-07-30 / 20150213294 - DECOUPLED CONTACTLESS BI-DIRECTIONAL SYSTEMS AND METHODS | 7 |
Kobi Harosh | IL | Migdal Haemek | 2012-06-07 / 20120138678 - CONTACTLESS SMART SIM FUNCTIONALITY RETROFIT FOR MOBILE COMMUNICATION DEVICE | 1 |
Itzik Harosh | FR | Paris | 2014-07-03 / 20140187479 - BOROPEPTIDE INHIBITORS OF ENTEROPEPTIDASE AND THEIR USES IN TREATMENT OF OBESITY, OVERWEIGHT AND/OR DISEASES ASSOCIATED WITH AN ABNORMAL FAT METABOLISM | 2 |
Eliyahu Harosh | IL | Ashdod | 2009-12-24 / 20090317889 - Purification of Proteins With Cationic Surfactant | 1 |
Alfredo Haros Hernandez | MX | Monterrey | 2012-03-29 / 20120077378 - SYSTEM AND METHOD FOR POLYURETHANE BONDING DURING AND AFTER OVERMOLDING | 2 |
Ramdane Harouaka | US | State College | 2013-07-18 / 20130180909 - FLEXIBLE FILTER DEVICE FOR CAPTURING OF PARTICLES OR CELLS IN A FLUID | 1 |
Jeffrey J. Harouche | US | Great Neck | 2009-10-29 / 20090271246 - MERCHANT RECOMMENDATION SYSTEM AND METHOD | 2 |
Karim Haroud | CH | Chavannes-Sur-Moudon/vd | 2010-12-30 / 20100331912 - DEVICE AND METHOD FOR VISUAL STIMULATION | 3 |
Karim Haroud | CH | Chavannes-Sur-Moudon | 2015-09-10 / 20150253588 - FLUID-FILLED LENSES AND ACTUATION SYSTEMS THEREOF | 25 |
Karim Haroud | CH | Chavannes-Sur-Moudon | 2015-09-10 / 20150253588 - FLUID-FILLED LENSES AND ACTUATION SYSTEMS THEREOF | 25 |
Yacine Haroun | FR | Grigny | 2015-07-23 / 20150202578 - DISTRIBUTOR TRAY FOR GAS/LIQUID EXCHANGE COLUMN WITH LIQUID DEFLECTOR | 9 |
Yacine Haroun | US | Davis | 2016-04-21 / 20160107099 - DISTRIBUTOR TRAY FOR GAS/LIQUID CONTACT COLUMN WITH SECONDARY DISTRIBUTION SYSTEM | 3 |
Mohammed Haroun | AE | Abu Dhabi | 2013-10-24 / 20130277046 - METHOD FOR ENHANCED OIL RECOVERY FROM CARBONATE RESERVOIRS | 1 |
Yacine Haroun | FR | Grigny | 2015-07-23 / 20150202578 - DISTRIBUTOR TRAY FOR GAS/LIQUID EXCHANGE COLUMN WITH LIQUID DEFLECTOR | 9 |
Ferial Haroun | FR | Palaiseau | 2014-10-02 / 20140296967 - DRUG ELUTING STENT WITH A BIODEGRADABLE RELEASE LAYER ATTACHED WITH AN ELECTRO-GRAFTED PRIMER COATING | 1 |
Férial Haroun | FR | Grenoble | 2016-03-17 / 20160074562 - DRUG ELUTING STENT WITH A BIODEGRADABLE RELEASE LAYER ATTACHED WITH ELECTRO-GRAFTED PRIMER COATING | 1 |
Baher S. Haroun | US | Allen | 2016-02-18 / 20160048260 - RESONANT LINE DRIVER INCLUDING ENERGY TRANSFER INDUCTOR FOR DRIVING CAPACITIVE-LOAD LINES | 52 |
Samar Mohammed Haroun | CA | Vancouver | 2012-03-08 / 20120058504 - METHODS AND APPARATUS FOR DIELECTROPHORETIC SHUTTLING AND MEASUREMENT OF SINGLE CELLS OR OTHER PARTICLES IN MICROFLUIDIC CHIPS | 1 |
Davis Haroun | FR | Etats-Unis | 2016-03-24 / 20160082364 - COMPACT DISTRIBUTOR TRAY FOR OFFSHORE GAS/LIQUID CONTACT COLUMNS | 1 |
Baher Haroun | US | Allen | 2016-03-10 / 20160072173 - COUPLER TO LAUNCH ELECTROMAGNETIC SIGNAL FROM MICROSTRIP TO DIELECTRIC WAVEGUIDE | 36 |
Emad Haroun | CA | Mississauga | 2008-11-20 / 20080286396 - Adjustment Device for Adjusting a Pitch Between a Take-Off Plate and a Treatment Device of a Molding System and a Method for Use Thereof | 1 |
Hussam Haroun | CA | Toronto | 2016-05-12 / 20160135323 - SMART MISSION CRITICAL RACK | 1 |
Masud Harouny | US | San Dimas | 2014-09-25 / 20140285044 - Closed System Self Propulsion Engine | 1 |
John Haroutunian | US | Danvers | 2012-10-11 / 20120259372 - ORTHOPEDIC SCREW INSERT | 1 |
G. Greg Haroutunian | US | Beverly Hills | 2014-02-20 / 20140048065 - Flow Modification Device | 1 |
Artaches Haroutunian | US | Salt Lake City | 2014-07-17 / 20140197991 - SPATIAL RECOGNITION OF RFID TAG PLACEMENT USING ANTENNA MULTIPLEXING | 1 |
Isabel Haro Villar | ES | Madrid | 2010-08-26 / 20100216173 - CITRULLINATED FIBRIN-FILAGGRIN CHIMERIC POLYPEPTIDE CAPABLE OF DETECTING THE ANTIBODIES GENERATED IN RHEUMATOID ARTHRITIS | 1 |
Tyler L. Harp | CH | Basel | 2013-11-14 / 20130303372 - PLANT GROWTH REGULATION | 2 |
Richard John Harp | US | Spartanburg | 2015-10-15 / 20150290439 - METHODS FOR BILATERAL CENTRAL AUTONOMIC NEUROMODULATION | 1 |
Steven Alex Harp | US | Coon Rapids | 2011-09-29 / 20110238979 - Device for Preventing, Detecting and Responding to Security Threats | 1 |
Mike Harp | US | Lexington | 2016-05-19 / 20160136792 - Double Ended Bit | 1 |
Gary P. Harp | US | Newark | 2015-07-09 / 20150190754 - Autogenous Cleaning Filtration Method and Device | 5 |
Maureen Harp | US | Pittsburgh | 2014-11-20 / 20140338671 - PATIENT INTERFACE DEVICE WITH CUSTOMIZABLE CUSHION | 1 |
Randall Harp | US | Springdale | 2010-01-21 / 20100011999 - CARDBOARD PALLET | 1 |
Joseph C. Harp | US | Jenkintown | 2008-09-11 / 20080217334 - CLOSURE WITH POUR SPOUT | 1 |
Joyce B. Harp | US | Montclair | 2015-08-20 / 20150231236 - METHODS FOR TREATING PATIENTS WITH HYPERCHOLESTEROLEMIA THAT IS NOT ADEQUATELY CONTROLLED BY MODERATE-DOSE STATIN THERAPY | 1 |
Richard J. Harp | US | Carlsbad | 2013-12-12 / 20130331842 - RECIPROCATING CUTTING TOOL | 3 |
Gregory O. Harp | US | Allen | 2015-09-03 / 20150249846 - SYNCHRONIZATION OF USER INTERACTIVE EVENTS WITH ON-SCREEN EVENTS DURING PLAYBACK OF MULTIMEDIA STREAM | 2 |
Joyce Harp | US | White Plains | 2015-11-26 / 20150337045 - HUMAN ANTIBODIES TO THE GLUCAGON RECEPTOR | 5 |
Steven A. Harp | US | Coon Rapids | 2015-07-09 / 20150193257 - VIRTUAL MACHINE SERVICES | 3 |
Gregory Harp | US | Allen | 2013-05-23 / 20130128719 - Controlling Multicast Source Selection in an Anycast Source Audio/Video Network | 2 |
Adam Harp | US | Cincinnati | 2015-10-15 / 20150289919 - ROBOTIC TOOLKIT | 6 |
Andrew Harp | US | New York | 2015-04-23 / 20150112972 - SYSTEM AND METHOD OF IDENTIFYING VISUAL OBJECTS | 2 |
Andrew Logan Harp | US | New York | 2015-07-02 / 20150186418 - Methods and Systems for Use of a Database of Three-Dimensional (3D) Object Data Models for Search Queries | 1 |
Steven R. Harp | US | Wyoming | 2014-09-04 / 20140245675 - GLAZING ASSEMBLY WITH RADIANT ENERGY BARRIER | 1 |
Derek Harp | US | San Mateo | 2015-05-28 / 20150148133 - SYSTEM AND METHOD FOR SIMULATING GROUP PLAY WITHIN ASYNCHRONOUS VIDEOGAME CONTENT | 10 |
Thomas S. Harp | US | Austin | 2011-05-05 / 20110107161 - THRESHOLD VOLTAGE TECHNIQUES FOR DETECTING AN IMMINENT READ FAILURE IN A MEMORY ARRAY | 2 |
David Harp | US | Plano | 2014-06-05 / 20140157340 - MULTIMEDIA CONTENT DISTRIBUTION MANAGEMENT | 6 |
Walter Harp | US | Mercer Island | 2013-02-28 / 20130054316 - MANAGING PRESENTATION OF COMMERCIAL COMMUNICATIONS INCLUDING ELECTRONIC MAIL AND ADVERTISEMENTS | 1 |
John R. Harp | US | Knoxville | 2015-03-26 / 20150087723 - MICROBIAL COMPOSITION | 13 |
Maureen Harp | US | Freedom | 2015-10-08 / 20150283348 - HEADGEAR AND PATIENT INTERFACE DEVICE EMPLOYING SAME | 3 |
John R. Harp | US | Knoxville | 2015-03-26 / 20150087723 - MICROBIAL COMPOSITION | 13 |
Thomas A. Harp | US | Mason | 2015-06-11 / 20150161722 - DYNAMIC LOOK-UP TABLE FOR CHANGE ORDER LIMITS ON CUSTOMER ACCOUNTS | 1 |
Derek Harp | US | San Mateo | 2015-05-28 / 20150148133 - SYSTEM AND METHOD FOR SIMULATING GROUP PLAY WITHIN ASYNCHRONOUS VIDEOGAME CONTENT | 10 |
Amnon Harpak | IL | Holon | 2011-09-22 / 20110228708 - ETHERNET TRANSPORT OVER A TELEPHONE LINE | 2 |
Ofer Harpak | IL | Kiryat-Tivon | 2013-04-25 / 20130099895 - SYSTEM AND METHOD FOR FRIEND IDENTIFICATION | 3 |
Jai Harpalani | US | Naperville | 2012-05-17 / 20120120951 - METHODS AND APPARATUSES FOR PATH SELECTION IN A PACKET NETWORK | 1 |
Avraham Harpaz | IL | Haifa | 2015-05-14 / 20150135002 - PERSISTENT MESSAGING MECHANISM | 11 |
Roi Harpaz | IL | Rehovot | 2015-02-19 / 20150047985 - APPARATUS AND METHOD FOR USING SOLAR RADIATION IN ELECTROLYSIS PROCESS | 1 |
Idan Harpaz | IL | Tel Aviv | 2011-07-28 / 20110185313 - METHOD AND SYSTEM FOR CUSTOMIZING A USER-INTERFACE OF AN END-USER DEVICE | 1 |
Nadav Harpaz | IL | Moshav Hadar Am | 2015-03-05 / 20150061396 - SYSTEM FOR SWITCHING BETWEEN POWER SUPPLY UNITS | 1 |
Shimon Harpaz | IL | Netanya | 2013-05-02 / 20130108677 - ABRASIVE CONFECTIONARY PRODUCTS | 2 |
Avraham Harpaz | IL | Haifa | 2015-05-14 / 20150135002 - PERSISTENT MESSAGING MECHANISM | 11 |
Pieter Joost Adriaan Harpe | NL | Eindhoven | 2014-07-31 / 20140210653 - Data-driven noise reduction technique for Analog to Digital Converters | 1 |
Danny Harpe | US | Nicholasville | 2015-11-19 / 20150329345 - METHOD AND SYSTEM FOR PACKAGING AND SELLING ALCOHOLIC BEVERAGES | 1 |
Pieter Harpe | NL | Eindhoven | 2011-11-24 / 20110285568 - ASYNCHRONOUS DIGITAL SLOPE ANALOG-TO-DIGITAL CONVERTER AND METHOD THEREOF | 2 |
Stephen W. Harpe | US | Vernon Hills | 2010-09-30 / 20100251352 - System and method for rendering a set of program instructions as executable or non-executable | 1 |
Richard L. Harpe | US | Huntington Beach | 2015-10-08 / 20150282504 - COATED PET CHEW PRODUCT | 2 |
Carolin Harpe | DE | Lorsch | 2008-12-04 / 20080299062 - Use of Oxocarboxylic Acids-Containing Combinations for Deodorization | 1 |
Ofer Harpek | IL | Kiryat Tiyon | 2008-09-04 / 20080212512 - Method and Device for Indirect Communication Within a WiMAX Network | 1 |
Thomas R. Harpel | US | Kirkland | 2016-01-21 / 20160020961 - DETERMINING SERVER UTILIZATION | 2 |
William Harpell | CA | Joyceville | 2010-09-09 / 20100224032 - Material removing tool | 1 |
Shawn Harpell | CA | Kingston | 2008-12-04 / 20080300074 - Practice hockey puck | 2 |
Gary Allan Harpell | US | Morristown | 2009-07-09 / 20090176067 - BARRIER UNITS AND ARTICLES MADE THEREFROM | 2 |
Richard J. Harpenau | US | Jupiter | 2012-03-08 / 20120055040 - Remote Restriction Detecting System for Clothes Dryer Exhaust Systems | 2 |
Kevin Roy Harpenau | US | Peachtree City | 2015-05-21 / 20150138832 - Light-Emitting Diode Wave Guide Down Light Retrofit Fixtures | 6 |
Ronald M. Harper | US | Los Angeles | / - | 1 |
Andrew Harper | GB | Malvern | 2016-01-28 / 20160022569 - COMPOSITIONS COMPRISING MACROMOLECULAR ASSEMBLIES OF LIPID AND SURFACTANT | 1 |
Bart Harper | US | New York | 2012-06-21 / 20120157432 - NOVEL PYRROLIDINE DERIVED BETA 3 ADRENERGIC RECEPTOR AGONISTS | 2 |
Jeffrey Harper | US | Garner | 2012-08-16 / 20120209784 - Systems and Methods for Providing a Practice Area Option | 1 |
Patrick Sean Harper | US | New York | 2016-05-19 / 20160142805 - System and Method for Securing Headphone Transducers | 8 |
Brian Lee Harper | US | Painted Post | 2009-12-03 / 20090293545 - Method and apparatus for forming fused silica glass using multiple burners | 1 |
Gregory W. Harper | US | New York | 2014-11-27 / 20140351321 - Digital Content Distribution Systems and Methods | 9 |
Jennifer S. Harper | US | Westminister | 2009-06-18 / 20090157075 - System and Method for Tissue Sealing | 1 |
David Walker Harper | US | Bay Shore | 2014-12-25 / 20140380150 - METHOD, APPARATUS AND SYSTEM FOR MANAGEMENT OF INFORMATION CONTENT FOR ENHANCED ACCESSIBILITY OVER WIRELESS COMMUNICATION NETWORKS | 6 |
Marjorie G. Harper | US | Littleton | 2015-06-25 / 20150176328 - APPARATUS AND METHOD FOR MAKING A WINDOW COVERING HAVING OPERABLE VANES | 7 |
Edwin L. Harper | US | Platteville | 2016-05-12 / 20160132675 - SECURE SYSTEM FOR ALLOWING THE EXECUTION OF AUTHORIZED COMPUTER PROGRAM CODE | 17 |
Jennifer S. Harper | US | Westminster | 2015-10-08 / 20150282875 - SURGICAL FORCEPS CAPABLE OF ADJUSTING SEALING PRESSURE BASED ON VESSEL SIZE | 10 |
James T. Harper | US | Eagle | 2011-01-27 / 20110019980 - Integrated Boiler Component Wiring Assembly and Method | 1 |
Jack Harper | US | Evergreen | 2013-11-14 / 20130301832 - FINGERPRINT SCANNING SYSTEMS AND METHODS | 4 |
Matthew H. Harper | US | Salem | 2016-02-04 / 20160036708 - RF-AWARE PACKET FILTERING IN RADIO ACCESS NETWORKS | 9 |
David Harper | GB | Manchester | 2013-01-17 / 20130016462 - CORDLESS ELECTRICAL APPLIANCES | 1 |
Ian Peter Harper | GB | Nottingham | 2015-09-24 / 20150266777 - METHOD OF FORMING CONCRETE | 1 |
Tom Harper | US | Orinda | 2016-01-07 / 20160004565 - System and Method for Implementing Workflow Management Using Messaging | 1 |
Steven Harper | IT | Ariccia | 2015-09-24 / 20150266897 - HCV NS3 Protease Inhibitors | 1 |
Jason David Harper | US | Lafayette | 2016-04-28 / 20160118237 - SYSTEMS AND METHODS FOR TRANSFER OF IONS FOR ANALYSIS | 7 |
Russell Harper | CA | Toronto | 2014-01-23 / 20140025495 - SYSTEMS AND METHODS FOR MANAGING USER INFORMATION OVER A NETWORK | 1 |
Jason C. Harper | US | Rio Rancho | 2015-01-22 / 20150024414 - AMPLIFICATION OF BIOLOGICAL TARGETS VIA ON-CHIP CULTURE FOR BIOSENSING | 2 |
Lee Harper | GB | Uttoxeter | 2016-01-07 / 20160002890 - COMPUTER-IMPLEMENTED METHOD FOR PROVIDING A WARNING | 2 |
Richard John Harper | GB | Great Baddow, Chelmsford, Essex | 2016-01-07 / 20160003557 - LAMINATED HEAT EXCHANGER INCLUDING A HEAT SINK AND A THERMOELECTRIC DEVICE | 1 |
Marc Harper | GB | Cambridge, | 2013-08-08 / 20130201074 - A LOOP ANTENNA FOR MOBILE HANDSET AND OTHER APPLICATIONS | 2 |
David K. Harper | US | Concord | 2012-11-15 / 20120285559 - FORMED SEAL RING FOR A LIQUID GAS SEPARATING ELEMENT | 1 |
Philip Brian Harper | GB | Sheffield | 2014-11-20 / 20140338463 - ANALYSING LOAD BEARING MEMBERS | 1 |
Pierre Harper | US | Portland | 2013-01-24 / 20130021723 - DISPLAY STAND | 1 |
Cindy Kim Harper | ZA | Swavelpoort | 2013-01-24 / 20130022972 - OLIGONUCLEOTIDES AND METHODS FOR DETECTING LAVENDER FOAL SYNDROME | 1 |
Leslie Harper | US | Highlands Ranch | 2013-10-24 / 20130283173 - USER-SELECTED MEDIA CONTENT BLOCKING | 3 |
John Harper | US | Chesterbrook | 2014-01-23 / 20140021336 - OPTICAL PROXIMITY SWITCH | 1 |
Michael R. Harper | US | Canton | 2012-02-16 / 20120041726 - METHOD FOR SIMULATING TRANSIENT HEAT TRANSFER AND TEMPERATURE DISTRIBUTION OF ALUMINUM CASTINGS DURING WATER QUENCHING | 1 |
William M. Harper | US | Columbus | 2013-07-18 / 20130184527 - Medical Instrument Light Source Connection Device | 2 |
Douglas R. Harper | US | Harrison | 2014-11-20 / 20140339329 - PAINT SPRAYER | 1 |
Scott Quenton Harper | US | Powell | 2014-10-30 / 20140322169 - Recombinant Virus Products and Methods for Inhibition of Expression of DUX4 | 2 |
John Harper | GB | Broadstone | 2015-02-05 / 20150035860 - BUFFERS FOR DISPLAY ACCELERATION | 2 |
Jackson Robert Harper | US | Norwood | 2013-09-19 / 20130243186 - AUDIO ENCRYPTION SYSTEMS AND METHODS | 2 |
Marc Harper | US | Seattle | 2015-12-31 / 20150382307 - DETECTING PROXIMITY USING ANTENNA FEEDBACK | 1 |
Cedric B Harper | GB | Derby | 2012-09-06 / 20120224958 - TURBOMACHINE CASING ASSEMBLY | 8 |
Hamilton E. Harper | US | Madison | 2015-05-14 / 20150128542 - INERTIAL GAS-LIQUID IMPACTOR SEPARATOR WITH FLOW DIRECTOR | 2 |
Coray Harper | US | Lynchburg | 2014-02-20 / 20140051310 - ARTICLES INCLUDING HIGH MELT FLOW INDEX RESINS | 1 |
Max Donald Harper | US | Knoxville | 2014-02-20 / 20140047647 - METHOD OF WASHING TEXTILE ARTICLES | 1 |
Colin James Harper | GB | Chelmsford, Essex | 2015-12-31 / 20150377479 - INTEGRATED LIGHTING AND NETWORK INTERFACE DEVICE | 1 |
Michael W. Harper | US | Round Rock | 2015-03-05 / 20150061279 - ANTI-COUNTERFEITING OPTO-THERMAL WATERMARK FOR ELECTRONICS | 7 |
Coray Harper | US | Baton Rouge | 2015-09-10 / 20150252206 - ARTICLES INCLUDING HIGH MELT FLOW INDEX RESINS | 1 |
George Cochran Harper | US | Laguna Niguel | 2013-09-19 / 20130245581 - Postpartum Uterine Contractile Apparatus and Method | 1 |
Alexandra Harper | US | Arlington | 2012-03-22 / 20120070808 - TEACHING SYSTEM COMBINING LIVE AND AUTOMATED INSTRUCTION | 1 |
John Harper | US | San Francisco | 2015-07-09 / 20150193958 - FRAMEWORK FOR GRAPHICS ANIMATION AND COMPOSITING OPERATIONS | 23 |
Scott Clifton Harper | US | Dallas | 2016-03-10 / 20160071333 - Vehicle Information System | 1 |
James Douglas Harper | US | Boston | 2012-07-26 / 20120190006 - OPTOELECTRONIC DETECTION SYSTEM | 1 |
Justen Lee Harper | US | Turlock | 2016-02-04 / 20160033430 - XRF DEVICE WITH TRANSFER ASSISTANCE MODULE | 1 |
Andrew Harper | US | 2012-07-26 / 20120189677 - FORMULATIONS | 1 | |
Michael Harper | US | Fort Worth | 2015-11-12 / 20150320008 - COLLAPSIBLE LITTER BOX HAVING CORRUGATIONS | 2 |
Edwin L. Harper | US | Platteville | 2016-05-12 / 20160132675 - SECURE SYSTEM FOR ALLOWING THE EXECUTION OF AUTHORIZED COMPUTER PROGRAM CODE | 17 |
John R. Harper | US | Boerne | 2014-09-18 / 20140277454 - Absorbent Substrates For Harvesting Skin Grafts | 2 |
Jeffrey F. Harper | US | Del Mar | 2010-11-11 / 20100287671 - Stress-regulated genes of plants, transgenic plants containing same, and methods of use | 1 |
Ryan Anthony Harper | US | Berkeley | 2010-07-01 / 20100167277 - Fret sequencing by DNA scanning proteins | 1 |
Judith A. Harper | US | Sacramento | 2010-06-17 / 20100148645 - Adaptive small animal feeder | 1 |
Jason Harper | US | Pleasanton | 2014-07-17 / 20140198786 - MANAGING NETWORK BANDWIDTH | 5 |
David Harper | US | San Jose | 2008-10-23 / 20080256786 - ACTUATOR ASSEMBLY METHOD | 1 |
Jim M. Harper | US | San Clemente | 2012-12-27 / 20120324782 - RODENT BAIT STATION | 3 |
Kevin R. Harper | US | Vista | 2013-01-03 / 20130005497 - GOLF CLUB | 3 |
John Harper | US | San Francisco | 2015-07-09 / 20150193958 - FRAMEWORK FOR GRAPHICS ANIMATION AND COMPOSITING OPERATIONS | 23 |
Craig Harper | US | Berkeley | 2010-06-10 / 20100145987 - SYSTEM FOR AND METHOD OF LOCATION-BASED PROCESS EXECUTION | 1 |
Elaine Harper | GB | Abingdon | 2015-08-27 / 20150241408 - ASSAY FOR ASSESSMENT OF ENDOSOMAL TRANSPORT | 1 |
Terry D. Harper | US | La Quinta | 2016-01-28 / 20160028676 - OFFENDER MESSAGE DELIVERY SYSTEM | 4 |
James Harper | US | San Diego | 2010-12-02 / 20100300381 - Electrolytic Reactor and Related Methods for Supplementing the Air Intake of an Internal Combustion Engine | 1 |
John Stuart Harper | US | San Francisco | 2014-05-22 / 20140139532 - Framework For Dynamic Configuration Of Hardware Resources | 3 |
Scott Harper | US | Milpitas | 2009-02-05 / 20090036747 - METHOD AND APPARATUS FOR PROVIDING DATA PROCESSING AND CONTROL IN A MEDICAL COMMUNICATION SYSTEM | 1 |
Derek J. Harper | US | Goleta | 2009-01-15 / 20090018496 - DUAL CHAMBER MIXING SYRINGE AND METHOD FOR USE | 1 |
David Howard Flores Harper | US | San Jose | 2008-11-06 / 20080271558 - LINEAR ACTUATOR WITH WEAR-RESISTANT CERAMIC BUSHING | 1 |
David C. Harper | US | Kingston | 2013-02-07 / 20130031944 - Method of Forming Magnesium Alloy Sheets | 3 |
Peter Harper | US | Gilroy | 2013-07-11 / 20130175684 - Integrated Circuit Packaging With Ball Grid Array Having Differential Pitch To Enhance Thermal Performance | 1 |
Ruthie Harper | US | Austin | 2016-03-10 / 20160068904 - METHODS OF SKIN ANALYSIS AND USES THEREOF | 1 |
Guy A. Harper | US | Redwood City | 2013-07-11 / 20130179206 - System And Method For Multi-Verifiable, As-Built Construction Modeling | 1 |
C. Kelly Harper | US | Austin | 2012-01-19 / 20120016794 - Real-Time Gifting Using a Computing device and Social Media | 1 |
Richard John Harper | GB | Chelmsford | 2012-11-01 / 20120274531 - ANTENNA ARRAY | 2 |
Keith J. Harper | US | Chattanooga | 2016-03-10 / 20160067080 - SURGICAL ARM POSITIONER WITH STERILE DISPOSABLE SUPPORT | 2 |
Kenn Harper | CA | Iqaluit | 2015-02-12 / 20150045497 - Pyrolytic Carbon Black and Polymer Composites Manufactured Therefrom | 1 |
Wes Harper | US | North Augusta | 2016-04-21 / 20160112574 - AUDIO CONFERENCING SYSTEM FOR OFFICE FURNITURE | 1 |
Peter R. Harper | US | Gilroy | 2015-11-12 / 20150325512 - MULTI-DIE, HIGH CURRENT WAFER LEVEL PACKAGE | 8 |
Steven James Harper | GB | Gwent | 2015-10-01 / 20150274668 - COMPOUNDS USEFUL FOR TREATING OCULAR NEOVASCULAN | 1 |
Wesley S. Harper | US | Alameda | 2014-03-27 / 20140088393 - Software Applications Residing on Handheld Analyte Determining Devices | 1 |
John S. Harper | US | Cupertino | 2013-08-08 / 20130201197 - Overscan Support | 1 |
John Anthony Harper | US | Mountain View | 2015-10-29 / 20150309755 - EFFICIENT COMPLEX NETWORK TRAFFIC MANAGEMENT IN A NON-UNIFORM MEMORY SYSTEM | 2 |
Patrick Sean Harper | US | New York | 2016-05-19 / 20160142805 - System and Method for Securing Headphone Transducers | 8 |
David Thomas Harper | US | Orinda | 2015-07-16 / 20150200897 - METHOD AND SYSTEM FOR ROUTING AND ANALYZING MESSAGES | 2 |
Joseph Lowell Harper | US | Waxhaw | 2015-06-11 / 20150159614 - Using A DC Or AC Generator As A Starter With Fault Detection | 5 |
Brian Lee Harper | US | Wilmington | 2015-11-26 / 20150336839 - BURNER SHIELD TO REDUCE SOOT BUILDUP | 2 |
Marc D. Harper | US | Patterson | 2012-10-04 / 20120248723 - SPHERICAL BEARING WITH SEALING MEMBER | 1 |
Marc Harper | US | Issaquah | 2016-05-19 / 20160141751 - ANTENNA ISOLATION USING A TUNED GROUNDPLANE NOTCH | 10 |
Annie Harper | US | Santa Clara | 2013-12-26 / 20130346075 - FACILITATION OF CONCURRENT CONSUMPTION OF MEDIA CONTENT BY MULTIPLE USERS USING SUPERIMPOSED ANIMATION | 2 |
Robert Duncan Harper | GB | London | 2016-02-11 / 20160042051 - DECOMPOSING EVENTS FROM MANAGED INFRASTRUCTURES USING GRAPH ENTROPY | 5 |
Theresa F. Harper | US | Castro Valley | 2014-10-30 / 20140322819 - DETECTION AND/OR QUANTITATION OF ENDOTOXIN | 1 |
Jeffrey Dean Harper | US | Charlotte | 2015-09-03 / 20150248572 - REPROGRAMMING SYSTEM AND METHOD FOR DEVICES INCLUDING PROGRAMMING SYMBOL | 4 |
Karl E. Harper | US | Durham | 2013-06-20 / 20130159423 - DISTRIBUTED FAULT TOLERANT ARCHITECTURE FOR A HEALTHCARE COMMUNICATION SYSTEM | 3 |
Bryan Michael Harper | US | Us-Yorktow | 2012-10-11 / 20120255432 - MULTI-STAGE HYDRAULIC CYLINDER ASSEMBLY | 1 |
Michel Harper | GB | Surrey | 2014-04-17 / 20140106048 - BEVERAGE DISPENSER | 1 |
John S. Harper | US | San Francisco | 2015-05-14 / 20150130842 - MIRRORING GRAPHICS CONTENT TO AN EXTERNAL DISPLAY | 6 |
Taryn C. Harper | US | New York | 2012-12-06 / 20120310724 - SYSTEM AND METHOD FOR PROVIDING A GIFT CARD WHICH AFFORDS BENEFITS BEYOND WHAT IS PURCHASED | 1 |
Mark A. Harper | US | Middleton | 2015-05-14 / 20150134813 - ASSOCIATING A DATA COLLECTOR WITH A NETWORK ACCOUNT | 2 |
Jason H. Harper | US | Pleasanton | 2011-12-22 / 20110313647 - Power management systems and designs | 1 |
Wesley Stephen Harper | US | Craig | 2012-07-12 / 20120175085 - Enhanced Surface Area Heat Pipe | 1 |
Mark Douglas Harper | US | Redmond | 2008-09-04 / 20080210475 - Ink Editing Architecture | 1 |
Mark S. Harper | GB | Stourbridge | 2012-04-19 / 20120091233 - ACTUATOR ARRANGEMENT | 1 |
Marc Harper | US | Issaquah | 2016-05-19 / 20160141751 - ANTENNA ISOLATION USING A TUNED GROUNDPLANE NOTCH | 10 |
Cedric Brett Harper | GB | Derby | 2015-05-28 / 20150147156 - GAS TURBINE ENGINE | 4 |
Lee Harper | GB | Waltham Abbey Essex | 2016-03-31 / 20160090747 - SKIMMING TOOL | 2 |
Steven James Harper | GB | Clifton | 2013-10-31 / 20130287803 - NOVEL USES OF VEGFXXXB | 1 |
Richard Michael Harper | US | Cincinnati | 2008-09-25 / 20080230424 - System for communicating benefits of a product and/or product array | 1 |
Amanda Sue Harper | US | Atlanta | 2014-12-04 / 20140358591 - SYSTEM AND METHOD FOR PROVIDING A DISABILITY INSURANCE CLAIM TRIAGE PLATFORM | 1 |
James M. Harper | US | Durham | 2008-10-09 / 20080246120 - REDUCTION OF SILICIDE FORMATION TEMPERATURE ON SiGe CONTAINING SUBSTRATES | 1 |
Robert M. Harper | US | Belpre | 2008-11-13 / 20080277045 - POLYVINYLBUTYRAL INTERLAYER SHEET WITH IMPROVED ADHESION TO GLASS AND A PROCESS FOR PREPARING SAME | 1 |
Michael James Harper | US | Red Wing | 2015-01-29 / 20150027287 - MODULAR CUTTING SYSTEM, METHOD AND APPARATUS | 2 |
Jeffrey Todd Harper | US | Tualatin | 2015-08-20 / 20150237409 - METHODS AND SYSTEMS FOR MONITORING A MEDIA STREAM AND SELECTING AN ACTION | 9 |
Kenneth L. Harper | US | Coral Springs | 2014-01-23 / 20140025968 - SYSTEM AND METHOD FOR MONITORING AND MANAGING DATA CENTER RESOURCES IN REAL TIME | 2 |
Ross J. Harper | US | Stillwater | 2008-12-04 / 20080295783 - Controlled Odor Mimic Permeation System | 1 |
David Harper | US | Manchester | 2008-12-25 / 20080315670 - METHOD FOR TUFTING BRISTLES AND BRUSH USING THE SAME | 1 |
Gloria Harper | US | North Chicago | 2009-01-29 / 20090025253 - Footwear Cover | 1 |
John R. Harper | US | Jamison | 2009-02-05 / 20090035289 - DRY PLATELET COMPOSITION | 1 |
Blake E. Harper | US | Evanston | 2009-02-26 / 20090053974 - System and Method for Building, Registering and Racing Remotely Controlled Miniature Vehicles | 1 |
Matthew Harper | US | Salem | 2015-05-07 / 20150127799 - HIERARCHICAL DISTRIBUTION OF CONTROL INFORMATION IN A MASSIVELY SCALABLE NETWORK SERVER | 4 |
Joy L. Harper | US | St.paul | 2009-05-07 / 20090114779 - Merchandising storage and display device | 1 |
Kendrick Alden Harper | US | Temperance | 2015-05-28 / 20150145303 - FLEXIBLE SEATBACK SYSTEM | 12 |
Richard Waltz Harper | US | Indianapolis | 2009-06-25 / 20090162280 - DETECTING SOLUBLE A-BETA | 1 |
Warren W. Harper | US | Benton City | 2009-07-16 / 20090180781 - SYSTEMS AND METHODS FOR FREE SPACE OPTICAL COMMUNICATION | 1 |
Rose Mary Harper | US | Chicago | 2009-07-16 / 20090178222 - Glory Wipes | 1 |
Wayne Harper | US | Macomb Township | 2009-07-30 / 20090188577 - PLUMBING TEST CAP WITH PIVOTAL LATCH | 1 |
Matthew H. Harper | US | Salem | 2016-02-04 / 20160036708 - RF-AWARE PACKET FILTERING IN RADIO ACCESS NETWORKS | 9 |
James D. Harper | US | Jamaica Plain | 2015-02-19 / 20150050723 - Optoelectronic Detection System | 2 |
Kevin Harper | US | Oceanside | 2012-04-19 / 20120094782 - CONTRAST-ENHANCED GOLF CLUB HEADS | 1 |
Alison Harper | US | Valley | 2011-12-22 / 20110309005 - YARN SORTING SYSTEM | 1 |
Cory Harper | US | Fort Collins | 2015-10-22 / 20150302089 - Recovery of Information from Commercial Web Portals | 2 |
Curt Harper | US | Frederick | 2009-11-05 / 20090273937 - Radio frequency screen assembly for microwave cavities | 1 |
Michael Harper | US | Orlando | 2009-12-10 / 20090303322 - Monitoring Buoy System | 1 |
Samuel James Harper | NZ | Palmerston North | 2012-07-05 / 20120171327 - DAIRY PRODUCT AND PROCESS | 1 |
Sean Patrick Harper | US | Mason | 2013-05-16 / 20130118183 - OPTICAL SENSOR SYSTEM FOR A GAS TURBINE ENGINE AND METHOD OF OPERATING THE SAME | 2 |
Richard L. Harper | US | Frankfort | 2009-12-17 / 20090308654 - Multi Position Divider Clip | 1 |
Barrie Samuel Harper | GB | Wolver-Hampton | 2008-10-02 / 20080236226 - Cylinder Tumbler Lock Mechanism | 1 |
David William Harper | GB | Sawston | 2009-12-03 / 20090293733 - APPARATUS FOR PREPARING BEVERAGES | 1 |
Andrew Harper | GB | Worcestershire | 2010-03-11 / 20100062067 - COMPOSITIONS COMPRISING MACROMOLECULAR ASSEMBLIES OF LIPID AND SURFACTANT | 1 |
David Harper | GB | Bedfordshire | 2010-04-29 / 20100104538 - BENEFICIAL EFFECTS OF BACTERIOPHAGE TREATMENTS | 1 |
Roger Neil Harper | GB | Surrey | 2010-05-27 / 20100126180 - SEPARATION OF CARBON DIOXIDE AND HYDROGEN | 3 |
Paul Ivor Harper | GB | Walmer | 2010-06-24 / 20100160063 - DEVICE FOR GAME | 1 |
Mark Lucien Harper | GB | Cambridge Cambridgeshire | 2010-07-08 / 20100174502 - SYSTEM FOR MONITORING EXPOSURE TO VIBRATION | 1 |
Nicholas John Harper | GB | Southampton | 2010-09-16 / 20100232963 - STRUCTURAL MONITORING | 1 |
Michael L. Harper | US | Tucson | 2012-08-23 / 20120215971 - Firehose Dump of SRAM Write Cache Data to Non-Volatile Memory Using a Supercap | 5 |
Derek J. Harper | US | Prescott | 2014-11-27 / 20140350611 - METHODS AND SYSTEMS FOR MATERIAL FIXATION | 7 |
Alan Roger Harper | GB | Cornwall | 2010-09-16 / 20100230860 - Pressure sensing systems | 3 |
Wesley Scott Harper | US | Milpitas | 2012-11-01 / 20120277564 - Optimizing Analyte Sensor Calibration | 4 |
Wesley Scott Harper | US | Alameda | 2016-03-03 / 20160058345 - Displays for a Medical Device | 23 |
David H. Flores Harper | US | Hampden Green Way Vail | 2009-08-27 / 20090213489 - METHOD AND SYSTEM FOR SERVO STRIPE WIDTH DETECTION AND COMPENSATION | 1 |
David Howard Flores Harper | US | Vail | 2013-01-24 / 20130021693 - MAGNETICALLY BIASED TILTING ROLLER BEARING TAPE GUIDANCE | 6 |
Richard John Harper | GB | Essex | 2010-09-30 / 20100245202 - ANTENNA FEED MODULE | 1 |
Dave Harper | US | Mesa | 2008-11-06 / 20080273843 - INTERFACE FOR WAVEGUIDE PIN LAUNCH | 1 |
Steve Harper | US | Chandler | 2009-11-05 / 20090272847 - AFT INLET DUCT MOUNTED DOOR ACTUATOR | 1 |
Derek J. Harper | US | Scottsdale | 2015-09-10 / 20150250471 - ALL-SUTURE SUTURE ANCHOR SYSTEMS AND METHODS | 5 |
Steven J. Harper | GB | Tintern Gwent | 2013-07-18 / 20130184333 - GROWTH FACTOR ISOFORM | 2 |
Roger Alan Harper | GB | Cornwall | 2011-01-06 / 20110001624 - Pressure sensing systems | 1 |
Cedric B Harper | GB | Derby | 2012-09-06 / 20120224958 - TURBOMACHINE CASING ASSEMBLY | 8 |
Mark S. Harper | GB | Hagley | 2012-06-21 / 20120154085 - ACTUATOR ARRANGEMENT | 2 |
Steven James Harper | GB | Bristol | 2012-01-12 / 20120010138 - NOVEL USES OF VEGFXXXB | 4 |
Mark Francis Lucien Harper | GB | Cambridgeshire | 2014-06-05 / 20140153359 - Marine Siren Seismic Source | 3 |
Phil Harper | GB | Sheffield | 2011-01-20 / 20110014072 - NON-INTRUSIVE VAPOR DETECTOR FOR MAGNETIC DRIVE PUMP | 1 |
David Harper | GB | Southampton | 2014-02-06 / 20140037587 - BENEFICIAL EFFECTS OF BACTERIOPHAGE TREATMENTS | 4 |
Christopher Harper | US | Glendale | 2015-08-06 / 20150222114 - METHODS AND SYSTEMS OF IMPEDANCE SOURCE SEMICONDUCTOR DEVICE PROTECTION | 1 |
Elaine Harper | GB | Abingdon Oxfordshire | 2014-05-29 / 20140147429 - THERAPEUTIC FUSION PROTEINS | 1 |
Richard Harry Robert Harper | GB | Cambridge | 2014-07-24 / 20140208274 - CONTROLLING A COMPUTING-BASED DEVICE USING HAND GESTURES | 1 |
Glenn E. Harper | US | Grapevine | 2014-02-06 / 20140041018 - TOKENIZED DATA SECURITY | 3 |
Steven Harper | IT | Pomezia (rome) | 2009-12-17 / 20090312241 - Macrocyclic Compounds as Antiviral Agents | 1 |
Steven Harper | IT | Pomezia (rm) | 2015-10-22 / 20150299163 - COMPOUNDS FOR USE IN THE TREATMENT OF PARASITIC DISEASES | 2 |
Steven Harper | GB | Tintern Gwent | 2010-12-02 / 20100305034 - GROWTH FACTOR ISOFORM | 1 |
Steven Harper | IT | Rome | 2011-01-06 / 20110002884 - THERAPEUTIC COMPOUNDS | 5 |
Steven Harper | IT | Albano Laziale (rome) | 2009-05-28 / 20090136449 - Tetracyclic Indole Derivatives as Antiviral Agents | 1 |
Robert Cameron Harper | GB | Newport | 2015-02-12 / 20150041863 - MULTIJUNCTION PHOTOVOLTAIC DEVICE HAVING AN SI BARRIER BETWEEN CELLS | 3 |
Keith J. Harper | US | Big Rapids | 2009-12-17 / 20090307845 - Shoulder surgery attachment for a surgical table | 1 |
Joseph Bradley Harper | US | Lexington | 2014-04-17 / 20140108061 - SYSTEMS AND METHODS FOR INSURANCE VERIFICATION | 3 |
William Harper | US | Redmond | 2009-12-24 / 20090319358 - Method for packet facilitated e-commerce | 1 |
Kevin Harper | US | Mason | 2011-12-08 / 20110301633 - CONTROLLED RELEASE MECHANISM FOR BLOOD VESSEL FILTRATION DEVICE | 1 |
Ryan Harper | US | Leesburg | 2016-05-12 / 20160128684 - METHOD FOR TISSUE FIXATION | 8 |
Matthew Albert Maclennan Harper | CN | Beijing | 2011-12-08 / 20110300417 - REDOX FLOW BATTERY AND METHOD FOR OPERATING THE BATTERY CONTINUOUSLY IN A LONG PERIOD OF TIME | 1 |
David H. F. Harper | US | Vail | 2015-10-15 / 20150294683 - REDUCED REEL MOTOR DISTURBANCES IN A TAPE DRIVE SYSTEM | 1 |
Kevin Randolph Harper | US | Palm Bay | 2009-12-31 / 20090320527 - APPARATUS AND METHOD FOR TAPERING OPTICAL FIBERS TO CONFORM TO A DESIRED RADIAL PROFILE | 1 |
Justin Alexander Harper | US | St. Paul | 2010-01-07 / 20100000455 - TRANSOM STERN HULL FORM AND APPENDAGES FOR IMPROVED HYDRODYNAMICS | 1 |
John Harper | US | Lawrenceburg | 2011-06-30 / 20110154706 - Firearm maintenance system | 1 |
Jason Robert Harper | US | Springville | 2016-03-03 / 20160059648 - SYSTEM AND METHOD FOR REINFORCING AN ADJUSTABLE TRAILER HITCH | 2 |
David A. Harper | US | St. Paul | 2015-09-17 / 20150262517 - HYBRID SELF ILLUMINATED AND ACTIVELY BACK LIT SIGNAGE FOR PRINTED GRAPHICS | 3 |
Steven Harper | US | Fanwood | 2014-10-02 / 20140296136 - HCV NS3 PROTEASE INHIBITORS | 1 |
Kevin R. Harper | US | Oceanside | 2014-07-03 / 20140187345 - GOLF CLUB | 1 |
David T. Harper | US | Seattle | 2016-04-21 / 20160112296 - DIRECT NETWORK HAVING PLURAL DISTRIBUTED CONNECTIONS TO EACH RESOURCE | 3 |
Mckenzie M. Harper | US | Cincinnati | 2014-07-03 / 20140188758 - METHODS AND SYSTEMS FOR IDENTIFYING FINANCIAL NEEDS AND PROVIDING CUSTOMIZABLE FINANCIAL ADVICE | 1 |
William A. Harper | US | Redmond | 2012-05-17 / 20120118915 - Dispensing channel pump | 2 |
Matthew Hayden Harper | US | Salem | 2016-03-03 / 20160065680 - MULTI-NODE DISTRIBUTED NETWORK ACCESS SERVER DESIGNED FOR LARGE SCALABILITY | 4 |
Ross Harper | GB | Manchester | 2013-06-06 / 20130145249 - SMART ANNOTATION ANCHORING PROCESS | 1 |
James D. Harper | US | Boston | 2012-05-31 / 20120135404 - OPTOELECTRONIC DETECTION SYSTEM | 2 |
Jeffrey Wade Harper | US | Wellesley | 2015-04-16 / 20150105446 - Novel Activation and Transfer Cascade for Ubiquitin | 3 |
Kevin Harper | US | Fort Worth | 2016-04-07 / 20160096085 - GOLF CLUB HEAD OR OTHER BALL STRIKING DEVICE HAVING IMPACT-INFLUENCING BODY FEATURES | 7 |
Gregory Charles Harper | CA | Vancouver | 2012-04-19 / 20120090334 - Storage Tank For A Cryogenic Fluid With A Partitioned Cryogen Space | 2 |
Matthew Albert Maclennan Harper | CA | Vancouver | 2011-12-22 / 20110311896 - INTEGRATED SYSTEM FOR ELECTROCHEMICAL ENERGY STORAGE SYSTEM | 2 |
Matthew A. M. Harper | CA | Vancouver | 2009-02-19 / 20090047571 - ELECTROCHEMICAL BATTERY INCORPORATING INTERNAL MANIFOLDS | 2 |
Kevin Harper | GB | Yorkshire | 2015-07-23 / 20150203609 - PHOTOPOLYMERISATION PROCESSES AND NOVEL COMPOUNDS THEREFOR | 1 |
Jennifer S. Harper | US | Westminster | 2015-10-08 / 20150282875 - SURGICAL FORCEPS CAPABLE OF ADJUSTING SEALING PRESSURE BASED ON VESSEL SIZE | 10 |
Greg Harper | CA | Vancouver | 2009-03-26 / 20090077957 - HYDRAULIC DRIVE SYSTEM AND METHOD OF OPERATING A HYDRAULIC DRIVE SYSTEM | 1 |
Kevin Harper | CA | Cheltenham | 2013-07-18 / 20130183350 - IMMUNOGENIC COMPOSITIONS | 4 |
Margaret Harper | CA | Nanaimo | 2015-10-08 / 20150283188 - METHOD FOR THE EFFICIENT AND CONTINUOUS GROWTH AND HARVESTING OF NUTRIENT-RICH PHYTOPLANKTON AND METHODS OF USING THE SAME | 2 |
Gregory Harper | CA | Vancouver | 2013-05-02 / 20130104997 - Method And System For Controlling Fluid Flow From A Storage Tank Through A Supply Line To An End User | 3 |
Richard Harper | GB | Cambridge | 2015-10-08 / 20150288698 - EVOLVING RULE BASED CONTACT EXCHANGE | 16 |
Grover Edward James Harper | CA | Wildwood | 2011-02-03 / 20110025082 - Hoist line protector | 1 |
Gregory W. Harper | US | New York | 2014-11-27 / 20140351321 - Digital Content Distribution Systems and Methods | 9 |
Julia D. Harper | US | Sunnyvale | 2013-10-10 / 20130268779 - SYSTEMS AND METHODS FOR DYNAMIC POWER MANAGEMENT IN A BLADE SERVER | 1 |
Kevin Harper | CA | Toronto | 2016-03-03 / 20160058666 - System and Process for Producing Mulit-Component Biopharmaceuticals | 1 |
Gregory C. Harper | CA | Delta | 2016-02-25 / 20160054170 - Apparatus And Method For Volume And Mass Estimation Of A Multiphase Fluid Stored At Cryogenic Temperatures | 1 |
Richard E. Harper | US | Chapel Hill | 2015-12-10 / 20150355983 - Automatic Management of Server Failures | 13 |
James Harper | US | Jamaica Plain | 2012-09-06 / 20120225423 - PATHOGEN DETECTION BIOSENSOR | 2 |
Jeffrey D. Harper | US | Charlotte | 2012-01-05 / 20120000981 - DECODING UTILIZING IMAGE DATA | 2 |
Karl Eric Harper | US | Durham | 2010-08-26 / 20100217618 - Event Detection Based on Location Observations and Status Conditions of Healthcare Resources | 1 |
Jacquelyn C. Harper | US | Everett | 2010-03-11 / 20100061887 - Ultraviolet Sterilizer for Cosmetic Applicators | 1 |
Clinton Harper | US | Atlanta | 2010-04-15 / 20100091718 - METHOD FOR THE REDUCTION OF PILOT POWER TRANSMISSION IN MOBILE COMMUNICATION SYSTEMS | 1 |
David Harper | US | Seattle | 2010-04-22 / 20100100688 - LOW-LEVEL CONDITIONAL SYNCHRONIZATION SUPPORT | 1 |
Clark Harper | US | Sandy | 2010-04-22 / 20100095924 - DEVICE AND METHOD FOR FILTERING ENVIRONMENTAL AIR THROUGH USE OF A VEHICLE | 1 |
Kenneth S. Harper | US | Hudson | 2014-06-12 / 20140164953 - SYSTEMS AND METHODS FOR INVOKING VIRTUAL AGENT | 8 |
Thomas L. Harper | US | Middletown | 2010-06-17 / 20100150856 - STABILIZED AQUEOUS ALUMINUM ZIRCONIUM SOLUTIONS | 1 |
Richard E. Harper | US | Chapel Hill | 2015-12-10 / 20150355983 - Automatic Management of Server Failures | 13 |
Michael Harper | US | Philadelphia | 2012-02-16 / 20120041491 - Variable Angle Connection Assembly | 2 |
Michael J. Harper | US | Red Wing | 2010-06-24 / 20100158641 - Manipulator System | 1 |
Tim Harper | US | Shelbyville | 2010-06-24 / 20100155288 - MULTI-LAYER LAMINATE MATERIAL | 1 |
Henry W. Harper | US | Milford | 2010-07-29 / 20100186724 - ENGINE ASSEMBLY WITH FUEL FILTER GAS REMOVAL APPARATUS | 3 |
Adam R. Harper | US | Provo | 2010-08-26 / 20100214160 - SYNTHETIC APERTURE RADAR SYSTEM AND METHODS | 1 |
Michael K. Harper | US | Hillsboro | 2013-10-17 / 20130273710 - SUBSTRATE FINS WITH DIFFERENT HEIGHTS | 6 |
Christine I. Harper | US | Houston | 2016-05-05 / 20160124532 - Multi-Region Touchpad | 1 |
Micheal W. Harper | US | Round Rock | 2014-05-29 / 20140149814 - Isolating Failing Latches Using a Logic Built-In Self-Test | 1 |
Bryan M. Harper | US | Sharpsburg | 2008-10-30 / 20080265661 - DRIVESHAFT BOOT PROTECTOR | 1 |
Scott Harper | US | Iowa City | 2014-09-25 / 20140287492 - RNA INTERFERENCE SUPPRESSION OF NEURODEGENERATIVE DISEASES AND METHODS OF USE THEREOF | 7 |
Robert Nicholas Harper | GB | Derbyshire | 2014-05-22 / 20140137545 - HYDRAULIC SYSTEM FOR PROVIDING AUXILIARY DRIVE TO A POWERTRAIN AND A HYDRAULIC CIRCUIT | 1 |
Craig Harper | US | Maple Grove | 2010-11-18 / 20100289629 - Load Control Device with Two-Way Communication Capabilities | 1 |
Sherry Harper | US | Dallas | 2010-11-25 / 20100299247 - Methods and Systems for Characteristic Leveling | 1 |
Frank D. Harper | US | Neenah | 2016-04-07 / 20160097164 - SOFT, ABSORBENT SHEETS HAVING HIGH ABSORBENCY AND HIGH CALIPER, AND METHODS OF MAKING SOFT, ABSORBENT SHEETS | 15 |
George Harper | US | Garland | 2011-06-30 / 20110161215 - Method and System for Tracking Billing Information | 1 |
Marcellus C. Harper | US | Kaysville | 2013-09-12 / 20130234870 - PIPELINED ADC STAGE FILTERS | 4 |
Brent Harper | US | Mazomanie | 2013-11-07 / 20130292942 - WIND POWER GENERATION ASSEMBLY | 6 |
Edward C. Harper | US | Tennille | 2013-01-10 / 20130008834 - Apparatus and process for removal of residue from a screen | 2 |
Frank D. Harper | US | 2011-01-20 / 20110011545 - Fabric creped absorbent sheet with variable local basis weight | 2 | |
Richard John Harper | GB | Chelmsford, Essex | 2015-05-28 / 20150145745 - BALUN | 2 |
Mark Harper | US | Pottstown | 2014-09-18 / 20140276896 - Instruments for Use During Spine Surgery | 1 |
Wayne J. Harper | US | Macomb Township | 2013-03-28 / 20130075413 - PLASTIC PRY-OFF PAINT CAN ASSEMBLY | 3 |
Colby Harper | US | Seattle | 2015-12-03 / 20150351042 - System And Method For Improved Transport and Analysis Of Digital Rf Signals In A Radio Network | 1 |
Nancy L. Harper | US | Bridgewater | 2011-02-24 / 20110045218 - DYNAMIC INKS AND COATINGS | 1 |
Jason Harper | US | Lafayette | 2011-02-24 / 20110042560 - LOW TEMPERATURE PLASMA PROBE AND METHODS OF USE THEREOF | 2 |
David H. Harper | US | 2011-03-03 / 20110051283 - Method To Minimize The Effects Of Tape Skew And Tape Dimensional Stability | 1 | |
Kenneth S. Harper | US | Hudson | 2014-06-12 / 20140164953 - SYSTEMS AND METHODS FOR INVOKING VIRTUAL AGENT | 8 |
Thomas M. Harper | US | Lafayette | 2011-11-17 / 20110277255 - Reinforced Cup for Use with a Pig or Other Downhole Tool | 1 |
Gavin Harper | GB | Oxford | 2015-12-03 / 20150344944 - ANALYSIS OF A POLYNUCLEOTIDE VIA A NANOPORE SYSTEM | 2 |
Peter R. Harper | US | Morgan Hill | 2013-11-07 / 20130295722 - Method of Forming an Integrated Circuit Package Including a Direct Connect Pad, A Blind Via, and a Bond Pad Electrically Coupled to the Direct Connect Pad | 2 |
Robert Douglas Harper | US | Marlton | 2010-02-25 / 20100047848 - Colorimetric determination of somatic cell count in milk | 1 |
James K. Harper | US | Chuluota | 2013-12-05 / 20130324746 - NOVEL PACLITAXEL TRIHYDRATES AND METHODS OF MAKING THEREOF | 1 |
Temar Harper | US | Chicago | 2011-05-12 / 20110111800 - Cellular Phone Memory Card With Voice Activated Component | 1 |
Lawrence E. Harper | US | Marietta | 2015-08-06 / 20150219257 - IDENTIFICATION DEVICE ATTACHMENTS FOR PNEUMATIC DEVICES | 2 |
Bryan Harper | US | Newnan | 2011-05-12 / 20110108349 - LAYOUT OF COMPACT ALL TERRAIN VEHICLE FOR FUEL TANK, INTAKE DUCT, AND EXHAUST DUCT POSITIONING | 3 |
Douglas Robert Harper | US | Harrison | 2014-10-09 / 20140299345 - HAND-HELD TOOLS AND COMPONENTS THEREOF | 1 |
Mark Harper | GB | Cambridge | 2014-08-28 / 20140241117 - SYSTEM AND METHOD FOR PREVENTING CAVITATION IN CONTROLLED-FREQUENCY MARINE SEISMIC SOURCE ARRAYS | 3 |
James Harper | US | Greenville | 2015-12-31 / 20150377126 - Combined Gas Turbine Auxiliary Systems | 3 |
Henry Steve Harper | US | Humble | 2013-12-05 / 20130321245 - MOBILE DEVICE FOR MONITORING AND CONTROLLING FACILITY SYSTEMS | 1 |
Martin J. Harper | US | Boise | 2012-11-08 / 20120281309 - REDUCING A NOISE COMPONENT ASSOCIATED WITH MOVEMENT OF A MOVEABLE PART | 1 |
Marc Harper | US | Patterson | 2014-01-16 / 20140016888 - SPHERICAL BEARING WITH SEALING MEMBER | 1 |
Kendrick Alden Harper | US | Temperance | 2015-05-28 / 20150145303 - FLEXIBLE SEATBACK SYSTEM | 12 |
Robert Harper | US | Marlton | 2012-11-08 / 20120282634 - BLOOD SEPARATION SYSTEM AND METHOD FOR A DRY TEST STRIP | 1 |
Frank D. Harper | US | Neenah | 2016-04-07 / 20160097164 - SOFT, ABSORBENT SHEETS HAVING HIGH ABSORBENCY AND HIGH CALIPER, AND METHODS OF MAKING SOFT, ABSORBENT SHEETS | 15 |
Ruth Harper | GB | Reading | 2014-09-18 / 20140274890 - TREATMENT FOR DIABETES IN PATIENTS INAPPROPRIATE FOR METFORMIN THERAPY | 2 |
Eric Harper | US | Cary | 2015-04-09 / 20150099531 - INSPECTING EQUIPMENT OF A POWER SYSTEM | 1 |
James Douglas Harper | US | Jamaica Plain | 2015-04-02 / 20150093745 - OPTOELECTRONIC DETECTION SYSTEM | 1 |
Leslie Ann Harper | US | Highlands Ranch | 2012-11-22 / 20120296745 - USING A MEDIA CONTENT RECEIVER TO PROVIDE PROMOTIONAL INFORMATION TO A MOBILE DEVICE | 1 |
Michael Harper | US | Columbia | 2015-04-02 / 20150093426 - FOAM FORMULATIONS AND APPARATUS FOR DELIVERY | 1 |
Jacquie Lucille Harper | NZ | Lower Hutt | 2008-10-09 / 20080249037 - Synthetic Molecules Having Immune Activity | 1 |
Stephen Harper | AU | Victoria | 2009-02-12 / 20090044253 - Managing unprotected and protected content in private networks | 1 |
Nell Harper | AU | Mangerton | 2010-06-17 / 20100149031 - SYSTEM AND METHOD FOR DETERMINING FORGED RADIO FREQUENCY MEASUREMENTS | 1 |
Neil Lindsay Harper | AU | Mangerton Msw | 2011-03-24 / 20110068977 - ENHANCING LOCATION ACCURACY USING MULTIPLE SATELLITE MEASUREMENTS BASED ON ENVIRONMENT | 1 |
Neil Harper | AU | Magerton | 2010-05-27 / 20100127923 - SYSTEM AND METHOD FOR DETERMINING FALSIFIED SATELLITE MEASUREMENTS | 1 |
Neil Harper | AU | Mangerton Nsw | 2016-02-04 / 20160033650 - METHOD AND SYSTEM FOR SELECTING OPTIMAL SATELLITES FOR A-GPS LOCATION OF HANDSETS IN WIRELESS NETWORKS | 6 |
Nicholas Harper | GB | Stafford | 2015-03-05 / 20150059279 - CEILING SYSTEM WITH CEILING ELEMENT MOUNTING BRACKETS | 1 |
Peter R. Harper | US | Lucas | 2012-01-19 / 20120015478 - Integrated Circuit Stacked Package Precursors and Stacked Packaged Devices and Systems Therefrom | 11 |
Bart H. Harper | US | New York | 2013-02-28 / 20130053403 - NOVEL BETA 3 ADRENERGIC RECEPTOR AGONISTS | 1 |
Jason E. Harper | US | Ann Harbor | 2012-12-20 / 20120323634 - APPARATUSES, METHODS AND SYSTEMS FOR A MEDIA MARKETING PLANNING AND OPTIMIZATION TOOL | 1 |
Eric Gimson Harper | US | Flemington | 2015-11-05 / 20150314433 - MOTOR-DRIVEN FASTENING TOOL | 1 |
Neil Harper | AU | Mangerton | 2012-07-05 / 20120169533 - SYSTEM AND METHOD FOR LOCATING MOBILE DEVICE IN WIRELESS COMMUNICATION NETWORK | 23 |
Mark Francis Lucien Harper | GB | Cambridge | 2015-10-22 / 20150301205 - System and Method for Resonator Frequency Control by Active Feedback | 4 |
Beverly Harper | US | Decatur | 2012-06-14 / 20120150122 - Protective bandaging for point of insertion of shunt tubing | 1 |
Andrew Harper | US | Seattle | 2012-06-14 / 20120147416 - MULTI-LAYERED PRINTER DRIVER MODEL | 1 |
Scott A. Harper | US | Cedar Park | 2014-09-11 / 20140254396 - Unified Systems Of Network Tool Optimizers And Related Methods | 1 |
Joseph Cole Harper | US | Rollingwood | 2012-06-14 / 20120150586 - APPARATUS AND METHOD TO RECORD CUSTOMER DEMOGRAPHICS IN A VENUE OR SIMILAR FACILITY USING CAMERAS | 2 |
David Harper | US | Battle Ground | 2013-04-11 / 20130087850 - SEMICONDUCTOR DEVICE HAVING DMOS INTEGRATION | 1 |
Wesley Scott Harper | US | Alameda | 2016-03-03 / 20160058345 - Displays for a Medical Device | 23 |
Jay Harper | US | Salem | 2015-03-26 / 20150086547 - COMBINATION THERAPY FOR TREATING BREAST CANCER | 1 |
Karl Harper | GB | Swadlincote | 2015-11-05 / 20150317961 - Fluid Flow Control Valves | 1 |
Michael Harper | US | Pottstown | 2016-05-19 / 20160135849 - OFFSET VARIABLE ANGLE CONNECTION ASSEMBLY | 24 |
Kimya Harper | US | Natick | 2014-12-11 / 20140360420 - MULTI-COMPONENT ROBOT FOR BELOW ICE SEARCH AND RESCUE | 1 |
Peter R. Harper | US | Gilroy | 2015-11-12 / 20150325512 - MULTI-DIE, HIGH CURRENT WAFER LEVEL PACKAGE | 8 |
James Barrie Harper | ES | Marbella | 2015-05-28 / 20150144665 - DISPENSING CLOSURE ARRAGEMENT FOR A CONTAINER | 1 |
William Anthony Harper | US | Redmond | 2014-09-18 / 20140271245 - Biflex film valves | 3 |
Stuart J. Harper | US | Seattle | 2014-12-18 / 20140370910 - DETECTING GEO-FENCE EVENTS USING VARYING CONFIDENCE LEVELS | 1 |
Neil Lindsay Harper | AU | Mangerton | 2011-07-14 / 20110169691 - DETECTING AND COMPENSATING FOR ERRONEOUS TIME MEASUREMENT FOR MOBILE DEVICE POSITION CALCULATION | 1 |
Ryan Harper | US | Leesburg | 2016-05-12 / 20160128684 - METHOD FOR TISSUE FIXATION | 8 |
Eric Harper | US | San Francisco | 2015-04-23 / 20150112820 - PLATFORM FOR SERVING ONLINE CONTENT | 1 |
Dale Steven Harper | GB | Cardiff | 2011-10-06 / 20110245865 - FORCEPS | 1 |
Jason Harper | US | Springville | 2011-09-08 / 20110215286 - JACK FOOT RETRACTION SYSTEM | 1 |
Sonya Harper | US | Broken Arrow | 2013-01-03 / 20130006289 - Ear Piercing Socket for Removable Starter Ear Rings | 1 |
Jeffrey D. Harper | US | Morristown | 2014-04-17 / 20140103115 - DECODING UTILIZING IMAGE DATA | 1 |
Michael S. Harper | US | Orlando | 2013-05-09 / 20130115976 - System and Method for Monitoring the Location of Individuals via the World Wide Web Using a Wireless Communications Network | 1 |
Jonathan D. Harper | US | Bellevue | 2015-11-12 / 20150320383 - Methods and Systems for Estimating a Size of an Object in a Subject with Ultrasound | 1 |
Jeffery Todd Harper | US | Tualatin | 2013-01-31 / 20130031528 - METHOD FOR DISTRIBUTING A CERTIFIED APPLICATION EMPLOYING A PRE-CERTIFIED MASTER APPLICATION TEMPLATE | 1 |
Jason David Harper | US | Medaryville | 2011-10-06 / 20110240844 - SYSTEMS AND METHODS FOR TRANSFER OF IONS FOR ANALYSIS | 1 |
Michael Harper | US | Pottstown | 2016-05-19 / 20160135849 - OFFSET VARIABLE ANGLE CONNECTION ASSEMBLY | 24 |
Michael D. Harper | US | Fort Worth | 2012-05-24 / 20120125263 - Pet Watering and Feeding Device | 4 |
David Harper | GB | Sharnbrook Bedfordshire | 2015-08-20 / 20150232910 - BENEFICIAL EFFECTS OF BACTERIOPHAGE TREATMENTS | 2 |
Stephenie K. Harper | US | Somerville | 2015-05-21 / 20150136713 - Vertical Lift System | 1 |
Stuart Harper | US | Seattle | 2016-05-12 / 20160135005 - SCALABILITY AND RELIABILITY OF HARDWARE GEO-FENCING WITH FAILOVER SUPPORT | 2 |
Ryan Harper | US | Carencro | 2015-11-26 / 20150335143 - Thread Cleaning Apparatus Having Adjustable Diameter Brush Bases | 1 |
Kellie D. Harper | US | Alpine | 2015-10-22 / 20150302394 - Prepaid Card with Savings Feature | 3 |
Jordan Harper | US | Paris | 2015-11-12 / 20150322686 - BLAST RESISTANT STRUCTURE | 1 |
Callum Harper | GB | Derby | 2015-11-12 / 20150322792 - STUB SHAFT | 1 |
George Cochran Harper | US | Laguna Niquel | 2014-03-13 / 20140074110 - UTERINE HEMORRHAGE CONTROLLING SYSTEM AND METHOD | 2 |
Julius Harper | US | Valencia | 2014-01-02 / 20140004934 - TV-TO-GAME SYNC | 1 |
David H. Harper | US | Vail | 2015-11-12 / 20150325262 - DEVICE AND METHOD FOR CONTROLLING THE POSITION OF A HEAD RELATIVE TO A TAPE WITHIN A TAPE TRANSPORT SYSTEM | 2 |
Julia D. Harper | US | Arlington | 2013-10-31 / 20130290752 - OPERATING SYSTEM SUPPORT FOR MEMORY POWER MANAGEMENT | 1 |
Charles N. Harper | US | Houston | 2015-04-30 / 20150114036 - AIR SEPARATION COLUMN LOW-DENSITY SOLID-STATE INSULATION PATENT | 5 |
Steven Harper | IT | Pomezia | 2011-09-15 / 20110224134 - MACROCYCLIC QUINOXALINE COMPOUNDS AS HCV NS3 PROTEASE INHIBITORS | 1 |
George W. Harper | US | Garland | 2010-02-11 / 20100036762 - System and Method for Tracking a Billing Cycle | 1 |
Kenneth Edward Creighton Harper | CA | 100 Mile House | 2015-05-21 / 20150135616 - Post and Panel Construction | 2 |
Richard Edwin Harper | US | Chapel Hill | 2012-06-14 / 20120151474 - DOMAIN MANAGEMENT AND INTERGRATION IN A VIRTUALIZED COMPUTING ENVIRONMENT | 9 |
Michael W. Harper | US | Cedar Park | 2010-04-22 / 20100100357 - Information Collection and Storage for Single Core Chips to 'N Core Chips | 1 |
David A. Harper | US | Saint Paul | 2015-05-07 / 20150121732 - HYBRID SELF ILLUMINATED AND ACTIVELY BACK LIT SIGNAGE FOR PRINTED GRAPHICS | 1 |
Andrew Harper | US | Dallas | 2012-01-26 / 20120022924 - METHOD AND SYSTEM FOR CREATING A PERSONALIZED EXPERIENCE WITH VIDEO IN CONNECTION WITH A STORED VALUE TOKEN | 2 |
Jason M. Harper | US | Cypress | 2016-05-19 / 20160138370 - MECHANICAL DIVERTER | 7 |
Charles Neely Harper | US | Houston | 2011-10-13 / 20110251938 - Computer-implemented method for managing commodity consumption within an industrial production facility | 2 |
Jay Harper | US | Clarksburg | 2013-02-07 / 20130034549 - COMBINATION THERAPY FOR TREATING BREAST CANCER | 1 |
Peter Harper | US | Lucas | 2009-06-04 / 20090140419 - EXTENDED PLATING TRACE IN FLIP CHIP SOLDER MASK WINDOW | 1 |
John Harper | US | The Woodlands | 2013-04-25 / 20130103061 - DEVICE AND METHOD FOR TREATMENT OF INCISION OR HERNIA | 2 |
Ryan A. Harper | US | Austin | 2012-07-26 / 20120191948 - Nested Virtualization Performance In A Computer System | 3 |
Ellen Kay Harper | US | Dripping Springs | 2008-10-09 / 20080249757 - Method and Apparatus for Grid Project Modeling Language | 2 |
Daniel Harper | US | Cincinnati | 2015-03-19 / 20150079042 - Natural Pet Treat and Method of Production | 1 |
Kevin R. Harper | US | Fort Worth | 2016-01-28 / 20160023061 - GOLF CLUB | 1 |
Michael Don Harper | US | Fort Worth | 2015-05-21 / 20150136038 - Pet Kennel | 2 |
David T. Harper, Iii | US | Seattle | 2014-09-18 / 20140281019 - Network Transmission Adjustment Based On Application-Provided Transmission Metadata | 8 |
David T. Harper, Iii | US | Seattle | 2014-09-18 / 20140281019 - Network Transmission Adjustment Based On Application-Provided Transmission Metadata | 8 |
Ronald D. Harper, Jr. | US | Georgetown | 2013-05-23 / 20130125664 - WIRELESS FLOW MONITORING DEVICES | 4 |
R. Reade Harpham | US | Columbus | 2013-10-17 / 20130274599 - SYSTEMS FOR DETECTING FLUID CHANGES AND SENSOR DEVICES THEREFOR | 4 |
Neil A. Harpham | CA | Charlottetown | 2008-11-20 / 20080282768 - Method for calibrating a backlash impulse device in a sport implement | 1 |
Brenton G. Harpham | US | Thousand Oaks | 2008-10-30 / 20080269537 - METAL BINDING PROTEINS AND ASSOCIATED METHODS | 1 |
Andrew John Harpham | GB | Copthorne | 2014-12-11 / 20140362358 - VACUUM SYSTEM FOR IMMERSION PHOTOLITHOGRAPHY | 3 |
Vanessa Harpin | US | San Diego | 2008-09-25 / 20080233570 - METHODS FOR IDENTIFICATION OF SEPSIS-CAUSING BACTERIA | 1 |
Todd Harple | US | Hillsboro | 2016-03-17 / 20160080683 - CONTEXT BASED MANAGEMENT FOR SECURE AUGMENTED REALITY APPLICATIONS | 3 |
Dan Harple | US | South Dartmouth | 2011-05-05 / 20110105143 - PROXIMAL RELEVANCY RANKING IN A LAYERED LINKED NODE DATABASE | 2 |
Todd S. Harple | US | Hillsboro | 2015-06-04 / 20150153840 - COMPUTING SYSTEMS FOR PERIPHERAL CONTROL | 2 |
Paul James Harpley | GB | Oxfordshire | 2009-11-19 / 20090282987 - SYSTEM, POD AND METHOD FOR PREPARING A BEVERAGE | 1 |
Danny John Harpole | US | Jonesboro | 2010-02-25 / 20100043652 - Rice milling sample machine, for the milling of small sample, 1000g for the determination of quality and milling yield, for the buying and selling of rough rice | 1 |
Aaron Harpole | US | Santa Monica | 2014-09-18 / 20140278968 - FACILITATING USER-GENERATED CONTENT | 1 |
David Harpole | US | Chapel Hill | 2010-01-14 / 20100009357 - PREDICTION OF LUNG CANCER TUMOR RECURRENCE | 1 |
David Harpole | US | Durham | 2009-07-23 / 20090186024 - Gene Expression Signatures for Oncogenic Pathway Deregulation | 1 |
Alfred Knox Harpole | US | Lagrange | 2013-01-03 / 20130001179 - RACKABLE COLLAPSIBLE STACKABLE UNIT | 2 |
Lawrence J. Harpring | US | North Augusta | 2015-12-03 / 20150346356 - SYSTEM AND METHOD FOR IDENTIFYING RADIATION IN A CONTAMINATED ROOM | 4 |
Kasper Harpsøe | DK | Kobenhavn | 2009-11-19 / 20090286797 - Novel Quinoxaline Derivatives and Their Medical Use | 1 |
Timothy J. Harpster | US | Gatena | 2009-02-05 / 20090032233 - Heat exchanger deep bundle air extractor | 1 |
Todd Harpster | US | Eagan | 2014-01-02 / 20140006475 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR PROCESSING DATA REQUESTS | 1 |
Joseph W. Harpster | US | Punta Gorda | 2009-07-30 / 20090188645 - TUBE FOULING MONITOR | 2 |
Mark Harpster | US | Laramie | 2015-02-05 / 20150038347 - SURFACE ENHANCED RAMAN SPECTROSCOPY | 1 |
Timothy J. Harpster | US | Galena | 2009-07-30 / 20090188645 - TUBE FOULING MONITOR | 1 |
Michael O. Harpster, Jr. | US | Oakland Township | 2014-07-24 / 20140202280 - VEHICLE | 3 |
Rory Angus Harpur | ZA | Durban | 2015-06-11 / 20150161851 - ELECTRONIC GAMING MACHINE WITH DIE-BASED RANDOM RESULT GENERATOR | 1 |
Liam S. Harpur | IE | Skerries | 2010-06-17 / 20100153448 - PERSISTENT SEARCH NOTIFICATION | 1 |
Ian Harpur | GB | Welwyn Garden City | 2014-06-26 / 20140178104 - APPARATUS AND SYSTEMS INCLUDING AN IMAGING MODULE AND DEVELOPER MODULE INSTALLABLE IN AN ELECTROSTATOGRAPHIC PRINTING SYSTEM | 1 |
Liam Harpur | IE | Co. Dublin | 2010-01-21 / 20100017194 - System and method for suggesting recipients in electronic messages | 1 |
Liam S. Harpur | IE | Mulhuddart | 2016-01-28 / 20160026975 - EVENT TRIGGERED NOTIFICATIONS FOR COLLABORATIVE PROCESSES | 1 |
Liam Harpur | IE | Skerries | 2016-03-31 / 20160091334 - TRAVEL ROUTES BASED ON COMMUNICATION CHANNEL AVAILABILITY | 39 |
Ian G. Harpur | GB | Welwyn Garden City | 2014-09-18 / 20140270857 - METHOD AND APPARATUS FOR REDUCING RESIDUAL TONER IN A ROTATING CONTAINER | 1 |
Liam Harpur | IE | Skerries, Dublin | 2015-08-20 / 20150234909 - SYNCHRONIZING DATA-SETS | 2 |
Liam Harpur | IE | Dublin | 2016-05-19 / 20160140219 - Targeted Message Response | 78 |
Liam Harpur | IR | Dublin | 2014-05-29 / 20140149974 - Optimized Installation of Received Patches for Application Programs Already Running on Computer Systems | 1 |
Liam Harpur | US | Research Triangle Park | 2011-04-07 / 20110083079 - APPARATUS, SYSTEM, AND METHOD FOR IMPROVED TYPE-AHEAD FUNCTIONALITY IN A TYPE-AHEAD FIELD BASED ON ACTIVITY OF A USER WITHIN A USER INTERFACE | 1 |
Liam S. Harpur | IE | Dublin | 2015-03-05 / 20150067046 - SOCIAL NETWORKING INFORMATION CONSUMPTION GAP RESOLUTION | 3 |
Michael Harr | DE | Kelkheim | 2014-03-27 / 20140087546 - Method and device for coating substrates | 1 |
James Harr | US | Foristell | 2012-03-29 / 20120078196 - ADMINISTRATION FEEDING SET | 5 |
Robert E. Harr | US | Kasilof | 2015-12-24 / 20150369394 - TRENCHLESS DRAINAGE STRUCTURE REPLACEMENT | 7 |
James M. Harr | US | Foristell | 2013-04-04 / 20130083823 - ELECTRONIC THERMOMETER WITH IMAGE SENSOR AND DISPLAY | 8 |
James Harr | US | Wentzville | 2016-02-04 / 20160030293 - Vented Connector for Feeding Syringe | 5 |
James H. Harr | US | Wentzville | 2014-04-03 / 20140094653 - Imaging Catheter System | 2 |
Sherry L. Harr | US | Mosca | 2013-08-15 / 20130206251 - CONTAINMENT DEVICES AND METHODS FOR CONTAINING AND DISPOSING OF LIQUIDS | 1 |
Joakim Harr | SE | Vindeln | 2014-01-30 / 20140030008 - METHOD AND ARRANGEMENT RELATED TO A ROTATOR | 3 |
Kyoung Moo Harr | KR | Suwon-Si | 2014-07-03 / 20140186651 - PRINTED CIRCUIT BOARD HAVING COPPER PLATED LAYER WITH ROUGHNESS AND METHOD OF MANUFACTURING THE SAME | 1 |
David B. Harr | US | Spring Grove | 2009-08-13 / 20090200647 - SHIELDED INTEGRATED CIRCUIT PAD STRUCTURE | 1 |
Robert Harr | US | Kasilof | 2015-09-17 / 20150258586 - DRAINAGE STRUCTURE CLEANING TOOL AND METHOD | 2 |
James M. Harr | US | Wentzville | 2015-10-22 / 20150305073 - Docking Station for an Enteral Feeding Pump | 6 |
John S. Harr | US | Chapin | 2012-03-15 / 20120061922 - Annular Sealing Device | 1 |
Oliver Harr | DE | Reichenbach | 2012-03-08 / 20120058407 - Cooling Devices for a Fuel Cell System | 3 |
James M. Harr | US | Foristell | 2013-04-04 / 20130083823 - ELECTRONIC THERMOMETER WITH IMAGE SENSOR AND DISPLAY | 8 |
Jürgen Harr | DE | Moetzingen | 2013-10-10 / 20130263938 - TANK MODULE FOR A LIQUID TANK | 1 |
Debbie Harr | US | Kula | 2009-01-08 / 20090007441 - Variable dust chute for circular saws | 1 |
John Harr | US | Columbia | 2015-06-11 / 20150159755 - JACKETED RESILIENT METAL SEAL | 1 |
Hartmut Harr | DE | Waldenbuch | 2013-12-12 / 20130327699 - FUEL FILTER | 1 |
Joe Harr | US | Bloomington | 2015-09-10 / 20150254781 - SYNCHRONIZATION OF VEHICLE SENSOR INFORMATION | 12 |
Joe Harr | US | Bloomington | 2015-09-10 / 20150254781 - SYNCHRONIZATION OF VEHICLE SENSOR INFORMATION | 12 |
Per Erik Harr | NO | Rognan | 2010-01-28 / 20100018414 - Device and method for compressing wood | 1 |
Kyoung Moo Harr | KR | Suwon | 2014-03-20 / 20140076619 - METHOD FOR REMOVING SEED LAYER IN MANUFACTURING PRINTED CIRCUIT BOARD AND PRINTED CIRCUIT BOARD MANUFACTURED BY USING THE SAME | 1 |
Robert K. Harr | US | Santa Ana | 2010-01-28 / 20100018976 - Liquid dispenser with relief valve opening to provide uniform drainage | 1 |
Hudson Worthington Harr | US | St. Petersburg | 2009-12-24 / 20090315336 - Renewable energy generation system | 1 |
Kyoung Moo Harr | KR | Gyunggi-Do | 2014-02-13 / 20140042604 - THREE-DIMENSIONAL (3D) SEMICONDUCTOR PACKAGE | 1 |
John A. Harr | US | Minerva | 2014-10-16 / 20140305202 - LIQUID LEVEL SENSING SYSTEMS | 3 |
Jürgen Harr | DE | Moetzingen | 2013-10-10 / 20130263938 - TANK MODULE FOR A LIQUID TANK | 1 |
Joseph Harr | US | Bloomington | 2015-10-08 / 20150286929 - AGGREGATION AND CORRELATION OF DATA FOR LIFE MANAGEMENT PURPOSES | 1 |
Jürgen Harr | DE | Motzingen | 2012-09-06 / 20120225396 - HEATER ASSEMBLY | 1 |
Eric Harr | US | Fairfax | 2015-12-10 / 20150358390 - METHOD AND SYSTEM TO SHARE VISUAL CONTENT ACROSS A PLURALITY OF MOBILE DEVICES TO GENERATE INTEREST, SUPPORT AND FUNDING FOR PHILANTHROPIC AND FOR SOCIAL CAUSES | 1 |
Robert Harr | US | Pritchett | 2013-03-07 / 20130058713 - WICK ASSEMBLY AND METHOD FOR INSTALLING AN UNDERDRAIN | 1 |
David James Harra | US | Scotts Valley | 2010-03-25 / 20100072386 - Non-Invasive Determination of Characteristics of a Sample | 4 |
Ilkka Harra | FI | Hyvinkää | 2016-03-31 / 20160091394 - TECHNIQUES FOR MONITORING GEAR CONDITION | 1 |
Khalil Harrabi | SA | Dhahran | 2016-05-19 / 20160141537 - NANOSTRUCTURED ANODE-CATHODE ARRAY FOR OPTOELECTRONIC DEVICES | 1 |
Kelly A. Harradine | US | Pacifica | 2012-02-23 / 20120046186 - Gene Expression Markers for Prediction of Response to Platinum-Based Chemotherapy Drugs | 1 |
Michael Robert Harradon | US | Cambridge | 2014-02-13 / 20140042890 - High Efficiency Incandescent Lighting | 1 |
Tim Harrah | US | Cambridge | 2012-02-02 / 20120029273 - IMPLANTS AND METHODS FOR ENHANCING IN-VIVO ULTRASOUND IMAGES OF THE SAME | 2 |
Timothy P. Harrah | US | Cambridge | 2016-03-17 / 20160074145 - MATERIALS AND METHODS FOR SECURING BODILY IMPLANTS | 14 |
Timothy P. Harrah | US | Newton | 2013-04-04 / 20130084315 - CONTROLLING RESORPTION OF BIORESORBABLE MEDICAL IMPLANT MATERIAL | 1 |
Timothy Paul Harrah | US | Cambridge | 2015-08-13 / 20150224249 - TISSUE ENUCLEATION DEVICES AND RELATED METHODS | 4 |
David Harrah | US | Corning | 2012-12-27 / 20120324818 - Building System for Forming a Wooden Panel into a Powder Coated Wall Structure | 1 |
Timothy P. Harrah | US | Cambrige | 2015-11-12 / 20150320537 - DEVICES AND METHODS FOR SECURING AN IMPLANT | 1 |
Timothy P. Harrah | US | Cambridge | 2016-03-17 / 20160074145 - MATERIALS AND METHODS FOR SECURING BODILY IMPLANTS | 14 |
Shane Harrah | US | Everett | 2009-01-08 / 20090008662 - LIGHTING DEVICE PACKAGE | 1 |
Timothy Harrah | US | Cambridge | 2015-10-29 / 20150305768 - TISSUE EXTRACTION DEVICES AND RELATED METHODS | 1 |
Elizabeth Harrah | US | Canton | 2011-01-27 / 20110022409 - Patient Admission Tracking System | 1 |
Shane Harrah | US | Sunnyvale | 2011-10-20 / 20110255263 - SUB-ASSEMBLY FOR A LIGHT-EMITTING DEVICE PACKAGE AND A LIGHT EMITTING DIODE PACKAGE WITH FEATURES PREVENTING ENCAPSULANT DELAMINATION | 2 |
Tim Harrah | US | Newton | 2011-04-21 / 20110091519 - CONTROLLING RESORPTION OF BIORESORBABLE MEDICAL IMPLANT MATERIAL | 1 |
Shane Harrah | US | Livermore | 2011-10-20 / 20110254027 - METHOD FOR CONTROLLING COLOR ACCURACY IN A LIGHT-EMITTING SEMICONDUCTOR-BASED DEVICE AND PROCESS FOR PRODUCING A LIGHT-EMITTING SEMICONDUCTOR-BASED DEVICE WITH CONTROLLED COLOR ACCURACY | 1 |
Pedro Jose Harraiz Alijas | ES | Tres Cantos (madrid) | 2014-01-16 / 20140014765 - AEROHEATING OF SENSOR PROTECTED BY INTEGRATING DEVICE SEEKER (ASPIDS) | 1 |
Julianne Harraka | US | Oakland | 2014-04-03 / 20140090182 - Compositions for Grafting Fragrance Substances | 1 |
Youssef Harrak Serifi | ES | Barcelona | 2012-04-19 / 20120093875 - AMINOCYCLITOL COMPOUNDS, PROCESS FOR OBTAINING THEM AND USES | 1 |
Simon J. Harrall | US | Houston | 2016-01-28 / 20160024876 - REVERSE CEMENTATION OF LINER STRING FOR FORMATION STIMULATION | 24 |
Simon John Harrall | US | Houston | 2015-12-24 / 20150369006 - METHOD AND APPARATUS FOR ACTUATING DOWNHOLE TOOLS | 8 |
Simon Harrall | US | Houston | 2011-05-19 / 20110114387 - ANNULUS PRESSURE CONTROL DRILLING SYSTEMS AND METHODS | 2 |
Simon John Harrall | US | Houston | 2015-12-24 / 20150369006 - METHOD AND APPARATUS FOR ACTUATING DOWNHOLE TOOLS | 8 |
Simon J. Harrall | US | Houston | 2016-01-28 / 20160024876 - REVERSE CEMENTATION OF LINER STRING FOR FORMATION STIMULATION | 24 |
Benjamin S. Harralson | US | Louisville | 2009-02-19 / 20090045315 - Picture hanging position finder and wall marking device | 1 |
Susan Harran | US | Dallas | 2014-04-10 / 20140100193 - Diazonamide Analogs | 11 |
Patrick G. Harran | US | Dallas | 2011-05-26 / 20110124585 - Dimeric Small Molecule Potentiators of Apoptosis | 3 |
Susan Harran | US | Dallas | 2014-04-10 / 20140100193 - Diazonamide Analogs | 11 |
Michelle Harran | US | Neptune City | 2008-11-27 / 20080294036 - Surgical Metal Detection Apparatus and Methods | 1 |
Patrick G. Harran | US | Los Angeles | 2012-07-26 / 20120190862 - Methods for Preparing Diazonamides | 4 |
Patrcik G. Harran | US | Los Angeles | 2010-04-08 / 20100086955 - Small Molecule Inhibitors of Ghrelin O-Acyltransferase | 1 |
Vincent Harrand | US | Huntsvile | 2010-05-20 / 20100122697 - Negative Pressure, Bi-Directional Nasal Aerosol Delivery | 1 |
Michel Harrand | FR | Saint Egreve | 2016-03-10 / 20160071589 - DEVICE AND METHOD FOR WRITING DATA TO A RESISTIVE MEMORY | 9 |
Michel Harrand | FR | Saint Egreve | 2016-03-10 / 20160071589 - DEVICE AND METHOD FOR WRITING DATA TO A RESISTIVE MEMORY | 9 |
Robert Harrand | GB | Wetherby | 2013-05-02 / 20130109082 - DEVICE FOR SEQUENTIALLY DISPENSING LIQUID REAGENTS TO A REACTION CHAMBER | 1 |
Jeffrey Paul Harrang | US | Sammamish | 2016-04-07 / 20160099771 - METHOD AND SYSTEM FOR AUDITING AND CORRECTING CELLULAR ANTENNA COVERAGE PATTERNS | 18 |
Jeffrey P. Harrang | US | Seattle | 2014-05-01 / 20140119184 - METHOD AND SYSTEM FOR COOPERATIVE CONGESTION DETECTION IN CELLULAR NETWORKS | 1 |
Kevin J. Harrang | US | Kirkland | 2013-10-17 / 20130275420 - Computer-Implemented System And Method For Conducting A Document Search Via Metaprints | 1 |
Jeffrey Paul Harrang | US | Seattle | 2015-05-21 / 20150142910 - FRACTIONAL PRE-DELIVERY OF CONTENT TO USER DEVICES | 4 |
Jeff Harrang | US | Seattle | 2015-09-24 / 20150271288 - CONTROLLING THE PRE-DELIVERY OF CONTENT TO A MOBILE DEVICE | 2 |
Jeffrey Paul Harrang | US | Bothell | 2015-09-24 / 20150271727 - METHOD & SYSTEM FOR PATH PREDICTIVE CONGESTION AVOIDANCE | 3 |
Jeffrey Harrang | US | Sammamish | 2010-08-26 / 20100214943 - SYSTEMS AND METHODS FOR AUTONOMOUSLY DETERMINING NETWORK CAPACITY AND LOAD BALANCING AMONGST MULTIPLE NETWORK CELLS | 1 |
Jeffrey Paul Harrang | US | Sammammish | 2011-11-03 / 20110270929 - SYSTEMS AND METHODS FOR AUTOMATIC DETECTION AND COORDINATED DELIVERY OF BURDENSOME MEDIA CONTENT | 7 |
Jeffrey Harrang | US | Seattle | 2016-03-03 / 20160065642 - SYSTEMS AND METHODS FOR CONDITIONAL DOWNLOAD USING IDLE NETWORK CAPACITY | 2 |
Jeffrey P. Harrang | US | Sammamish | 2014-05-29 / 20140150033 - METHOD AND APPARATUS FOR PROVIDING BI-DIRECTIONAL DATA SERVICES AND LIVE TELEVISION PROGRAMMING TO MOBILE PLATFORMS | 15 |
Derek T. Harrar | US | Rydal | 2015-02-05 / 20150040171 - LIMITING RECORDING DEMANDS | 2 |
Derek T. Harrar | US | Devon | 2009-05-07 / 20090119708 - USER INTERFACE DISPLAY WITHOUT OUTPUT DEVICE RENDERING | 2 |
Khaled A. Harras | US | Pittsburgh | 2015-12-17 / 20150365733 - Dynamic Real-Time TV White Space Awareness | 1 |
Newfel Harrat | US | Burlingame | 2016-01-28 / 20160027066 - MECHANISM FOR FACILITATING DYNAMIC USER-BASED CUSTOMIZATION OF ADVERTISEMENT CONTENT AT COMPUTING DEVICES | 9 |
Maged M. Harraz | US | Iowa City | 2009-09-24 / 20090239243 - Method of identifying compounds useful to treat neuronal degenerative diseases | 2 |
Hatem Harraz | US | Berkeley | 2015-08-20 / 20150232395 - ETHYLENE-TO-LIQUIDS SYSTEMS AND METHODS | 2 |
Hatem Harraz | US | San Francisco | 2014-01-16 / 20140018589 - NATURAL GAS PROCESSING AND SYSTEMS | 1 |
Manfred Harre | DE | Lansberg Am Lech | 2015-09-10 / 20150250572 - DENTAL IRRADIATION DEVICE AND SYSTEM | 1 |
Michael Harre | DE | Berlin | 2014-08-28 / 20140243532 - NOVEL PRECURSORS OF GLUTAMATE DERIVATIVES | 3 |
Manfred Harre | DE | Landsberg Am Lech | 2015-06-11 / 20150157429 - SYSTEM AND DEVICE FOR DISPENSING A DENTAL MATERIAL AND A CARTRIDGE FOR USE WITH THE SYSTEM OR DEVICE | 14 |
Manfred Harre | DE | Landsberg Am Lech | 2015-06-11 / 20150157429 - SYSTEM AND DEVICE FOR DISPENSING A DENTAL MATERIAL AND A CARTRIDGE FOR USE WITH THE SYSTEM OR DEVICE | 14 |
Jean-Guy Harreau | FR | Vitrolles | 2016-05-05 / 20160123457 - DUAL CIRCUIT LUBRICATION METHOD AND DEVICE WITH INCREASED RELIABILITY FOR A MAIN POWER TRANSMISSION GEARBOX OF AN AIRCRAFT | 1 |
Rupert Harreither | AT | Oberaich | 2014-02-13 / 20140041784 - METHOD FOR BUTT-WELDING TWO STRIPS ALONG THE LONGITUDINAL EDGES THEREOF | 1 |
Stephen K. Harrel | US | Dallas | 2009-04-30 / 20090112065 - Air shield for videoscope imagers | 1 |
John H. Harreld | US | Guerneville | 2008-11-20 / 20080287982 - Catheters for electrolytically detachable embolic devices | 1 |
John H. Harreld | US | Santa Barbara | 2012-03-22 / 20120067728 - COLLOIDAL SPHERE TEMPLATES AND SPHERE-TEMPLATED POROUS MATERIALS | 1 |
Randall G. Harreld | US | Greenville | 2016-05-05 / 20160120727 - Biodegradable Burial Bag and Methods of Use | 1 |
John Robert Harrell | US | Bradenton | 2016-03-17 / 20160076784 - METHOD AND APPARATUS FOR PASSIVELY CONTROLLING AIRFLOW | 2 |
Michael Riley Harrell | US | Boulder | 2013-04-04 / 20130083631 - SOUND-BASED POSITIONING | 1 |
Kendall R. Harrell | US | Morton | 2016-03-24 / 20160084695 - SYSTEM AND METHOD OF MONITORING OIL LEVEL IN TRANSMISSION SYSTEM OF MACHINE | 1 |
Patrick A. Harrell | US | Loveland | 2013-04-25 / 20130102994 - SEALING ARRANGEMENT FOR SYRINGE | 1 |
O. Spincer Harrell | US | Flora | 2014-06-05 / 20140150328 - FISHING FLOAT WITH CATCH INDICATOR | 1 |
Jeffrey Harrell | US | San Jose | 2016-01-07 / 20160005024 - OFFLINE TO ONLINE PAYMENT | 2 |
David Harrell | US | Seattle | 2012-11-01 / 20120272963 - INTERFACE APPLIANCE CARRYING ONE OR MORE SENSORS DETECTING PARAMETERS RELATED TO A FLOW OF FLUID DELIVERED THROUGH THE APPLIANCE | 1 |
Mike Harrell | US | Parker | 2011-10-06 / 20110242276 - Video Content Distribution | 1 |
Patrick Andrew Harrell | US | Loveland | 2014-06-12 / 20140157688 - MODIFIED PIER FOR MODULAR, PORTABLE, INTERLOCKING SYSTEM | 1 |
Emily Harrell | US | Chicago | 2016-03-03 / 20160060189 - TRANSALKYLATION / DISPROPORTIONATION OR THERMAL HYDRODEALKYLATION HYDROCARBON PROCESSING METHODS AND SYSTEMS EMPLOYING AN INCREASED ETHYLBENZENE FEED CONTENT | 1 |
C. Chad Harrell | US | Raleigh | 2014-03-27 / 20140088299 - METHOD OF EXTRACTING PHENOLIC FRACTIONS OF EXTRA VIRGIN OLIVE OIL | 1 |
William Andrew Harrell | US | Camden | 2014-03-27 / 20140083060 - Ergonomic Thermoluminescent Dosimeter Adapter | 1 |
Jordan Lee Harrell | US | Houston | 2014-06-12 / 20140162511 - Wakeboard Release Mechanism | 1 |
John P. Harrell | US | Mission Viejo | 2014-12-18 / 20140368942 - Optical Element Switching System Using a Halbach Array | 2 |
John Harrell | US | Santee | 2013-07-11 / 20130174709 - STRINGED INSTRUMENT APPARATUS AND METHODS | 1 |
Bradley Harrell | US | Pearland | 2014-08-28 / 20140241940 - METHOD FOR INHIBITING FOULING IN VAPOR TRANSPORT SYSTEM | 3 |
Gregory W. Harrell | US | Clyde | 2016-02-11 / 20160042828 - Ultraviolet Systems And Methods For Irradiating A Substrate | 1 |
Daniel W. Harrell | US | N.m.b. | 2014-02-06 / 20140033585 - SCRATCH OFF LICENSE PLATE FRAME | 1 |
Joshua L. Harrell | US | Cincinnati | 2014-05-15 / 20140130295 - WIDE-AREA VACUUM/SQUEEGEE HEAD FLOOR CLEANING TOOL | 2 |
Rodney Harrell | US | Greenbriar | 2015-02-19 / 20150049188 - SELF-CALIBRATING MULTI-CAMERA ALIGNMENT SYSTEM | 2 |
Bradley G. Harrell | US | Pearland | 2016-03-03 / 20160060520 - SCAVENGERS FOR SULFUR SPECIES AND/OR PHOSPHORUS CONTAINING COMPOUNDS | 9 |
Carl Randall Harrell | US | Tarpon Springs | 2015-01-22 / 20150025366 - Method for Obtaining Sterile Human Amniotic Fluid and Uses Thereof | 4 |
Michael Harrell | US | Denver | 2015-12-31 / 20150379371 - Object Detection Utilizing Geometric Information Fused With Image Data | 1 |
Anthony Harrell | US | Edwardsville | 2015-12-31 / 20150373963 - Rotating Tackle Box | 1 |
Russell Harrell | US | Oak City | 2014-11-20 / 20140341659 - HALF ROUND FILE ATTACHMENT FOR SAW | 1 |
Jeff Harrell | US | San Jose | 2014-05-22 / 20140143151 - SYSTEM AND METHOD FOR SIMPLIFIED CHECKOUT | 2 |
W. Paul Harrell | US | Fernandina Beach | 2011-09-01 / 20110209839 - Method for making absorbent products | 1 |
Douglas Todd Harrell | US | Belding | 2015-07-16 / 20150197317 - ASSEMBLY FOR TRANSPORTING A BOAT LIFT | 1 |
Michael Riley Harrell | US | Denver | 2015-12-17 / 20150362587 - LIDAR SENSOR CALIBRATION USING SURFACE PATTERN DETECTION | 1 |
Joe Harrell | US | Lawrenceburg | 2015-03-05 / 20150059625 - LOW EMISSION FUEL PELLET | 1 |
David A. Harrell | US | Shelby Township | 2013-09-05 / 20130231952 - METHOD AND SYSTEM FOR PROMOTING MEDICATIONS | 5 |
Thurman Harrell | US | Dallas | 2012-11-22 / 20120292048 - Managing Tensile Forces in a Cable | 1 |
Robert Collins Harrell | US | San Clemente | 2012-05-03 / 20120109051 - DEVICES, METHODS, AND KITS FOR TASTE MODIFICATION AND CONTROLLING FOOD INTAKE | 1 |
Sam Harrell | US | Concord | 2013-02-21 / 20130043881 - APPARATUS AND METHOD FOR IDENTIFYING HIGH RISK NON-CERAMIC INSULATORS (NCI) WITH CONDUCTIVE OR HIGH PERMITTIVITY DEFECTS | 2 |
Greg Harrell | US | Clyde | 2013-04-18 / 20130092848 - LAMP SYSTEMS AND METHODS FOR GENERATING ULTRAVIOLET LIGHT | 1 |
Matthew R. Harrell | US | Wichita | 2014-10-09 / 20140300146 - Tracking Table Assembly | 1 |
Robert Harrell | US | Troy | 2015-05-14 / 20150132402 - DIETARY SUPPLEMENTS FOR PROMOTION OF GROWTH, REPAIR, AND MAINTENANCE OF BONE AND JOINTS | 3 |
Alan K. Harrell | US | New Waverly | 2011-09-01 / 20110209845 - Casting Method For Matrix Drill Bits And Reamers | 3 |
Robert John Harrell | US | Troy | 2011-01-13 / 20110008388 - PIGLET FEED RATIONS HAVING LOW LEVELS OF FERMENTABLE CARBOHYDRATES | 1 |
John Harrell | US | Paxton | 2013-12-19 / 20130334036 - APPARATUS FOR FLUID PROCESSING A WORKPIECE | 5 |
Robert W. Harrell | US | Indianapolis | 2010-10-14 / 20100262221 - Graft with bioabsorbable support frame | 1 |
Pamela Lynn Harrell | US | Woodstock | 2010-09-30 / 20100245095 - TORNADO ALARM SYSTEM | 1 |
John Robert Harrell | US | Sarasota | 2010-09-09 / 20100227541 - METHOD AND APPARATUS FOR PASSIVELY CONTROLLING AIRFLOW | 1 |
Randy K. Harrell | US | Los Gatos | 2013-01-17 / 20130016177 - System and Method for Providing a Perception of a Continuous Surface in a Telepresence System | 5 |
Warren Harrell | US | Raleigh | 2010-01-07 / 20100004110 - Method for Making Glass Frit Powders Using Aerosol Decomposition | 1 |
James Harrell | US | Atlanta | 2014-06-26 / 20140181611 - System and Method for Mitigating Burst Noise in a Communications System | 2 |
Gloria Harrell | US | Eastpoint | 2009-10-29 / 20090265836 - Decorative sock adomment | 1 |
Bradley G. Harrell | US | Pearland | 2016-03-03 / 20160060520 - SCAVENGERS FOR SULFUR SPECIES AND/OR PHOSPHORUS CONTAINING COMPOUNDS | 9 |
Donald Harrell | US | Old Greenwich | 2009-09-24 / 20090240530 - METHOD FOR SELLING MARINE CARGO INSURANCE IN A NETWORK ENVIRONMENT | 1 |
Stephen Mark Harrell | US | Zionsville | 2009-09-03 / 20090217955 - Automated motorcycle wash | 1 |
John M. Harrell | US | Seguin | 2014-03-20 / 20140077484 - FRAC TANK AND TRAILER ASSEMBLY | 2 |
R. Mack Harrell | US | Boca Raton | 2009-08-27 / 20090216460 - METHOD TO DETERMINE THE DEGREE AND STABILITY OF BLOOD GLUCOSE CONTROL IN PATIENTS WITH DIABETES MELLITUS VIA CREATION AND CONTINUOUS UPDATING OF NEW STATISTICAL INDICATORS | 1 |
John Harrell | US | Waxahachie | 2009-07-30 / 20090188665 - Monitoring of Downhole Parameters and Tools Utilizing Fiber Optics | 1 |
Dustin Alan Harrell | US | Goodlettsville | 2013-09-05 / 20130228711 - 3-Way Solenoid Valve | 1 |
Tyler Harrell | US | Pittsburg | 2009-07-30 / 20090189027 - Flexible Bottle Holder | 1 |
Daniel C. Harrell | US | Round Rock | 2015-10-22 / 20150298959 - Fuel Dispenser Management | 4 |
Pamela Lynn Harrell | US | 2009-05-14 / 20090121884 - Tornado Alarm System | 1 | |
Robert Harrell | US | Warrenton | 2009-05-07 / 20090114130 - Flip top mechanism for table with nesting capabilities | 1 |
Aaron Harrell | US | Charlotte | 2008-11-13 / 20080281760 - Service Negotiation | 1 |
Tony Harrell | US | Charlestown | 2008-10-30 / 20080270156 - System and method for information technology service strategy | 1 |
Eric A. Harrell | US | Pickens | 2008-10-02 / 20080236022 - Fishing lure with trailer keeper | 1 |
Terry Harrell | US | Preston | 2008-09-25 / 20080231066 - Flatbed tool drawers | 1 |
Charles Wayne Harrell | US | Graham | 2008-08-28 / 20080202755 - One trip system for circulating, perforating and treating | 1 |
Chad Harrell | US | Raleigh | 2013-02-21 / 20130045320 - METHOD OF PRODUCING LOW TRIGLYCERIDE OLIVE OIL AND NOVEL FRACTIONS | 1 |
Brian H. Harrell | US | Auburn | 2013-09-05 / 20130228644 - Header System | 1 |
Thurman Philip Harrell | US | Dallas | / - | 1 |
Chandlee B. Harrell | US | Los Altos | 2015-10-08 / 20150286587 - DETECTING THE ORIENTATION OF A MULTIMEDIA LINK CONNECTED TO A DEVICE | 6 |
Chris Harrell | US | Wauwatosa | 2014-01-02 / 20140001132 - Merchandising Unit and System | 1 |
Steve Harrell | US | Melbourne | 2014-01-30 / 20140029147 - Systems and Methods of Direct Cell Attachment for Batteries | 1 |
Jeremy L. Harrell | US | Hendersonville | 2012-10-04 / 20120246788 - Multipurpose Cooling and Trauma Attenuating Devices and Associated Methods | 1 |
Chandlee Harrell | US | Los Altos | 2012-07-19 / 20120182473 - MECHANISM FOR CLOCK RECOVERY FOR STREAMING CONTENT BEING COMMUNICATED OVER A PACKETIZED COMMUNICATION NETWORK | 3 |
Douglas Alan Harrell, Jr. | US | Cambridge | 2015-10-08 / 20150286276 - Method and System Modeling Social Identity In Digital Media With Dynamic Group Membership | 1 |
Daniel Alan Harrelson | US | Westminster | 2013-11-21 / 20130305510 - WHEEL PULLER | 1 |
Mark G. Harrelson | US | North Ridgeville | 2010-04-22 / 20100098864 - Method of Applying Automotive Primer-Surfacer Using A Squeegee | 1 |
Christopher R. Harrelson | US | Berkeley | 2013-04-04 / 20130086517 - Interface for Navigating Imagery | 1 |
Eric Neal Harrelson | US | Austin | 2015-12-31 / 20150379805 - METHOD, APPARATUS, AND PROGRAM PRODUCT FOR PROVIDING ALTERNATIVE WIN OPPORTUNITIES WITH WILD SYMBOLS IN A WAGERING GAME | 1 |
Christopher J. Harrelson | US | Long Beach | 2011-12-01 / 20110289852 - PACKAGED GATE SYSTEMS AND METHOD OF DISPLAY | 1 |
Glen R. Harrelson | US | Navarre | 2015-01-22 / 20150021350 - Dispensing System For Double Stack Carton | 1 |
Glen R. Harrelson | US | Gainesville | 2013-08-22 / 20130213991 - Dispensing System For Double Stack Carton | 7 |
Jeffrey Joseph Harrelson | US | San Clemente | 2014-04-24 / 20140113267 - Selecting Target Respondents For a Survey Based on Application Data of Mobile Devices | 1 |
Anthony T. Harrelson | US | Archdale | 2014-11-13 / 20140331450 - Mechanically interlocked wheel | 2 |
Chris Harrelson | US | Berkeley | 2014-06-26 / 20140180570 - TRANSIT ROUTING SYSTEM FOR PUBLIC TRANSPORTATION TRIP PLANNING | 4 |
Eric Harrelson | US | Austin | 2014-09-11 / 20140256405 - Player Selection Game With Accumulated Symbol Display | 3 |
Jorg Harren | DE | Baesweller | 2012-08-09 / 20120202951 - PROCESS FOR THE PRODUCTION OF A SUPERABSORBENT POLYMER | 1 |
Arne Harren | DE | Heidelberg | 2012-06-28 / 20120166422 - Generic Node Including Stored Script | 2 |
Jorg Harren | DE | Baesweiler | 2016-03-24 / 20160083532 - SUPERABSORBENT POLYMER PRODUCTION USING CERTAIN CARRIERS | 9 |
Jorg Harren | DE | Baesweiler | 2016-03-24 / 20160083532 - SUPERABSORBENT POLYMER PRODUCTION USING CERTAIN CARRIERS | 9 |
Ernst-Diethelm Harren | CH | Steinhausen | 2009-12-03 / 20090299293 - Self-Closing External Vessel Plug With Integrated Wide-Lumen Needle | 1 |
Jorg Harren | DE | Krefeld | 2016-04-21 / 20160106881 - PROCESS TO MAKE WATER-ABSORBING POLYMER STRUCTURE HAVING SUPERABSORBENT POLYMER INDEX | 11 |
Jörg Harren | DE | Krefeld | 2012-12-06 / 20120309905 - CONTINUOUS PROCESS FOR THE PRODUCTION OF A SUPERABSORBENT POLYMER | 6 |
Matthew T. Harren | US | Fremont | 2015-06-11 / 20150161383 - Method for Safely Executing an Untrusted Native Code Module on a Computing Device | 5 |
Jorg Harren | DE | Krefeld | 2016-04-21 / 20160106881 - PROCESS TO MAKE WATER-ABSORBING POLYMER STRUCTURE HAVING SUPERABSORBENT POLYMER INDEX | 11 |
Paul Harren | US | Anchorage | 2015-10-22 / 20150298192 - BENDING INSTRUMENT AND METHODS OF USING SAME | 1 |
Jörg Harren | DE | Baesweiler | 2012-11-29 / 20120302445 - PROCESS FOR RECYCLING POLYMER FINES | 1 |
Arne Harren | DE | Walldorf | 2015-05-28 / 20150149745 - PARALLELIZATION WITH CONTROLLED DATA SHARING | 3 |
Ernst-Diethelm Harren | CH | Weinfelden | 2014-09-18 / 20140277118 - CLOSURE DEVICE FOR CLOSING OPENED BLOOD VESSELS | 1 |
Ersnt-Diethelm Harren | CH | Rotkreuz | 2015-11-19 / 20150327869 - VESSEL CLOSURE SYSTEM | 1 |
Axel Harrenga | DE | Wuppertal | 2015-09-03 / 20150246136 - NOVEL BINDER-DRUG CONJUGATES (ADCs) AND USE OF SAME | 18 |
Axel Harrenga | DE | Koln | 2012-12-20 / 20120321632 - NEUTRALIZING PROLACTIN RECEPTOR ANTIBODIES AND THEIR THERAPEUTIC USE | 2 |
Axel Harrenga | DE | 2013-04-18 / 20130095123 - New binder-drug conjugates (ADCs) and use thereof | 1 | |
Axel Harrenga | DE | Wuppertal | 2015-09-03 / 20150246136 - NOVEL BINDER-DRUG CONJUGATES (ADCs) AND USE OF SAME | 18 |
Kenneth Harrenstien | US | Palo Alto | 2014-05-22 / 20140142941 - GENERATION OF TIMED TEXT USING SPEECH-TO-TEXT TECHNOLOGY, AND APPLICATIONS THEREOF | 2 |
Kenneth L. Harrenstien | US | Palo Alto | 2014-10-09 / 20140301717 - Methods and Systems for Providing and Playing Videos Having Multiple Tracks of Timed Text Over a Network | 3 |
Howard P. Harrenstien | US | Miami | 2010-10-14 / 20100258186 - Method for Supporting a Stretched Membrane Solar Trough Collector | 1 |
Howard Harrenstien | US | Miami | 2013-09-19 / 20130240473 - UNIFORM TENSION DISTRIBUTION MECHANISM FOR STRETCHED MEMBRANE SOLAR COLLECTORS | 4 |
Stefan Harrer | US | New York | 2015-07-30 / 20150209779 - MICRO-DROPLET FLUIDIC CELL FOR FAST IONIC CURRENT DETECTION USING NANOPORES | 15 |
Hubert Harrer | DE | Hilpoltstein | 2015-06-25 / 20150179363 - DEVICE FOR THE SAFE SWITCHING OF A PHOTOVOLTAIC SYSTEM | 2 |
Stefan Harrer | DE | Hampton | 2013-10-10 / 20130263946 - FUNCTIONALLY SWITCHABLE SELF-ASSEMBLED COATING COMPOUND FOR CONTROLLING TRANSLOCATION OF MOLECULE THROUGH NANOPORES | 1 |
Stefan Harrer | US | 2013-10-10 / 20130264219 - FUNCTIONALLY SWITCHABLE SELF-ASSEMBLED COATING COMPOUND FOR CONTROLLING TRANSLOCATION OF MOLECULE THROUGH NANOPORES | 1 | |
Marques B. Harrer | US | Richland | 2015-12-10 / 20150357174 - ION FUNNEL DEVICE | 1 |
Stefan Harrer | US | New York | 2015-07-30 / 20150209779 - MICRO-DROPLET FLUIDIC CELL FOR FAST IONIC CURRENT DETECTION USING NANOPORES | 15 |
Robert Harrer | AT | Graz | 2015-07-02 / 20150183921 - AQUEOUSLY DISPERSIBLE POLYURETHANE | 2 |
Karl Harrer | DE | Landshut | 2011-03-31 / 20110077763 - SYSTEM AND METHOD FOR LOW LEVEL CODE CALCULATION | 1 |
Manfred Harrer | DE | Stuttgart | 2011-03-17 / 20110061488 - STEERING WHEEL WITH AT LEAST ONE SHIFT PADDLE | 1 |
Stefan Harrer | AU | Hampton | 2016-05-19 / 20160139105 - DNA SEQUENCING USING MULTIPLE METAL LAYER STRUCTURE WITH DIFFERENT ORGANIC COATINGS FORMING DIFFERENT TRANSIENT BONDINGS TO DNA | 16 |
Michael Harrer | DE | Stuttgart | 2011-05-12 / 20110107985 - Handheld work apparatus having an air-cooled combustion engine | 2 |
Martin Harrer | AT | Wien | 2016-05-19 / 20160141669 - Pressure Compensation System Having a Safety Function for an Electrolytic Tank | 6 |
Stefan Harrer | DE | Erding | 2010-04-01 / 20100078854 - Nanotemplate arbitrary-imprint lithography | 1 |
Hubert Harrer | DE | Boeblingen | 2012-11-01 / 20120278519 - UPDATING INTERFACE SETTINGS FOR AN INTERFACE | 3 |
Thomas Harrer | DE | Weissach-Flacht | 2009-06-11 / 20090145995 - WINDING DEVICE FOR A FIELD COIL, PROCESSING EQUIPMENT AND ELECTRIC MACHINE | 1 |
Franz Harrer | DE | Bergen | 2013-08-29 / 20130225371 - TREADMILL ERGOMETER HAVING ADAPTED PULLING AND MEASURING UNITS FOR THERAPEUTIC APPLICATIONS AND FOR GAIT TRAINING AND RUNNING TRAINING | 1 |
Helmut Harrer | DE | Waldkraiburg | 2016-05-12 / 20160134061 - MULTI-WIRE SHIELDED CABLE AND METHOD FOR MANUFACTURING SUCH A CABLE | 1 |
Markus Harrer | DE | Marburg | 2015-07-23 / 20150203467 - METHOD FOR PRODUCING LINEAR AND/OR CYCLIC CARBONATE ESTERS | 1 |
Hubert Harrer | DE | Shoenaich | 2008-10-23 / 20080257592 - APPARATUS FOR BALANCING POWER PLANE PIN CURRENTS IN A PRINTED WIRING BOARD USING COLLINEAR SLOTS | 1 |
Thomas Harrer | DE | Waldenbuch | 2008-08-28 / 20080205471 - Adjusting the Composition of a Process Gas in a Laser Processing System | 1 |
Michael Harrer | DE | Pleinfeld | 2013-06-13 / 20130151126 - DEVICE AND METHOD FOR OPERATING A HAND-HELD WORKING APPARATUS | 1 |
Hubert Harrer | DE | Schoenaich | 2015-08-06 / 20150221575 - TRANSFERRING HEAT THROUGH AN OPTICAL LAYER OF INTEGRATED CIRCUITRY | 9 |
Heinrich Harrer | DE | Otterberg | 2012-10-18 / 20120263950 - PRESSURE-SENSITIVE ADHESIVE DISPERSION COMPRISING POLYMERS WITH UREIDO GROUPS OR UREIDO-ANALOGOUS GROUPS AND WITH GLYCIDYL GROUPS | 1 |
Hubert Harrer | DE | Schoenaich | 2015-08-06 / 20150221575 - TRANSFERRING HEAT THROUGH AN OPTICAL LAYER OF INTEGRATED CIRCUITRY | 9 |
Stefan Harrer | AU | Hampton | 2016-05-19 / 20160139105 - DNA SEQUENCING USING MULTIPLE METAL LAYER STRUCTURE WITH DIFFERENT ORGANIC COATINGS FORMING DIFFERENT TRANSIENT BONDINGS TO DNA | 16 |
Axel Harres | US | Columbia | 2011-12-01 / 20110296484 - AUDIO AND VIDEO TRANSMISSION AND RECEPTION IN BUSINESS AND ENTERTAINMENT ENVIRONMENTS | 1 |
Daniel Nelson Harres | US | Belleville | 2010-08-26 / 20100215358 - POWER LINE DIAGNOSTIC SYSTEM | 1 |
Ulrich Harres | DE | Nurnberg | 2015-07-16 / 20150200577 - ELECTRIC MACHINE FOR A VEHICLE, IN PARTICULAR FOR A UTILITY VEHICLE, AND METHOD FOR PROTECTION AGAINST INGRESS OF WATER | 1 |
Dennis Harres | DE | Stuttgart | 2014-03-27 / 20140085431 - OPTICAL ELEMENT, OPTICAL SYSTEM AND IMAGE PROCESSING METHOD | 2 |
Daniel N. Harres | US | Belleville | 2010-07-15 / 20100176939 - Opitcal Wireless Sensor Network | 5 |
Luiz Carlos Harres | US | Allen | 2013-07-25 / 20130186731 - Mail Sorter with Output Container Exchange | 2 |
Luiz C. Harres | US | Allen | 2010-05-20 / 20100122942 - Multi-Machine Mail Sorting System | 1 |
Bruce William Harrick | US | Sugar Land | 2012-07-12 / 20120176858 - Co-extruded marine sensor cable jacket with anti-fouling properties | 7 |
Bruce William Harrick | US | Cypress | 2015-08-13 / 20150226869 - Geophysical Cable Preparation for Antifouling Paint | 3 |
Bruce William Harríck | US | Cypress | 2012-07-12 / 20120176860 - System and Method for Using Biocide Coating to Prevent Marine Growth on Geophysical Equipment | 1 |
Shane Harriden | AU | New South Wales | 2009-12-10 / 20090302518 - Portable Clamp | 1 |
Scott S. Harried | US | Pittsburgh | 2016-03-17 / 20160075663 - BENZOIMIDAZOL-1,2-YL AMIDES AS Kv7 CHANNEL ACTIVATORS | 3 |
Scott Harried | US | Pittsburgh | 2015-01-29 / 20150031668 - CHROMAN DERIVATIVES AS TRPM8 INHIBITORS | 7 |
Scott S. Harried | US | Woodland Hills | 2012-08-02 / 20120195985 - GLYCINE TRANSPORTER-1 INHIBITORS | 2 |
Scott Harried | US | Woodland Hills | 2012-12-27 / 20120329830 - Amino Heteroaryl Compounds as Beta-Secretase Modulators and Methods of Use | 7 |
Colin Finley Harrier | US | Denver | 2011-02-17 / 20110037311 - Polymorphic tracked behicle | 1 |
Charles Dean Harrier | US | Montague | 2012-04-26 / 20120096692 - CASKET | 1 |
Ryan Harrier | US | Huntington Woods | 2012-02-02 / 20120023910 - Particulate Filter Regeneration Control System and Method | 1 |
Richard J. Harries | US | Chandler | 2014-07-24 / 20140203430 - INTERCONNECTION DESIGNS AND MATERIALS HAVING IMPROVED STRENGTH AND FATIGUE LIFE | 9 |
Dominic P. Harries | GB | Winchester | 2015-12-10 / 20150355833 - COLUMN AWARE SCROLLING | 5 |
Jonathan Harries | GB | Surrey | 2009-07-09 / 20090176604 - Collapsible ball game basket and apparatus for playing a ball game | 1 |
Brian Neal Harries | US | Long Beach | 2016-01-07 / 20160006088 - BATTERY THERMAL MANAGEMENT FOR HYBRID ELECTRIC VEHICLES USING A PHASE-CHANGE MATERIAL COLD PLATE | 1 |
Dominic Peter Harries | GB | Winchester | 2014-11-20 / 20140344657 - SYNCHRONISING SCREENSHOTS IN DOCUMENTATION WITH PRODUCT FUNCTIONALITY | 2 |
Richard J. Harries | US | Chandler | 2014-07-24 / 20140203430 - INTERCONNECTION DESIGNS AND MATERIALS HAVING IMPROVED STRENGTH AND FATIGUE LIFE | 9 |
Heinz-Georg Harries | DE | Biessen-Hofen | 2013-02-28 / 20130054101 - Vehicle Speed Limiting System | 1 |
Matthias Harries | DE | Lauf | 2013-12-05 / 20130325403 - METHOD FOR CALCULATING CONSUMPTION AND/OR A REMAINING RANGE OF A MOTOR VEHICLE AND MOTOR VEHICLE | 1 |
Iwan Rhys Harries | GB | Whitley Bay | 2013-10-24 / 20130276930 - FLEXIBLE PIPE BODY AND METHOD OF PRODUCING SAME | 1 |
Kimberly A. Harrigal | US | Pittsburgh | 2011-07-07 / 20110166951 - METHOD, APPARATUS AND SYSTEM FOR ADVANCING A BIDDER TO A SELECTED RANK | 1 |
Kelly A. Harrigan | US | Richmond | 2015-10-29 / 20150307225 - LOCKING ARRANGEMENT, CARTON, BLANK AND METHOD | 2 |
Katie Harrigan | US | Cordova | 2015-07-16 / 20150196689 - MULTIPHASIC BONE GRAFT SUBSTITUTE MATERIAL | 1 |
David P. Harrigan | US | Durham | 2011-06-30 / 20110161698 - System management controller entry into reduced power state | 2 |
Peter Harrigan | US | San Francisco | 2014-01-02 / 20140006316 - DISTRIBUTED NETWORK FOR PERFORMING COMPLEX ALGORITHMS | 3 |
Helen Kourous Harrigan | US | Monroe | 2014-09-11 / 20140253364 - MAP AWARE ADAPTIVE AUTOMOTIVE RADAR | 1 |
Dennis Michael Harrigan | US | Monroe | 2015-08-20 / 20150231967 - FUEL FILLER SPUD DIFFUSER | 1 |
Daniel Connolly Harrigan | US | Casselberry | 2015-03-05 / 20150065312 - MARTIAL ARTS SENSITIVITY AND SPEED TRAINING DEVICE AND METHOD | 2 |
Katie L. Harrigan | US | Cordova | 2011-06-23 / 20110152196 - ISOLATED EXTRACELLULAR MATRIX MATERIAL INCLUDING SUBSEROUS FASCIA | 1 |
Rachel Heather Harrigan | US | Old Lyme | 2008-10-30 / 20080269246 - METHOD FOR TREATING PEDIATRIC BIPOLAR DISORDER | 1 |
Ed Harrigan | US | Richmond | 2011-12-15 / 20110303409 - Downhole Fluid Injection | 1 |
Dennis Harrigan | US | Monroe | 2011-01-27 / 20110017178 - CANISTER PURGE CONTROL VALVE CONTROL SYSTEMS | 1 |
Katie L. Harrigan | US | Lafayette | 2013-11-21 / 20130310322 - ISOLATED EXTRACELLULAR MATRIX MATERIAL INCLUDING SUBSEROUS FASCIA | 1 |
Daryl Harrigan | GB | Coventry | 2011-07-14 / 20110168866 - ARRANGEMENT FOR FASTENING FUNCTIONAL UNITS IN A VEHICLE | 2 |
William C. Harrigan | US | Northridge | 2009-08-20 / 20090208359 - Method for producing powder metallurgy metal billets | 1 |
Allan Keith Harrigan | AU | Coffs Harbour | 2010-05-13 / 20100117364 - BUOYANCY HYDRO POWER GENERATOR AND METHOD | 1 |
Martin Harrigan | IE | County Tipperary | 2009-01-01 / 20090006555 - Method and System For Integration of Instant Messaging and Teleconferencing Via a Telephone Network | 1 |
Michael Harrigan | US | Leesburg | 2014-01-16 / 20140015259 - METHODS AND APPARATUS FOR INHIBITING MOVEMENT OF A DOOR | 1 |
Edward Harrigan | US | Richmond | 2016-03-24 / 20160084048 - Cohesively Enhanced Modular Perforating Gun | 17 |
Edward Harrigan | US | Richmond | 2016-03-24 / 20160084048 - Cohesively Enhanced Modular Perforating Gun | 17 |
Timothy Harrigan | US | Franklin | 2014-11-06 / 20140326553 - SHOCK ENERGY ABSORBER | 2 |
Matthew G. Harrigan | US | Del Mar | 2015-02-05 / 20150039751 - DYNAMIC PARALLEL COORDINATES VISUALIZATION OF NETWORK FLOWS | 2 |
William Ryan Harrigan | US | Hacienda Heights | 2013-11-07 / 20130294859 - CARGO RESTRAINT SYSTEM AND METHOD FOR RESTRAINING CARGO | 2 |
Matthew Harrigan | US | Horseheads | 2015-12-17 / 20150360771 - FLY BY WIRE SERVOS WITH INTERNAL LOOP CLOSURE | 4 |
William T. Harrigill | US | Montgomery | 2010-08-05 / 20100192873 - Burner Flashback Detection and System Shutdown Apparatus | 2 |
Mitchell C. Harrill | US | Rossville | 2013-07-25 / 20130190969 - Vehicle Integrated Wheel Alignment Monitoring System | 2 |
Connie Cabaniss Harrill | US | Shelby | 2014-10-30 / 20140325366 - DATA INTEGRATION | 1 |
Christopher Harrill | US | Mclean | 2014-09-18 / 20140282367 - SYSTEM AND METHOD FOR AUTOMATED WEB PROCESSING SERVICE WORKFLOW BUILDING AND APPLICATION CREATION | 1 |
J. Player Harrill | US | Solana Beach | 2009-02-26 / 20090054124 - SYSTEM AND METHODS FOR MULTI-PLATFORM TRADING CARD GAME | 2 |
Elena Harrill | US | Rossville | 2013-07-25 / 20130190969 - Vehicle Integrated Wheel Alignment Monitoring System | 2 |
Geraldine C. Harriman | US | Charlestown | 2016-04-21 / 20160108061 - ACC INHIBITORS AND USES THEREOF | 15 |
Geraldine C. Harriman | US | Charlestown | 2016-04-21 / 20160108061 - ACC INHIBITORS AND USES THEREOF | 15 |
David J. Harriman | US | Portland | 2016-05-19 / 20160140069 - PCI EXPRESS TUNNELING OVER A MULTI-PROTOCOL I/O INTERCONNECT | 49 |
Mike Harriman | US | Louisville | 2013-10-17 / 20130269531 - Membrane-Free Filter and/or Integral Framing for Filter | 3 |
Geraldine C.b. Harriman | US | Charlestown | 2016-03-10 / 20160068479 - CCR9 INHIBITORS AND METHODS OF USE THEREOF | 7 |
Paul J. Harriman | US | Hillsboro | 2010-05-06 / 20100109713 - CURRENT BALANCING CIRCUIT AND METHOD | 1 |
Kurt Harriman | US | Novato | 2016-04-07 / 20160099877 - INTERCONNECT FLOW CONTROL | 3 |
Douglas Harriman | US | Portland | 2014-07-31 / 20140210894 - PRINT SPEED DETERMINATION BASED ON A POWER BUDGET | 3 |
Paul J. Harriman | US | Goodyear | 2009-01-15 / 20090015217 - POWER SUPPLY CONTROLLER AND METHOD THEREFOR | 1 |
Robert B. Harriman | US | Cumberland | 2009-04-16 / 20090095492 - Fire fighting foam dispensing system and related method | 1 |
Robert W. Harriman | US | Delaware | 2015-02-12 / 20150047072 - PLANT GROWING SYSTEM CONTAINING A SUPER AMOUNT OF A CONTROLLED-RELLEASED FERTILIZER AND METHODS OF USING THE SAME | 3 |
Matt Harriman | GB | Swadlincote | 2012-01-05 / 20120001138 - Posts For Road Safety Barrier | 1 |
Paul J. Harriman | US | Belfair | 2014-02-20 / 20140049240 - MULTI-PHASE POWER SUPPLY CONTROLLER AND METHOD THEREFOR | 3 |
Clint C. Harriman | US | Avon | 2010-03-11 / 20100063343 - PROCESS FOR THE PURIFICATION OF METHANE GAS | 1 |
William D. Harriman | US | Saratoga | 2009-09-03 / 20090221434 - USE OF PARTICULATE LABELS IN BIOANALYTE DETECTION METHODS | 1 |
Kristin L. Harriman | US | Houston | 2014-10-23 / 20140314625 - APPARATUS AND METHOD FOR DETECTION OF TRACE CHEMICALS | 2 |
Paul Jay Harriman | US | Belfair | 2010-11-04 / 20100277961 - METHOD FOR INHIBITING THERMAL RUN-AWAY | 1 |
Stephen Harriman | AU | Goombungee | 2014-05-08 / 20140123373 - Apparatus for Use with a Helmet to Mitigate Condensation of Breath on a Visor | 1 |
Matthew Harriman | GB | Swadlincote | 2016-03-24 / 20160083917 - Road Safety Barrier | 2 |
David Harriman | US | Portland | 2016-03-10 / 20160070671 - COMMUNICATING A MESSAGE REQUEST TRANSACTION TO A LOGICAL DEVICE | 35 |
Geraldine Harriman | US | Charlestown | 2015-10-29 / 20150307477 - THERAPEUTIC COMPOUNDS AND RELATED METHODS OF USE | 5 |
Mark Edward Harriman | GB | Redcar | 2014-10-16 / 20140306164 - COMPOSITE MATERIALS COMPRISING CONDUCTIVE NANO-FILLERS | 1 |
Merrill Harriman | US | Hudson | 2015-03-05 / 20150066979 - DEVICE ADDRESS MANAGEMENT IN AN AUTOMATION CONTROL SYSTEM | 1 |
Anthony Harriman | GB | Newcastle | 2012-10-04 / 20120248758 - SECURITY DOCUMENT | 1 |
Paul Jay Harriman | US | Hillsboro | 2010-12-30 / 20100327827 - METHOD FOR BALANCING CURRENT | 1 |
William Don Harriman | US | Alameda | 2015-11-12 / 20150322168 - In Vivo Method for Generating Diversity in a Protein Scaffold | 6 |
Mark Harriman | GB | Northallerton | 2013-10-10 / 20130267659 - Benzoxazines and Compositions Containing the Same | 1 |
Adam Harriman | US | Palm Bay | 2016-03-03 / 20160061928 - System and Method for Locating a Point in Space | 2 |
Geraldine C. B. Harriman | US | Brookline | 2010-09-30 / 20100249174 - CCR1 ANTAGONISTS AND METHODS OF USE THEREFOR | 1 |
David Harriman | US | Portland | 2016-03-10 / 20160070671 - COMMUNICATING A MESSAGE REQUEST TRANSACTION TO A LOGICAL DEVICE | 35 |
Alan Harriman | US | Fernandina Beach | 2011-03-24 / 20110068028 - INDUSTRIAL AND UTILITY GLOVE | 1 |
Clinton C. Harriman | US | Avon | 2013-04-11 / 20130086939 - DISTRIBUTED LNG DEVICE | 1 |
Robert Harriman | US | Columbia | 2014-07-10 / 20140195515 - METHODS AND SYSTEMS FOR QUERYING AND DISPLAYING DATA USING INTERACTIVE THREE-DIMENSIONAL REPRESENTATIONS | 1 |
Steven K. Harriman | US | Taylorsville | 2016-02-11 / 20160040006 - ALDEHYDE SCAVENGERS AND METHODS FOR MAKING AND USING SAME | 1 |
Geraldine C. B. Harriman | US | Charlestown | 2012-02-23 / 20120046311 - CHEMOKINE RECEPTOR ANTAGONISTS AND METHODS OF USE THEREOF | 2 |
Geraldine C.b. Harriman | US | Brookline | 2016-02-04 / 20160031908 - CHEMOKINE RECEPTOR ANTAGONISTS AND METHODS OF USE THEREOF | 4 |
Joel Harringa | US | Ames | 2010-08-12 / 20100203353 - Pb-Free Sn-Ag-Cu-Mn Solder | 1 |
Joel Lee Harringa | US | Ames | 2013-07-25 / 20130186449 - RARE EARTH-DOPED MATERIALS WITH ENHANCED THERMOELECTRIC FIGURE OF MERIT | 1 |
Joel Harringa | US | 2013-10-24 / 20130280120 - Hard and Super-hard Metal Alloys and Methods for Making the Same | 1 | |
Joel L. Harringa | US | Ames | 2015-08-20 / 20150231741 - Pb-Free Sn-Ag-Cu-Al or Sn-Cu-Al Solder | 2 |
Robert Harrington | US | Ashville | 2010-10-28 / 20100269548 - Handcuff apparatus | 1 |
Donald E. Harrington | US | Moorpark | 2011-09-22 / 20110227779 - Activating Motion Detectors | 2 |
Edmund Martin Harrington | US | Plymouth | 2013-12-19 / 20130338159 - BI-HETEROARYL COMPOUNDS AS VPS34 INHIBITORS | 2 |
Kevin Harrington | US | Raleigh | 2009-04-23 / 20090105995 - SYSTEM AND METHOD FOR AUTOMATED BUILDING INCIDENT RESPONSE | 1 |
Robert W. Harrington | US | Ashville | 2009-03-26 / 20090077773 - Handcuff apparatus | 1 |
Francis Harrington | US | Peabody | 2013-01-10 / 20130012963 - MULTICOMPONENT FUSED SUTURE LOOP AND APPARATUS FOR MAKING SAME | 2 |
Donald Elliot Harrington | US | Moorpark | 2011-09-22 / 20110231150 - Difference Frequency Detection with Range Measurement | 2 |
Douglas Harrington | US | Redwood City | 2011-02-17 / 20110040146 - Method and Apparatus for Tubal Occlusion | 1 |
Edmund Martin Harrington | US | South Boston | 2011-11-17 / 20110281878 - INHIBITORS OF p38 | 2 |
Mark Harrington | US | Los Alamitos | 2009-12-24 / 20090314567 - Electric power tunnel apparatus | 1 |
John Jeremiah Akasean Harrington | US | Los Angeles | 2009-10-08 / 20090253558 - Bicycling exercise apparatus | 1 |
Francis P. Harrington | US | Peabody | 2009-07-16 / 20090182353 - THERMAL SUTURE WELDING APPARATUS AND METHOD | 2 |
John J. Harrington | US | Los Angeles | 2015-08-27 / 20150238797 - BICYCLING EXERCISE APPARATUS WITH MULTIPLE ELEMENT LOAD DISPERSION | 8 |
Demetrious Mark Harrington | US | Dartmouth | 2016-03-17 / 20160078820 - METHODS FOR DRIVING ELECTRO-OPTIC DISPLAYS | 4 |
Charles A. Harrington | US | Livermore | 2008-10-09 / 20080248587 - Methods for Verifying Fluid Movement | 1 |
James Carter Harrington | US | Hawthorne | 2012-06-28 / 20120159874 - BARRIER AND METHOD FOR OBSTRUCTING PASSAGE OF TERMITES ACROSS THE SURFACE OF A STRUCTURE | 2 |
Kendra S. Harrington | US | Irvine | 2013-02-21 / 20130046899 - IPV6 LAN-SIDE ADDRESS ASSIGNMENT POLICY | 5 |
Robert J. Harrington | US | Framingham | 2014-09-11 / 20140258064 - RIGHTS ESTABLISHING SYSTEM AND METHOD | 2 |
Phillip Dewayne Harrington | US | Lagrange | 2011-05-19 / 20110117824 - VANE, MOUNTING ASSEMBLY AND THROWING WHEEL APPARATUS HAVING A LOCKING MEMBER TAPERED IN TWO PLANES | 1 |
Edmund Harrington | US | Plymouth | 2015-06-04 / 20150152103 - AZAINDOLES USEFUL AS INHIBITORS OF JAK AND OTHER PROTEIN KINASES | 6 |
Douglas C. Harrington | US | San Jose | 2010-06-10 / 20100139668 - Method and Device For Treatment Of Obstructive Sleep Apnea | 3 |
Doug C. Harrington | US | San Jose | 2011-11-10 / 20110276070 - RADIOPAQUE IMPLANT | 1 |
John C. Harrington | US | Medfield | 2008-12-18 / 20080308285 - Corrosion resistant sprinklers, nozzles, and related fire protection components and systems | 1 |
Steven Merrill Harrington | US | Cardiff | 2013-07-25 / 20130185966 - Pulsed Supersonic Jet with Local High Speed Valve | 5 |
Adriane Harrington | US | Concord | 2011-08-18 / 20110201100 - SINGLE USE CELL CULTURE BIOREACTOR MANIFOLD SYSTEM | 1 |
Kendra Harrington | US | Irvine | 2011-12-22 / 20110314083 - MULTICAST AND SYNCHRONIZATION EMULATION FOR CONTENT TRANSFORMED STREAMS | 5 |
Steven Harrington | US | Webster | 2011-11-10 / 20110276866 - Method of multi-document aggregation and presentation | 1 |
James William Harrington | US | Moorpark | 2015-07-02 / 20150188701 - SCALABLE SOFTWARE ARCHITECTURE FOR QUANTUM CRYPTOGRAPHIC KEY MANAGEMENT | 2 |
Gregg D. Harrington | US | Redding | 2010-01-14 / 20100011300 - SHARING SKINS | 1 |
Steven M. Harrington | US | Cardiff By The Sea | 2016-02-18 / 20160045692 - NASAL CONTINUOUS POSITIVE AIRWAY PRESSURE DEVICE | 3 |
Steven M. Harrington | US | Cardiff | 2011-10-20 / 20110253147 - BREATHING APPARATUS | 5 |
Daniel J. Harrington | US | San Francisco | 2009-10-15 / 20090259525 - Internet Probability Sampling | 1 |
Allen James Harrington | US | League City | 2016-04-28 / 20160113257 - Rattling Swimbait Jig Head | 1 |
Joel E. Harrington | US | Redwood City | 2015-10-29 / 20150305899 - BRANCHED POLYHYDROXYALKANOATE SYSTEMS FOR BIORESORBABLE VASCULAR SCAFFOLD APPLICATIONS | 1 |
Michael Neil Harrington | US | Raleigh | 2012-12-27 / 20120327837 - METHOD, APPARATUS AND SYSTEM FOR PROVIDING EMERGENCY ALERTS TO MOBILE DEVICES | 1 |
David Harrington | US | Morgan Hill | 2009-07-02 / 20090167542 - Personal media device input and output control based on associated conditions | 1 |
Mark Thomas Harrington | GB | Cheltenham | 2014-09-18 / 20140277921 - SYSTEM AND METHOD FOR DATA ENTITY IDENTIFICATION AND ANALYSIS OF MAINTENANCE DATA | 1 |
Nicholas R. Harrington | US | Mason | 2012-12-27 / 20120328150 - METHODS FOR ASSISTING WITH OBJECT RECOGNITION IN IMAGE SEQUENCES AND DEVICES THEREOF | 1 |
Frank Harrington | US | San Diego | 2015-12-03 / 20150347744 - Self-Authenticating Intravascular Device and Associated Devices, Systems, and Methods | 1 |
Patrick Harrington | US | Sacramento | 2013-11-21 / 20130312043 - SYSTEM AND METHOD FOR SECURITY DATA ACQUISITION AND AGGREGATION ON MOBILE PLATFORMS | 5 |
Nick Robert Harrington | US | Boston | 2014-12-04 / 20140357962 - OBJECTIVE NON-INVASIVE METHOD FOR QUANTIFYING DEGREE OF ITCH USING PSYCHOPHYSIOLOGICAL MEASURES | 2 |
Michael Harrington | US | Plano | 2011-06-30 / 20110161215 - Method and System for Tracking Billing Information | 1 |
Drew Marshall Harrington | US | Oakland | 2008-11-27 / 20080294806 - PROGRAMMABLE SYSTEM-ON-CHIP HUB | 1 |
Steven L. Harrington | US | Henderson | 2015-11-19 / 20150333782 - Retrofit Automobile Radio | 1 |
Steven L. Harrington | US | Fullerton | 2014-07-03 / 20140185263 - RETROFIT AUTOMOBILE RADIO | 3 |
Roy Jerome Harrington | US | Liberty Township | 2015-01-29 / 20150030557 - BENEFIT AGENT EMULSIONS AND CONSUMER PRODUCTS CONTAINING SUCH EMULSIONS | 4 |
Paul E. Harrington | US | Camarillo | 2016-02-18 / 20160046618 - Cyclopropyl Fused Thiazin-2-Amine Compounds as Beta-Secretase Inhibitors and Methods of Use | 10 |
Stephanie Adi Soulen Harrington | US | Mentor | 2011-06-30 / 20110160776 - APPARATUS AND METHOD FOR BODY TISSUE FIXATION | 1 |
Michael G. Harrington | US | Spokane | 2013-12-05 / 20130320614 - SHEET DECELERATION APPARATUS AND METHOD | 2 |
Demetrious Mark Harrington | US | Cambridge | 2011-11-24 / 20110285754 - METHODS FOR DRIVING ELECTRO-OPTIC DISPLAYS | 1 |
Kevin Harrington | US | Libertyville | 2015-07-09 / 20150190272 - LUBRICATED VALVE FOR OSTOMY POUCH | 1 |
John J. Harrington | US | Mentor | 2011-09-08 / 20110217779 - Compositions and Methods for Non-Targeted Activation of Endogenous Genes | 2 |
Roger E. Harrington | US | Collierville | 2015-09-10 / 20150252088 - METHODS AND COMPOSITIONS COMPRISING HUMAN RECOMBINANT GROWTH AND DIFFERENTIATION FACTOR-5 (RHGDF-5) | 11 |
Alexander Hobbes Harrington | US | Ann Arbor | 2013-10-17 / 20130270161 - FLUID FILTERING DEVICE AND METHOD | 1 |
Timothy Harrington | US | Mountain View | 2013-05-02 / 20130111054 - SCALABLE AND EXTENDABLE STREAM PROCESSING | 2 |
Chris Harrington | GB | Cambridgeshire | 2015-10-22 / 20150299407 - FAST CURE EPOXY RESIN SYSTEMS | 1 |
Liberty Harrington | US | Seattle | 2015-08-27 / 20150239564 - AIRCRAFT INTERIOR LAVATORY | 4 |
David Harrington | CA | Port Hope | 2015-12-17 / 20150360889 - Vertically Stored Telescoping Lip Leveler | 2 |
Steve Harrington | US | Carlsbad | 2015-10-22 / 20150296917 - HARD HAT WITH FILTERED, BATTERY-OPERATED AIR FLOW SYSTEM AND METHOD | 2 |
Donal Harrington | IE | Blackrock | 2015-12-10 / 20150356717 - A LABEL INSPECTION SYSTEM AND METHOD | 1 |
Stephen Michael Harrington | US | Overland Park | 2015-12-10 / 20150352056 - MICROENCAPSULATION TECHNIQUE AND PRODUCTS THEREOF | 1 |
John Harrington | US | Ledgewood | 2014-05-22 / 20140141185 - HOT MELT ASSIST WATERBORNE ADHESIVES AND USE THEREOF | 1 |
Kevin Harrington | US | Suffield | 2013-03-28 / 20130078600 - SYSTEM AND METHOD OF PERVASIVE DEVELOPMENTAL DISORDER INTERVENTIONS | 1 |
Carmel Therese Harrington | AU | Sydney | 2014-05-29 / 20140144431 - METHOD AND APPARATUS FOR MONITORING THE CONDITION OF A PATIENT WITH DIABETES | 1 |
Daniel P. Harrington | US | Boise | 2015-02-12 / 20150042223 - INDUCTIVELY COUPLED LED LIGHTING SYSTEM | 2 |
Robert Jon Harrington | US | Golden | 2014-06-19 / 20140173105 - MANAGEMENT OF INFORMATION-TECHNOLOGY SERVICES | 1 |
Bruce Harrington | US | Midlothian | 2011-04-28 / 20110094030 - EXPANDABLE STORAGE SYSTEM FOR A METAL BED FRAME | 1 |
Bruce A. Harrington | US | Houston | 2015-06-11 / 20150158958 - Polyalphaolefins Prepared Using Modified Salan Catalyst Compounds | 17 |
Kevin Harrington | US | Houston | 2012-12-06 / 20120306196 - ANTI-BACK OFF DEVICE FOR DOWN HOLE TOOLS AND DRIVE SYSTEMS | 3 |
Barry Noel Harrington | IE | Dublin | 2011-12-01 / 20110293641 - A VACCINIA VIRUS PROTEIN A46 PEPTIDE AND USE THEREOF | 1 |
David Michel Harrington | US | Dallas | 2016-03-17 / 20160076307 - COMPOSITE DIAPHRAGM FOR ROLLER CONE PRESSURE COMPENSATION SYSTEM | 9 |
Craig D. Harrington | US | Cleburne | 2010-03-11 / 20100058986 - SYSTEM AND METHOD FOR PLASMA PLATING | 1 |
Timothy A. Harrington | US | San Clemente | 2011-05-26 / 20110120791 - Seat panel and gunner's turret panel for transporting miscellaneous equipment for use in military vehicles | 1 |
Daniel A. Harrington | US | Houston | 2014-10-02 / 20140294960 - IMPLANTABLE MODULAR HYDROGEL FOR SALIVARY GLAND RESTORATION | 2 |
Steven Lee Harrington | US | Austin | 2009-02-26 / 20090055280 - Pay at the Table System | 2 |
Ryan Matthew Harrington | US | Houston | 2014-07-31 / 20140209510 - HYDROGEN SULFIDE SCAVENGERS | 1 |
Anthony A. Harrington | US | Granite Falls | 2009-05-07 / 20090114770 - AIRCRAFT CART TRANSPORT AND STOWAGE SYSTEM | 1 |
Lester G. Harrington | US | Lafayette | 2013-07-11 / 20130175016 - HEAT EXCHANGER | 2 |
Kevin E. Harrington | US | Houston | 2014-11-13 / 20140332205 - Anchor Slip and Seal Locking Mechanism | 2 |
Jeff Harrington | US | Laporte | 2014-07-24 / 20140202205 - REACTOR LIQUID COOLDOWN METHOD | 3 |
Robin M. Harrington | US | Leicester | 2013-07-04 / 20130168079 - CONSTRUCTION ARTICLES AND METHODS OF FORMING SAME | 2 |
Shaun E. Harrington | US | Sahuarita | 2016-04-21 / 20160110565 - STORAGE SYSTEM SECURITY | 5 |
David Michel Harrington | US | Dallas | 2016-03-17 / 20160076307 - COMPOSITE DIAPHRAGM FOR ROLLER CONE PRESSURE COMPENSATION SYSTEM | 9 |
J. Brian Harrington | US | Chelmsford | 2016-04-07 / 20160097846 - Systems and Methods for Ultrasound Beamforming | 1 |
John J. Harrington | US | Clayton | 2016-04-21 / 20160108432 - DROPLET ACTUATOR FOR ELECTROPORATION AND TRANSFORMING CELLS | 1 |
Drew Harrington | US | San Jose | 2015-04-23 / 20150109263 - ACTIVE PEN WITH IMPROVED INTERFERENCE PERFORMANCE | 1 |
Richard H. Harrington | US | Dexter | 2014-07-24 / 20140203939 - CONTROL AND MONITORING OF LIGHT-EMITTING-DIODE (LED) BULBS | 7 |
Mark Thomas Harrington | GB | Tewkesbury | 2015-01-15 / 20150019065 - SYSTEM, METHOD, AND APPARATUS FOR SCHEDULING AIRCRAFT MAINTENANCE EVENTS | 4 |
John Harrington | US | Cleveland | 2014-09-18 / 20140275035 - THIENYLINDOLE AZEPINES AS SEROTONIN 5-HT2C RECEPTOR LIGANDS AND USES THEREOF | 2 |
Matthew Harrington | GB | Crawley West Sussex | 2011-12-08 / 20110300460 - FUEL CELL STACK FLOW HOOD AIR FLOW USING AN AIR DISTRIBUTION DEVICE | 1 |
Charles Robert Harrington | GB | Aberdeen | 2016-02-25 / 20160051559 - 3, 7-DIAMINO-10H-PHENOTHIAZINE SALTS AND THEIR USE | 11 |
Guy Harrington | GB | London | 2015-07-30 / 20150213091 - SOCIAL MATCHING AND INTERACTION | 1 |
Dennis Andrew Harrington | US | Mount Airy | 2015-10-15 / 20150292513 - SEMI-HERMETIC COMPRESSOR MOTOR FOR AMMONIA SERVICE | 1 |
Steve M. Harrington | US | Cardiff | 2012-06-14 / 20120145362 - TURBULATOR FOR LIQUID COOLING SYSTEM FOR COMPUTERS | 1 |
Joel Harrington | US | Redwood City | 2016-03-24 / 20160081827 - THERMAL PROCESSING OF POLYMER SCAFFOLDS | 8 |
Matthew Rolf Harrington | AU | Gosford | 2016-01-28 / 20160022954 - HUMIDIFIER RESERVOIR | 1 |
Essa Hu Harrington | US | Camarillo | 2016-04-14 / 20160102075 - UNSATURATED NITROGEN HETEROCYCLIC COMPOUNDS USEFUL AS PDE10 INHIBITORS | 6 |
Timothy S. Harrington | US | Rochester | 2015-08-06 / 20150221079 - Augmented Three Dimensional Point Collection of Vertical Structures | 1 |
Scott Harrington | CA | Toronto | 2013-06-06 / 20130142181 - APPARATUS AND METHOD OF OPERATION FOR A REMOTE CONTROL SYSTEM | 1 |
Bruce Allan Harrington | US | Houston | 2013-04-11 / 20130090278 - HIGH EFFICIENCY ENGINE OIL COMPOSITIONS | 4 |
Fiona Harrington | DE | Bad Soden | 2015-10-15 / 20150289638 - HEAD FOR AN ORAL CARE IMPLEMENT | 2 |
John Harrington | US | Binghamton | 2015-10-15 / 20150294653 - Guitar Pick | 1 |
Douglas C. Harrington | US | Santa Clara | 2011-12-22 / 20110308527 - Method and Apparatus for Tubal Occlusion | 1 |
J. Carey Harrington | US | Slidell | 2014-07-31 / 20140214323 - SYSTEM AND METHOD FOR BIO-OPTICAL ENVIRONMENTAL RECONNAISSANCE | 1 |
Alex Harrington | US | Columbus | 2016-03-17 / 20160076429 - ADJUSTABLE MOUNTING SYSTEM FOR AFTERTREATMENT OR EXHAUST COMPONENTS | 1 |
David Harrington | US | Fairfield | 2016-02-18 / 20160049271 - PYROTECHNICALLY ACTUATED SWITCH | 1 |
James P. Harrington | US | Del Mar | 2016-04-14 / 20160101330 - GOLF CLUB HEAD WITH VARIABLE CENTER OF GRAVITY | 1 |
Patrick Harrington | US | San Francisco | 2015-02-19 / 20150052003 - Providing Personalized Item Recommendations Using Scalable Matrix Factorization With Randomness | 1 |
David Harrington | US | North Chelmsford | 2014-09-18 / 20140266135 - MULTI-LEVEL STEP-UP CONVERTER TOPOLOGIES, CONTROL AND SOFT START SYSTEMS AND METHODS | 2 |
Douglas C. Harrington | US | Los Altos Hills | 2015-06-04 / 20150151077 - Devices And Methods For Renal Denervation | 3 |
Scott Harrington | US | Oakland | 2016-04-21 / 20160111583 - ETCHING PROCESSES FOR SOLAR CELL FABRICATION | 10 |
Scott A. Harrington | US | Decatur | 2015-10-08 / 20150285909 - Sonar Mapping System | 1 |
Mike Harrington | US | Seattle | 2008-11-20 / 20080285892 - SYSTEM AND METHOD FOR IN-BROWSER PHOTO EDITING | 1 |
Andrea K. Harrington | US | Lee'S Summit | 2016-05-05 / 20160125143 - IDENTIFICATION, STRATIFICATION, AND PRIORITIZATION OF PATIENTS WHO QUALIFY FOR CARE MANAGEMENT SERVICES | 1 |
Darrell Harrington | US | Pasadena | 2014-07-03 / 20140184892 - AUTOFOCUS CAMERA SYSTEMS AND METHODS | 3 |
Michael J. Harrington | US | Bellevue | 2008-10-02 / 20080237147 - SYSTEMS AND METHODS FOR EXTRACTING AND PURIFYING WATER FROM GROUNDWATER SOURCES | 1 |
Sara Harrington | US | Atherton | 2015-02-05 / 20150040016 - SETTINGS MANAGEMENT OF AN ONLINE SERVICE | 2 |
Bradley Mason Harrington | US | Carrollton | 2011-06-23 / 20110149623 - ACTIVE PARASITE POWER CIRCUIT | 1 |
John Harrington | US | Boca Raton | 2014-10-30 / 20140318378 - CONTENT CARTRIDGE | 1 |
Matthew Ray Harrington | US | Carrollton | 2011-06-23 / 20110149623 - ACTIVE PARASITE POWER CIRCUIT | 1 |
Albert Harrington | US | Fountain Inn | 2011-06-23 / 20110149477 - SOLID ELECTROLYTIC CAPACITOR AND METHOD OF MANUFACTURE | 1 |
Todd Harrington | US | Golden | 2014-08-28 / 20140243909 - BONE SCREW RETAINING SYSTEM | 3 |
Michael D. Harrington | US | West Hartford | 2013-07-11 / 20130177830 - FUEL CELL ASSEMBLY SEALING ARRANGEMENT | 1 |
Kara Harrington | US | Santa Rosa | 2015-05-28 / 20150148791 - SYSTEMS, DEVICES AND METHODS FOR CRYOGENIC RENAL NEUROMODULATION | 1 |
James C. Harrington | US | Palm Desert | 2015-05-28 / 20150143972 - ALUMINUM COPPER WRAP WIRE FOR MUSICAL INSTRUMENTS | 1 |
Ian Harrington | US | Brooklyn | 2015-04-23 / 20150112695 - SYSTEM AND METHOD FOR MANAGING CLINICAL TREATMENT DISPENSATION | 1 |
Paul B. Harrington | US | 2011-07-14 / 20110172020 - Indoor golf course | 1 | |
Claude Lee Harrington | US | Indianapolis | 2008-09-04 / 20080212754 - Method and System for Providing Enhanced Call Waiting | 1 |
James Harrington | US | Lasvegas | 2011-03-31 / 20110078028 - ONLINE INTERACTIVE WEBSITE | 1 |
Heath E. Harrington | US | Columbia | 2015-03-26 / 20150082741 - METHOD OF MAKING A FLOOR PANEL | 4 |
Christopher M. Harrington | US | Milford | 2010-03-04 / 20100051890 - WINCH SYSTEM FOR VTOL AIRCRAFT | 1 |
Michael D. Harrington | US | Enfield | 2011-07-14 / 20110171557 - INLET MANIFOLD WITH GUIDING STRUCTURE FOR FUEL CELL | 1 |
Scott Harrington | US | Westwood | 2011-02-10 / 20110035010 - TOROID-SHAPED SPINAL DISC | 3 |
Jim Harrington | US | Alpharetta | 2011-01-13 / 20110005602 - Rainwater Collection and Redistribution System | 2 |
Steven M. Harrington | US | 2010-12-09 / 20100307011 - COMBINATION BLOWER, TRIMMER AND EDGER FOR TENDING VEGETATION | 1 | |
Peter B. Harrington | US | Gilbert | 2010-10-28 / 20100270994 - ADJUSTABLE VOLTAGE REGULATOR FOR PROVIDING A REGULATED OUTPUT VOLTAGE | 2 |
Charles Robert Harrington | GB | Scotland | 2011-07-14 / 20110171739 - LIGANDS FOR AGGREGATED TAU MOLECULES | 1 |
Calvin C. Harrington | US | Bozeman | 2010-09-30 / 20100242503 - METHODS & APPARATUS FOR PROVIDING ROTATIONAL MOVEMENT AND THERMAL STABILITY TO A COOLED SAMPLE | 1 |
Roger Harrington | US | Collierville | 2010-09-30 / 20100247441 - SITE LOCALIZATION AND METHODS FOR MONITORING TREATMENT OF DISTURBED BLOOD VESSELS | 1 |
Roger E. Harrington | US | Collierville | 2015-09-10 / 20150252088 - METHODS AND COMPOSITIONS COMPRISING HUMAN RECOMBINANT GROWTH AND DIFFERENTIATION FACTOR-5 (RHGDF-5) | 11 |
Scott Harrington | US | Oakland | 2016-04-21 / 20160111583 - ETCHING PROCESSES FOR SOLAR CELL FABRICATION | 10 |
Heath Harrington | US | Columbia | 2010-09-30 / 20100247834 - Floor Panel and Floating Floor System Incorporating the Same | 1 |
Christopher Harrington | US | Greenwich | 2010-09-30 / 20100251300 - Interactive Display Highlight Systems, Methods, and Computer Program Products | 2 |
Laurie E. Harrington | US | Birmingham | 2010-08-12 / 20100203009 - Pathway for Th-17 Cell Development and Methods Utilizing Same | 1 |
James B. Harrington | US | Seminole | 2010-08-12 / 20100205614 - ZERO-POWER EVENT DETECTOR | 1 |
James R. Harrington | US | Leeds | 2010-07-29 / 20100189909 - PIPE PAINTING APPARATUS | 1 |
Joel Harrington | US | Redwood City | 2016-03-24 / 20160081827 - THERMAL PROCESSING OF POLYMER SCAFFOLDS | 8 |
Brandon Harrington | US | Tulsa | 2010-06-24 / 20100156566 - Q ENHANCEMENT IN MICROMACHINED LATERAL-EXTENSIONAL RESONATORS | 1 |
Jonathan J. Harrington | US | Madison | 2016-03-24 / 20160083803 - METHODS AND MATERIALS FOR DETECTING COLORECTAL NEOPLASM | 8 |
George Harrington | US | Atlantic Highlands | 2013-02-21 / 20130046676 - METHOD AND SYSTEM FOR TRACKING DERIVATIVES POSITIONS AND MONITORING CREDIT LIMITS | 2 |
Daniel Harrington | US | Boise | 2013-06-27 / 20130164941 - PLASMA REACTOR WITH ADJUSTABLE PLASMA ELECTRODES AND ASSOCIATED METHODS | 2 |
Timothy Harrington | US | Madison | 2010-03-11 / 20100063848 - SYSTEM AND METHOD FOR COLLECTING AND MANAGING PATIENT DATA | 1 |
Peter F. Harrington | US | Tucson | 2009-12-03 / 20090296319 - METHODS AND APPARATUS FOR A MODULAR UTILITY CONNECTION SYSTEM | 1 |
John Harrington | US | Newark | 2009-08-27 / 20090211719 - RETENTION AND DRAINAGE IN THE MANUFACTURE OF PAPER | 1 |
Albert Kennedy Harrington | US | Fountain Inn | 2009-08-20 / 20090205970 - CAPACITOR CONTAINING ALUMINUM ANODE FOIL ANODIZED IN LOW WATER CONTENT GLYCERINE-PHOSPHATE ELECTROLYTE WITHOUT A PRE-ANODIZING HYDARATION STEP | 2 |
Peter J. Harrington | US | Louisville | 2009-08-13 / 20090203901 - Process for preparing pyrazole functionalized benzodiazepinones | 1 |
John C. Harrington | US | Newark | 2015-02-12 / 20150041090 - POLYETHYLENE OXIDE TREATMENT FOR DRAINAGE AGENTS AND DRY STRENGTH AGENTS | 3 |
William J. Harrington | US | Munster | 2009-07-16 / 20090178354 - Method of manufacturing poly-bonded framed panels | 1 |
Ann Harrington | US | Duluth | 2009-06-11 / 20090145893 - BUCKY WARMER WITH HOLDER | 2 |
Scott Allen Harrington | US | Atlanta | 2009-06-04 / 20090141590 - Flasher sonar device with interleaved zoom | 2 |
Steve Harrington | US | Cardiff | 2015-08-06 / 20150223367 - Computer Cooling System And Method of Use | 6 |
Jack L. Harrington | US | Montgomery | 2009-05-21 / 20090126330 - ROTARY CUTTERS | 1 |
Paul Harrington | US | Naperville | 2009-04-30 / 20090109979 - SYSTEM AND METHOD FOR A MOBILE ACCESS FEMTOCELL | 1 |
Myles C. S. Harrington | US | Pittsburgh | 2008-11-27 / 20080294544 - PROCESS AND APPARATUS FOR CONDUCTING AUCTIONS OVER ELECTRONIC NETWORKS | 2 |
Albert K. Harrington | US | Fountain Inn | 2008-10-09 / 20080244885 - METHOD FOR FORMING A CAPACITOR HAVING A COPPER ELECTRODE AND A HIGH SURFACE AREA ALUMINUM INNER LAYER | 1 |
David Harrington | US | Nashua | 2012-04-05 / 20120081125 - Integrated MOSFET Current Sensing for Fuel-Gauging | 1 |
Tony Allen Harrington | US | Windsor | 2015-01-15 / 20150016942 - SYSTEM AND METHOD FOR LIFTING AN ELECTRONICS PANEL | 1 |
Stacey B. Harrington | US | Attleboro Falls | 2012-09-06 / 20120226771 - Remote Monitoring Systems And Methods For Medical Devices | 2 |
Michael D. Harrington | US | Windsor Locks | 2013-09-05 / 20130230789 - FUEL CELL ASSEMBLY WITH ANTI-CLOCKING FEATURES AT THE ENDS OF THE CELL STACK ASSEMBLY | 2 |
Sean C. Harrington | US | Rochester | 2012-07-19 / 20120183966 - DETECTION AND QUANTITATION OF INSULIN RECEPTOR ALPHA ISOFORM OR BETA ISOFORM | 1 |
Steven J. Harrington | US | Webster | 2013-03-28 / 20130077113 - SYSTEM AND METHOD EMPLOYING 3D MODELS IN VIRTUAL RENDERING OF A PRINT PRODUCTION PIECE | 35 |
Roger Harrington | IE | Athlone | 2015-09-24 / 20150265791 - TRACHEAL TUBE WITH FACILITY TO VIEW INNER CANNULA | 8 |
Kevin F. Harrington | US | Huntington | 2009-02-19 / 20090048962 - Interactive Security Brokerage System | 1 |
Raymond J. Harrington | US | Staatsburg | 2013-11-07 / 20130297773 - UNIFIED CLOUD COMPUTING INFRASTRUCTURE TO MANAGE AND DEPLOY PHYSICAL AND VIRTUAL ENVIRONMENTS | 8 |
John J. Harrington | US | Los Angeles | 2015-08-27 / 20150238797 - BICYCLING EXERCISE APPARATUS WITH MULTIPLE ELEMENT LOAD DISPERSION | 8 |
Jonathan J. Harrington | US | Madison | 2016-03-24 / 20160083803 - METHODS AND MATERIALS FOR DETECTING COLORECTAL NEOPLASM | 8 |
Jeffrey M. Harrington | US | Brooklyn | 2014-07-03 / 20140189764 - ENHANCED VIDEO PROGRAMMING SYSTEM AND METHOD UTILIZING USER-PROFILE INFORMATION | 2 |
Michael D. Harrington | US | Burlington | 2013-12-26 / 20130344418 - FUEL CELL BONDING METHOD AND ARRANGEMENT | 2 |
Steven Jay Harrington | US | Webster | 2012-01-19 / 20120015789 - PEEL-OFF LABEL AREA ON PACKAGING STOCK | 2 |
Patrick Harrington | US | Massapequa | 2010-11-11 / 20100281783 - SYSTEM AND APPARATUS FOR SHIELDING PROPERTY | 1 |
Patrick Harrington | US | Rochester | 2009-02-19 / 20090046305 - Controlling dfe color management behavior in a distributed system | 1 |
Patrick R. Harrington | US | Rochester | 2010-11-11 / 20100284615 - SPATIALLY BASED TRANSFORMATION OF SPOT COLORS | 2 |
Jonathan J. Harrington | US | Zumbro Falls | 2011-07-28 / 20110183328 - DETECTING NEOPLASM | 1 |
James Patrick Harrington | US | Del Mar | 2014-08-07 / 20140221123 - GOLF CLUB APPARATUS AND METHOD | 1 |
Terry R. Harrington | US | Albertville | 2014-07-24 / 20140205720 - Coated Food Product and Method of Preparation | 2 |
Rick Harrington | US | Dexter | 2016-05-12 / 20160128769 - SURGICAL DEVICE FOR THE REMOVAL OF TISSUE EMPLOYING A VIBRATING BEAM WITH COLD PLASMA STERILIZATION | 2 |
Richard H. Harrington | US | 2014-03-20 / 20140076066 - MULTICAPACITOR FORCE/MOMENT SENSOR ARRAYS | 1 | |
Raymond J. Harrington | US | Staatsburg | 2013-11-07 / 20130297773 - UNIFIED CLOUD COMPUTING INFRASTRUCTURE TO MANAGE AND DEPLOY PHYSICAL AND VIRTUAL ENVIRONMENTS | 8 |
Robert Harrington | US | Asheville | 2014-05-15 / 20140131435 - Article identification apparatus and method of using same | 2 |
Scott E. Harrington | US | Carrboro | 2016-02-18 / 20160048917 - AUTOMATED TRADING | 12 |
Roger Harrington | DK | Skaevinge | 2015-11-12 / 20150320939 - Injection Device with Integrated Needle Shield | 2 |
Raymond J. Harrington | US | Poughkeepsie | 2013-08-15 / 20130212415 - Partition Level Power Management Using Fully Asynchronous Cores with Software that has Limited Asynchronous Support | 2 |
Brandon Harrington | US | Chicago | 2016-02-04 / 20160037261 - Composite Back Plate And Method Of Manufacturing The Same | 4 |
Doug Harrington | US | San Clemente | 2015-12-31 / 20150376704 - BIOMARKER ASSAY FOR DIAGNOSIS AND CLASSIFICATION OF CARDIOVASCULAR DISEASE | 2 |
Richard D. Harrington | US | Cincinnati | 2009-04-02 / 20090084924 - DOCUMENT HOLDER FOR CARTS | 1 |
Nathan J. Harrington | US | Cary | 2014-11-20 / 20140343941 - VISUALIZATION INTERFACE OF CONTINUOUS WAVEFORM MULTI-SPEAKER IDENTIFICATION | 13 |
William Harrington | US | Charlestown | 2016-02-04 / 20160029859 - CLEANING DEVICES WITH SELECTIVELY FLEXIBLE OR RIGID HANDLES | 2 |
Thomas R. Harrington | US | Margate | 2013-10-10 / 20130265034 - METHOD AND APPARATUS OF DYNAMIC FAST SPECTRAL SURVEY | 2 |
Clifford J. Harrington | US | San Francisco | 2016-03-24 / 20160082354 - DYNAMIC ADJUSTMENT OF DIFFICULTY IN AN ONLINE GAME BASED ON HARDWARE OR NETWORK CONFIGURATION | 6 |
Ross D. Harrington | CA | Victoria | 2015-05-14 / 20150129721 - IN-FLIGHT KITE DEFLATION AND CONTROL SYSTEMS | 1 |
Calvin Harrington | US | Bozeman | 2012-06-07 / 20120140236 - Spatial Spectral Photonic Receiver for Direction Finding via Wideband Phase Sensitive Spectral Mapping | 1 |
Adam Neil Harrington | US | Mt. Juliet | 2014-10-09 / 20140299070 - ADJUSTABLE WEIGHT TOY BALL | 1 |
Kevin M. Harrington | US | Libertyville | 2012-09-13 / 20120232504 - MULTI-LAYER FILM AND OSTOMY PRODUCT MADE THEREFROM | 1 |
Peter Harrington | GB | Essex | 2015-01-22 / 20150020470 - MODULAR WALLING SYSTEMS | 2 |
Timothy Andrew Harrington | US | Mountain View | 2014-09-11 / 20140258267 - Aggregating and Searching Social Network Images | 3 |
Bruce Harrington | US | Plainville | 2014-03-06 / 20140067714 - METHODS AND APPARATUS FOR PERFORMING AN ANALYSIS OF SUSTAINABILITY OF A RETIREMENT INVESTMENT PORTFOLIO | 1 |
John Harrington | US | Deerfield Beach | 2012-05-03 / 20120102793 - IRON WITH LIP FOR IRONING HARD TO REACH AREAS ON A GARMENT | 3 |
Matthew Harrington | US | Pleasanton | 2015-12-31 / 20150374729 - DISINFECTANT DELIVERY SYSTEM AND METHOD FOR DISINFECTION | 1 |
Michael Hugh Harrington | US | Portland | 2015-05-07 / 20150124576 - ENCODING DATA | 1 |
Dennis Todd Harrington | TW | Taoyuan City | 2014-03-20 / 20140081975 - METHODS AND SYSTEMS FOR MEDIA FILE MANAGEMENT | 1 |
Kendra S. Harrington | US | Bainbridge Island | 2014-03-13 / 20140071849 - INTERNET PRESENCE FOR A HOME NETWORK | 2 |
Cormac Harrington | IE | Cork | 2015-09-03 / 20150249458 - ON-CHIP ANALOG-TO-DIGITAL CONVERTER (ADC) LINEARITY TEXT FOR EMBEDDED DEVICES | 2 |
Paul E. Harrington | US | Camarillo | 2016-02-18 / 20160046618 - Cyclopropyl Fused Thiazin-2-Amine Compounds as Beta-Secretase Inhibitors and Methods of Use | 10 |
Jason Harrington | US | Louisville | 2015-09-24 / 20150266414 - Automatic Braking Indicator | 1 |
Brian J. Harrington | US | Cape Elizabeth | 2015-08-13 / 20150225958 - SKYLIGHT AND METHOD OF FABRICATING THE SAME | 4 |
Raymond Brian Harrington | AU | Cordering | 2011-03-10 / 20110059782 - WEED AND VOLUNTEER CROP SEED DESTRUCTION | 1 |
John M. Harrington | US | Athens | 2013-11-28 / 20130315984 - ANTI-TRYPANOSOMAL PEPTIDES AND USES THEREOF | 2 |
Sophie-Ann Harrington | GB | Cambridge | 2011-06-09 / 20110136670 - HIGH TEMPERATURE SUPERCONDUCTORS | 1 |
M. Neil Harrington | US | Raleigh | 2012-02-09 / 20120033133 - CLOSED CAPTIONING LANGUAGE TRANSLATION | 1 |
John T. Harrington | US | Cary | 2014-04-17 / 20140108611 - PATIENT SUPPORT, COMMUNICATION, AND COMPUTING APPARATUS | 3 |
Roger Harrington | IE | Athlone | 2015-09-24 / 20150265791 - TRACHEAL TUBE WITH FACILITY TO VIEW INNER CANNULA | 8 |
Michael Harrington | US | Spokane | 2013-10-03 / 20130259631 - APPARATUS AND METHOD FOR FEEDING AND CONVEYING ITEMS | 4 |
Carmel Therese Harrington | AU | New South Wales | 2010-12-16 / 20100313891 - RESPIRATORY MASK | 1 |
Ross Harrington | CA | Victoria | 2014-01-16 / 20140014778 - Inflatable Kite with Leading Edge Swept Forwards at Wingtip | 5 |
Peter G. Harrington | US | Shoreline | 2015-11-05 / 20150313465 - UNREVERSED PRISM GONIOSCOPY LENS ASSEMBLY | 2 |
Jeffrey M. Harrington | US | Vancouver | 2012-07-05 / 20120168003 - REMOTE CONTROL FOR HOSE OPERATION | 3 |
Susan Harrington | US | Rochester | 2013-05-16 / 20130122021 - B7-H3 IN CANCER | 1 |
Myles C.s. Harrington | US | Pittsburgh | 2015-04-09 / 20150100472 - PROCESS AND APPARATUS FOR CONDUCTING AUCTIONS OVER ELECTRONIC NETWORKS | 3 |
Kathryn G. Harrington | US | Fort Mill | 2013-05-09 / 20130112748 - METHOD AND SYSTEM FOR EXCEPTION PROCESSING OF MICR DOCUMENTS | 1 |
Frederick Harrington | US | Kissimmee | 2014-01-02 / 20140000041 - MUTLI-PURPOSE PAINT CAN TOOL AND METHOD | 1 |
Anthony Harrington | US | Woodinville | 2009-02-12 / 20090043347 - Method and system for characterizing supraventricular rhythm during cardiac pacing | 2 |
Albert K. Harrington | US | Simpsonville | 2013-09-26 / 20130250486 - Solid Electrolytic Capacitor and Method of Manufacture | 1 |
Paul S. Harrington | US | Seattle | 2008-12-11 / 20080307411 - SOFTWARE EXECUTION WITH MINIMAL IMPACT DEPLOYMENT | 1 |
Ross Davis Harrington | CA | Victoria | 2016-02-04 / 20160031537 - ADJUSTABLE CIRCUMFERENTIAL SEAL | 2 |
Susan M. Harrington | US | Rochester | 2014-11-13 / 20140335541 - B7-H1 AND B7-H4 IN CANCER | 2 |
Carmel Therese Harrington | AU | Coogee | 2009-01-08 / 20090007918 - Method and Apparatus for Monitoring the Condition of a Patient with Diabetes | 1 |
Michael Harrington | IE | Cork | 2008-11-20 / 20080285597 - SYNCHRONIZING SEPARATED EDGE QAM DEVICES LOCATED REMOTELY FROM A CMTS | 1 |
Scott E. Harrington | US | Carrboro | 2016-02-18 / 20160048917 - AUTOMATED TRADING | 12 |
Ryan Harrington | US | Houston | 2015-02-05 / 20150037202 - ORGANIC DISULFIDE BASED CORROSION INHIBITORS | 1 |
Michael Harrington | US | Seattle | 2010-04-08 / 20100086234 - SYSTEM AND METHOD FOR PRESERVING EDITING HISTORY IN AN IN-BROWSER PHOTO-EDITING APPLICATION | 1 |
Matthew Rolf Harrington | AU | Sydney | 2014-09-18 / 20140264975 - HUMIDIFIER RESERVOIR | 1 |
David Harrington | US | Dallas | 2015-05-14 / 20150129316 - Top Mounted Choke For Percussion Tool | 5 |
Carolyn J. Harrington | US | Pittsford | 2012-08-30 / 20120219644 - COCONUT OIL-BASED INTRANASAL COMPOSITION AND USE | 2 |
Bruce Harrington | US | Superior | 2014-09-18 / 20140278849 - METHODS AND SYSTEMS FOR INTERNET-BASED MULTI-LEVEL MARKETING | 1 |
Robert A. Harrington | US | Pittsford | 2012-08-30 / 20120219644 - COCONUT OIL-BASED INTRANASAL COMPOSITION AND USE | 2 |
Bruce Alan Harrington | US | Houston | 2012-02-16 / 20120041108 - STABILIZED DYNAMICALLY VULCANIZED THERMOPLASTIC ELASTOMER COMPOSITIONS USEFUL IN FLUID BARRIER APPLICATIONS | 1 |
Paul Harrington | US | Seattle | 2015-12-31 / 20150378742 - RULE-BASED ACTIVATION OF BEHAVIORS IN AN EXTENSIBLE SOFTWARE APPLICATION | 2 |
Chris Devel Harrington | US | Mt Juliet | 2014-10-09 / 20140299070 - ADJUSTABLE WEIGHT TOY BALL | 1 |
Scott Harrington | US | San Jose | 2014-10-23 / 20140316468 - CROSS CONNECTOR SYSTEM | 1 |
Elizabeth Harrington | US | Menlo Park | 2015-06-11 / 20150163564 - CONTENT DISTRIBUTION/CONSUMPTION WITH TERTIARY CONTENT | 1 |
Charles Robert Harrington | GB | Cambridge | 2008-08-28 / 20080207604 - Materials and methods relating to protein aggregation in neurodegenerative disease | 1 |
James N. Harrington | US | Pleasanton | 2015-10-15 / 20150289877 - ENGAGEMENT DEVICE AND METHOD FOR DEPLOYMENT OF ANASTOMOTIC CLIPS | 2 |
Charles Robert Harrington | GB | Aberdeenshire | 2009-02-26 / 20090054419 - 3,7-DIAMINO-10H-PHENOTHIAZINE SALTS AND THEIR USE | 1 |
Matthew Harrington | GB | Crawley | 2009-09-10 / 20090226786 - FUEL CELL | 1 |
Bruce A. Harrington | US | Houston | 2015-06-11 / 20150158958 - Polyalphaolefins Prepared Using Modified Salan Catalyst Compounds | 17 |
Chris Harrington | GB | Hertfordshire | 2016-02-25 / 20160053060 - MOULDING MATERIAL | 4 |
Matthew Harrington | GB | West Sussex | 2010-06-10 / 20100143814 - FUEL CELL STACK FLOW HOOD | 1 |
Charles Robert Harrington | GB | Old Aberdeen | 2013-11-28 / 20130315992 - PHENOTHIAZINE DIAMINIUM SALTS AND THEIR USE | 1 |
James Patrick Harrington | US | St. Louis Park | 2012-05-03 / 20120108356 - GOLF CLUB APPARATUS AND METHOD | 1 |
Charles Robert Harrington | GB | Aberdeen | 2016-02-25 / 20160051559 - 3, 7-DIAMINO-10H-PHENOTHIAZINE SALTS AND THEIR USE | 11 |
Chris Harrington | GB | Ware | 2011-03-24 / 20110070447 - Crystalline Resin Sandwich Films | 4 |
Richard M. Harrington | US | Cincinnati | 2013-07-11 / 20130174996 - FOAM PATTERN TECHNIQUES | 4 |
Paul Harrington | US | Camarillo | 2013-05-30 / 20130137690 - 4-CARBOXYBENZYLAMINO DERIVATIVES AS HISTONE DEACETYLASE INHIBITORS | 7 |
Edward R. Harrington | US | Toledo | 2009-01-01 / 20090004387 - Process of producing roofing shingle coating asphalt allowing more material options | 2 |
Stephanie A.s. Harrington | US | Mentor | 2011-08-11 / 20110196397 - MEDICAL DEVICE WITH A GUIDEWIRE FOR PENETRATING OCCLUSIONS | 3 |
Douglas Harrington | US | San Clemente | 2008-10-23 / 20080260233 - AUTOMATED METHOD FOR IMAGE ANALYSIS OF RESIDUAL PROTEIN | 1 |
John Joseph Harrington | US | Mentor | 2010-12-09 / 20100311612 - Compositions and Methods for Making Mutations in Cell Lines and Animals | 2 |
Mark Harrington | US | Cincinnati | 2011-03-31 / 20110076158 - METHOD OF OPERATING ADAPTIVE CORE ENGINES | 1 |
Neil Harrington | GB | Basingstoke | 2014-12-04 / 20140358705 - CONTROL OF VENDING MACHINES | 2 |
Nick Robert Harrington | US | West Chester | 2010-07-08 / 20100174586 - Methods for Measuring Emotive Response and Selection Preference | 1 |
Anthony S. Harrington | US | Woodinville | 2012-03-15 / 20120065696 - Method and System for Characterizing Supraventricular Rhythm during Cardiac Pacing | 4 |
Roy Jerome Harrington | US | Hamilton | 2014-01-23 / 20140024780 - Hydrophobically Modified Cationic Polymer | 1 |
Kent Harrington | US | Brookline | 2014-08-28 / 20140243977 - Prosthetic System for Orthopedic Repair | 1 |
John Harrington | US | Medfield | 2012-05-31 / 20120132446 - COMBINED PLUG AND SEALING RING FOR SPRINKLER NOZZLE AND RELATED METHODS | 2 |
Timothy P. Harrington | US | Sammamish | 2015-08-20 / 20150235107 - OPTICAL COIN DISCRIMINATION SYSTEMS AND METHODS FOR USE WITH CONSUMER-OPERATED KIOSKS AND THE LIKE | 2 |
Forrest Harrington | US | West Boylston | 2010-10-14 / 20100261074 - FUEL PROCESSOR FOR FUEL CELL SYSTEMS | 1 |
Brian P. Harrington | US | Plymouth | 2010-08-12 / 20100202491 - DIGITAL LINEAR HEAT DETECTOR WITH THERMOCOUPLE HEAT CONFIRMATION | 2 |
Edmund Harrington | US | Cambridge | 2010-02-25 / 20100048597 - Organic Compounds and Their Uses | 1 |
Michael G. Harrington | US | La Canada | 2015-12-03 / 20150346219 - METHODS OF DETERMINING LEVELS OF FREE AMINO ACIDS AND DIPEPTIDES AND DIAGNOSING ALZHEIMER'S DISEASE | 5 |
Nathan John Harrington | US | Cary | 2010-03-11 / 20100063714 - METHOD FOR DETERMINING TRAFFIC CONDITIONS | 7 |
Timothy George Harrington, Ii | US | San Jose | 2014-10-09 / 20140301034 - STORAGE CARTRIDGE DOCK SYSTEM | 3 |
Edward Richard Harrington, Jr. | US | Toledo | 2014-09-18 / 20140272244 - FIRE RETARDANT ROOFING SYSTEM, SHINGLE AND LAYER | 1 |
Patrick L. Harrington, Jr. | US | San Francisco | 2015-08-06 / 20150220971 - OPTIMIZATION AND ATTRIBUTION OF MARKETING RESOURCES | 1 |
Edward R. Harrington, Jr. | US | Toledo | 2010-01-14 / 20100005745 - ROOFING SHINGLE WITH POLYMER FILM BACKING | 2 |
Douglas Harriott | US | Melrose | 2015-03-05 / 20150062934 - LUMINAIRE ADAPTER WITH TOMBSTONE COVER | 5 |
Doug Harriott | US | Melrose | 2013-06-20 / 20130157248 - SYSTEMS AND METHODS FOR EX VIVO LUNG CARE | 6 |
Terry Marcus Harriott | JM | Kingston | 2011-12-29 / 20110314738 - STEEL SECURITY WINDOWS AND SLIDING DOORS | 1 |
Jesse Harriott | JM | Kingston | 2011-12-29 / 20110314738 - STEEL SECURITY WINDOWS AND SLIDING DOORS | 1 |
Nicole Harriott | US | San Diego | 2015-08-13 / 20150225390 - N-CYCLOPROPYL-N-PIPERIDINYL-AMIDES, PHARMACEUTICAL COMPOSITIONS CONTAINING THEM, AND USES THEREOF | 6 |
Blythe Harris | US | San Francisco | 2013-02-07 / 20130032258 - Handbag with Changeable Accessory Pin | 1 |
Brandon Harris | US | Palo Alto | 2015-07-23 / 20150207339 - BATTERY PACK | 6 |
Graham Harris | US | Westlake Village | 2010-04-01 / 20100083129 - User Interface for Internet Advertisement | 1 |
Graham Harris | US | Altadena | 2009-08-06 / 20090198684 - System and Method for Determining Semantically Related Terms | 1 |
James S. Harris | US | Stanford | 2008-09-25 / 20080232735 - Surface parallel modulator | 1 |
Brian R. Harris | US | Cordova | 2015-06-25 / 20150173780 - INSTRUMENTS FOR MINIMALLY INVASIVE SURGERY TOTAL KNEE ARTHROPLASTY | 5 |
Paul E. Harris | US | Kansas City | 2011-03-24 / 20110067425 - System for Operating an Air Conditioning Compressor From Alternative Sources | 1 |
Dale Harris | US | Wichita | 2010-04-15 / 20100095081 - EARLY DETECTION OF AN ACCESS TO DE-ALLOCATED MEMORY | 1 |
Paul F. Harris | US | Ladson | 2008-10-09 / 20080244800 - Elastomeric Hand and Foot Protector | 1 |
Scott L. Harris | US | Los Gatos | 2008-10-02 / 20080243169 - SYSTEM AND METHOD FOR ESTABLISHING VASCULAR ACCESS | 1 |
George M. Harris | US | Lewiston | 2009-10-08 / 20090250204 - APPARATUS AND METHOD FOR IN-SITU ELECTROMAGNETIC EXTRACTION AND PRODUCTION OF HYDROCARBONS FROM GEOLOGICAL FORMATIONS | 1 |
David James Harris | GB | Birmingham | 2008-09-11 / 20080221912 - Submarine Patents | 1 |
Nicholas Robert Harris | GB | Surrey | 2008-10-09 / 20080246346 - Electromagnetic Device For Converting Mechanical Vibrational Energy Into Electrical Energy | 1 |
Roy Harris | GB | Nottinghamshire | 2008-10-16 / 20080254103 - Wound Dressings Comprising a Protein Polymer and a Polyfunctional Spacer | 1 |
Neil Harris | GB | City Essex | 2008-10-30 / 20080269239 - Pyrimidine Compounds as Histamine Modulators | 1 |
Michael Anthony Harris | GB | Kent | 2008-11-13 / 20080281096 - Resolution Process For Preparing (+)-2S,3S)-2-(3-Chlorophenyl)-3,3,3-Trimethyl-2-Morpholinol | 1 |
Graham Harris | GB | Hampshire | 2015-12-10 / 20150351938 - PROSTHETIC ANKLE AND FOOT COMBINATION | 9 |
Stephen D. Harris | GB | Basingstoke | 2009-01-15 / 20090016078 - LIGHT VALVE TO ENHANCE DISPLAY BRIGHTNESS | 1 |
David Andrew Harris | GB | Kent | 2009-01-15 / 20090015786 - Tinted lens and method of making same | 1 |
Marc Stuart Harris | GB | London | 2009-02-05 / 20090037434 - SYSTEM AND METHOD FOR EFFICIENTLY PROVIDING CONTENT OVER A THIN CLIENT NETWORK | 2 |
Steve Harris | GB | Oxford | 2009-05-21 / 20090131292 - Lubricating Oil Compositions | 1 |
Neil V. Harris | GB | Harlow | 2009-06-04 / 20090143437 - EP4 RECEPTOR ANTAGONISTS | 1 |
Patrick Kirk Harris | GB | Stamford | 2009-06-04 / 20090139477 - Device and method for retaining a valve bridge | 1 |
C. John Harris | GB | Kent | 2009-06-25 / 20090163515 - Compounds Which Bind to the Active Site of Protein Kinase Enzymes | 1 |
John Harris | GB | Kent | 2009-08-06 / 20090196912 - Pyridinylamines | 1 |
Roger Harris | GB | Suffolk | 2009-09-03 / 20090220575 - COMPOSITIONS AND METHODS FOR THE SUSTAINED RELEASE OF BETA-ALANINE | 1 |
Nigel Edward Harris | GB | High Peak | 2009-09-03 / 20090218526 - SCATTERING CENTRE DETECTOR ASSEMBLY AND METHOD | 1 |
Nigel Harris | GB | Trowbridge | 2009-09-17 / 20090234263 - SUPPORT DEVICES | 1 |
Paul George Harris | GB | Suffolk | 2009-09-17 / 20090231594 - COMPONENT MOUNTING IN MOVEMENT-SENSITIVE EQUIPMENT | 1 |
Nigel Harris | GB | Cardiff | 2009-10-22 / 20090264829 - INJECTION SYRINGES | 1 |
Robin Jac Harris | GB | Anglesey | 2009-11-12 / 20090277370 - WATER CRAFT | 1 |
John Neil Harris | GB | Cambridgeshire | 2009-11-26 / 20090290732 - Bending Wave Acoustic Device and Method of Making Thereof | 1 |
Martin Barkley Harris | GB | Bristol | 2013-08-01 / 20130196657 - TELECOMMUNICATIONS SYSTEM | 5 |
Ian Harris | GB | Highlands Village | 2009-12-31 / 20090323706 - Dynamic Networking of Virtual Machines | 1 |
Antony John Harris | GB | Sheffield | 2009-12-31 / 20090323685 - BIT ORDERING FOR PACKETISED SERIAL DATA TRANSMISSION ON AN INTEGRATED CIRCUIT | 1 |
Claire Louisa Harris | GB | Cardiff | 2010-01-14 / 20100009393 - FACTOR H POLYMORPHISMS IN THE DIAGNOSIS AND THERAPY OF INFLAMMATORY DISEASES SUCH AS AGE-RELATED MACULAR DEGENERATION | 1 |
Nathan Harris | GB | Cambridgeshire | 2010-01-28 / 20100023616 - Information processing and transmission systems | 1 |
Laurence James Harris | GB | Sandwich | 2010-02-11 / 20100035891 - Pharmaceutically Active Compounds | 1 |
Graham Harris | GB | Leicester | 2010-02-11 / 20100035741 - Drum For A Creasing Device | 1 |
L. G. Harris | GB | County Durham | 2010-03-04 / 20100055413 - ARTICLE, AND A METHOD FOR CREATING THE ARTICLE, WITH A CHEMICALLY PATTERNED SURFACE | 1 |
Antony John Harris | GB | Hope Valley | 2012-12-13 / 20120317368 - Memory interface control | 6 |
Colin Harris | GB | Gillingham | 2010-06-24 / 20100159407 - BURNER INSTALLATION | 1 |
Ralph Edmund Harris | GB | Guildford | 2010-10-07 / 20100252267 - PROCESS FOR TREATMENT OF UNDERGROUND FORMATIONS | 3 |
Thomas William Leonard Harris | GB | North Devon | 2010-11-11 / 20100281626 - Method Of Washing A Nappy And An Apparatus Therefor | 1 |
Deborah Harris | GB | Manchester | 2012-12-27 / 20120328500 - HIGHLY ACIDIC COMPOSITIONS COMPRISING ZIRCONIUM AND SILICON OXIDES AND AN OXIDE OF AT LEAST ONE OTHER ELEMENT SELECTED FROM AMONG TITANIUM, ALUMINUM, TUNGSTEN, MOLYBDENUM, CERIUM, IRON, TIN, ZINC, AND MANGANESE | 3 |
Paul J. Harris | GB | Derbyshire | 2009-10-15 / 20090259549 - METHODS AND SYSTEMS FOR OPTIMIZING FLOW | 1 |
David James Harris | GB | Lichfield | 2011-02-24 / 20110044134 - Clock for Motorcycle | 1 |
Simon David Harris | GB | Warwickshire | 2012-06-28 / 20120167112 - Method for Resource Optimization for Parallel Data Integration | 2 |
C. John Harris | GB | Sittingbourne | 2011-05-05 / 20110105572 - CARBAMIC ACID COMPOUNDS COMPRISING AN AMIDE LINKAGE AS HDAC INHIBITORS | 2 |
Neil John Harris | GB | Cambridgeshire | 2015-10-01 / 20150277565 - Touch Sensitive Device | 4 |
Patricia Ann Harris | GB | Leicestershire | 2009-09-17 / 20090232853 - TREATMENT OF LAMINITIS | 1 |
Andrew Jonathan Harris | GB | Hertfordshire | 2009-10-29 / 20090270510 - GLYCINE TRANSPORT INHIBITORS | 2 |
Clifford John Harris | GB | Kent | 2011-09-08 / 20110218178 - IMIDAZOLOPYRAZINE COMPOUNDS USEFUL FOR THE TREATMENT OF DEGENERATIVE AND INFLAMMATORY DISEASES | 3 |
Ian Harris | GB | Devizes | 2008-08-21 / 20080200192 - Methods And Apparatus For Use In Forwarding Short Messages For Mobile Communication Devices | 1 |
Ralph Edmund Harris | GB | Surrey | 2008-12-18 / 20080312107 - Process for treating underground formations | 2 |
Adrian L. Harris | GB | Oxford | 2011-06-30 / 20110159583 - MN Gene and Protein | 5 |
Philip Harris | GB | Llantrisant | 2010-01-21 / 20100011612 - Method and apparatus for drying rooms within a building | 1 |
Mark D. Harris | GB | Banbury | 2010-04-01 / 20100083380 - NETWORK STREAM SCANNING FACILITY | 1 |
Paul George Harris | GB | Haverhill | 2010-04-29 / 20100102196 - APPARATUS SUPPORT | 3 |
Geoffrey Arthur Harris | GB | Worthing | 2010-05-13 / 20100119597 - MULTI-COMPONENT PHARMACEUTICAL DOSAGE FORM | 1 |
Philip John Fox Harris | GB | Fareham | 2015-06-11 / 20150158629 - Transport Pallet | 3 |
Neil Victor Harris | GB | Essex | 2010-05-27 / 20100130556 - EP2 RECEPTOR AGONISTS | 4 |
Anthony William Harris | GB | Donnington | 2010-05-27 / 20100128287 - LOWERING THE CONSUMPTION OF INK IN COMPUTER PRINTING | 1 |
Ian Harris | GB | Poole, Dorset | 2010-05-27 / 20100127695 - INDUCTIVE SENSORS | 1 |
Lee Harris | GB | Durham | 2010-06-03 / 20100136246 - METHOD FOR PRODUCING SURFACES AND SUBSTRATES HAVING SAID SURFACES SO FORMED | 1 |
Philip J. Harris | GB | Reading | 2010-08-12 / 20100202557 - Digital IF Distribution Networks for Radio Communications | 1 |
Robert A. Harris | GB | Christchurch | 2010-09-02 / 20100223541 - AUTOMATED AND USER CUSTOMIZABLE CONTENT RETRIEVAL FROM A COLLECTION OF LINKED DOCUMENTS TO A SINGLE TARGET DOCUMENT | 1 |
Mike Harris | GB | Bedford | 2010-09-16 / 20100230325 - PROCESS FOR REMOVING OIL FROM PARTICULATE MATTER | 1 |
Steven Richard Harris | GB | Northampton | 2010-09-23 / 20100238206 - INK JET PRINTER | 1 |
Natalie Harris | GB | London | 2010-09-23 / 20100238021 - Dispenser system | 1 |
Deborah Harris | GB | Manchester Greater Manchester | 2010-09-30 / 20100247411 - HIGHLY ACIDIC COMPOSITIONS COMPRISING ZIRCONIUM OXIDE, TITANIUM OXIDE AND TUNGSTEN OXIDE AND TREATMENT OF EXHAUST GASES THEREWITH | 1 |
Neil Victor Harris | GB | Harlow | 2010-10-14 / 20100261760 - EP2 Receptor Agonists | 3 |
Paul J.h. Harris | GB | Bristol | 2010-12-02 / 20100301158 - AIRCRAFT HAVING A LIFT/PROPULSION UNIT | 1 |
Rothery Wyndham Harris | GB | Bristol | 2011-01-13 / 20110010498 - Providing preferred seed data for seeding a data deduplicating storage system | 1 |
Roger Harris | GB | Newmarket | 2011-01-13 / 20110009346 - Methods and compositions for increasing the anaerobic working capacity in tissues | 2 |
Stephen Harris | GB | Leicestershire | 2011-01-27 / 20110021364 - PREDICTIVE TEST FOR ADULT DOG BODY SIZE | 1 |
Peter Lyon Harris | GB | Hertfordshire | 2011-02-17 / 20110040283 - Branch Vessel Targetter | 1 |
Adrian Lewellyn Harris | GB | Oxford | 2011-03-10 / 20110059074 - Knowledge-Based Proliferation Signatures and Methods of Use | 2 |
Sam Harris | US | Malibu | 2013-01-24 / 20130024999 - INCREASING LOW LIGHT TOLERANCE IN PLANTS | 2 |
Geoffrey G. Harris | CA | Midland | 2011-10-20 / 20110255187 - Method and Apparatus for Pathlength Adjustment in an Optical System | 17 |
Jonathan R. Harris | US | Menlo Park | 2009-08-13 / 20090201638 - RETRACTABLE CARD ADAPTER | 1 |
Dennis L. Harris | US | Celina | 2008-12-04 / 20080299003 - PORTABLE STERILIZING APPARATUS FOR SURGICAL AND DENTAL INSTRUMENTS | 2 |
Kendal R. Harris | US | Mentor | 2009-04-02 / 20090089558 - ADJUSTMENT OF DATA COLLECTION RATE BASED ON ANOMALY DETECTION | 6 |
Stephen Harris | US | Dayton | 2010-03-11 / 20100063629 - SYSTEM AND METHOD FOR RECIRCULATING PARTS | 1 |
Joseph W. Harris | US | Cincinnati | 2015-02-26 / 20150053048 - METAL JOINING COMPONENT AND METHOD FOR IMPROVED RECYCLING | 4 |
Scott Allen Harris | US | Upper Arlington | 2013-01-24 / 20130023354 - Releasable and Interchangeable Connections for Golf Club Heads and Shafts | 9 |
Ian D. Harris | US | Columbus | 2016-03-24 / 20160084408 - COUPLING MEMBERS FOR COUPLING A BODY OF AN EARTH-BORING DRILL TOOL TO A DRILL STRING, EARTH-BORING DRILLING TOOLS INCLUDING A COUPLING MEMBER, AND RELATED METHODS | 2 |
Mark T. Harris | US | Westerville | 2015-08-27 / 20150242823 - SYSTEMS AND METHODS FOR PERFORMING FINANCIAL TRANSACTIONS | 10 |
James Alan Harris | US | Painesville | 2013-06-27 / 20130160175 - USER-FRIENDLY WELDING HELMET ASSEMBLY | 2 |
Dale Ian Harris | US | Columbus | 2009-08-13 / 20090200739 - SCENT-BASED BOARD GAME AND METHOD OF PLAYING | 2 |
Kevin M. Harris | US | New Bedford | 2014-04-17 / 20140106905 - GOLF BALL WITH TRANSLUCENT COVER | 26 |
Thomas V. Harris | US | Benicia | 2011-05-05 / 20110105820 - STABILIZED IONIC LIQUID CATALYZED PROCESSES | 13 |
Keith John Harris | US | Bridgewater | 2009-02-05 / 20090036469 - 2,6-SUBSTITUTED-4-MONOSUBSTITUTED AMINO-PYRIMIDINE AS PROSTAGLANDIN D2 RECEPTOR ANTAGONISTS | 1 |
Keith John Harris | US | Chester | 2013-01-03 / 20130005741 - SUBSTITUTED PYRIMIDINE AS A PROSTAGLANDIN D2 RECEPTOR ANTAGONIST | 2 |
John Harris | US | Willingboro | 2009-09-03 / 20090218379 - HOLSTER FOR CONCEALED FIREARM | 1 |
Timothy Harris | US | Ocean County | 2010-09-09 / 20100227321 - METHODS AND DEVICES FOR NUCLEIC ACID SEQUENCE DETERMINATION | 1 |
Trevor Samuel Harris | US | Closter | 2016-04-21 / 20160110814 - ASSET AND LIABILITY MODELING TOOL | 2 |
Joseph B. Harris | US | Englewood | 2010-12-30 / 20100327087 - Shoulder Carried Salt Spreader | 1 |
Joel M. Harris | US | Summit | 2011-05-19 / 20110118286 - BICYCLIC HETEROCYCLE DERIVATIVES AND THEIR USE AS GPCR MODULATORS | 3 |
Joel M. Harris | US | Kenilworth | 2011-06-23 / 20110152256 - 1,2,4-TRIAZOLO[4,3-c]PYRIMIDIN-3-ONE AND PYRAZOLO[4,3-e]-1,2,4-TRIAZOLO[4,3-c]PYRIMIDIN-3-ONE COMPOUNDS FOR USE AS ADENOSINE A2a RECEPTOR ANTAGONISTS | 2 |
Ennis R. Harris | US | Willingboro | 2009-09-03 / 20090218379 - HOLSTER FOR CONCEALED FIREARM | 1 |
Timothy Harris | US | Toms River | 2011-10-06 / 20110245086 - SHORT CYCLE METHODS FOR SEQUENCING POLYNUCLEOTIDES | 4 |
Robert H. Harris | US | Holmdel | 2013-11-28 / 20130316953 - NEW USES FOR AMINO ACID ANTICONVULSANTS | 2 |
Stanley Harris | US | Mt. Pleasant | 2016-02-11 / 20160042084 - APPARATUS AND METHOD FOR MAINTAINING AND/OR RESTORING VIABILITY OF ORGANS | 9 |
James Wallace Harris | US | Galveston | 2009-06-04 / 20090143958 - Common rail fuel control system | 3 |
James C. Harris | US | Warsaw | 2015-02-12 / 20150045901 - FEMORAL TROCHLEA PROSTHESES | 3 |
James Edward Harris | US | Indianapolis | 2009-12-03 / 20090300534 - APPARATUS AND METHOD FOR DISPLAYING NETWORK STATUS | 1 |
David Joe Harris | US | Kokomo | 2010-04-08 / 20100083818 - FIREARM ADAPTER FOR AM180 .22 CALIBER CARTRIDGE DRUMS | 1 |
Curtis Harris | US | Anderson | 2010-06-10 / 20100141082 - BRUSH HOLDER ASSEMBLY FOR A DYNAMO-ELECTRIC MACHINE | 1 |
Donald W. Harris | US | Lafayette | 2010-10-07 / 20100252031 - Enzyme-Resistant Starch and Method for Its Production | 1 |
James Daniel Harris | US | Noblesville | 2010-11-18 / 20100289885 - Combined RGB and IR Imaging Sensor | 1 |
Timothy Scot Harris | US | Fort Wayne | 2011-03-03 / 20110048820 - TOY WHEEL TRACTION BAND | 1 |
Darrin Wesley Harris | US | Fishers | 2011-12-29 / 20110321108 - METHOD AND SYSTEM FOR TELEVISION DISPLAY OF WEB FEED CONTENT | 3 |
Cynthia Joyce Harris | US | Indianapolis | 2008-08-28 / 20080207716 - Formulations and Dosing Regiment for Ppar-Alpha Modulators | 1 |
James W. Harris | US | Galveston | 2010-06-17 / 20100147258 - Engine control system having gradual cylinder cutout | 3 |
William S. Harris | US | Sioux Falls | 2016-04-21 / 20160109471 - LIPOPROTEIN PARTICLE NUMBER FROM MEASUREMENTS OF LIPOPROTEIN PARTICLE PHOSPHOLIPID CONCENTRATION IN LIPOPROTEIN PARTICLE MEMBRANE BILAYER | 8 |
Michael Harris | US | Cumming | 2009-03-12 / 20090065611 - LIQUID DISPENSER HAVING INDIVIDUALIZED PROCESS AIR CONTROL | 1 |
Michael Harris | US | Saugerties | / - | 1 |
Michael Harris | US | Cary | 2014-12-18 / 20140368117 - SOLID STATE LIGHTING DEVICES AND METHODS OF MANUFACTURING THE SAME | 8 |
Michael Harris | GB | Cardiff | 2010-02-25 / 20100046788 - Loudspeaker Spider | 1 |
Michael Harris | US | Lafayette | 2010-04-15 / 20100093562 - METAL COATED VIRUS-BASED NANOELECTRODES AND METHOD OF ASSEMBLING OF SAME | 1 |
Michael Harris | US | Andover | 2011-11-03 / 20110268540 - TRUCK DUMPER DUST CONTROL | 2 |
Michael Harris | US | Lafeyette | 2011-01-20 / 20110014472 - PREPARATION OF SILICA STABILIZED BIOLOGICAL TEMPLATES FOR THE PRODUCTION OF METAL AND LAYERED NANOPARTICLES | 1 |
Michael Harris | US | Dexter | 2011-04-28 / 20110094024 - SEALING MEMBER FOR A FLUSH TOILET | 2 |
Michael Harris | GB | Worcestershire | 2008-09-04 / 20080210881 - Laser Measurement Device and Method | 1 |
Steve B. Harris | US | Rancho Cucamonga | 2011-02-17 / 20110040359 - PORTABLE APPARATUS AND METHOD FOR THE ADMINISTRATION OF HEAT EXCHANGE IN THE LUNGS OF A MAMMAL | 1 |
Steven B. Harris | US | Ontano | 2009-03-05 / 20090060891 - High Concentration Self-Microemulsifying Coenzyme Q10 Preparations For Nutritional Use | 1 |
William H. Harris | US | Woodside | 2008-09-18 / 20080229424 - Dispute resolution in a geo-spatial environment | 2 |
Warner Olan Harris | US | Banning | 2014-02-13 / 20140041179 - Retrofitting A Vehicle Drive Train | 4 |
Warner Olan Harris | US | Cerritos | 2010-03-18 / 20100065358 - Electric Traction Retrofit | 3 |
Thomas Van Harris | US | Solano | 2008-10-16 / 20080250705 - Process for preparation and use of alcohols from a Fischer Tropsch process | 1 |
Steven Lucas Harris | US | Foster City | 2011-03-03 / 20110055548 - ONLINE DATA ENCRYPTION AND DECRYPTION | 1 |
Robert Marion Harris | US | Roseville | 2009-08-27 / 20090212674 - Modular Cabinet Storage System For Toilets | 2 |
Robert M. Harris | US | Roseville | 2010-09-30 / 20100243754 - MULTIPURPOSE CARTRIDGE-BASED LIQUID DISPENSING AIR FRESHENER SYSTEM | 2 |
Richard L. Harris | US | San Jose | 2009-11-26 / 20090292875 - DISK ARRAY CONTROLLER CAPABLE OF DETECTING AND CORRECTING FOR UNEXPECTED DISK DRIVE POWER-ON-RESET EVENTS | 1 |
Richard A. Harris | US | San Jose | / - | 1 |
Richard Harris | US | San Jose | 2011-04-28 / 20110099163 - SYSTEM AND METHOD FOR INDEXING, ORGANIZING, STORING AND RETRIEVING ENVIRONMENTAL INFORMATION | 1 |
Reed J. Harris | US | San Mateo | 2014-07-03 / 20140186867 - COMPOSITION COMPRISING ANTIBODY THAT BINDS TO DOMAIN II OF HER2 AND ACIDIC VARIANTS THEREOF | 5 |
Patricia Lee Harris | US | Palo Alto | 2010-02-04 / 20100030791 - SYSTEMS AND METHODS FOR POWER AWARE DATA STORAGE | 1 |
Nick Harris | US | Monterey | 2013-05-16 / 20130122498 - NUCLEIC ACID PROBES AND METHODS FOR DETECTING PLASMODIUM PARASITES | 3 |
Neal F. Harris | US | Los Angeles | 2015-03-12 / 20150072611 - Attachment to air moving device or system for the purpose of scenting spaces | 6 |
Nathan Harris | US | Morgan Hill | 2010-11-18 / 20100291700 - Analysis of membrane component interactions | 1 |
Micky Randall Harris | US | Lompoc | 2011-01-06 / 20110001647 - System and Method for Analog-to-Digital Conversion | 1 |
Micky R. Harris | US | Lompoc | 2015-10-08 / 20150288907 - METHOD AND SYSTEM FOR MANAGING DEFECTS IN FOCAL PLANE ARRAYS USING REDUNDANT COMPONENTS | 4 |
Melanie L. Harris | US | Mountain View | 2014-02-13 / 20140046139 - OVERTUBE INTRODUCER FOR USE IN ENDOSCOPIC BARIATRIC SURGERY | 2 |
Mark Harris | US | Temecula | 2013-08-01 / 20130197620 - Stents with Profiles for Gripping a Balloon Catheter and Molds for Fabricating Stents | 3 |
Larry Harris | US | Belmont | 2009-10-22 / 20090265683 - METHODS AND SYSTEMS FOR SUPPORTING MULTIPLE DEPLOYMENT MODELS OF ENTERPRISE BUSINESS APPLICATIONS | 1 |
Ken Harris | US | Alameda | 2010-06-10 / 20100142716 - NETWORK AUDIO PROCESSOR | 1 |
John Richardson Harris | US | Patterson | 2014-10-23 / 20140312741 - HIGH VOLTAGE SWITCHES HAVING ONE OR MORE FLOATING CONDUCTOR LAYERS | 3 |
Joel Harris | US | Mountain View | 2015-12-31 / 20150374980 - SYSTEM AND METHOD FOR BIPHASIC TRANSDERMAL IONTOPHORETIC DELIVERY OF THERAPEUTIC AGENTS | 7 |
Jason Harris | US | Morgan Hill | 2010-09-30 / 20100250992 - Method to Lower the Operating Cost of Wireless Network by Enforcing Low Power Infrastructure Operation | 1 |
James M. Harris | US | Livermore | 2012-07-19 / 20120180667 - Illuminating filter for particle controlled environments | 2 |
James Jeffrey Harris | US | Cameron Park | 2014-06-05 / 20140151298 - Method and System for Enhanced Osmotic Mass Transfer | 8 |
Harry Harris | US | Cerritos | 2009-04-23 / 20090106036 - METHOD AND SYSTEM FOR MAKING AUTOMATED APPOINTMENTS | 1 |
Greg L. Harris | US | Encinitas | 2014-11-13 / 20140336365 - CDR REGIONS OF MONOCLONAL ANTIBODY THAT ANTAGONIZE SPHINOGOSINE 1-PHOSPHATE AND RELATED METHODS | 3 |
Graham S.t. Harris | US | Westlake Village | 2010-09-30 / 20100250365 - AD GROUPS FOR USING ADVERTISEMENTS ACROSS PLACEMENTS | 3 |
Fritz B. Harris | US | Rocklin | 2015-11-19 / 20150329045 - VEHCILE DETECTION AND WARNING SYSTEM | 2 |
Fredric J. Harris | US | Lemon Grove | 2013-01-10 / 20130013262 - METHOD OF PERFORMING SYNTHETIC INSTRUMENT BASED NOISE ANALYSIS USING PROPORTIONAL BANDWIDTH SPECTRUM ANALYSIS TECHNIQUES | 3 |
Frederick Miles Harris | US | San Clemente | 2010-12-30 / 20100332133 - Apparatus and Method for Automated Vehicle Roadside Assistance | 1 |
Edee M. Harris | US | Gilroy | 2008-09-18 / 20080224542 - UNIVERSAL SWITCH CONTROL APPARATUS | 1 |
David L. Harris | US | Pleasanton | 2015-12-17 / 20150363278 - VIRTUAL RESOURCE-BASED BACKUP | 3 |
Daryl Robert Harris | US | Irvine | 2009-12-24 / 20090314556 - VEHICLE INTERIOR SOLAR PANELS | 1 |
Craig Harris | US | Santa Barbara | 2010-06-24 / 20100161626 - Information retrieval system with contact information appended | 3 |
Christian Harris | US | Manhattan Beach | 2009-09-17 / 20090230250 - SOLAR ARRAY MOMENTUM CONTROL | 1 |
Chris Harris | US | Redding | / - | 1 |
Adam Pierce Harris | US | Foster City | 2009-04-30 / 20090113060 - Systems and Methods for Seamless Host Migration | 1 |
Adam N. Harris | US | Oceanside | 2011-02-24 / 20110046201 - METHODS AND COMPOSITIONS FOR SEAMLESS CLONING OF NUCLEIC ACID MOLECULES | 2 |
Abram Stanley Harris | US | Vista | 2014-04-10 / 20140100052 - COATED GOLF CLUB HEAD/COMPONENT | 4 |
Pamela J. Harris | US | Bethesda | 2009-12-03 / 20090298097 - METHODS FOR THE DIAGNOSIS OF LUNG CANCER | 1 |
Sarah Harris | IL | Jerusalem | 2014-09-18 / 20140269938 - METHOD FOR DECREASING THE BIT RATE NEEDED TO TRANSMIT VIDEOS OVER A NETWORK BY DROPPING VIDEO FRAMES | 2 |
Peter M. Harris | US | Leesburg | 2013-05-23 / 20130131732 - DYNAMIC ANTERIOR VERTEBRAL PLATE | 3 |
Fritz Harris | US | Rocklin | 2009-12-03 / 20090294424 - SELF-SEEDED SINGLE-FREQUENCY LASER PEENING METHOD | 1 |
Katherine Harris | US | Wichita | 2009-07-30 / 20090188929 - Drinking Cup Having Multiple Compartments and Straw Holder | 1 |
Paul William Richard Harris | NZ | Auckland | 2011-04-28 / 20110098228 - SYNTHETIC ANALOGUES OF NEURAL REGENERATION PEPTIDES | 1 |
Paul William Richard Harris | NZ | Waitakere City | 2015-10-29 / 20150307553 - SYNTHETIC ANALOGUES OF NEURAL REGENERATION PEPTIDES | 3 |
Matthew Harris | NL | Eindhoven | 2010-12-23 / 20100324405 - ELECTRODE FOR ACQUIRING PHYSIOLOGICAL SIGNALS OF A RECIPIENT | 1 |
Nicolas Harris | IL | Rehovot | 2010-11-25 / 20100298370 - BENZOTHIAZOLYL THIENOPYRIDINE DERIVATIVES AND USES THEREOF | 1 |
Colin David Harris | IE | Rathfarnham | 2010-09-16 / 20100235010 - LOAD MANAGEMENT CONTROLLER FOR A HOUSEHOLD ELECTRICAL INSTALLATION | 2 |
Nicholas Harris | IL | Rehovot | 2014-12-25 / 20140378371 - CYTOKINE RECEPTOR PEPTIDES, COMPOSITIONS THEREOF AND METHODS THEREOF | 3 |
Charles E. C. Harris | TH | Chiang Rai | 2013-12-26 / 20130341286 - OZONE-ASSISTED FLUID TREATMENT METHOD | 3 |
Ciaran Harris | IE | Dublin | 2010-01-21 / 20100013863 - Method and apparatus for facilitating movement within a three dimensional graphical user interface | 1 |
Peter Raymond Harris | NZ | Queenstown | 2009-10-15 / 20090255199 - Concrete Floor System Incorporating Foundation Footing | 1 |
Dagan Harris | IL | Hadera | 2009-07-02 / 20090171266 - COMBINATION THERAPY | 1 |
Matthew Harris | DE | Aachen | 2009-06-11 / 20090149778 - DEPRESSION DETECTION SYSTEM | 1 |
John Bernard Harris | NL | Wormerveer | 2009-05-14 / 20090123982 - Triglyceride Process | 1 |
Selvakumar Peace John Harris | MY | Penang | 2009-02-05 / 20090033152 - CONTROLLING INRUSH CURRENT FROM A POWER SUPPLY TO A LOAD | 1 |
Charles E. C. Harris | TH | Chang Rai | 2009-01-29 / 20090025310 - CRAWLING INSECT BARRIER DEVICE AND CORRESPONDING METHOD | 1 |
Samuel Harris | DE | Albstadt | 2008-12-04 / 20080298910 - DROPLET-FREE COATING SYSTEMS MANUFACTURED BY ARC-EVAPORATION METHOD | 1 |
Michael N. Harris | US | Los Alamos | 2015-10-29 / 20150309021 - Advanced Drug Development and Manufacturing | 3 |
Neal Harris | US | Los Angeles | 2009-05-21 / 20090127350 - Prescented and custom scented card insert | 1 |
Jesse Harris | US | Van Nuys | 2009-08-06 / 20090198684 - System and Method for Determining Semantically Related Terms | 1 |
John B. Harris | NL | Wormerveer | 2010-11-11 / 20100286258 - Process | 1 |
Scott C. Harris | US | Rancho Santa Fe | 2015-12-31 / 20150379154 - BARCODE DEVICE | 148 |
Todd Harris | US | Winthrop | 2008-09-04 / 20080213377 - Delivery of Nanoparticles and/or Agents to Cells | 1 |
John Harris | US | Foxboro | 2008-10-16 / 20080254549 - SYSTEMS AND METHODS FOR DETECTING AND ANALYZING POLYMERS | 1 |
Joshua Benjamin Harris | US | Easton | 2008-11-13 / 20080281641 - SYSTEM AND METHOD FOR ADMINISTERING A GROUP BENEFIT PLAN | 1 |
Craig S. Harris | US | Acton | 2008-11-20 / 20080288563 - Allocation and redistribution of data among storage devices | 2 |
William H. Harris | US | Belmont | 2013-09-05 / 20130231751 - MONOPOLAR CONSTRAINED ACETABULAR COMPONENT | 4 |
Marc Harris | US | Arlington | 2009-12-24 / 20090319930 - Method and Computer System for Unstructured Data Integration Through Graphical Interface | 1 |
Jerry L. Harris | US | Lexington | 2010-01-21 / 20100017756 - MANAGING NAVIGATION AND HISTORY INFORMATION | 1 |
Maria C. Harris | US | Shrewsbury | 2014-10-16 / 20140308286 - DUAL VARIABLE DOMAIN IMMUNOGLOBULINS AND USES THEREOF | 5 |
David R. Harris | US | Sterling | 2010-08-05 / 20100193548 - Personal powder dispenser | 1 |
David J. Harris | US | Lexington | 2015-11-12 / 20150321996 - AMIDE DENDRIMER COMPOSITIONS | 14 |
Todd Harris | US | Cambridge | 2010-10-07 / 20100254914 - NANOWORMS FOR IN VIVO TUMOR TARGETING | 1 |
Robert Scott Harris | US | Wayland | 2009-01-15 / 20090018438 - Image Derived Input Function For PET Lung Assessment | 1 |
Todd J. Harris | US | Winthrop | 2009-10-01 / 20090246142 - Triggered Self-Assembly of Nanoparticles In Vivo | 1 |
Peter B. Harris | US | Stow | 2009-10-22 / 20090263728 - Centrifugal atomization for producing zinc powder | 1 |
Alan S. Harris | US | Andover | 2014-06-26 / 20140179896 - INHIBITORS OF TYPE 2 VASCULAR ENDOTHELIAL GROWTH FACTOR RECEPTORS | 4 |
George G. Harris | US | Woburn | 2015-09-17 / 20150261057 - MULTI-LAYER EXPANDING ELECTRODE STRUCTURES FOR BACKPLANE ASSEMBLIES | 9 |
Eugene R. Harris | US | Groton | 2015-08-13 / 20150223522 - Method for Assembling a Cartridge for a Smoking Article | 2 |
Joel N. Harris | US | Westborough | 2014-04-03 / 20140090906 - Hull Robot Drive System | 4 |
Christopher M. Harris | US | Shrewsbury | 2010-08-26 / 20100216762 - Agonists and Antagonists of the S1P5 Receptor, and Methods of Use Thereof | 1 |
Vincent G. Harris | US | Sharon | 2015-05-28 / 20150144832 - COBALT CARBIDE-BASED NANOPARTICLE PERMANENT MAGNET MATERIALS | 6 |
Marvin Harris | US | Chicago | 2008-11-27 / 20080289082 - Clothing Garments with Removable Pockets or Pocket Panels | 1 |
Donald W. Harris | US | Springfield | 2009-01-08 / 20090011082 - Production of Resistant Starch Product Having Tailored Degree of Polymerization | 3 |
David B. Harris | US | Wheaton | 2015-02-19 / 20150050963 - ELECTRONIC DEVICE WITH POWER SHARING CAPABILITIES AND METHODS THEREFOR | 4 |
Mark Harris | US | Mchenry | 2009-05-14 / 20090120993 - STAPLER | 1 |
John Harris | US | Chicago | 2012-10-25 / 20120271754 - SYSTEM AND METHOD FOR ESTIMATING A SPREAD VALUE | 2 |
Thomas C. Harris | US | Gurnee | 2015-05-28 / 20150147335 - Use of TNFalpha Antibody for Treatment of Hidradenitis Suppurativa (HS) | 5 |
John Harris | US | Glenview | 2016-03-17 / 20160080991 - GERAN Frequency Based Insight Delivery To Mobile Devices | 19 |
Gary G. Harris | US | Matteson | 2010-07-22 / 20100180487 - Ice fishing device for protecting a fishing line | 1 |
Donald C. Harris | US | Crete | 2011-06-09 / 20110132484 - Valve Assemblies Including Electrically Actuated Valves | 3 |
Patrick Harris | US | Downers Grove | 2015-12-24 / 20150366732 - BED WITH MODIFIED FOOT DECK | 6 |
Juel Keith Harris | US | Chicago | 2010-11-18 / 20100287802 - Informational message and scent signs | 1 |
John M. Harris | US | Chicago | 2010-12-30 / 20100332647 - METHOD AND SYSTEM OF UPDATING PRESENCE INFORMATION IN A COMMUNICATION SYSTEM | 3 |
William A. Harris | US | Naperville | 2008-09-25 / 20080230692 - HIGH RESOLUTION MASS SPECTROMETERY METHOD AND SYSTEM FOR ANALYSIS OF WHOLE PROTEINS AND OTHER LARGE MOLECULES | 1 |
David P. Harris | US | Wilmette | 2009-04-23 / 20090102933 - Target Acquisition System | 2 |
Stacy Harris | US | Chicago | 2009-12-31 / 20090325134 - METHOD AND SYSTEM FOR CONSTRUCTING AND DECONSTRUCTING WRITTEN TEXT | 1 |
Donald Wayne Harris | US | Springfield | 2010-07-29 / 20100189843 - Hydroxypropylated Starch as a Processing Aid to Improve Resistant Starch Total Dietary Fiber (TDF) Retention in Direct Expansion Extrusion Applications | 1 |
Jerry Dale Harris | US | Machesney Park | 2011-03-24 / 20110068074 - Dual layered display building block | 1 |
Edwin J. Harris | US | Oak Park | 2011-04-14 / 20110084549 - FLEXIBLE POWER DISTRIBUTION MODULE | 4 |
Richard R. Harris | US | Evanston | 2013-04-11 / 20130090253 - ACCURATE QUANTITATION OF BIOMARKERS IN SAMPLES | 3 |
Steven C. Harris | US | Martinsburg | 2009-06-04 / 20090139947 - SYSTEM FOR MONITORING LOAD AND ANGLE FOR MOBILE LIFT DEVICE | 1 |
Nathaniel Harris | US | Lewisburg | 2009-08-27 / 20090216167 - ANKLE SPRAIN REDUCTION SYSTEM | 1 |
Delana Harris | US | Poca | 2011-02-24 / 20110041718 - SYSTEMS AND METHODS FOR CHEMICAL AND/OR MECHANICAL REMEDIATION OF NITRO COMPOUNDS AND NITRATE ESTERS | 1 |
Randall J. Harris | US | Mount Gay | 2011-04-14 / 20110085962 - SYSTEM AND METHOD FOR MAKING LOW VOLATILE CARBONACEOUS MATTER WITH SUPERCRITICAL CO2 | 5 |
Scott M. Harris | US | Seattle | 2015-04-02 / 20150094270 - PEPTIDE FRAGMENTS FOR INDUCING SYNTHESIS OF EXTRACELLULAR MATRIX PROTEINS | 4 |
Scott A. Harris | US | Bothell | 2012-10-18 / 20120263818 - DEVICE FOR TENSIONING A PREFORM | 2 |
Stacey Ellan Harris | US | Redmond | 2009-01-01 / 20090006334 - Lightweight list collection | 1 |
Scott Harris | US | Seattle | 2009-06-04 / 20090142280 - Protective skin care peptides | 1 |
Stacey Harris | US | Redmond | 2009-06-11 / 20090150347 - SEARCH CONTROL AND AUTHORING ENVIRONMENT | 3 |
Paul Evert Harris | US | Redmond | 2009-07-09 / 20090178058 - Application Aware Networking | 1 |
Paul Evert Harris | US | Seattle | 2013-12-26 / 20130346486 - PROVIDING ACCESS TO INFORMATION OF MULTIPLE TYPES VIA COORDINATION OF DISTINCT INFORMATION SERVICES | 3 |
Jonathon R. Harris | US | Redmond | 2009-11-26 / 20090293091 - Media Content for a Mobile Media Device | 1 |
Christopher G. Harris | US | Auburn | 2016-04-21 / 20160107432 - METHOD OF MANUFACTURING A VARIABLE-RADIUS LAMINATED RADIUS FILLER | 8 |
Stacey Ellan Harris | US | Duvall | 2010-03-18 / 20100070875 - INTERACTIVE PROFILE PRESENTATION | 1 |
Derek Leon Harris | US | Redmond | 2010-06-17 / 20100153536 - PARTICIPATING WITH AND ACCESSING A CONNECTIVITY EXCHANGE | 2 |
Jonathan R. Harris | US | Redmond | 2015-02-19 / 20150050879 - APPARATUSES, METHODS AND SYSTEMS FOR AUTHENTICATING A WIRELESS CONNECTION | 21 |
Scott Harris | US | Woodinville | 2010-09-09 / 20100225819 - METHODS AND SYSTEMS FOR CORRECTING STREAMING VIDEO SIGNALS | 1 |
David M. Harris | US | Lynnwood | 2010-09-16 / 20100234925 - TREATMENT OF MICROBIOLOGICAL PATHOGENS IN A TOE NAIL WITH ANTIMICROBIAL LIGHT | 2 |
Jonathan Harris | US | Redmond | 2011-03-24 / 20110072344 - COMPUTING SYSTEM WITH VISUAL CLIPBOARD | 1 |
Laurence N. Harris | US | Marysville | 2008-08-28 / 20080205649 - Power distribution system secure access communication system and method | 1 |
Jensen Harris | US | Bellevue | 2015-11-05 / 20150317062 - Multi-Application Environment | 14 |
John Michael Harris | US | Liberty Lake | 2009-04-30 / 20090109649 - SYSTEM AND APPARATUS FOR KEYBOARD ILLUMINATION | 1 |
Kevin Harris | US | Bothell | 2011-09-15 / 20110224434 - Prodrugs of Heteroaryl Compounds | 4 |
Craig Harris | US | Seattle | 2012-01-26 / 20120021399 - METHOD AND SYSTEM FOR DEVELOPING AND ADMINISTERING SUBJECT-APPROPRIATE IMPLICIY-ASSOCIATION TESTS | 2 |
Jonathan Reed Harris | US | Redmond | 2013-11-07 / 20130298069 - VIRTUAL PAGE TURN | 5 |
John N. Harris | US | Seattle | 2015-06-25 / 20150174874 - Aircraft Interior Panels and Methods of Panel Fabrication | 11 |
Todd Matthew Harris | US | Graham | 2013-01-03 / 20130000821 - Skin Panel Joint for Improved Airflow | 2 |
Peter S. Harris | US | Bellevue | 2011-03-17 / 20110066167 - DEVICES AND SYSTEMS FOR MANIPULATING TISSUE | 6 |
Patrick Neal Harris | US | Bonney Lake | 2013-11-14 / 20130304307 - PLATFORM HEALTH MONITORING SYSTEM | 3 |
Edward David Harris | US | Bellevue | 2009-12-24 / 20090319495 - PRESENTING INSTANT ANSWERS TO INTERNET QUERIES | 1 |
Tyler Harris | US | Woodinville | 2010-09-09 / 20100225819 - METHODS AND SYSTEMS FOR CORRECTING STREAMING VIDEO SIGNALS | 1 |
John F. Harris | US | Bellevue | 2011-12-29 / 20110319785 - Implantable Systems and Methods for Identifying a Contra-ictal Condition in a Subject | 8 |
Paul C. Harris | US | Bothell | 2014-07-03 / 20140186849 - FLUORESCENT DETECTOR SYSTEMS FOR THE DETECTION OF CHEMICAL PERTURBATIONS IN STERILE STORAGE DEVICES | 11 |
Glen Harris | US | South Portland | 2010-12-30 / 20100327747 - PROGRAMMABLE TAILLIGHT ILLUMINATION SYSTEM | 1 |
Arthur Harris | US | New York | 2008-10-02 / 20080237378 - System and Method for Removing Zest from the Citrus and Fruit Rinds | 1 |
Steven B. Harris | US | Briarcliff Manor | 2016-02-04 / 20160033995 - FLUID ANALYTE METER SYSTEM | 7 |
Jonathan Harris | US | Brooklyn | 2009-03-05 / 20090064003 - Method and System for Creating Theme, Topic, and Story-Based Cover Pages | 2 |
James Michael Harris | US | Elmira | 2009-04-30 / 20090110013 - Multi-component wavelength conversion devices and lasers incorporating the same | 1 |
Bruce Harris | US | Freeville | 2013-09-19 / 20130243510 - CONFIGURABLE PRINTER FOR DIFFERENT PAPER SIZES AND METHODS FOR CONFIGURING A PRINTER FOR DIFFERENT PAPER SIZES | 5 |
Duncan G. Harris | US | Webster | 2013-01-31 / 20130027054 - PROTECTION OF UNSEALED ELECTRICAL CONNECTORS | 4 |
Jamila Harris | US | Flushing | 2014-07-03 / 20140187813 - CRYSTALLINE POLYMORPHIC FORMS OF MONOSODIUM N-[-8-(2-HYDROXYBENZOYL)AMINO]CAPRYLATE | 3 |
Michelle Harris | US | New York | 2015-06-11 / 20150163316 - Delivering Customized Content to Mobile Devices | 3 |
Alan G. Harris | US | New York | 2010-06-24 / 20100160366 - TREATMENT METHOD | 2 |
Alexander Harris | US | East Setauket | 2015-12-31 / 20150380174 - Supercapacitors with Carbon Nanostructure Electrodes | 4 |
Patrick E. Harris | US | New York | 2010-07-22 / 20100185548 - Systems and Methods for Facilitating Agreement Generation and Negotiation via an Agreement Modeling System | 1 |
Richard Harris | US | New York | 2011-03-03 / 20110055008 - METHOD AND SYSTEM FOR ELECTRONIC ADVERTISING | 3 |
Alan Gerald Harris | US | New York | 2008-12-04 / 20080299228 - TOPICAL COMPOSITIONS COMPRISING A MACROMOLECULE AND METHODS OF USING SAME | 1 |
Ian F. Harris | US | Kings Park | 2009-03-05 / 20090058429 - Detecting Closure of an Electronic Device Using Capacitive Sensors | 2 |
James Micheal Harris | US | Elmira | 2014-02-06 / 20140034374 - GLASS INTERPOSER PANELS AND METHODS FOR MAKING THE SAME | 4 |
Jamila Harris | US | New York | 2009-06-04 / 20090143330 - Crystalline Polymorphic Forms Of Monosodium N-[-8-(2-Hydroxybenzoyl)Amino]Caprylate | 1 |
Michael David Harris | US | Horseheads | 2010-03-11 / 20100060979 - ANTI-REFLECTIVE COATING FOR OPTICAL WINDOWS AND ELEMENTS | 1 |
Violaine K. Harris | US | New York | 2015-04-30 / 20150118198 - BONE MARROW-DERIVED MESENCHYMAL STEM CELLS AS A SOURCE OF NEURAL PROGENITORS | 5 |
Tequila Harris | US | Troy | 2010-07-22 / 20100181697 - PROCESS FOR PRODUCING A PROTON-CONDUCTING, POLYAZOLE-CONTAINING MEMBRANE | 1 |
William R. Harris | US | Rochester | 2010-09-16 / 20100231229 - LEAKAGE CURRENT COMPENSATION FOR HIGH VOLTAGE TRANSFORMERS | 2 |
Angela Harris | US | Bronx | 2010-09-30 / 20100243493 - APPARATUS FOR AN ELECTRIC TOOTHBRUSH COVER | 1 |
Daniel I. Harris | US | Honeoye Falls | 2014-06-19 / 20140170514 - VARIABLE PEM FUEL CELL SYSTEM START TIME TO OPTIMIZE SYSTEM EFFICIENCY AND PERFORMANCE | 23 |
Jeremiah J. Harris | US | New Windsor | 2014-08-21 / 20140232269 - Sound Adaptive Cooling System for a Stage Light | 4 |
Candie Harris | US | Brookville | 2009-04-23 / 20090101605 - RESILIENT ROD FEATURE IN HANGING FILE FOLDER | 1 |
Jason L. Harris | US | Mason | 2016-04-28 / 20160113971 - Compositions of Microbiota and Methods Related Thereto | 112 |
Steven F. Harris | US | La Jolla | 2014-01-09 / 20140010221 - CONFIGURABLE HOST INTERFACE USING MULTI-RADIO DEVICE AND ARCHITECTURE FOR WLAN OFFLOAD | 3 |
Jason R. Harris | US | San Bruno | 2012-10-11 / 20120258953 - Benzoxazepines as Inhibitors of PI3K/mTOR and Methods of Their Use and Manufacture | 2 |
Rodney C. Harris | US | Fort Collins | 2013-12-26 / 20130340795 - TWO STATION SAMPLE AND WASHING SYSTEM | 5 |
John B. Harris | US | Manitou Springs | 2011-12-29 / 20110314878 - COMBINATION DOOR LATCH AND DEADBOLT ASSEMBLY | 2 |
Greg Harris | US | Longmont | 2015-04-30 / 20150119298 - ANALYSIS ENGINE AND DATABASE FOR MANIPULATING PARAMETERS FOR FLUIDIC SYSTEMS ON A CHIP | 6 |
James A. Harris | US | Denver | 2015-10-01 / 20150272611 - FOLLICULAR EXTRACTION METHOD AND DEVICE | 5 |
Byron Harris | US | Firestone | 2009-04-23 / 20090103416 - LAYOUT METHOD FOR MULTIPLEXED HOLOGRAMS | 1 |
Warner Allen Harris | US | Aurora | 2011-08-18 / 20110202234 - OVER THE ROAD/TRACTION/CABIN COMFORT RETROFIT | 2 |
Dan Harris | US | Aurora | 2011-02-10 / 20110031050 - ELECTRIC TRACTION SYSTEM AND METHOD | 1 |
Rodney Harris | US | Fort Collins | 2011-03-31 / 20110075689 - TUNING METHOD OF EXTERNAL CAVITY LASER DIODE, VARIABLE WAVELENGTH LASER MODULE, AND PROGRAM OF EXTERNAL CAVITY LASER DIODE TUNING | 1 |
William H. Harris | US | Ocoee | 2008-08-28 / 20080205966 - WRITING AID DEVICE AND METHOD | 1 |
John Gregory Harris | US | Gainesville | 2009-11-26 / 20090292336 - NEURAL INTERFACE SYSTEMS AND METHODS | 1 |
Bruce Harris | US | Clearwater | 2010-03-18 / 20100064545 - AIR DRYER AND SANITIZER | 1 |
Joanne Daley Harris | US | Micco | 2010-04-15 / 20100093236 - Recreational pet float / play platform | 1 |
Mark M. Harris | US | Jupiter | 2012-09-20 / 20120234016 - Small gas turbine engine with multiple burn zones | 2 |
Debra J. Fogel Harris | US | Boca Raton | 2010-12-30 / 20100329924 - Sponge Sterilizer | 1 |
John G. Harris | US | Gainesville | 2011-12-22 / 20110311067 - DIGITAL SOUND LEVELING DEVICE AND METHOD TO REDUCE THE RISK OF NOISE INDUCED HEARING LOSS | 2 |
Wiley Scott Harris | US | Pompano Beach | 2009-12-31 / 20090321982 - APPARATUS AND METHOD FOR DEPOSITING SYNTHETIC FIBERS TO FORM A NON-WOVEN WEB | 2 |
Frank Wayne Harris | US | Boca Raton | 2010-02-25 / 20100048857 - THERMOPLASTIC POLYPHENOXYQUINOXALINE AND METHOD OF PREPARING THE SAME | 2 |
Mark A. Harris | US | Clearwater | 2011-01-27 / 20110019630 - Cross Domain Modulation Scheme for a Wireless Communication Link | 2 |
Frank Harris | US | Boca Raton | 2014-07-24 / 20140205822 - WIDE-VIEW OPTICAL FILM HAVING REVERSED WAVELENGTH DISPERSION | 4 |
Klaus Juergen Harris | US | Micco | 2010-04-15 / 20100093236 - Recreational pet float / play platform | 1 |
Michelle L. Harris | US | Oviedo | 2010-05-06 / 20100114806 - Condition-Based Monitoring System For Machinery And Associated Methods | 1 |
Dwight Harris | US | Alpharetta | 2008-09-11 / 20080222037 - Customer Enrollment in a Stored Value Card Program | 1 |
David James Harris | US | Cumming | 2009-01-15 / 20090017895 - DEVICES AND METHODS FOR PLAYING ELECTRONIC BINGO GAME | 1 |
Jim Harris | US | Loganville | 2009-10-08 / 20090254956 - COMMUNICATION SIGNAL CHARACTERISTIC MEASUREMENT SYSTEM | 1 |
Holly E. Harris | US | Fairburn | 2010-11-11 / 20100285230 - Portable dry nanocoating machine | 3 |
Terry Chandler Harris | US | Ranger | 2012-07-19 / 20120180408 - Safety Surfacing Tile Support | 2 |
Ronald M. Harris | US | Cumming | 2011-03-10 / 20110060061 - MASTERBATCH COMPOSITION HAVING A HIGH POLYMER PROCESSING AID | 2 |
Roger Harris | US | Dalton | 2012-03-22 / 20120067526 - Process of Thermal Transfer Using Hot Melt Adhesive Lamination for Forming a Carpet Backing and Finished Carpet or Tile Product | 2 |
Michael W. Harris | US | Cumming | 2014-05-29 / 20140144577 - METHOD FOR GUIDING AND BONDING STRANDS TO A SUBSTRATE | 4 |
Samuel Harris | US | Norcross | 2009-11-12 / 20090280965 - FITNESS PADDLE DEVICE AND SYSTEM | 1 |
Jeremy Harris | US | Villa Rica | 2014-02-27 / 20140053389 - Pulling Head Assembly Workstation | 2 |
Andrew Mitchell Harris | US | Lakemont | 2010-10-21 / 20100268620 - METHOD AND SYSTEM FOR RE-ROUTING ITEMS SOLD OR AUCTIONED TO A NEW CUSTOMER | 2 |
Stephen Harris | US | Alpharetta | 2011-03-31 / 20110077992 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR TELECOMMUNICATIONS PRODUCT AND/OR SERVICE OFFERINGS | 2 |
Jennifer Quinn Harris | US | Ranger | 2012-07-19 / 20120180408 - Safety Surfacing Tile Support | 2 |
Robert P. Harris | US | Tulsa | 2013-02-14 / 20130036686 - VENTILATED ROOF SYSTEM WITH RIDGE VENT | 3 |
Donna L. Harris | US | Duncan | 2010-12-23 / 20100319923 - MOBILE SYSTEMS AND METHODS OF SUFFICIENTLY TREATING WATER SO THAT THE TREATED WATER MAY BE UTILIZED IN WELL-TREATMENT OPERATIONS | 2 |
William F. Harris | US | Ponca City | 2013-02-14 / 20130041094 - DRAG REDUCTION OF ASPHALTENIC CRUDE OILS | 6 |
Steven M. Harris | US | Tulsa | 2011-02-03 / 20110030052 - COMPUTER PROGRAM FOR SECURELY VIEWING A FILE | 1 |
William Franklin Harris | US | Ponca City | 2011-02-03 / 20110023972 - DISPERSE NON-POLYALPHAOLEFIN DRAG REDUCING POLYMERS | 3 |
Phillip C. Harris | US | Duncan | 2013-01-31 / 20130025869 - Thermally Stable, Nonionic Foaming Agent for Foam Fracturing Fluids | 8 |
John R. Harris | US | Weatherford | 2012-10-04 / 20120250208 - Electronic Switch and Circuit for Select-Fire Perforating Guns | 3 |
James Harris | GB | Yarnton | 2009-08-13 / 20090203054 - HDL Cholesterol Sensor Using Selective Surfactant | 1 |
James Harris | US | Santa Clara | 2014-02-06 / 20140041014 - METHODS AND SYSTEMS FOR ROUTING PACKETS IN A VPN-CLIENT-TO-VPN-CLIENT CONNECTION VIA AN SSL/VPN NETWORK APPLIANCE | 3 |
James Harris | US | San Jose | 2015-01-15 / 20150020220 - SYSTEMS AND METHODS FOR APPLICATION BASED INTERCEPTION OF SSL/VPN TRAFFIC | 10 |
James Harris | GB | Manchester | 2015-12-31 / 20150376026 - Methods for preparing Cu2ZnSnS4 nanoparticles for use in thin film photovoltaic cells | 28 |
William Harris | US | Atlantic | 2008-12-11 / 20080301995 - DECOY SYSTEM AND METHOD | 1 |
Jason Harris | US | Durham | 2015-09-10 / 20150252083 - Strain-Independent Amplification of Pathogens and Vaccines Thereto | 2 |
Gary Lee Harris | US | Raleigh | 2012-03-22 / 20120072757 - Session Redundancy Using a Replay Model | 2 |
Gary Harris | US | Raleigh | 2009-01-22 / 20090023455 - Independent Load Balancing for Servers | 1 |
Michael F. Harris | US | Rougemont | 2009-06-04 / 20090142416 - Methods for the treatment of HIV and other viruses | 1 |
Matthew B. Harris | US | Raleigh | 2009-06-04 / 20090140711 - SWITCHING REGULATOR WITH BALANCED CONTROL CONFIGURATION WITH FILTERING AND REFERENCING TO ELIMINATE COMPENSATION | 1 |
Thomas Allen Harris | US | Charlotte | 2009-06-18 / 20090152875 - Adjustable Backset lockset | 1 |
Troy W. Harris | US | Charlotte | 2009-07-30 / 20090188225 - FREQUENCY OF CLIP ADJUSTMENT SYSTEM AND METHOD FOR REEL MOWER CUTTING UNIT | 1 |
Monica S. Harris | US | Wake Forest | 2009-08-13 / 20090205049 - SECTION BASED SECURITY FOR A SECTIONED SURFACE-BASED COMPUTING DEVICE | 4 |
Neil I. Harris | US | Burnsville | 2015-06-11 / 20150161694 - Trustee Based Online Community | 2 |
Franklin Wayne Harris | US | Raleigh | 2010-02-11 / 20100037207 - APPARATUS, SYSTEM AND METHOD FOR INTEGRATED CUSTOMIZATION OF MULTIPLE DISK IMAGES INDEPENDENT OF OPERATING SYSTEM TYPE, VERSION OR STATE | 1 |
Robert W. Harris | US | Durham | 2010-09-02 / 20100222192 - STRETCHING AND TONING DEVICE | 1 |
Tom Harris | US | Charlotte | 2012-06-28 / 20120159852 - DOOR OPERATOR | 3 |
Philip L. Harris | US | Corapeake | 2011-01-27 / 20110017108 - Cake tier separator for layered cakes | 1 |
Michael E. Harris | US | Fairview | 2011-03-17 / 20110061381 - MULTI-STAGE TURBOCHARGING SYSTEM WITH THERMAL BYPASS | 2 |
Angela Harris | US | Durham | 2010-05-20 / 20100122783 - Window Covering For Energy Conservation | 2 |
William W. Harris | US | Pinehurst | 2008-09-04 / 20080214076 - BASE LAYER APPAREL | 1 |
Philip Edward Harris | US | High Point | 2008-10-23 / 20080258341 - LIGHTWEIGHT SINGLE-USE CONCRETE CURING SYSTEM | 1 |
Phil Harris | US | High Point | 2009-07-02 / 20090169849 - CONCRETE CURING BLANKETS THAT PROMOTE EVEN CONCRETE CURING | 2 |
Richard Hunter Harris | US | Raleigh | 2015-11-05 / 20150314624 - PRINTER COVER OPENING METHOD AND MECHANISM | 6 |
William F. Harris | US | Charlotte | 2011-11-24 / 20110286120 - OPTICAL LENS SNAP ATTACHMENT | 4 |
Marsha Jean Harris | US | Fayetteville | 2011-06-23 / 20110149480 - MOTOR CONTROL CENTER AND SUBUNIT THEREFOR | 3 |
Michael James Harris | US | Cary | 2015-09-17 / 20150264780 - POWER OVER ETHERNET LIGHTING FIXTURE | 16 |
Stacy Harris | US | Albuquerque | 2014-09-18 / 20140263899 - APPARATUS FOR MOUNTING A PHOTOVOLTAIC MODULE | 3 |
Tracy M. Harris | US | Rochester | 2009-04-23 / 20090106669 - METHOD AND APPARATUS FOR VIRTUAL WORLD BASED PRODUCT DESIGN | 1 |
Chad Harris | US | Albertville | 2016-02-11 / 20160038726 - METHOD AND ARTICLES FOR TREATING THE SINUS SYSTEM | 6 |
Jaime Harris | US | Rosemount | 2009-07-30 / 20090188993 - CONFIGURABLE ROTARY SPRAY NOZZLE | 1 |
Stuart Harris | US | Minneapolis | 2010-03-25 / 20100076809 - ASSET OPTIMIZATION REPORTING IN A PROCESS PLANT | 1 |
Aaron Harris | US | Owatonna | 2016-05-12 / 20160130806 - MOTORIZED ACOUSTICAL BANNER | 2 |
Stuart A. Harris | US | Minnetonka | 2010-07-29 / 20100190442 - WIRELESS FIELD MAINTENANCE ADAPTER | 1 |
Peter C. Harris | US | Rochester | 2011-10-20 / 20110256558 - MEASURING LEVELS OF FIBROCYSTIN-L | 3 |
Chad G. Harris | US | Albertville | 2015-01-29 / 20150031950 - ENDOSCOPE SYSTEM FOR TREATMENT OF SINUSITIS | 3 |
Derek Harris | US | Woodbury | 2013-05-23 / 20130127078 - Photochromic Lens | 2 |
Thomas J. Harris | US | Shoreview | 2013-08-29 / 20130222152 - TELEMETRY DOUBLE BUFFERING AND OVERSAMPLING FOR AN IMPLANTABLE MEDICAL DEVICE | 10 |
Thomas J. Harris | US | Byron | 2014-09-18 / 20140269146 - CONCRETE MIXING DRUM DRIVE SYSTEM | 2 |
Jaime L. Harris | US | Rosemount | 2015-10-01 / 20150273489 - OSCILLATING NOZZLES | 8 |
Kristi Harris | US | Excelsior | 2009-11-05 / 20090271916 - Hockey suit | 1 |
Michael R. Harris | US | Andover | 2015-12-31 / 20150375946 - CAR DUMPER DUST CONTROL SYSTEM | 6 |
Reuben S. Harris | US | St. Paul | 2012-10-04 / 20120252026 - CANCER BIOMARKER, DIAGNOSTIC METHODS, AND ASSAY REAGENTS | 4 |
Richard Lionel Harris | US | Deer River | 2012-02-02 / 20120025461 - NUMERICAL GAME DEVICE AND METHOD | 2 |
Charmaine K. Harris | US | Woodbury | 2010-12-16 / 20100318165 - MEDICAL LEAD AND METHOD | 1 |
Christopher H. Harris | US | New Orleans | 2010-07-15 / 20100176597 - Fluid-based electrical generator | 1 |
David Harris | AU | Queensland | 2008-10-02 / 20080243269 - Method and System for Scheduling Reinforcing Bars for Use in Reinforced Products | 1 |
David Harris | US | Cumming | 2014-01-23 / 20140024440 - PAYOUT SYSTEMS AND METHODS | 2 |
David Harris | US | Carpinteria | 2009-01-15 / 20090014049 - PHOTOVOLTAIC MODULE WITH INTEGRATED ENERGY STORAGE | 1 |
David Harris | US | Wheaton | 2009-04-30 / 20090112460 - METHODS AND APPARATUS FOR COLLECTING AND USING INFORMATION REGARDING LOCATION OBJECT-BASED ACTIONS | 1 |
David Harris | US | Burson | 2009-11-05 / 20090272238 - SCREW DRIVER | 2 |
David Harris | US | Eagle | 2010-02-25 / 20100045119 - SYSTEM FOR GENERATING ELECTRICAL ENERGY FROM AMBIENT ENERGY | 1 |
David Harris | US | Seattle | 2010-03-11 / 20100063944 - SYSTEM AND METHOD FOR INTEGRATED HEALTH PROMOTION, INJURY PREVENTION AND MANAGEMENT | 1 |
David Harris | US | Coatesville | 2014-04-03 / 20140090739 - Textile Sleeve With Twisted Hybrid Fill Yarn And Method Of Construction Thereof | 2 |
David Harris | US | Dallas | 2012-11-08 / 20120284221 - METHODS AND APPARATUS FOR ANALYZING SYSTEM EVENTS | 2 |
David Harris | US | Ridgewood | 2010-05-13 / 20100121753 - SYSTEM AND METHOD FOR HOSTING A PLURALITY OF TRADING ALGORITHMS ON AN EXCHANGE | 1 |
David Harris | US | New Providence | 2015-06-04 / 20150150990 - ORAL PHARMACEUTICAL COMPOSITIONS IN A SOLID DISPERSION COMPRISING PREFERABLY POSACONAZOLE AND HPMCAs | 5 |
David Harris | GB | Cambridge | 2013-05-16 / 20130118490 - INHALERS WITH AIRWAY DISKS HAVING DISCRETE AIRWAY CHANNELS AND RELATED DISKS AND METHODS | 4 |
David Harris | US | Rio Oso | 2009-07-30 / 20090188354 - Combination Vegetation trimmer and edger | 3 |
Steven E. Harris | US | Newport Beach | 2008-09-18 / 20080228669 - Method of controlling the operation of an automated postage meter | 1 |
Paul Harris | US | Carnation | 2015-07-16 / 20150197737 - Polypeptides Having Xylanase Activity And Polynucleotides Thereof | 60 |
Billy Todd Harris | US | Houston | 2008-09-18 / 20080228801 - CONTEXT-VARIABLE DATA FRAMEWORK FOR HIERARCHICAL DATA WAREHOUSING | 1 |
Ralph E. Harris | US | San Antonio | 2012-06-14 / 20120144671 - GAS COMPRESSOR WITH PULSATION ABSORBER FOR REDUCING CYLINDER NOZZLE RESONANT PULSATION | 2 |
Stephen N. Harris | US | Houston | 2013-01-31 / 20130028375 - METHOD FOR MONITORING FOULING IN A COOLING TOWER | 3 |
Will Harris | US | Houston | 2009-04-30 / 20090108243 - Releasable Pry Bar | 1 |
Michael P. Harris | US | Garland | 2009-05-07 / 20090115441 - PROBE CARD AND TEMPERATURE STABILIZER FOR TESTING SEMICONDUCTOR DEVICES | 1 |
Trish Harris | US | Dallas | 2009-05-14 / 20090125973 - METHOD FOR ANALYZING AND MANAGING UNSTRUCTURED DATA | 1 |
Canaan Vernon Lavelle Harris | US | Houston | 2011-12-01 / 20110290693 - Abnormal Scar Therapy | 2 |
Shaun Lee Harris | US | Mckinney | 2009-05-28 / 20090138733 - System and Method for Throttling Memory Power Consumption | 1 |
Larry L. Harris | US | Austin | 2012-04-05 / 20120081099 - SUPPLY INVARIANT BANDGAP REFERENCE SYSTEM | 2 |
Joseph M. Harris | US | Montgomery | 2009-10-22 / 20090263538 - METHODS FOR IMPROVING THE STORAGE AND HANDLING CHARACTERISTICS OF CONDENSED WHEY PERMEATE AND FOR UTILIZING CONDENSED WHEY PERMEATE IN THE FEEDING OF A RUMINANT ANIMAL | 2 |
Casey Harris | US | Dallas | 2010-01-21 / 20100017844 - ASSOCIATING A UNIQUE IDENTIFIER AND A HEIRARCHY CODE WITH A RECORD | 1 |
Michael J. Harris | US | Houston | 2016-05-05 / 20160123104 - DOWNHOLE TOOL WITH ANTI-EXTRUSION DEVICE | 10 |
Jason M. Harris | US | Houston | 2014-04-17 / 20140104978 - TELEVIEWER IMAGE WOOD-GRAIN REDUCTION TECHNIQUES | 2 |
Ted Harris | US | Houston | 2014-07-17 / 20140200293 - BLOW MOLDING POLYSTYRENE NANOCOMPOSITES | 4 |
John Michael Harris | US | San Antonio | 2011-02-17 / 20110039326 - Biomass Production System and Apparatus | 1 |
Patrick J. Harris | US | Cedar Hill | 2013-09-19 / 20130239291 - Sports Glove | 2 |
Travis Harris | US | Montgomery | 2011-03-17 / 20110061856 - TUBULAR SEAT AND TUBULAR ACTUATING SYSTEM | 1 |
Jon Harris | US | Little Elm | 2012-04-19 / 20120093302 - Dynamic Load Balancing Between Multiple Locations With Different Telephony System | 2 |
David E. Harris | US | Addison | 2009-03-12 / 20090070880 - METHODS AND APPARATUS FOR VALIDATING NETWORK ALARMS | 1 |
Eric Harris | US | Mesquite | 2009-03-12 / 20090066795 - Community Internet Protocol Camera System | 1 |
Blake Harris | US | Mansfield | 2009-03-26 / 20090079935 - Method of Calculating the Required Power of a Toric Implant | 1 |
Kerry S. Harris | US | San Antonio | 2016-03-03 / 20160059516 - Compression Fabric Composites and Methods of Making and Using the Same | 3 |
James E. Harris | US | Dalworthington Gardens | 2014-09-18 / 20140277829 - Drive System Power Measurement and Diagnostic System | 4 |
Jonathan Harris | US | Cypress | 2009-05-14 / 20090122482 - Portable Computer Docking System | 1 |
Rusty Harris | US | Austin | 2012-12-06 / 20120309141 - HETERO-STRUCTURED INVERTED-T FIELD EFFECT TRANSISTOR | 3 |
Philip Aaron Harris | US | North Richland Hills | 2011-06-02 / 20110128203 - Microwave Demulsification of Hydrocarbon Emulsion | 2 |
Rudy Harris | US | Longview | 2009-07-23 / 20090183923 - Top drive drilling system and other applications using a low speed direct drive AC motor | 1 |
K. Harris | US | San Antonio | 2009-10-15 / 20090257217 - ELECTRICAL POWER SYSTEM FOR CRASH HELMETS | 1 |
Christopher Kelvin Harris | US | Houston | 2012-08-02 / 20120193099 - TEMPERATURE LIMITED HEATER UTILIZING NON-FERROMAGNETIC CONDUCTOR | 3 |
Scott Harris | US | The Woodlands | 2010-01-14 / 20100008614 - AXIAL ROLLER BEARING | 1 |
Ronald David Harris | US | Katy | 2010-04-22 / 20100099592 - PROCESS AND APPARATUS FOR PREPARING A UREA GREASE | 1 |
Phillip P. Harris | US | Perryton | 2010-04-29 / 20100106422 - Fluids Lifting Tool | 2 |
Will Harris | US | Spring | 2014-09-25 / 20140283354 - Portable Magnetic Positioning Tool | 6 |
John William Harris | US | Sugar Land | 2010-11-11 / 20100286001 - LITHIUM HYDROXIDE COMPOSITION, A PROCESS FOR PREPARING A LITHIUM HYDROXIDE COMPOSITION, AND A PROCESS FOR USING A LITHIUM HYDROXIDE COMPOSITION | 2 |
Glen Andrew Harris | US | Austin | 2015-03-19 / 20150082007 - REGISTER MAPPING WITH MULTIPLE INSTRUCTION SETS | 7 |
Robert Harris | SE | Solna | 2008-11-13 / 20080280320 - Formulation of antigen | 2 |
Robert Harris | US | Orlando | 2009-09-24 / 20090237002 - METHOD AND SYSTEM FOR CONTROLLING LIGHT FIXTURES | 1 |
Robert Harris | GB | Dorset | 2010-02-25 / 20100050067 - BOOKMARKING INTERNET RESOURCES IN AN INTERNET BROWSER | 2 |
Robert Harris | US | Kansas City | 2012-09-13 / 20120230477 - System and Method for Voice Activated Dialing from a Home Phone | 2 |
Robert Harris | US | Willow | 2012-06-07 / 20120138297 - Cementing Method and Apparatus for Use with Running String Having an Obstruction | 3 |
Robert Harris | US | Akron | 2010-06-03 / 20100132296 - SIDING CONTAINING COMPOSITE BUILDING MATERIAL AND INTERLOCKING MECHANISM | 2 |
Robert Harris | GB | Christchurch | 2013-12-26 / 20130346581 - METHOD AND SYSTEM FOR RANKING SERVICES IN A WEB SERVICES ARCHITECTURE | 2 |
Robert Harris | US | Acton | 2010-10-21 / 20100263499 - MULTI-FUNCTION PIPE CUTTING AND FITTING TOOL | 1 |
Randy A. Harris | US | Kalispell | 2015-03-19 / 20150075976 - ELECTROPLATING PROCESSOR WITH GEOMETRIC ELECTROLYTE FLOW PATH | 7 |
Matthew Scott Harris | US | Leesburg | 2009-06-11 / 20090148538 - USE OF ADSORBENT CARBON MICROSPHERES TO TREAT POUCHITIS | 1 |
John Alan Harris | US | West Jordan | 2009-07-23 / 20090187419 - Systems And Methods For A Decision Support Alert Feed | 1 |
Ginger Dehart Harris | US | Highland | 2010-10-07 / 20100251460 - APPARATUS, KIT AND METHOD FOR EMBELLISHING AND FORMING APPAREL | 1 |
Bryan K. Harris | US | Sandy | 2014-05-29 / 20140145043 - PANEL MOUNTING SYSTEM | 8 |
Cade Harris | US | Hyrum | 2008-10-23 / 20080258867 - Recreational vehicle wireless keyless power door lock | 1 |
Joel M. Harris | US | Salt Lake City | 2012-08-16 / 20120208291 - METHODS AND COMPOSITIONS FOR MEASURING HIGH AFFINITY INTERACTIONS WITH KINETIC IMAGING OF SINGLE MOLECULE INTERACTION (KISMI) | 2 |
Troy Harris | US | Pleasant View | 2009-08-20 / 20090208763 - SYSTEMS AND METHODS FOR STAINING SURFACES | 1 |
James Rocky Harris | US | Lewi | 2009-08-27 / 20090212174 - Golf Bag Stand System | 1 |
Bryan K. Harris | US | Farmington | 2009-12-10 / 20090305072 - TWISTED PANEL AND APPARATUS FOR MAKING OR MOUNTING SAME | 1 |
Douglas G. Harris | US | West Valley | 2010-02-04 / 20100024971 - STIFFENER TOOL POSITIONING APPARATUS | 1 |
Joseph A. Harris | US | West Jordan | 2010-03-11 / 20100063994 - CLIENT DEVICE FOR CELLULAR TELEPHONE AS SERVER | 1 |
Joseph Arthur Harris | US | West Jordan | 2010-07-01 / 20100169535 - DATA STREAM MANAGEMENT | 2 |
Logan C. Harris | US | Orem | 2010-08-26 / 20100214160 - SYNTHETIC APERTURE RADAR SYSTEM AND METHODS | 1 |
Glen Mclean Harris | CA | Mississauga | 2013-10-31 / 20130285800 - SYSTEM AND METHOD FOR ADAPTIVE PROGRAMMING OF A REMOTE CONTROL | 2 |
Kenneth David Harris | CA | Edmonton | 2008-08-28 / 20080204635 - Transparent, Conductive Film with a Large Birefringence | 1 |
G. Bryn Harris | CA | Montreal | 2009-01-15 / 20090013829 - Process for the recovery of value metals from base metal sulfide ores | 1 |
Harry Harris | CA | Georgetown | 2009-07-02 / 20090165273 - Gas turbine rotor assembly method | 2 |
Kenneth D. Harris | CA | Edmonton | 2009-08-27 / 20090211632 - PHOTOVOLTAIC DEVICE BASED ON CONFORMAL COATING OF COLUMNAR STRUCTURES | 1 |
Mark R. Harris | CA | Woodlawn | 2010-02-25 / 20100046181 - REMOVABLE CARD GUIDES FOR HORIZONTAL LINE CARDS | 1 |
Christopher Kelvin Harris | CA | Calgary | 2014-10-09 / 20140301426 - TEMPERATURE ASSESSMENT USING DIELECTRIC PROPERTIES OF AN INSULATED CONDUCTOR HEATER WITH SELECTED ELECTRICAL INSULATION | 9 |
James Martin G. Harris | CA | Calgary | 2013-05-02 / 20130111535 - TRANSIENT VIDEO ANOMALY ANALYSIS AND REPORTING SYSTEM | 2 |
Steven B. Harris | CA | Ontario | 2009-08-06 / 20090196861 - Biocompatible Latent Emulsifiers | 1 |
Richard G. Harris | CA | Vancouver | 2011-03-10 / 20110057169 - SYSTEMS, METHODS AND APPARATUS FOR ACTIVE COMPENSATION OF QUANTUM PROCESSOR ELEMENTS | 2 |
Richard G. Harris | CA | North Vancouver | 2016-05-12 / 20160132785 - SYSTEMS AND METHODS FOR OPERATING A QUANTUM PROCESSOR TO DETERMINE ENERGY EIGENVALUES OF A HAMILTONIAN | 12 |
David N. Harris | US | Sonora | 2013-05-23 / 20130132205 - System and Method for Tracking Purchases | 4 |
Theodore D. Harris | US | San Francisco | 2014-12-18 / 20140372315 - METHOD AND SYSTEM FOR MANAGING DATA AND ENABLING PAYMENT TRANSACTIONS BETWEEN MULTIPLE ENTITIES | 7 |
Rod Harris | US | Mclean | 2012-02-09 / 20120032050 - DISPLAY PANEL MOUNTING SYSTEM AND METHOD | 4 |
Richard Harris | US | Portland | 2008-08-28 / 20080203071 - LINK PROCESSING USING LASER PULSES WITH SPECIALLY TAILORED POWER PROFILES | 1 |
Scott K. Harris | US | Portland | 2009-07-23 / 20090183419 - Insect trap | 1 |
Christopher B. Harris | US | Amity | 2010-12-16 / 20100314496 - ENHANCED AERIAL DELIVERY SYSTEM | 4 |
Carrie E. Harris | US | Corvallis | 2008-11-06 / 20080273069 - Ink recirculation system | 2 |
Steve Harris | US | Hillsboro | 2012-04-26 / 20120098559 - SYSTEMS AND METHODS FOR SIMULTANEOUS OPTICAL TESTING OF A PLURALITY OF DEVICES UNDER TEST | 2 |
Richard S. Harris | US | Portland | 2010-06-10 / 20100140235 - LASER SYSTEM FOR PROCESSING A MEMORY LINK WITH A SET OF AT LEAST TWO LASER PULSES | 4 |
Walter Sean Harris | US | Portland | 2014-02-06 / 20140035980 - Method of Printing with a Split Image Revolution | 5 |
Kevin E. Harris | US | Salem | 2012-01-05 / 20120004093 - HETEROGENEOUS CATALYST | 2 |
Frank William Harris | US | Lake Oswego | 2014-09-18 / 20140268370 - LENS SYSTEM FOR REDIRECTING LIGHT RAYS WITHIN A FIELD OF VIEW TOWARD A FOCAL PLANE | 2 |
Matthew T. Harris | US | Portland | 2015-03-12 / 20150073579 - GAUGE SYSTEM FOR WORKPIECE PROCESSING | 3 |
Elbert Harris | US | Leawood | 2014-11-20 / 20140343987 - CONSTRUCTION PROJECT SUBMITTAL MANAGEMENT | 2 |
Elbert Harris | US | Leewood | 2010-07-08 / 20100174574 - Intelligent submittal management related applications | 1 |
Kristina M. Harris | US | Annapolis | 2009-12-17 / 20090311214 - COMPOSITIONS AND METHODS FOR THE INNATE IMMUNE RESPONSE PATHWAY | 1 |
Kenneth Harris | US | St. Louis | 2016-02-25 / 20160054104 - Target System | 2 |
Wesley R. Harris | US | St. Louis | 2014-08-21 / 20140231321 - FLOW-THROUGH FILTER TO REMOVE ALUMINUM FROM MEDICAL SOLUTIONS | 4 |
Catherine E. Harris | US | Wildwood | 2010-04-08 / 20100086114 - METHOD AND SYSTEM FOR PRESENTING BILLING INFORMATION ACCORDING TO A CUSTOMER-DEFINED HIERARCHAL STRUCTURE | 1 |
Nathaniel T. Harris | US | Oronogo | 2010-04-15 / 20100089298 - Method and System of Manufacturing A Mattress and Components Thereof | 2 |
Larry Harris | US | Lee'S Summit | 2010-04-29 / 20100105445 - SYSTEM AND METHOD FOR WIRELESS HOME COMMUNICATIONS | 1 |
Anthony N. Harris | US | Columbia | 2011-05-12 / 20110112364 - Minimally Invasive Surgical Apparatus in the Form of a Cannula | 2 |
Christa L. Harris | US | Kansas City | 2011-02-24 / 20110042933 - RECIPIENT VERIFICATION SYSTEMS AND METHODS OF USE, INCLUDING PATIENT IDENTIFICATION | 1 |
Edward B. Harris | US | City Of Fogelsville | 2012-05-24 / 20120126364 - MITIGATION OF DETRIMENTAL BREAKDOWN OF A HIGH DIELECTRIC CONSTANT METAL-INSULATOR-METAL CAPACITOR IN A CAPACITOR BANK | 2 |
Edward B. Harris | US | Fogelsville | 2011-09-22 / 20110230032 - High Voltage Tolerant Metal-Oxide-Semiconductor Device | 8 |
Edward B. Harris | US | Lehigh County | 2011-02-24 / 20110043249 - High Voltage Tolerant Input/Output Interface Circuit | 1 |
Tristan Harris | US | San Francisco | 2010-01-14 / 20100011316 - System for intelligent automated layout and management of interactive windows | 3 |
Jennifer R. Harris | US | Shorewood | 2013-11-28 / 20130312212 - Portable Steam Generating Device | 3 |
David E. Harris | US | Birch Run | 2012-01-26 / 20120017719 - ELECTRIC STEERING COLUMN LOCK WITH SINGLE DIRECTION ACTUATOR TRAVEL | 3 |
Daren L. Harris | US | Canton | 2008-11-20 / 20080283377 - Haptics cone | 1 |
Richard Kenneth Harris | US | Pinckney | 2008-12-04 / 20080296007 - LOW PROFILE HEATER CORE CONNECTOR | 1 |
Vincent A. Harris | US | Clinton Township | 2008-12-25 / 20080317549 - Vehicle front end module to grille connective arrangement | 1 |
Doug Harris | US | Kalamazoo | / - | 1 |
Thomas Harris | US | Taylor | 2009-06-11 / 20090145166 - Noise Reduction in a Thermostatic Expansion Valve | 1 |
Keith Harris | US | Midland | 2009-06-25 / 20090158827 - CORROSION TESTING APPARATUS AND METHOD | 1 |
Ivan Harris | US | Ypsilanti | 2013-08-01 / 20130193101 - HEAT SET CONTAINER | 3 |
Jamie Brent Harris | US | Westland | 2010-02-11 / 20100032025 - FLUID DIVERTER | 1 |
Paul J. Harris | US | West Bloomfield | 2010-07-22 / 20100183882 - Coating System For Achieving Excellent MVSS Adhesion | 5 |
J. Keith Harris | US | Midland | 2015-12-31 / 20150374823 - POLYALKOXYLATED ALCOHOLS AS EXCIPIENTS FOR PHARMACEUTICAL COMPOSITIONS | 12 |
Adrienne Harris | US | Clinton | 2015-06-04 / 20150151083 - INTEGRATED VASCULAR DELIVERY SYSTEM | 5 |
Charles Harris | US | Ann Arbor | 2010-05-27 / 20100126933 - WASHER BATH USING CENTRIFUGE AND ULTRA FILTER | 1 |
John Keith Harris | US | Midland | 2009-03-26 / 20090078035 - Scrub Testing Devices and Methods | 1 |
Daryl G. Harris | US | Oxford | / - | 1 |
Don Ahmasi Harris | US | Lansing | 2009-09-24 / 20090238222 - LASER SYSTEM EMPLOYING HARMONIC GENERATION | 1 |
William I. Harris | US | Midland | 2013-02-28 / 20130053460 - Shell Functionalized Ion Exchange Resins | 5 |
Thomas B. Harris | US | Taylor | 2010-09-23 / 20100236766 - Heat Exchanger | 2 |
Bradley Warren Harris | US | South Lyon | 2009-01-29 / 20090026741 - Passenger Air Bag Chute Assembly | 1 |
Stephen J. Harris | US | Bloomfield | 2014-11-06 / 20140329143 - LITHIUM ION BATTERY | 9 |
Keith J. Harris | US | Midland | 2010-06-03 / 20100137452 - AQUEOUS COMPOSITIONS HAVING PROTECTED HYDROPHILIC ACTIVES | 1 |
William J. Harris | US | Matawan | 2010-02-11 / 20100036538 - AUTOLOOP SYSTEM AND METHOD OF OPERATION | 1 |
William J. Harris | US | Freeport | 2010-05-13 / 20100119832 - ADHESIVE POLYMERS FOR FORMING LAMINATE STRUCTURES | 1 |
William J. Harris | US | Lake Jackson | 2015-10-08 / 20150284618 - POLYMER COMPOSITE COMPONENTS FOR WIRELESS-COMMUNICATION TOWERS | 19 |
George G. Harris | US | 2011-02-03 / 20110026101 - MATERIALS FOR USE IN ELECTROPHORETIC DISPLAYS | 1 | |
Timothy D. Harris | US | Toms River | 2015-10-15 / 20150292008 - SHORT CYCLE METHODS FOR SEQUENCING POLYNUCLEOTIDES | 14 |
William R. Harris | US | Madison | 2010-01-07 / 20100005454 - PROGRAM VERIFICATION THROUGH SYMBOLIC ENUMERATION OF CONTROL PATH PROGRAMS | 1 |
Thomas M. Harris | US | Waterloo | 2009-07-30 / 20090188242 - Method for triggering a regeneration event in a particulates filter of an internal combustion engine | 2 |
Thomas D, Harris | US | Salem | 2015-04-02 / 20150094465 - N-ALKOXYAMIDE CONJUGATES AS IMAGING AGENTS | 9 |
Thomas Harris | US | Louisville | 2015-12-10 / 20150353220 - MACHINE GENERATED WRAP DATA | 5 |
Steven Jeffrey Harris | US | Frankfort | 2010-06-17 / 20100150595 - Thermistor Isolation Technique for a Ceramic Fuser Heater | 1 |
Robert L. Harris | US | Jackson | 2009-05-21 / 20090132287 - SYSTEM AND METHOD FOR PROVIDING AUTOMATED MEDICAL ANALYSIS | 1 |
Robert E. Harris | US | Columbia | 2009-04-09 / 20090090836 - Post Positioning Jig Assembly | 1 |
Robert E. Harris | US | Ankeny | 2011-05-12 / 20110111381 - METHOD AND SOFTWARE FOR INTERACTIVE LEARNING OF ENGINEERING STATICS | 1 |
Robert D. Harris | US | Willow | 2015-10-22 / 20150300093 - Expandable Bi-Center Drill Bit | 3 |
Robert C. Harris | US | Springfield | 2010-08-26 / 20100213184 - HARDWARE IMPROVEMENT TO PROMOTE RELAY LIFE | 2 |
Matthew Harris | US | Cumberland | 2010-07-15 / 20100179607 - METHOD AND SYSTEM FOR DETECTING AND TREATING JUNCTIONAL RHYTHMS | 1 |
Matthew Harris | US | Twin Lakes | 2008-12-11 / 20080306344 - Surgical retractor securing device with capture assembly | 1 |
Julie Harris | US | Louisville | 2009-09-03 / 20090217846 - Tray-table assembly | 1 |
Jerry Harris | US | Shreveport | 2009-08-20 / 20090209980 - SUTURING AND KNOT-TYING DEVICE | 1 |
Janette Hoston Harris | US | Washington | 2008-11-06 / 20080272135 - Condiment cover | 1 |
James Jeffrey Harris | US | 2008-11-13 / 20080277262 - SYSTEM AND METHOD FOR WASTEWATER REDUCTION AND FRESHWATER GENERATION | 1 | |
Jacob Harris | US | Swanzey | 2009-03-19 / 20090076633 - Dynamic Marking System | 1 |
Howard Harris | US | Nashville | 2010-06-24 / 20100154772 - Fluid Charged Rotary Heating System | 1 |
Harry Gordon Harris | US | Laramie | 2010-11-18 / 20100288497 - IN SITU METHOD AND SYSTEM FOR EXTRACTION OF OIL FROM SHALE | 1 |
Gary Harris | US | Anniston | 2009-10-29 / 20090265907 - High precision grinding and remanufacturing of machine components | 2 |
Delbert Linn Harris | US | Ames | 2015-06-11 / 20150157703 - METHOD OF RAPIDLY PRODUCING IMPROVED VACCINES FOR ANIMALS | 11 |
David Bennitt Harris | US | Columbia | 2010-11-11 / 20100284152 - CARD GUIDE AND HEATSINK ASSEMBLIES FOR PLUGGABLE ELECTRO-OPTIC MODULES | 4 |
Darrell Curtis Harris | US | Greer | 2010-11-11 / 20100284805 - APPARATUS AND METHOD FOR LOCKING A COMPOSITE COMPONENT | 1 |
Daniel Harris | US | Deerfield | 2009-12-31 / 20090321674 - AUTOMATED BALL VALVE AND ACTUATOR | 1 |
Curtis James Harris | US | Darnestown | 2010-07-01 / 20100167825 - JOYSTICK THUMB CUSHION | 1 |
Cole Coryell Harris | US | Albuquerque | 2010-08-05 / 20100198764 - Methods and Devices Relating to Estimating Classifier Performance | 2 |
Cole Harris | US | Albuquerque | 2010-06-24 / 20100159469 - Compositions and Methods for Breast Cancer Prognosis | 1 |
Brock M. Harris | US | Arlington | 2009-11-05 / 20090276434 - Media/data card | 1 |
Richard D. Harris | US | Greensburg | 2008-11-20 / 20080288276 - Method, Process and System for Survey Data Acquisition and Analysis | 1 |
Mark Harris | US | Landenberg | 2009-03-26 / 20090078721 - Wine dispensing, storing and distribution method and apparatus with recycling feature | 1 |
Luke J Harris | US | Leola | 2015-08-06 / 20150216121 - FOLDABLE TRIPLE FRONT DISK MOWER FOR SELF-PROPELLED WINDROWERS | 4 |
David A. Harris | US | Coatesville | 2015-12-31 / 20150377660 - PROTECTION SHIELD POSITIONING ASSEMBLY AND POSITIONING DEVICE THEREFORE AND METHOD OF USE | 9 |
Philip Anthony Harris | US | Wayne | 2012-11-01 / 20120277258 - Chemical Compounds | 3 |
Ian Ross Harris | US | Radnor | 2013-01-24 / 20130022585 - REGENERATION AND REPAIR OF NEURAL TISSUE USING POSTPARTUM-DERIVED CELLS | 4 |
Jerome Michael Harris | US | Penllyn | 2008-09-25 / 20080234425 - Thickener blend composition and method for thickening aqueous systems | 1 |
Christopher Francis Harris | US | Old Zionsville | 2009-09-17 / 20090232729 - Steam-Hydrocarbon Reforming Method with Limited Steam Export | 1 |
Edward Belden Harris | US | Fogelsville | 2010-02-11 / 20100032766 - Bipolar Junction Transistor with a Reduced Collector-Substrate Capacitance | 2 |
Jeremy Harris | US | Furlong | 2012-06-21 / 20120157673 - MINIMAL TISSUE ATTACHMENT IMPLANTABLE MATERIALS | 2 |
Stephen H. Harris | US | Kennett Square | 2011-06-23 / 20110152568 - Allyl acetate purification | 2 |
Ian R. Harris | US | Berwyn | 2011-01-20 / 20110014161 - Cardiac Tissue-Derived Cells | 1 |
Amy E. Harris | US | Westport | 2012-03-29 / 20120078796 - SYSTEM AND METHOD FOR PAYMENT FOR BUSINESS REPLY MAIL | 2 |
Meggan H. Harris | US | Colchester | 2015-07-09 / 20150192167 - SELF-ANTI-ROTATING DUAL LOCK WASHER | 2 |
Lyndsay N. Harris | US | Madison | 2010-09-30 / 20100248271 - Fibrinogen Alpha and Hemoglobin Polypeptides as Cancer Markers | 1 |
Douglas Harris | US | Stamford | 2011-01-13 / 20110005354 - PROCESSES FOR RECOVERING METALS FROM AQUEOUS SOLUTIONS | 1 |
Leak K. Harris | US | Rowayton | 2009-03-05 / 20090058046 - Ski with improved edging characteristics | 1 |
Douglas J. Harris | US | Stamford | 2009-07-02 / 20090169447 - METHODS AND COMPOSITIONS FOR THE REMOVAL OF IMPURITIES AND WATER FROM THE BAYER PROCESS | 1 |
Andrew H. Harris | US | Manchester | 2009-12-24 / 20090313825 - GAS TURBINE ENGINE EXHAUST COMPONENT AND MANUFACTURING METHOD OF SAME | 2 |
Robert P. Harris | US | Bloomfield | 2010-07-01 / 20100166133 - USE OF ISOTOPICALLY ENRICHED NITROGEN IN ACTINIDE FUEL IN NUCLEAR REACTORS | 1 |
Patricia L. Harris | US | Burlington | 2012-11-15 / 20120290504 - SYSTEM AND METHOD FOR PROCESSING DATA FOR INSTRUMENTS IN MULTIPLE CLASSES FOR PROVIDING DEFERRED INCOME | 7 |
Victoria J. Harris | US | Bloomfield | 2011-05-12 / 20110107496 - SpaScrubs | 1 |
Jennifer Leslie Harris | US | San Diego | 2013-11-07 / 20130296357 - METHODS OF USING ALK INHIBITORS | 4 |
Adam P. Harris | US | San Diego | 2014-10-16 / 20140309024 - INVALIDATING NETWORK DEVICES WITH ILLICIT PERIPHERALS | 7 |
Scott C. Harris | US | San Diego | 2015-08-20 / 20150237447 - Wireless Audio Distribution System | 4 |
Sarah Harris | US | San Diego | 2010-04-15 / 20100090866 - Optical Distress Beacon For Use In Space Environments | 2 |
Roy L. Harris | US | San Diego | 2016-01-28 / 20160024101 - PYRAZINO[2,3-b]PYRAZINE mTOR KINASE INHIBITORS FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY | 12 |
Christopher K. Harris | US | San Diego | 2014-11-06 / 20140330759 - SYSTEM AND METHOD FOR DEVELOPING A RISK PROFILE FOR AN INTERNET SERVICE | 5 |
Adam Pierce Harris | US | San Diego | 2015-06-25 / 20150180958 - SYSTEMS AND METHODS FOR SEAMLESS HOST MIGRATION | 8 |
Adam Harris | US | San Diego | 2013-08-22 / 20130218827 - SUMMARIZING A BODY OF MEDIA BY ASSEMBLING SELECTED SUMMARIES | 8 |
J. Milton Harris | US | Huntsville | 2015-11-19 / 20150328331 - Compositions Comprising a Polymeric Reagent and a Pharmacologically Active Agent | 37 |
Reid Harris | US | Harrisonburg | 2011-01-06 / 20110002891 - PROBIOTIC COMPOSITIONS AND PROCESS THEREOF | 1 |
Jerry D. Harris | US | Nampa | 2010-04-08 / 20100086682 - Process and apparatus utilizing mixed ligand organometallic catalysts for in situ growth of high purity, low defect density carbon nanotubes | 1 |
Mark Robert Harris | US | Bowling Green | 2008-10-30 / 20080264461 - AIR CONDITIONED TENT ASSEMBLY | 1 |
John M. Harris | US | Glenview | 2015-12-24 / 20150373613 - SYSTEM AND METHOD FOR MANAGING USER EQUIPMENT MOVEMENT DRIVEN CELL SYSTEM LOAD BALANCING | 41 |
Curtis C. Harris | US | Garrett Park | 2015-11-26 / 20150337385 - EXPRESSION PROTEIN-CODING AND NONCODING GENES AS PROGNOSTIC CLASSIFIERS IN EARLY STAGE LUNG CANCER | 32 |
Caroline S. Harris | US | Pittsburgh | 2015-09-03 / 20150246842 - LITHIUM CONTAINING GLASS WITH HIGH AND LOW OXIDIZED IRON CONTENT, METHOD OF MAKING SAME AND PRODUCTS USING SAME | 18 |
David T. Harris | US | Tucson | 2014-10-16 / 20140308297 - Stem Cell Fusion Model of Carcinogenesis | 4 |
Christian J. Harris | US | Phoenix | 2010-07-15 / 20100175172 - Vision Augmentation System | 3 |
Michael D. Harris | US | Scottsdale | 2014-02-06 / 20140036362 - DUAL POLARIZING HOOD | 3 |
Oswald Harris | US | Tempe | 2009-09-24 / 20090240470 - TRANSIENT PERFORMANCE DATA PHASE COMPENSATION SYSTEM AND METHOD | 1 |
Keith S. Harris | US | Chandler | 2015-12-31 / 20150374482 - VENA CAVA FILTER WITH FILAMENT | 2 |
Oswald George Harris | US | Tempe | 2010-06-17 / 20100153025 - SYSTEMS AND METHODS FOR DETERMINING ENGINE TORQUE VALUES | 2 |
Anthony J. Harris | US | Sun City | 2010-07-29 / 20100190854 - Composition and method to treat mastitis | 1 |
Anne C. Harris | US | Chandler | 2014-09-18 / 20140281390 - SYSTEM AND METHOD FOR ORDERING PACKET TRANSFERS IN A DATA PROCESSOR | 4 |
Neal Harris | GB | Cambridge | 2009-01-15 / 20090015433 - REMOTE CONTROL FRAMEWORK | 1 |
Peter William Harris | GB | Cambridge | 2009-12-10 / 20090307770 - APPARATUS AND METHOD FOR PERFORMING INTEGRITY CHECKS ON SOFWARE | 5 |
Timothy Harris | GB | Cambridge | 2012-08-16 / 20120210071 - Remote Core Operations In A Multi-Core Computer | 3 |
Timothy Lawrence Harris | GB | Cambridge | 2010-07-29 / 20100191930 - TRANSACTIONAL MEMORY COMPATIBILITY MANAGEMENT | 2 |
Timothy L. Harris | GB | Cambridge | 2016-03-10 / 20160070593 - Coordinated Garbage Collection in Distributed Systems | 6 |
David Stuart Harris | GB | Cambridge | 2010-08-26 / 20100212667 - DRUG CAPSULES FOR DRY POWDER INHALERS | 6 |
Tim Harris | GB | Cambridge | 2012-10-04 / 20120254139 - PROVIDING LOCK-BASED ACCESS TO NODES IN A CONCURRENT LINKED LIST | 3 |
Neil Harris | GB | Cambridge | 2010-12-02 / 20100302184 - Touch-sensitive device | 1 |
Fred R. Harris | US | Azusa | 2010-02-11 / 20100031987 - ENHANCED THERMALLY ISOLATED THERMOELECTRICS | 1 |
Shane M. Harris | US | Bellows Falls | 2010-10-21 / 20100263255 - Supplemental magazine holder for a firearm | 1 |
Peter Harris | NO | Oslo | 2009-12-10 / 20090306899 - Geophysical surveying | 2 |
Peter Harris | GB | Flaunden | 2010-06-24 / 20100161025 - VARIABLE WEAVE GRAFT WITH METAL STRAND REINFORCEMENT FOR IN SITU FENESTRATION | 1 |
Peter Harris | US | Leesburg | 2014-05-08 / 20140128873 - DYNAMIC CERVICAL PLATE | 3 |
Peter Harris | US | Roca Raton | 2010-06-03 / 20100137910 - FACET DISTRACTION DEVICE, FACET JOINT IMPLANT, AND ASSOCIATED METHODS | 1 |
Peter Harris | US | Boca Raton | 2015-01-29 / 20150026958 - METHODS FOR FORMING A CONNECTABLE INSERT | 10 |
Philip G. Harris | US | Spartanburg | 2015-09-17 / 20150259287 - OXINDOLE COMPOUNDS AND COMPOSITIONS COMPRISING THE SAME | 5 |
James Gordon Harris | AU | Victoria | 2008-12-18 / 20080308538 - Powder Delivery Nozzle | 1 |
Richard A. Harris | AU | Karana Downs | 2009-01-29 / 20090027822 - Transient blocking unit having a fab-adjustable threshold current | 2 |
Martin Russell Harris | AU | Victoria | 2009-03-19 / 20090071137 - FLUID TRANSMISSION | 1 |
Andrew Roland Harris | AU | South Australia | 2009-04-23 / 20090101827 - ON-BELT ANALYSER SYSTEM | 1 |
John James Harris | AU | East Fremantle | 2009-09-24 / 20090241064 - USER INTERFACE FOR INFORMATION PUBLISHING AND USER ADMINISTRATION | 1 |
Simon Harris | AU | Victoria | 2012-10-25 / 20120270310 - APPARATUS FOR TRANSFER OF LIQUID FOR PROCESSING SAMPLES | 3 |
Simon Harris | AU | Ashburton | 2013-05-16 / 20130123979 - BIOLOGICAL REACTION APPARATUS WITH DRAINING MECHANISM | 3 |
Ashley Harris | AU | Carole Park | 2010-08-26 / 20100213681 - VEHICLE SUSPENSION SYSTEM | 1 |
Andrew Roland Harris | AU | Seaview Downs | 2013-08-01 / 20130193328 - ON-BELT ANALYSER SYSTEM | 2 |
Frank W. Harris | US | Boca Raton | 2016-04-07 / 20160096925 - COVER MEMBER AND ELECTRONIC DEVICE | 38 |
Paul Harris | US | 2009-03-19 / 20090073484 - Hospitality Digital Photo Processing | 1 | |
Paul Harris | US | Redmond | 2009-05-21 / 20090132308 - Solution for Managed Personal Computing | 1 |
Paul Harris | GB | London | / - | 1 |
Paul Harris | NZ | Auckland | 2013-08-22 / 20130212964 - APPARATUS FOR CONSTRUCTION OF SAFETY MATS | 4 |
Paul Harris | US | New York | 2011-12-01 / 20110294800 - HYPOGLYCEMIC DIHYDROPYRIDONES | 5 |
Paul Harris | GB | Leighton Buzzard | 2010-05-06 / 20100108458 - Releasable Drive Arrangement | 1 |
Jensen M. Harris | US | Bellevue | 2015-12-24 / 20150370771 - ACCESSING AN OUT-SPACE USER INTERFACE FOR A DOCUMENT EDITOR PROGRAM | 9 |
Jensen M. Harris | US | Kirkland | 2016-04-28 / 20160117069 - COMMAND USER INTERFACE FOR DISPLAYING SELECTABLE SOFTWARE FUNCTIONALITY CONTROLS | 14 |
Richard H. Harris | US | Raleigh | 2015-07-16 / 20150197107 - PRINTERS HAVING A STATIONARY PRINT HEAD AND RELATED METHODS | 9 |
Richard H. Harris | US | Beavercreek | 2012-02-09 / 20120034019 - REFILLABLE NOTEBOOK WITH RELEASE MECHANISM | 5 |
Antony Harris | US | Reno | 2013-11-21 / 20130311745 - STORAGE OF SEQUENTIALLY SENSITIVE DATA | 2 |
Roger William Harris | US | Reno | 2010-04-15 / 20100093429 - Intelligent Player Tracking Card and Wagering Token Tracking Techniques | 6 |
Jeremiah J Harris | US | Las Vegas | 2010-07-29 / 20100188019 - Three Color Digital Gobo System | 1 |
Kevin M. Harris | US | Fairhaven | 2010-07-29 / 20100190579 - CASING LAYER FOR POLYURETHANE-COVERED AND POLYUREA-COVERED GOLF BALLS | 10 |
Cole Harris | US | Houston | 2013-11-21 / 20130309698 - Methods for Diagnosing Systemic Lupus Erythematosus | 13 |
Christopher Harris | GB | Rutland | 2009-03-12 / 20090065506 - Holding Device for a Blister Pack and a Method for Opening a Blister | 1 |
Christopher Harris | GB | Plymouth | 2009-07-02 / 20090171874 - METHOD AND APPARATUS FOR CONFIGURING A COMMUNICATION CHANNEL | 1 |
Christopher Harris | SE | Solna | 2009-06-04 / 20090140326 - SHORT GATE HIGH POWER MOSFET AND METHOD OF MANUFACTURE | 3 |
Christopher Harris | SE | Taby | 2014-03-06 / 20140065991 - MATCHING NETWORK FOR TRANSMISSION CIRCUITRY | 7 |
Christopher Harris | US | Fishers | 2012-11-01 / 20120273566 - Ground Location of Work Truck | 4 |
Craig Steven Harris | FR | Reims Cedex 2 | 2008-10-16 / 20080255183 - N-[HETEROARYLCARBONYL]-3-THIENYL-L-ALANINE DERIVATIVES AS a5beta1 ANTAGONISTS | 1 |
Craig Steven Harris | US | Durham | 2009-08-27 / 20090211479 - Device and methods for identifying modules in a graphics machine | 1 |
Craig Steven Harris | FR | Reims | 2009-05-07 / 20090118305 - PYRIDINE AND PYRAZINE DERIVATIVES - 083 | 1 |
Jeffrey P. Harris | US | La Jolla | 2016-04-07 / 20160095902 - Auris Formulations for Treating Otic Diseases and Conditions | 34 |
Kenneth David Harris | US | Nashua | 2008-09-25 / 20080229504 - Foldable massaging bed rest | 1 |
Kenneth David Harris | NL | Eindhoven | 2009-09-17 / 20090233043 - WATER-RESPONSIVE MECHANICAL ELEMENT AND A METHOD FOR MANUFACTURING SUCH AN ELEMENT | 1 |
Jonathan R. Harris | US | Cypress | 2012-03-15 / 20120062177 - Apparatus for Storing a Structure Within an Electronic Device | 20 |
Marcus P. Harris | US | Atlanta | 2011-05-19 / 20110113526 - Dress Shirt Collar Support | 1 |
Gordon James Harris | GB | West Sussex | 2011-05-19 / 20110113719 - Fixing | 1 |
Maria Harris | US | Shrewsbury | 2016-03-03 / 20160060332 - THERAPEUTIC DLL4 BINDING PROTEINS | 6 |
Troy Douglas Harris | CA | Amherstburg | / - | 1 |
David Money Harris | US | Upland | 2015-05-28 / 20150147987 - Transmitter with a Reduced Complexity Digital Up-Converter | 4 |
Franklin W. Harris | US | Raleigh | 2013-09-05 / 20130229426 - DISPLAY DEVICE MODULATION SYSTEM | 2 |
Mark J. Harris | GB | London | 2009-04-02 / 20090089542 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR PERFORMING A SCAN OPERATION | 1 |
Robert A. Harris | US | Akron | 2013-05-09 / 20130115432 - LAYERED STRUCTURE, PROCESS OF APPLYING AN IMAGE LAYER, AND PROCESS OF USING A LAYERED STRUCTURE | 4 |
Brannon Harris | US | Austin | 2012-11-01 / 20120274490 - MULTIPLIER-FREE ALGORITHMS FOR SAMPLE-TIME AND GAIN MISMATCH ERROR ESTIMATION IN A TWO-CHANNEL TIME-INTERLEAVED ANALOG-TO-DIGITAL CONVERTER | 2 |
Jensen M. Harris | US | Kirkland | 2016-04-28 / 20160117069 - COMMAND USER INTERFACE FOR DISPLAYING SELECTABLE SOFTWARE FUNCTIONALITY CONTROLS | 14 |
Glen Mclean Harris | CA | Ontario | 2011-06-09 / 20110133976 - STATE-BASED REMOTE CONTROL SYSTEM | 1 |
Craig W. Harris | CA | Sudbury | 2011-06-09 / 20110133453 - MULTIPLE GENERATOR WIND TURBINE AND METHOD OF OPERATION THEREOF | 1 |
Paul Harris | US | Camation | 2014-04-03 / 20140093940 - Polypeptides Having Cellulolytic Enhancing Activity And Nucleic Acids Encoding Same | 3 |
Jeffery R. Harris | US | Tulsa | 2015-08-13 / 20150225638 - Fluid Loss Additives and Methods of Making and Using Same | 6 |
Reuben Harris | GB | Cambridge | 2013-03-07 / 20130059931 - ACTIVATION INDUCED DEAMINASE (AID) | 2 |
Warren Harris | US | Lehi | 2011-06-09 / 20110137256 - FIXATION CATHETER | 1 |
William J. Harris | US | Lake Jackson | 2015-10-08 / 20150284618 - POLYMER COMPOSITE COMPONENTS FOR WIRELESS-COMMUNICATION TOWERS | 19 |
Michael Harris | GB | Hollybush | 2011-06-23 / 20110149363 - Lidar Mean Power Reduction | 1 |
Robert Harris | US | Englewood | 2012-12-06 / 20120308697 - SORGHUM TOPPING | 3 |
Katie Harris | AU | Frenchs Forest | 2011-06-23 / 20110153390 - METHOD FOR UNDERTAKING MARKET RESEARCH OF A TARGET POPULATION | 1 |
J. Keith Harris | US | Midland | 2015-12-31 / 20150374823 - POLYALKOXYLATED ALCOHOLS AS EXCIPIENTS FOR PHARMACEUTICAL COMPOSITIONS | 12 |
Alan Harris | GB | Southampton | 2011-06-30 / 20110155152 - Tobacco Treatment | 1 |
Robert S. Harris | US | Northbrook | 2011-06-30 / 20110155619 - Method and Apparatus for Facilitating the Simultaneous Firing of Multi-Piece Stoneware Object Having Both Glazed and Unglazed Surfaces | 1 |
Matthew Edward Harris | US | Poway | 2011-06-30 / 20110159036 - MVA expressing modified HIV envelope, GAG, and POL genes | 1 |
David P. Harris | US | Robstown | 2011-06-30 / 20110160494 - Trimethylolpropane color improvement | 1 |
Mark A. Harris | US | Dexter | 2011-07-07 / 20110163483 - Method for Molding and Sealing a Hollow Plastic Tank | 1 |
Angela Marie Harris | US | Allen Park | 2011-07-07 / 20110165414 - Compostable Interior Panel For Use In A Vehicle And Method of Manufacture | 1 |
Lawrence Douglas Harris | US | Baton Rouge | 2013-08-08 / 20130203917 - POLYMER SILICA-REINFORCED MASTERBATCH WITH NANOMATERIAL | 6 |
Anne Harris | US | New York | 2011-07-14 / 20110167558 - Mechanism and matress for sofabed | 1 |
Jordan Harris | US | Belvedere | 2013-02-14 / 20130037469 - INTEGRATED WATER FILTER AND CONTAINER | 2 |
Jonathan M. Harris | AU | Queensland | 2011-07-14 / 20110172163 - Novel Protease Inhibitors | 1 |
David Andrew Harris | GB | Capel-Le-Ferne | 2012-11-01 / 20120273110 - METHOD OF MAKING AND PRESCRIBING TINTED LENSES | 2 |
Paul George Harris | GB | Great Abington | 2011-07-21 / 20110174305 - Device for Dispensing a Plurality of Unitary Doses of Dry Powder, and Inhaler Comprising Such Device | 1 |
Petra Jane Harris | GB | Great Abington | 2011-07-21 / 20110174305 - Device for Dispensing a Plurality of Unitary Doses of Dry Powder, and Inhaler Comprising Such Device | 1 |
Justin Harris | US | Ann Arbor | 2012-08-30 / 20120221709 - MECHANISM TO ALLOW HOSTED AND ON-SITE IMPLEMENTATIONS TO MANAGE PRODUCT ASSETS AS SPECIFIED BY A THIRD PARTY | 2 |
Martin Russell Harris | AU | Windsor | 2011-07-21 / 20110178409 - Optical Element | 1 |
James A. Harris | US | Greenwood Village | 2011-07-21 / 20110178533 - Tensioning Device and Method for Hair Transplantation | 1 |
Casey A. Harris | US | Mckinney | 2011-07-21 / 20110179352 - SYSTEMS AND METHODS FOR PROVIDING CONTENT AWARE DOCUMENT ANALYSIS AND MODIFICATION | 1 |
Daniel I. Harris | US | Honeoye Falls | 2014-06-19 / 20140170514 - VARIABLE PEM FUEL CELL SYSTEM START TIME TO OPTIMIZE SYSTEM EFFICIENCY AND PERFORMANCE | 23 |
Scott Harris | US | San Diego | 2011-09-22 / 20110230827 - MIXING DEVICE FOR A TWO-CHAMBER AMPOULE | 2 |
Dennis G. Harris | US | Thousand Oaks | 2011-07-28 / 20110182308 - Monolithic Fiber Laser Beam Combiner | 1 |
Sarah Harris | IL | Har Nof Jerusalem | 2011-10-13 / 20110249621 - SYSTEM AND METHOD FOR MOBILE-TO-COMPUTER COMMUNICATION | 2 |
Bradley Jameyson Harris | US | New London | 2011-08-04 / 20110186202 - TIRE HAVING GUM STRIP AND CHAFER | 1 |
Bradley J. Harris | US | New London | 2015-02-26 / 20150053318 - AGRICULTURAL TIRE TREAD | 9 |
Clair Louise Harris | GB | Berkshire | 2011-09-22 / 20110230437 - ORGANIC COMPOUNDS | 2 |
Jerry Harris | US | Burbank | 2012-06-07 / 20120138321 - THREE-POINT FRONT HITCH MOUNTABLE TO THE FRAME OF AN AGRICULTURAL TRACTOR | 2 |
Timothy Andrew Harris | CA | Calgary | 2011-08-11 / 20110194669 - Diagnostic Scanning Apparatus | 1 |
Timothy Harris | US | Baltimore | 2015-02-19 / 20150050288 - Treatment of TH17-Mediated Autoimmune Disease Via Inhibition of Stat3 | 2 |
David J. Harris | GB | Wellington | 2013-05-02 / 20130104712 - Apparatus and Method for Cutting a Cathode Ray Tube | 2 |
Charles Wilson Harris | US | Phoenix | 2011-08-18 / 20110201102 - Method and apparatus for cultivating organisms | 1 |
John F. Harris | US | Medina | 2015-07-02 / 20150182753 - NERVE STIMULATION DEVICE WITH A WEARABLE LOOP ANTENNA | 6 |
Dan Warner Harris | US | Aurora | 2014-02-13 / 20140041179 - Retrofitting A Vehicle Drive Train | 2 |
James O. Harris | US | Lawrenceville | 2014-02-27 / 20140059729 - Azalea Plant named 'MNIHAR028' | 11 |
R. Bruce Harris | US | Upland | 2011-08-25 / 20110204066 - SELF RIGHTING CONTAINER | 1 |
Jared Harris | US | Texas City | 2011-08-25 / 20110205851 - E-Watch | 1 |
Garparakis Harris | US | St. Louis | 2011-08-25 / 20110206256 - VISUALIZATION OF PHYSIOLOGICAL DATA FOR VIRTUAL ELECTRODES | 1 |
Tom C. Harris | US | Gurnee | 2012-07-26 / 20120189637 - METHODS FOR TREATING PSORIASIS | 2 |
Kenneth Harris | CA | Edmonton | 2013-10-24 / 20130276887 - Functionalized Semiconducting Polymers For Use In Organic Photovoltaic Devices | 4 |
Neil Harris | GB | Huntingdon | 2011-09-01 / 20110211722 - Acoustic device & method of making acoustic device | 1 |
Donald Harris | US | Booneville | 2011-09-08 / 20110214372 - INSULATED SIDING APPARATUS | 1 |
Lucy A. Harris | GB | Hampshire | 2015-01-29 / 20150033069 - PERFORMING A DATA WRITE ON A STORAGE DEVICE | 3 |
Adam Harris | GB | West Midlands | 2011-09-08 / 20110217429 - CENTREFILLED CONFECTIONERY COMPOSITION | 1 |
Reuben S. Harris | US | Saint Paul | 2014-09-18 / 20140275224 - CYTOSINE DEAMINASE MODULATORS FOR ENHANCEMENT OF DNA TRANSFECTION | 2 |
Edward D. Harris | US | Bellevue | 2011-09-08 / 20110219314 - NOTIFYING NETWORK CONTACTS OF INQUIRIES | 1 |
Richard D. Harris | US | Indianapolis | 2011-09-15 / 20110220454 - Scissors Lift Guard for a Sheet Material Conveyor | 1 |
Brock Harris | US | Draper | 2011-09-15 / 20110221150 - SKATEBOARD DECK HAVING ADJUSTABLE TRUCK MOUNTING SYSTEM | 1 |
Walter S. Harris | US | Portland | 2011-09-15 / 20110221812 - System and Method For Improving Throughput For Duplex Printing Operations In An Indirect Printing System | 1 |
Timothy Tm Harris | US | Rahway | 2011-09-15 / 20110224327 - HIGH HEAT RESISTANT NON-SKID COATINGS | 1 |
Tristan Arguello Harris | US | San Francisco | 2011-09-15 / 20110225487 - Independent Visual Element Configuration | 1 |
Edward B. Harris | US | Fogelsville | 2011-09-22 / 20110230032 - High Voltage Tolerant Metal-Oxide-Semiconductor Device | 8 |
Earl Harris | US | Littleton | 2011-09-22 / 20110226375 - Low-Permeation Flexible Fuel Hose | 1 |
Matthew Harris | US | Raleigh | 2011-09-22 / 20110228507 - MOLDED POWER-SUPPLY MODULE WITH BRIDGE INDUCTOR OVER OTHER COMPONENTS | 1 |
Nicole Harris | US | Carlstadt | 2011-09-22 / 20110229700 - PRINTING INK AND COATING COMPOSITIONS CONTAINING DERIVATIVES OF STARCH AND MODIFIED STARCH | 1 |
Jeffrey T. Harris | US | Dublin | 2013-04-04 / 20130083664 - REMOTE MANAGEMENT HARDWARE PLATFORM FOR SITE MONITORING WITH SMART BLOCK I/O DEVICE | 2 |
Ronnie W. Harris | US | Las Vegas | 2014-09-11 / 20140256400 - METHOD AND APPARATUS FOR AWARDING WINS FOR GAME PLAY | 4 |
Ken Harris | US | Seattle | 2011-09-29 / 20110238488 - HEALTHCARE MARKETING DATA OPTIMIZATION SYSTEM AND METHOD | 2 |
Paul C. Harris | US | Bothell | 2014-07-03 / 20140186849 - FLUORESCENT DETECTOR SYSTEMS FOR THE DETECTION OF CHEMICAL PERTURBATIONS IN STERILE STORAGE DEVICES | 11 |
John Travis Harris | US | Montgomery | 2011-09-29 / 20110232915 - SYSTEM, ASSEMBLY AND METHOD FOR PORT CONTROL | 1 |
Howard B. Harris | US | Indianapolis | 2011-09-29 / 20110234407 - HAND HYGIENE COMPLIANCE DEVICE | 1 |
Douglas J. Harris | US | Oxford | 2011-09-29 / 20110238537 - WORK IN PROCESS INVENTORY ANALYSIS TOOL | 1 |
Justin D. Harris | US | Haverhill | 2015-11-12 / 20150323779 - MONOCULAR/BINOCULAR BRIDGE FOR VIEWING DEVICE AND HELMET MOUNT EMPLOYING SAME | 6 |
E. Mark Harris | US | Mchenry | 2015-11-19 / 20150330484 - DRIVE SYSTEM | 5 |
Neil Sidney Harris | GB | South Yorkshire | 2011-10-06 / 20110240240 - AQUEOUS POLYSILICATE COMPOSITION, ITS PREPARATION AND ITS USE IN PAPERMAKING | 1 |
Charles Harris | US | San Francisco | 2015-04-09 / 20150098926 - Methods of Treating Hepatitis C Virus Infection | 2 |
Curtis C. Harris | US | Bethesda | 2013-11-14 / 20130302892 - THERAPEUTIC APPLICATIONS OF P53 ISOFORMS IN REGENERATIVE MEDICINE, AGING AND CANCER | 2 |
Jeffrey Harris | US | San Antonio | 2015-04-09 / 20150100057 - FOOT, ANKLE AND LOWER EXTREMITY COMPRESSION AND FIXATION SYSTEM AND RELATED USES | 2 |
Quinton Harris | US | Seattle | 2011-10-06 / 20110246294 - SYSTEM AND METHOD FOR CONTENT MANAGEMENT AND DISTRIBUTION | 1 |
Ryan Harris | US | Sandpoint | 2011-10-13 / 20110248045 - Dual Chamber Dispenser | 1 |
Simon Kingswell Harris | GB | Chelmsford | 2011-10-20 / 20110255376 - ANALOG TIME INDICATING DEVICE FOR AN INSTRUMENT PANEL OF A VEHICLE | 1 |
Michael Harris | US | Ramsey | 2011-11-17 / 20110277428 - WIRE FILTER CAGE LOCKING MECHANISM | 2 |
William P. Harris | US | Arlington | 2011-10-20 / 20110256006 - Apparatus for removing standing water | 1 |
Tristan Arguello Harris | US | Santa Rosa | 2011-10-20 / 20110258584 - Searching for Commands and Other Elements of a User Interface | 1 |
Adam Harris | US | Carlsbad | 2015-06-04 / 20150152460 - METHODS AND COMPOSITIONS FOR SEAMLESS CLONING OF NUCLEIC ACID MOLECULES | 4 |
Joel Steven Harris | CA | Orleans | 2011-10-27 / 20110258857 - Motor driven rotational sampling apparatus with removable cutting tools for material collection | 1 |
William D. Harris | US | Neshkoro | 2011-10-27 / 20110260456 - HYDRO UNIT RETROFIT AND METHOD OF PERFORMING SAME | 1 |
Rory John Michael Harris | US | Nicholasville | 2011-10-27 / 20110259228 - CEMENTITIOUS COMPOSITIONS | 2 |
Joel M. Harris | US | Minnetonka | 2014-02-27 / 20140057893 - SUBSTITUTED CYCLOPROPLY COMPOUNDS, COMPOSITIONS CONTAINING SUCH COMPOUNDS AND METHODS OF TREATMENT | 2 |
Scott Harris | US | Dallas | 2011-10-27 / 20110264215 - LIP IMPLANT AND METHOD FOR INSERTION | 1 |
Jeffrey L. Harris | US | Shaker Heights | 2011-11-03 / 20110271230 - Visualization and navigation system for complex data and discussion platform | 1 |
Jeffrey Harris | US | New York | 2012-03-15 / 20120066590 - Systems and Methods for Enhanced Font Management | 2 |
Patrick C. Harris | US | Medford | 2011-11-17 / 20110279270 - INTRUDER DETERRENT | 1 |
Samuel James Harris | GB | Nottingham | 2011-11-17 / 20110281108 - SURFACE COOLING | 1 |
Mark Harris | GB | St. Andrews | 2011-11-17 / 20110281788 - ANTI FUNGAL THERAPY | 1 |
Jonathan R. Harris | US | Redmond | 2015-02-19 / 20150050879 - APPARATUSES, METHODS AND SYSTEMS FOR AUTHENTICATING A WIRELESS CONNECTION | 21 |
Adrienne Rose Harris | US | Tecumseh | 2013-06-20 / 20130158506 - CATHETER AND NEEDLE SYSTEM AND METHOD OF INSERTING A CATHETER | 4 |
David R. Harris | US | Burson | 2011-12-01 / 20110290826 - Structure for Storing Perishable Liquid | 1 |
Russell William Harris | GB | Sussex | 2011-12-01 / 20110291371 - Wheelbarrow | 1 |
Bronwyn Uber Harris | US | Nashville | 2011-12-01 / 20110295353 - PATENT BODY LUMEN STENT | 1 |
Debi Gean Harris | US | Odessa | 2011-12-01 / 20110295662 - Local Payment Collection and Information Management Apparatus and Method | 1 |
Michael David Harris | US | Durham | 2011-12-01 / 20110295821 - Servicing Daemon for Live Debugging of Storage Systems | 1 |
Shaun L. Harris | US | Sammamish | 2015-09-17 / 20150261243 - LOCALIZED WEATHER PREDICTION THROUGH UTILIZATION OF CAMERAS | 16 |
Kenneth Hillel Peter Harris | NO | Asker | 2015-10-22 / 20150296838 - EXTRUDED LIGNOCELLULOSIC ANIMAL FEED PRODUCTS HAVING HIGH DIGESTIBILITY | 3 |
Jennifer Harris | US | Phoenix | 2015-12-03 / 20150342861 - HAIR PRODUCT WITH STABLE VISCOSITY | 10 |
Santley Harris | US | Mt. Pleasant | 2011-12-08 / 20110300613 - Apparatus and method for maintaining and/or restoring viability of organs | 1 |
H. Jason Harris | US | Stansbury Park | 2011-12-08 / 20110300975 - EXPANDABLE BLUNT ARROW POINT APPARATUS AND METHODS | 1 |
Richard Kenneth Harris | US | Van Buren Township | 2011-12-15 / 20110303402 - HEATER CORE | 1 |
Timothy E. Harris | US | Bellevue | 2011-12-15 / 20110307391 - AUDITING CROWD-SOURCED COMPETITION SUBMISSIONS | 1 |
Stacey E. Harris | US | Duvall | 2011-12-15 / 20110307780 - CLOUD-BASED APPLICATION HELP | 1 |
Roger Harris | GB | New Market | 2016-02-25 / 20160051502 - METHODS AND COMPOSITIONS FOR INCREASING THE ANAEROBIC WORKING CAPACITY IN TISSUES | 8 |
John F. Harris | US | Bellevue | 2011-12-29 / 20110319785 - Implantable Systems and Methods for Identifying a Contra-ictal Condition in a Subject | 8 |
Donald Harris | US | Springfield | 2011-12-29 / 20110318467 - Hydroxypropyl Substituted Starches As Source of Soluble Fiber | 1 |
Herb Harris | US | Chapel Hill | 2015-03-05 / 20150065581 - METHODS AND COMPOSITIONS FOR TREATING FATIGUE ASSOCIATED WITH DISORDERED SLEEP USING VERY LOW DOSE CYCLOBENZAPRINE | 2 |
Michael K. Harris | US | The Colony | 2011-12-29 / 20110320358 - System and Method for Real-Time and Online Straight-Through Processing and Presentment of Checks | 1 |
Peter Graham Harris | US | Houston | 2012-05-24 / 20120128436 - ANTI-SCOUR DISK AND METHOD | 2 |
Paul Harris | CA | Delta | 2012-01-05 / 20120004519 - Motion Cancellation of Optical Input Signals for Physiological Pulse Measurement | 1 |
Scott Harris | US | Rockwall | 2012-01-12 / 20120011071 - Remote invoice and negotiable instrument processing | 2 |
Sean W. Harris | US | Portland | 2012-01-19 / 20120013691 - MATERIALS AND METHODS TO PRODUCE DESIRED IMAGE DRUM SURFACE TOPOGRAPHY FOR SOLID INK JET | 1 |
Bryn Harris | CA | Montreal | 2013-08-29 / 20130220079 - PROCESS FOR THE RECOVERY OF GOLD FROM AN ORE IN CHLORIDE MEDIUM WITH A NITROGEN SPECIES | 4 |
James Harris | GB | Manchester | 2015-12-31 / 20150376026 - Methods for preparing Cu2ZnSnS4 nanoparticles for use in thin film photovoltaic cells | 28 |
Larry Harris | US | King | 2012-02-02 / 20120023685 - Fabric Dyeing Apparatus and Method | 1 |
Jonathan C. Harris | US | Wauwatosa | 2012-02-02 / 20120025486 - MOTORCYCLE SPEAKER SYSTEM | 1 |
Haggis Harris | GB | Bristol | 2013-12-26 / 20130344156 - PHARMACEUTICAL COMPOSITIONS OF HYDROPHOBIC SURFACE-MODIFIED ACTIVE SUBSTANCE MICROPARTICLES FOR INHALATION | 2 |
Peter J. Harris | CA | Edmonton | 2012-02-02 / 20120029919 - USING LINGUISTICALLY-AWARE VARIABLES IN COMPUTER-GENERATED TEXT | 1 |
Kenneth Harris | US | Spring Lake | 2015-11-12 / 20150322550 - HIGH STRENGTH SINGLE CRYSTAL SUPERALLOY | 4 |
Quinton Richard Harris | US | Seattle | 2012-11-29 / 20120303489 - SYSTEM AND METHOD FOR DISTRIBUTING MULTIMEDIA CONTENT | 2 |
Kerry Sheldon Harris | US | San Antonio | 2013-08-01 / 20130191967 - Accessory Platform for a Helmet | 2 |
Joel M. Harris | US | Blaine | 2016-04-07 / 20160096144 - METHOD OF MAKING SPIRAL WOUND FILTRATION MODULES WITH A CURABLE ADHESIVE COMPOSITION AND MODULES MADE THEREBY | 6 |
Patrick B. Harris | US | Sherman | 2012-02-23 / 20120043333 - DISPENSER AND DISPOSAL APPARATUS AND SYSTEM | 1 |
Dion Harris | US | Homewood | 2012-02-23 / 20120043363 - PIVOTING HOOK RETENTION SYSTEM | 1 |
Ken R. Harris | US | Albuquerque | 2013-11-14 / 20130301092 - Hybrid Reflection Hologram | 2 |
Lawrence William Harris | GB | Reading | 2012-07-05 / 20120171326 - Gum Base | 2 |
Kevin Harris | US | Wendell | 2012-02-23 / 20120046141 - TRANSMISSION TEST SYSTEM | 1 |
Stewart Harris | US | Marlboro | 2014-09-11 / 20140258075 - SYSTEM AND METHODS FOR MONITORING CREDIT OF TRADING COUNTERPARTIES | 3 |
Todd James Harris | US | San Clemente | 2014-12-18 / 20140371664 - THERMAL TREATMENT OF THE SKIN SURFACE WITH NANOPARTICLES WITH COATINGS THAT FACILITATE SELECTIVE REMOVAL FROM THE SKIN SURFACE | 17 |
Donald H. Harris | US | Newport Beach | 2012-03-08 / 20120057121 - ORTHOKERATOLOGY LENS WEAR COMBINED WITH CHEMICAL TREATMENT TO CORRECT MYOPIA, HYPEROPIA OR ASTIGMATISM | 1 |
Christina R. Harris | US | Weimar | 2012-03-08 / 20120058989 - ANTIBACTERIAL FLUOROQUINOLONE ANALOGS | 1 |
Carolyn Anne Harris | US | Bellevue | 2012-03-15 / 20120060622 - SYSTEMS AND METHODS FOR SIMULATING FLOW OF CEREBROSPINAL FLUID | 1 |
Geoff Harris | AU | Queensland | 2012-03-15 / 20120064495 - TRAINING SYSTEM | 1 |
Scott Allen Harris | US | Upper Arlington | 2013-01-24 / 20130023354 - Releasable and Interchangeable Connections for Golf Club Heads and Shafts | 9 |
Glenn A. Harris | US | Atlanta | 2012-03-22 / 20120068063 - Direct Atmospheric Pressure Sample Analyzing System | 1 |
Anthony Harris | US | Columbia | 2014-10-09 / 20140302104 - CONJUGATED POLYMERIC MATERIAL AND USES THEREOF | 2 |
Catherine E. Harris | US | Huntersville | 2015-03-05 / 20150066723 - MAINTAINING ONLINE FUNCTIONALITY DURING AN OUTAGE | 2 |
Trish Harris | US | Desoto | 2012-03-29 / 20120079598 - TIERED RISK MODEL FOR EVENT CORRELATION | 1 |
David H. Harris | US | Houston | 2012-03-29 / 20120073832 - MULTI-PURPOSE FILL AND CIRCULATE WELL TOOL | 1 |
James W. Harris | US | Palatine | 2014-12-18 / 20140371510 - PRODUCT RECOVERY FROM ADSORPTION-SEPARATION PURGE FLUIDS | 9 |
Ian Harris | US | Chicago | 2012-03-29 / 20120078675 - Traffic Based Labor Allocation Method And System | 1 |
Joydana Harris | US | Bloomfield | 2012-03-29 / 20120074182 - Mother's helper baby sling | 1 |
Elliott Harris | US | San Francisco | 2015-03-12 / 20150071547 - Automated Selection Of Keeper Images From A Burst Photo Captured Set | 4 |
Jeffery Lionel Harris | US | Leesburg | 2012-03-29 / 20120079529 - MULTIPLE DEVICE STOREFRONT FOR VIDEO PROVISIONING SYSTEM | 1 |
Jeffery L. Harris | US | Reston | 2012-03-29 / 20120079523 - UNIFIED VIDEO PROVISIONING WITHIN A HETEROGENEOUS NETWORK ENVIRONMENT | 1 |
Jaime Leonard Harris | US | Rosemount | 2012-04-05 / 20120080134 - EDUCTOR ASSEMBLY WITH DUAL-MATERIAL EDUCTOR BODY | 1 |
Larell Harris | US | Pittsburgh | 2012-04-05 / 20120082812 - Threat-Resistant Glass Block Panel | 1 |
Tim Harris | US | Lehi | 2012-04-26 / 20120099721 - RESTORATION OF A CALLER TO A PREVIOUS PLACE IN AN ON-HOLD QUEUE | 1 |
Katherine Beck Harris | US | Somerville | 2013-04-11 / 20130091043 - SYSTEM AND METHOD FOR PRESENTING FRAUD DETECTION INFORMATION | 6 |
Roger Dale Harris | US | Colorado Springs | 2012-04-26 / 20120102539 - CLOUD SERVICES LAYER | 1 |
Corey W. Harris | US | Carthage | 2012-05-03 / 20120104720 - Box Trailer Access Device | 1 |
Douglas J. Harris | US | Glendale | 2012-05-03 / 20120105335 - TOUCH SCREEN DISPLAY ASSEMBLY | 1 |
John Harris | US | Monterey | 2012-05-03 / 20120106690 - NEUTRON INTERROGATION SYSTEMS USING PYROELECTRIC CRYSTALS AND METHODS OF PREPARATION THEREOF | 1 |
Adam N. Harris | US | Carlsbad | 2012-06-14 / 20120149069 - METHODS AND COMPOSITIONS FOR SEAMLESS CLONING OF NUCLEIC ACID MOLECULES | 2 |
Jennifer Foster Harris | US | Los Alamos | 2014-03-27 / 20140087369 - INFECTION DETECTION METHODS AND SYSTEMS AND RELATED COMPOUNDS AND COMPOSITIONS | 3 |
Matthew B. Harris | US | Durham | 2012-05-24 / 20120126773 - SWITCHING REGULATOR WITH BALANCED CONTROL CONFIGURATION WITH FILTERING AND REFERENCING TO ELIMINATE COMPENSATION | 1 |
Graham Harris | GB | Basingstoke | 2012-05-24 / 20120130508 - Lower Limb Prosthesis | 1 |
David Peter Harris | US | Wilmette | 2012-05-24 / 20120131130 - Network Control Architecture and Protocol for a Distributed Control, Data Acquisition and Data Distribution System and Process | 1 |
Ken Harris | CA | Montreal | 2012-05-31 / 20120136682 - Engine, System and Method for Maximizing Long-Term Value of Products or Service Items | 1 |
Joel R. Harris | US | Chicago | 2015-05-28 / 20150148137 - METHOD OF PROVIDING AN INTERACTIVE ENTERTAINMENT SYSTEM | 3 |
Ivor Rex Harris | GB | Birmingham | 2013-10-10 / 20130263699 - Magnet Recycling | 2 |
Neil John Harris | GB | Cambourne | 2016-02-04 / 20160034034 - TOUCH SENSITIVE DEVICE | 4 |
Jack Harris | US | Baton Rouge | 2015-04-23 / 20150110565 - SYSTEM FOR DELIVERING SOLID PARTICULATE MATTER FOR LOADING | 2 |
Mackenzie Alexander Harris | CA | Calgary | 2012-06-07 / 20120142989 - HEAT MANAGEMENT IN ETHYLENE OLIGOMERIZATION | 1 |
Euan David Harris | GB | Cambridge | 2012-06-07 / 20120144026 - Monitoring Connections | 1 |
Jennifer Ruth Harris | US | Shorewood | 2012-06-14 / 20120145189 - Portable Self-Heating Steam Generating Device | 1 |
Vaughn Harris | US | West Jordan | 2013-11-07 / 20130292503 - Fishing Reel | 2 |
Craig Edward Harris | AU | Berowra Heights | 2012-06-21 / 20120152255 - PAP SYSTEM | 1 |
Simon David Harris | GB | Burley In Wharfdale | 2012-06-21 / 20120158376 - FAULT ANALYSIS SYSTEM | 1 |
Jensen Harris | US | Kirkland | 2015-11-26 / 20150339176 - EXTENSIBLE SYSTEM ACTION FOR SHARING WHILE REMAINING IN CONTEXT | 3 |
Peter S. Harris | US | Pacific Beach | 2015-07-02 / 20150182365 - METHODS AND DEVICES FOR REDUCING GASTRIC VOLUME | 7 |
Edward Harris | US | Redmond | 2012-06-28 / 20120167101 - SYSTEM AND METHOD FOR PROACTIVE TASK SCHEDULING | 1 |
Franco Dokmanovich Harris | US | Pittsburgh | 2014-07-24 / 20140205640 - ANTIMICROBIAL COLLOIDAL SILVER AND GOLD PRODUCTS AND METHOD OF MAKING SAME | 3 |
Jackson T. Harris | US | Berkeley | 2012-06-28 / 20120166117 - METHOD AND APPARATUS FOR EVALUATING SUPERCONDUCTING TUNNEL JUNCTION DETECTOR NOISE VERSUS BIAS VOLTAGE | 1 |
Neil John Harris | GB | Cambourne, Cambridgeshire | 2012-07-05 / 20120169185 - Actuator | 1 |
Charles Harris | US | Lincoln City | 2014-01-09 / 20140007549 - In Line Air Filtration and Purification Apparatus | 2 |
Joel M. Harris | US | Mountain View | 2014-11-20 / 20140343353 - Systems and Methods for Delivering Sensory Input During a Dream State | 3 |
Simon D. Harris | GB | Warwick | 2012-07-12 / 20120179728 - Processing of Destructive Schema Changes in Database Management Systems | 1 |
Daniel Harris | US | San Francisco | 2012-07-19 / 20120183156 - MICROPHONE SYSTEM WITH A HAND-HELD MICROPHONE | 1 |
J. Trevor Harris | US | Fairfax | 2012-07-19 / 20120185168 - ASSET TRACKING SYSTEM | 1 |
Ivan F. Harris | US | Ypsilanti | 2014-10-16 / 20140305952 - FOLDING CONTAINER | 2 |
Scott Harris | AU | South Australia | 2012-07-26 / 20120187153 - BEVERAGE DISPENSING APPARATUS | 1 |
Stuart I. Harris | US | Coconut Grove | 2012-07-26 / 20120190981 - SYSTEMS AND METHODS FOR AUTONOMOUS INTRAVENOUS NEEDLE INSERTION | 1 |
Richard J. Harris | US | Coconut Grove | 2012-07-26 / 20120190981 - SYSTEMS AND METHODS FOR AUTONOMOUS INTRAVENOUS NEEDLE INSERTION | 1 |
William Robert Harris | US | Madison | 2013-10-17 / 20130275847 - AUTOMATED TABLE TRANSFORMATIONS FROM EXAMPLES | 2 |
David Harris | GB | Milton | 2015-06-11 / 20150157812 - Dry Powder Inhalers with Dual Piercing Members and Methods of Operating Same | 4 |
Aquino Andrian Harris | US | Paterson | 2012-08-09 / 20120200088 - Sipoline Hydro Electric Generator | 1 |
Peter Graham Harris | GB | Aberdeen | 2013-07-18 / 20130180444 - OFFSHORE WIND TURBINE INSTALLATION VESSEL | 2 |
Miles Andrew Harris | GB | Halifax | 2012-11-29 / 20120297651 - CURVED DISPLAY ARRANGEMENT | 2 |
Steven T. Harris | US | San Francisco | 2016-01-28 / 20160026392 - OFF-HEAP DIRECT-MEMORY DATA STORES, METHODS OF CREATING AND/OR MANAGING OFF-HEAP DIRECT-MEMORY DATA STORES, AND/OR SYSTEMS INCLUDING OFF-HEAP DIRECT-MEMORY DATA STORE | 3 |
Eric Harris | GB | Cornwall | 2012-09-06 / 20120224449 - BARREL MIXER ANGLE ADJUSTER | 1 |
Lan Harris | GB | Lanarkshire | 2012-09-06 / 20120224588 - DYNAMIC NETWORKING OF VIRTUAL MACHINES | 1 |
Michael Claude Harris | US | Hahira | 2012-09-06 / 20120225783 - ADJUVANT FOR AGRICULTURAL CHEMICALS | 1 |
Melanie Harris | US | Santa Ana | 2013-07-25 / 20130190673 - DEVICES AND METHODS FOR SKIN TIGHTENING | 2 |
Dave Harris | GB | Cambridge | 2012-09-06 / 20120226240 - SYRINGE | 1 |
Stuart Harris | GB | Bournemouth | 2012-09-13 / 20120228139 - Vented Oxygen Cell | 1 |
Todd William Harris | US | Sammamish | 2012-11-01 / 20120278799 - Virtual Disk Storage Techniques | 3 |
Patrick Harris | US | Cleveland | 2012-09-20 / 20120235319 - LAYER MULTIPLYING DIE FOR GENERATING INTERFACIAL SURFACES | 2 |
Victor Harris | AE | Dubai | 2014-03-06 / 20140060760 - WINDOW COVERING CONFIGURED TO BE MAGNETICALLY ATTACHED TO A WINDOW FRAME OF A VEHICLE | 3 |
Jeffrey J. Harris | US | Arlington | 2012-09-20 / 20120239437 - Systems and Methods for Lending Based on Actuarial Calculations | 1 |
Alex D. Harris | US | Humble | 2012-09-27 / 20120241171 - Multiple Liner Hanger Assembly | 1 |
James R. Harris | US | Anaheim | 2012-09-27 / 20120241341 - SHOCK-ABSORBING GOLF BAG AND STRAP SYSTEM | 1 |
Nesdon Alexandré Harris | US | Manassas | 2012-09-27 / 20120243160 - ADAPTIVE COMPUTING SYSTEM WITH MODULAR CONTROL, SWITCHING, AND POWER SUPPLY ARCHITECTURE | 1 |
Richad D. Harris | US | Indianapolis | 2012-10-04 / 20120247347 - Compression Conveyor For Strapping System | 1 |
Fred Harris | US | Duncanville | 2012-10-04 / 20120248386 - THERMOELECTRIC MATERIALS HAVING POROSITY | 1 |
Nesdon Harris | US | Chantilly | 2012-10-04 / 20120250243 - DISK DRIVE MOUNTING ASSEMBLY | 1 |
Thomas Harris | US | Maple Grove | 2012-10-18 / 20120260792 - PRODUCTS AND METHODS FOR BALLISTIC DAMAGE MITIGATION AND BLAST DAMAGE SUPPRESSION | 1 |
Art Harris | US | Atlanta | 2013-04-25 / 20130098382 - Cosmetic Applicator Systems | 2 |
Robert Douglas Harris | US | Potomac | 2012-10-18 / 20120262484 - Motion Capture and Analysis at a Portable Computing Device | 1 |
Richard Paul Harris | US | Levittown | 2012-10-18 / 20120263548 - DRILL BIT | 1 |
John William Harris | NL | Amsterdam | 2015-04-02 / 20150094201 - PROCESS FOR REGENERATING A COKED CATALYTIC CRACKING CATALYST | 13 |
John Harris | US | Clearwater | 2012-10-25 / 20120269331 - Media Relay Service | 1 |
Theodore Harris | US | San Francisco | 2016-04-21 / 20160109954 - Gesture Recognition Cloud Command Platform, System, Method, and Apparatus | 11 |
Steven Paul Harris | US | San Francisco | 2012-10-25 / 20120271121 - Integrated Biometric Sensing and Display Device | 1 |
Jason Harris | US | Hayward | 2012-10-25 / 20120270305 - SYSTEMS, METHODS, AND APPARATUSES TO IMAGE A SAMPLE FOR BIOLOGICAL OR CHEMICAL ANALYSIS | 1 |
Paul Harris | CA | Montreal | 2013-03-07 / 20130058706 - Unified universal rack connector | 2 |
Debra Fogel Harris | US | New York City | 2012-11-01 / 20120275488 - External Temperature Measurement Device For Wine Bottles | 1 |
Scott Harris | US | Boca Raton | 2012-11-01 / 20120277906 - METHOD AND APPARATUS FOR FLAVORED ICE MAKING | 1 |
James E. Harris | US | Independence | 2012-11-08 / 20120279482 - LAMINATED POCKET SLINGSHOT WITH METAL CORE | 1 |
Kelly J. Harris | US | Lawrenceburg | 2012-11-08 / 20120279132 - TRANSIT WINDOW ASSEMBLY FOR VEHICLES | 1 |
Sean F. Harris | US | Santa Barbara | 2014-06-26 / 20140173926 - SYSTEM AND METHOD FOR REMOVING OXIDE FROM A SENSOR CLIP ASSEMBLY | 2 |
Mark A. Harris | US | Plano | 2013-12-12 / 20130331143 - Adjusting Power of a Control Channel Based on a Characteristic of a Message in the Control Channel | 8 |
Clifford V. Harris | US | Saugerties | 2015-04-30 / 20150121552 - SOFTWARE UTILIZATION PRIVILEGE BROKERING IN A NETWORKED COMPUTING ENVIRONMENT | 6 |
John William Harris | NL | Amsterdam | 2015-04-02 / 20150094201 - PROCESS FOR REGENERATING A COKED CATALYTIC CRACKING CATALYST | 13 |
Terry Harris | US | Cordova | 2014-05-08 / 20140125078 - RETRIEVAL SYSTEM FOR GOLF CLUBS AND BALLS | 3 |
Bridgett Angela Harris | US | Elkton | 2012-11-22 / 20120291532 - Methods, Systems, And Apparatus To Determine A Clot Carryout Condition Upon Probe Retraction During Sample Aspiration And Dispensing | 1 |
Vincent Harris | US | Sharon | 2015-12-31 / 20150380790 - VOLTAGE TUNING OF MICROWAVE MAGNETIC DEVICES USING MAGNETOELECTRIC TRANSDUCERS | 3 |
Bret Harris | US | Orem | 2014-05-29 / 20140148934 - UNIFIED COMMUNICATIONS BRIDGING ARCHITECTURE | 2 |
Jensen Harris | US | Bellevue | 2015-11-05 / 20150317062 - Multi-Application Environment | 14 |
Ivan David Harris | GB | Bradford On Avon | 2012-11-29 / 20120302295 - MANAGING PROJECTED POWER OUTAGE AT MOBILE RADIO BASE SITES | 1 |
Theodore David Harris | US | San Francisco | 2013-09-19 / 20130246342 - Multi-Source, Multi-Dimensional, Cross-Entity, Multimedia Centralized Personal Information Database Platform Apparatuses, Methods and Systems | 5 |
Matthew C. Harris | US | Bow | 2015-12-31 / 20150374904 - SYSTEM AND METHOD FOR INSULIN PUMP MEDICAL DEVICE INCLUDING A SLIDER ASSEMBLY WHEREIN IMAGES ON DISPLAY ALLOW FOR HIGHLIGHTING AND MAGNIFYING IMAGES | 4 |
Amanda Katherine Harris | US | Waco | 2012-12-06 / 20120304493 - Fashion Shoe Having A Removable Insole and Footbed Cover | 1 |
Ryan Craig Harris | US | Derby | 2013-06-27 / 20130161850 - AUTOCLAVE HEALTH MONITORING AND CONTROL SYSTEM | 3 |
Lashana M. Harris | US | Frankfort | 2014-03-06 / 20140061145 - Baby bottle with mixing device | 2 |
William F. Harris | US | Palm Harbor | 2012-12-06 / 20120305091 - DRAG REDUCTION OF ASPHALTENIC CRUDE OILS | 1 |
Tennyson Harris | CA | Brampton | 2012-12-06 / 20120305532 - System and Method for High-Speed Robotic Cladding of Metals | 1 |
Stephen Harris | US | Henderson | 2012-12-06 / 20120309500 - PLAYING CARD GAME AND METHOD THEREFOR | 1 |
Patrick William Harris | AU | Kunda Park | 2012-12-13 / 20120312484 - PUSH-OUT SCREEN FRAME | 1 |
Hosea M. Harris | US | Downs | 2012-12-13 / 20120312862 - GANTRY-BASED WELDING SYSTEM AND METHOD | 1 |
David J. Harris | US | Lexington | 2015-11-12 / 20150321996 - AMIDE DENDRIMER COMPOSITIONS | 14 |
Shaun L. Harris | US | Sammamish | 2015-09-17 / 20150261243 - LOCALIZED WEATHER PREDICTION THROUGH UTILIZATION OF CAMERAS | 16 |
Daren Lee Harris | US | Canton | 2016-03-24 / 20160086749 - Apparatus For And Method Of Reducing Rotary Knob Noise | 3 |
Joshua Robert Harris | US | Lakewood Village | 2012-12-20 / 20120322589 - Tennis Ball Management System | 1 |
Ian Harris | GB | Dorset | 2012-12-27 / 20120326824 - INDUCTIVE SENSOR WITH DATUM ADJUSTMENT | 1 |
Glen Mclean Harris | NZ | Auckland | 2012-12-27 / 20120326852 - STATE-BASED CONTROL SYSTEMS AND METHODS | 1 |
Adrian Harris | GB | Oxfordshire | 2012-12-27 / 20120329662 - HYPOXIA TUMOUR MARKERS | 1 |
Mike Harris | US | Cary | 2012-12-27 / 20120328299 - APPARATUS AND METHODS FOR OPTICAL CONTROL OF LIGHTING DEVICES | 1 |
Charles R. Harris | US | Dayton | 2012-12-27 / 20120329351 - Elastic Nonwoven Materials Comprising Propylene-Based and Ethylene-Based Polymers | 1 |
Shawn Harris | US | Beaverton | 2013-01-10 / 20130012365 - Kettlebell Accessories | 1 |
Peter Georger Harris | US | Scottsdale | 2013-01-10 / 20130013479 - AUTHORIZATION REQUEST FOR FINANCIAL TRANSACTIONS | 1 |
Sam Harris | US | Newbury Park | 2013-01-10 / 20130014292 - TRANSGENIC PLANTS HAVING INCREASED BIOMASS | 1 |
Frederick B. Harris | US | San Jose | 2013-01-10 / 20130014160 - VIDEO-ON-DEMAND AND TARGETED ADVERTISING | 1 |
Phillip C. Harris | US | Duncan | 2013-01-31 / 20130025869 - Thermally Stable, Nonionic Foaming Agent for Foam Fracturing Fluids | 8 |
George Harris | US | Seattle | 2013-01-24 / 20130019756 - COFFEE MAKER | 1 |
Tom Harris | US | Waterloo | 2013-01-31 / 20130028817 - DIESEL EXHAUST FLUID FORMULATION HAVING A HIGH AMMONIUM CONTENT AND A LOW FREEZING POINT | 1 |
Stephen Vaughan Harris | US | Redwood | 2013-01-31 / 20130030463 - SYSTEM AND METHOD FOR ALL-INSIDE SUTURE FIXATION FOR IMPLANT ATTACHMENT AND SOFT TISSUE REPAIR | 1 |
Michelle Louise Harris | US | San Francisco | 2013-01-24 / 20130021878 - Container for Pills or Vitamins and Methods of Use | 1 |
Brent Hampton Harris | US | Petaluma | 2013-01-31 / 20130026204 - SNOW SPORT BAG | 1 |
Ryan Harris | US | Parkland | 2015-11-19 / 20150332525 - SYSTEM, METHOD AND APPARATUS FOR TRACKING PARKING BEHAVIOR OF A VEHICLE | 3 |
Melissa Harris | US | Orlando | 2013-01-17 / 20130017095 - FLOW DIRECTING MEMBER FOR GAS TURBINE ENGINEAANM Lee; Ching-PangAACI CincinnatiAAST OHAACO USAAGP Lee; Ching-Pang Cincinnati OH USAANM Tham; Kok-MunAACI OrlandoAAST FLAACO USAAGP Tham; Kok-Mun Orlando FL USAANM Vitt; Paul H.AACI Liberty TwpAAST OHAACO USAAGP Vitt; Paul H. Liberty Twp OH USAANM Williamson; Stephen R.AACI CincinnatiAAST OHAACO USAAGP Williamson; Stephen R. Cincinnati OH USAANM Montgomery; Matthew D.AACI JupiterAAST FLAACO USAAGP Montgomery; Matthew D. Jupiter FL USAANM Prakash; ChanderAACI OrlandoAAST FLAACO USAAGP Prakash; Chander Orlando FL USAANM Harris; MelissaAACI OrlandoAAST FLAACO USAAGP Harris; Melissa Orlando FL US | 1 |
Philip A. Harris | US | Collegeville | 2013-01-17 / 20130018039 - IMIDAZOLYL-IMIDAZOLES AS KINASE INHIBITORS | 1 |
Matthew Thomas Harris | US | New Haven | 2013-02-14 / 20130039985 - Method for aligning cells and applying homogenous strain throughout deformable engineered tissue constructs | 1 |
Christopher Kelvin Harris | US | 2013-02-21 / 20130043029 - HIGH VOLTAGE TEMPERATURE LIMITED HEATERS | 1 | |
Earl Harris | US | Owings | 2013-02-21 / 20130046669 - Gas cost calculator | 1 |
Norward Harris | US | Westfield | 2013-02-21 / 20130046242 - Infusion tubing support clip | 1 |
Linda Harris | US | Westfield | 2013-02-21 / 20130046242 - Infusion tubing support clip | 1 |
David S. Harris | GB | Milton | 2013-02-28 / 20130047985 - DRY POWDER INHALER ASSEMBLY AND CONTAINERS | 1 |
Mark Harris | US | Houston | 2013-02-28 / 20130048282 - Fracturing Process to Enhance Propping Agent Distribution to Maximize Connectivity Between the Formation and the Wellbore | 1 |
Donald G. Harris | US | San Diego | / - | 1 |
Philip Anthony Harris | US | Collegeville | 2016-03-03 / 20160060222 - AMINO-QUINOLINES AS KINASE INHIBITORS | 3 |
Alan Harris | US | Pittsburgh | / - | 1 |
Mark Harris | US | Land O Lakes | 2015-07-16 / 20150197452 - System and Method for the Production of Gypsum Board Using Starch Pellets | 2 |
Chris B. Harris | US | Amity | 2014-11-13 / 20140332312 - COMPACT MUFFLER FOR SMALL TWO-STROKE INTERNAL COMBUSTION ENGINES | 4 |
Mitchell Harris | US | Verdl | 2013-03-14 / 20130064507 - WAVELENGTH DIVISION MULTIPLEXING DEVICE | 1 |
David H. Harris | US | Mountainside | 2013-03-14 / 20130066131 - Novel Catalyst To Increase Propylene Yields From A Fluid Catalytic Cracking Unit | 1 |
Patrick Harris | US | Bonney Lake | 2013-03-21 / 20130073259 - METHOD, MONITORING SYSTEM AND COMPUTER PROGRAM PRODUCT FOR MONITORING THE HEALTH OF A MONITORED SYSTEM UTILIZING AN ASSOCIATIVE MEMORY | 1 |
Andrew W. Harris | US | Cambridge | 2013-03-21 / 20130073989 - Dynamic Content Feed Filtering | 1 |
Andrew John Harris | GB | Camberley | 2013-03-28 / 20130074784 - VETERINARIAN COLLARS | 1 |
Brett Harris | US | Orem | 2013-04-18 / 20130097333 - METHODS AND APPARATUSES FOR UNIFIED STREAMING COMMUNICATION | 4 |
Thomas J. Harris | US | Shoreview | 2013-08-29 / 20130222152 - TELEMETRY DOUBLE BUFFERING AND OVERSAMPLING FOR AN IMPLANTABLE MEDICAL DEVICE | 10 |
John Harris | US | Boise | 2014-05-01 / 20140118759 - PROCESSING IMAGE DATA STRIPS IN COLUMNAR REGIONS | 2 |
Jason Harris | US | San Ramon | 2014-11-06 / 20140329694 - INTEGRATED OPTOELECTRONIC READ HEAD AND FLUIDIC CARTRIDGE USEFUL FOR NUCLEIC ACID SEQUENCING | 3 |
David P. Harris | US | Waterford | 2013-04-11 / 20130087570 - METHOD FOR PROVIDING AND TESTING STORAGE CONTAINMENT | 1 |
Martin Barkley Harris | GB | Southmead | 2015-12-03 / 20150350074 - PACKET DATA COMMUNICATIONS | 2 |
Courtney T. Harris | US | San Diego | 2013-04-11 / 20130090027 - SKEG PROTECTOR APPARATUS AND METHOD OF MAKING | 1 |
Arlene J. Harris | US | Del Mar | 2013-04-18 / 20130093382 - SYSTEMS AND METHODS FOR ENHANCING THE PROVISIONING AND FUNCTIONALITY OF WIRELESS INSTRUMENTS | 1 |
Doug G. Harris | US | Justin | 2013-04-25 / 20130097981 - REMOVABLE RADIATOR BRACKET FILTER SYSTEMS | 1 |
Willard D. Harris | US | Spring | 2013-05-09 / 20130112402 - Method for Forming a Geothermal Well | 1 |
Charles Edward Harris | US | Anacortes | 2013-08-08 / 20130199006 - Adjustable stop piece for lacings and method for use thereof | 2 |
Charles David Harris | US | Anacortes | 2013-08-08 / 20130199006 - Adjustable stop piece for lacings and method for use thereof | 2 |
Joel S. Harris | CA | Orleans | 2013-05-16 / 20130118017 - MOTOR DRIVEN ROTATIONAL SAMPLING APPARATUS WITH REMOVABLE CUTTING TOOLS FOR MATERIAL COLLECTION | 1 |
Gordon Harris | CA | Fergus | 2015-10-22 / 20150301339 - DISPLAY STAND | 2 |
Jay Harris | US | Aliso Viejo | 2013-05-16 / 20130120970 - HAND-HELD DEVICE WITH A MULTI-MODE LIGHT SOURCE AND A SECONDARY ILLUMINATION SOURCE | 1 |
George Harris | US | Auburn | 2013-05-16 / 20130120085 - APPARATUS AND METHOD FOR A VARIABLE-RATIO ROTATIONALLY-POLARIZED HIGH POWER INDUSTRIAL MICROWAVE FEED NETWORK | 2 |
Jerry G. Harris | US | Newberry | 2014-04-17 / 20140104211 - Natural Media Painting using Proximity-based Tablet Stylus Gestures | 9 |
Michael Harris | US | Westminister | 2013-05-16 / 20130120913 - SECURITY ENHANCING APPARATUS FOR ATTACHING TO AN ELECTRONIC DEVICE | 1 |
Avery Harris | US | Scottsdale | 2013-05-16 / 20130120970 - HAND-HELD DEVICE WITH A MULTI-MODE LIGHT SOURCE AND A SECONDARY ILLUMINATION SOURCE | 1 |
Christopher L. Harris | US | Frisco | 2014-07-31 / 20140213420 - Grip Band | 3 |
Steven Harris | US | Torrance | 2013-05-23 / 20130126697 - Rotating car wheel display | 1 |
Casey Nathan Harris | US | Sacramento | 2013-05-23 / 20130127317 - ROTATABLE BALL CART | 1 |
George Harris | US | Lewiston | 2013-05-23 / 20130127679 - APPARATUS AND METHOD FOR A VARIABLE-RATIO ROTATIONALLY POLARIZED SLOT-STYLE TELEVISION AND RADIO BROADCAST ANTENNA | 1 |
Jon Harris | US | 2013-05-23 / 20130127738 - DYNAMIC SCALING OF TOUCH SENSOR | 1 | |
Susie Miki Harris | US | Newbury Park | 2013-05-23 / 20130129725 - HUMAN FGF RECEPTOR AND BETA-KLOTHO BINDING PROTEINS | 1 |
Dinari Harris | US | Wheaton | 2013-05-23 / 20130131145 - COMPOSITIONS AND METHODS FOR ALTERING RNAi | 1 |
Steven B. Harris | US | Rancho Cucamonga | 2013-05-23 / 20130131186 - Microemulsion Preparation of High Concentration Propofol for Anesthetic Uses | 1 |
Christopher R. Harris | CA | Vancouver | 2013-05-23 / 20130131555 - GAIT ANALYSIS USING ANGULAR RATE REVERSAL | 1 |
Thomas Harris | US | Waterloo | 2013-05-30 / 20130133313 - DIESEL PARTICULATE FILTERS HAVING A WASHCOAT THAT IMPROVES FILTER PERFORMANCE | 1 |
Peter Harris | US | Troy | 2013-05-30 / 20130134739 - MOTOR-VEHICLE FRONT-FACE MODULE COMPRISING A FLEXIBLE ZONE | 1 |
Glen M. Harris | NZ | Auckland | 2013-06-06 / 20130142181 - APPARATUS AND METHOD OF OPERATION FOR A REMOTE CONTROL SYSTEM | 1 |
Arlene Joy Harris | US | Del Mar | 2015-11-19 / 20150333955 - METHODS AND APPARATUS FOR UPDATING A COMMUNICATIONS DEVICE USING SMS MESSAGES | 2 |
Donald Harris | US | Newport Beach | 2013-06-06 / 20130144260 - DEVICE AND METHOD FOR THE CONTROLLED DELIVERY OF OPHTHALMIC SOLUTIONS | 1 |
Rachel D. Harris | US | Shorewood | 2013-06-13 / 20130146821 - REDOX STIMULATED VARIABLE-MODULUS MATERIAL | 1 |
Curt Woodson Harris | US | Half Moon Bay | 2013-06-13 / 20130151398 - PORTFOLIO RISK MANAGER | 1 |
John Harris | US | 2013-06-13 / 20130152115 - Video Loading Control | 1 | |
Mary E. Harris | US | Warrenton | 2013-06-13 / 20130151430 - SYSTEM AND METHOD FOR MODIFYING AND RE-DESIGNING EMPLOYEE BENEFIT PLANS | 1 |
Dan Harris | US | Hickory | 2015-07-09 / 20150195038 - REMOTE ANTENNA CLUSTERS AND RELATED SYSTEMS, COMPONENTS, AND METHODS SUPPORTING DIGITAL DATA SIGNAL PROPAGATION BETWEEN REMOTE ANTENNA UNITS | 2 |
David Harris | GB | Harston | 2013-06-13 / 20130151162 - DRUG DELIVERY DEVICE | 1 |
Jerry G. Harris | US | Newberry | 2014-04-17 / 20140104211 - Natural Media Painting using Proximity-based Tablet Stylus Gestures | 9 |
Mark A. Harris | US | West Bloomfield | 2014-08-21 / 20140232164 - TAILOR WELDED SEAT AND COMPONENTS | 2 |
Dennis George Harris | US | Thousand Oaks | 2014-01-16 / 20140016658 - DISK LASER | 2 |
Deborah Jane Harris | GB | Manchester | 2013-06-27 / 20130164201 - COMPOSITION BASED ON CERIUM, ZIRCONIUM AND TUNGSTEN, PREPARATION PROCESS AND USE IN CATALYSIS | 1 |
Herbert Harris | US | Chapel Hill | 2013-06-27 / 20130165511 - TREATMENT FOR COCAINE ADDICTION | 1 |
Kenneth Harris | US | Boulder | 2015-02-05 / 20150039826 - SUB-LUN AUTO-TIERING | 4 |
Bernard Harris | US | Wayland | 2013-07-04 / 20130168566 - METHODS AND APPARATUS FOR INTEGRATED NEUTRON/GAMMA DETECTOR | 1 |
Bernard Michael Harris | IL | Kfar Saba | 2013-07-04 / 20130172907 - SYSTEM AND METHOD FOR SPATIAL LOCATION AND TRACKING | 1 |
Grant T. Harris | BR | Macae | 2013-07-11 / 20130175030 - Submersible Pump Control | 1 |
Daniel K. Harris | US | Cambridge | 2013-07-11 / 20130178047 - Highly Luminescent II-V Semiconductor Nanocrystals | 1 |
Timothy Edwin Harris | US | Lafayette | 2013-07-11 / 20130179170 - CROWD-SOURCING PRONUNCIATION CORRECTIONS IN TEXT-TO-SPEECH ENGINES | 2 |
Darrell L. Harris | US | Oregon City | 2013-07-18 / 20130181077 - Concentrator Apparatus for Recovering Lead or Other Material | 1 |
Jason Lamont Harris | US | Duluth | 2013-07-25 / 20130186845 - Butler - The Fishing Pole Caddy | 1 |
James Gustav Harris | US | Foster City | 2013-07-25 / 20130188340 - Lotus Light | 1 |
Nick Harris | GB | Leeds | 2016-03-17 / 20160074087 - ALIGNMENT TOOL | 3 |
Christopher Shannon Harris | GB | London | 2013-07-25 / 20130191776 - METHOD OF ACTIVATING ACTIVATABLE CONTENT ON AN ELECTRONIC DEVICE DISPLAY | 1 |
Sam Harris | US | Nerbury Park | 2013-07-25 / 20130191941 - MODULATING LIGHT RESPONSE PATHWAYS IN PLANTS, INCREASING LIGHT-RELATED TOLERANCES IN PLANTS, AND INCREASING BIOMASS IN PLANTS | 1 |
Stephen L. Harris | US | Oshkosh | 2013-08-01 / 20130192081 - LAUNDRY MOISTURE SENSING, CONTROL, DIAGNOSTIC AND METHOD | 1 |
Deborah Jayne Harris | GB | Manchester | 2013-08-01 / 20130195743 - METHOD FOR TREATING A GAS CONTAINING NITROGEN OXIDES (NOX), IN WHICH A COMPOSITION COMPRISING CERIUM OXIDE AND NIOBIUM OXIDE IS USED AS A CATALYST | 1 |
Michael Vileisis Harris | US | San Mateo | 2013-08-01 / 20130198108 - Systems and methods to create, compare, customize, promote, track, optimize and shop for portfolios of securities using social networks | 7 |
Steve Harris | US | Vernon Hills | 2013-08-01 / 20130197618 - Medical Device Package And Methods | 1 |
Mitchell A. Harris | US | West Newton | 2013-08-01 / 20130198225 - SYSTEM AND METHOD FOR QUERIED PATIENT ABSTRACT | 1 |
Robert Harris | US | Venice | 2014-11-13 / 20140337906 - TECHNIQUE FOR PROVIDING ON A PROGRAM CHANNEL COMPOSITE PROGRAMMING CONTENT ATTRIBUTED TO DIFFERENT SOURCES | 2 |
James Edward Harris | US | San Jose | 2013-08-08 / 20130201770 - MEMORY WITH DEFERRED FRACTIONAL ROW ACTIVATION | 1 |
Mike Harris | US | Fairview | 2013-08-08 / 20130202432 - ROLLING ELEMENT BEARING CARTRIDGE WITH AXIAL THRUST DAMPING AND ANTI-ROTATION ASSEMBLIES | 1 |
Christopher L. Harris | US | Houston | 2013-08-15 / 20130209347 - GAS TO GAS HEAT EXCHANGER | 1 |
Adam Harris | US | San Diego | 2013-08-22 / 20130218827 - SUMMARIZING A BODY OF MEDIA BY ASSEMBLING SELECTED SUMMARIES | 8 |
Daniel Harris | US | Westfield | 2013-08-22 / 20130213061 - ACTIVE CHILLED BEAM WITH STERILIZATION MEANS | 1 |
Antony Harris | GB | Bracknell | 2013-08-22 / 20130217746 - AGROCHEMICAL CONCENTRATES COMPRISING ALKOXYLATED ADJUVANTS | 1 |
Thomas O. Harris | US | Windsor | 2013-08-29 / 20130219902 - BURNER PRESSURE TRANSDUCER THERMAL MANAGEMENT DESIGN | 1 |
Brett Harris | US | Lapeer | 2016-03-31 / 20160094762 - VEHICULAR VISION SYSTEM WITH REDUCED CAMERA CONNECTOR POINTS | 2 |
Laura Harris | GB | Cambridge | 2013-08-29 / 20130224221 - BIOMARKERS FOR SCHIZOPHRENIA | 1 |
Jerry Glen Harris | US | Newberry | 2013-09-05 / 20130229422 - Conversion of Contiguous Interleaved Image Data for CPU Readback | 2 |
Michael Harris | US | Knoxville | 2013-09-05 / 20130231598 - Foot Plate for Securing an Orthosis | 1 |
Samuel W. Harris | US | Norcross | 2014-07-17 / 20140200408 - Otoscanner With Fan And Ring Laser | 9 |
Brandon Harris | US | San Jose | 2013-09-12 / 20130238920 - SYSTEM AND METHOD FOR MANAGING POWER CONSUMPTION IN A COMPUTER DEVICE | 1 |
Mark Anthony Harris | US | Rochester | 2014-09-18 / 20140267536 - PRINTING SYSTEM FOR REDUCING PRINTER ARTIFACTS | 4 |
Jon M. Harris | US | Raleigh | 2016-03-10 / 20160071237 - RESPONSIVE IMAGE RENDITION AUTHORING | 5 |
Benjamin C. Harris | US | Union Town | 2013-09-26 / 20130253963 - INTERACTIVE MAPPING SYSTEM AND RELATED METHODS | 1 |
James Robert Harris | US | Miles | 2013-10-24 / 20130280294 - BOVINE VIRAL DIARRHEA VIRUS TYPE 1B VACCINE COMPOSITIONS AND METHODS | 2 |
Courtney Harris | US | 2013-10-17 / 20130269232 - METHOD AND DEVICE FOR CONVERTING FIREARM WITH DETACHABLE MAGAZINE TO A FIREARM WITH FIXED MAGAZINE | 1 | |
Eric J. Harris | US | Tucson | 2013-10-17 / 20130275670 - MULTIPLE ENHANCED CATALOG SHARING (ECS) CACHE STRUCTURE FOR SHARING CATALOGS IN A MULTIPROCESSOR SYSTEM | 1 |
Brian Harris | US | Thief River Falls | 2013-10-24 / 20130277935 - COMPACT STEERING MECHANISM | 1 |
Philip R. Harris | US | Goshen | 2014-12-25 / 20140373256 - HELMET PADS | 2 |
Jeffery L. Harris | US | Leesburg | 2013-11-14 / 20130304551 - BOUNTY TRACKING FOR REFERRAL | 1 |
Wesley N. Harris | US | Marion | 2013-11-28 / 20130317559 - SYSTEMS AND METHODS FOR IMPLANTING SURGICAL IMPLANTS | 1 |
Jonathan H. Harris | US | Scottsdale | 2014-03-06 / 20140066287 - Low Cost Manufacture of High Reflectivity Aluminum Nitride Substrates | 2 |
Jeff Harris | US | New York | 2015-07-16 / 20150200893 - DOCUMENT REVIEW SYSTEM | 3 |
Andrew Mitchell Harris | US | Athens | 2013-12-12 / 20130332292 - METHOD AND SYSTEM FOR RE-ROUTING ITEMS SOLD OR AUCTIONED TO A NEW CUSTOMER | 1 |
Wayne A. Harris | US | Brunswick | 2014-11-13 / 20140337618 - System and Method for Validating SCEP Certificate Enrollment Requests | 2 |
Jonathan Harris | US | Sammamish | 2013-12-12 / 20130332865 - ACTIVITY INITIATION AND NOTIFICATION USER INTERFACE | 1 |
Meggan Harris | US | Colchester | 2016-04-21 / 20160108748 - GAS TURBINE ENGINE WITH DOVE-TAILED TOBI VANE | 6 |
John Arthur Harris | US | Sandy | 2013-12-26 / 20130341259 - Oil Cleaner | 1 |
David Edward Harris | US | Juneau | 2013-12-26 / 20130341878 - Instant Work Station | 1 |
Paul Daniel Harris | CA | Calgary | 2014-01-16 / 20140014496 - ELECTROCHEMICAL TREATMENT OF HYDROCARBONS | 1 |
Jason L. Harris | US | Hamilton | 2016-04-14 / 20160100836 - Devices and Methods for Endoluminal Plication | 11 |
Paul David Harris | NZ | Lower Hutt | 2014-01-23 / 20140023984 - DENTAL CHARTING SYSTEM | 1 |
Jon Mark Harris | US | Raleigh | 2014-06-05 / 20140157168 - COPY AND PASTE EXPERIENCE | 2 |
James W. Harris | US | Aurora | 2014-01-30 / 20140027266 - APPARATUS FOR MEASUREMENT AND CALCULATION OF DEW POINT FOR FRACTIONATION COLUMN OVERHEADS | 2 |
Everette Harris | US | Ivyland | 2015-12-17 / 20150361360 - APPARATUS AND SYSTEMS FOR UPGRADING HEAVY OIL USING CATALYTIC HYDROCRACKING AND THERMAL COKING | 2 |
Timothy E. Harris | US | Lafayette | 2014-01-30 / 20140033272 - EVALUATING A SECURITY STACK IN REPSONSE TO A REQUEST TO ACCESS A SERVICE | 1 |
Damien Harris | US | Austin | 2015-04-09 / 20150100436 - SYSTEM AND METHOD FOR COMBINING PAST USER EVENTS WITH REAL-TIME USER EVENTS TO RAPIDLY RESPOND TO ADVERTISING OPPORTUNITIES | 2 |
David William Harris | US | Aurora | 2014-02-20 / 20140048670 - GARMENT HANGER COLLECTION APPARATUS | 1 |
Eric J. Harris | US | Vail | 2016-03-03 / 20160062938 - OPENING A DATA SET | 6 |
Ella Ruth Harris | US | 2014-02-27 / 20140059729 - Azalea Plant named 'MNIHAR028' | 10 | |
Donald R. Harris | US | Tallmadge | 2016-02-04 / 20160029855 - VENTED REFILL UNITS AND DISPENSERS HAVING VENTED REFILL UNITS | 11 |
Roger D. Harris | US | Colorado Springs | 2014-02-27 / 20140059194 - CLOUD SERVICES LAYER DYNAMIC API | 1 |
Paul Harris | US | Rocheport | 2014-03-06 / 20140059765 - EVACUATION BLANKET AND SYSTEM | 1 |
Bradley Richard Harris | US | Baton Rouge | 2014-03-06 / 20140059999 - RAKE ASSEMBLY WITH INTEGRAL DEBRIS COLLECTOR | 1 |
Thomas H.s. Harris | US | Brooklyn | 2014-03-06 / 20140064719 - ENHANCED CAMERA POSITIONER | 1 |
Michael J. Harris | US | Cary | 2014-03-13 / 20140070710 - LIGHTING COMPONENT WITH INDEPENDENT DC-DC CONVERTERS | 3 |
Peter G. Harris | US | Charleston | 2014-03-13 / 20140075607 - Shrub rose plant named 'Harpballred' | 1 |
William J. Harris | US | Tampa | 2014-04-24 / 20140113108 - Comfort Bed Exam | 1 |
Michael James Harris | US | Cary | 2015-09-17 / 20150264780 - POWER OVER ETHERNET LIGHTING FIXTURE | 16 |
Stanley Harris | US | Mt. Pleasant | 2016-02-11 / 20160042084 - APPARATUS AND METHOD FOR MAINTAINING AND/OR RESTORING VIABILITY OF ORGANS | 9 |
Delbert Linn Harris | US | Ames | 2015-06-11 / 20150157703 - METHOD OF RAPIDLY PRODUCING IMPROVED VACCINES FOR ANIMALS | 11 |
Parker Harris | US | San Francisco | 2013-07-18 / 20130185654 - SYSTEMS AND METHODS FOR MAPPING RELEVANT PERSONAL CONNECTIONS | 1 |
George G. Harris | US | Woburn | 2015-09-17 / 20150261057 - MULTI-LAYER EXPANDING ELECTRODE STRUCTURES FOR BACKPLANE ASSEMBLIES | 9 |
Christopher Kelvin Harris | CA | Calgary | 2014-10-09 / 20140301426 - TEMPERATURE ASSESSMENT USING DIELECTRIC PROPERTIES OF AN INSULATED CONDUCTOR HEATER WITH SELECTED ELECTRICAL INSULATION | 9 |
John Harris | US | Glenview | 2016-03-17 / 20160080991 - GERAN Frequency Based Insight Delivery To Mobile Devices | 19 |
James O. Harris | US | Lawrenceville | 2014-02-27 / 20140059729 - Azalea Plant named 'MNIHAR028' | 11 |
Mark A. Harris | US | Plano | 2013-12-12 / 20130331143 - Adjusting Power of a Control Channel Based on a Characteristic of a Message in the Control Channel | 8 |
Samuel W. Harris | US | Norcross | 2014-07-17 / 20140200408 - Otoscanner With Fan And Ring Laser | 9 |
Robert D. Harris | US | Logan | 2015-09-10 / 20150255002 - PERSONAL TRAINING SYSTEM AND RELATED EXERCISE FACILITY AND METHOD | 4 |
Nathaniel Brian P. Harris | US | Salt Lake City | 2014-02-13 / 20140046261 - Magnetic Element-Equipped Needle Assemblies | 1 |
Herbert J. Harris | US | Stansbury Park | 2014-01-02 / 20140004983 - ARROW VANE APPARATUS AND METHOD | 1 |
Blake Harris | US | Logan | 2015-09-10 / 20150255002 - PERSONAL TRAINING SYSTEM AND RELATED EXERCISE FACILITY AND METHOD | 4 |
Thomas J. Harris | US | Bloomington | 2014-01-09 / 20140012341 - METHODS AND APPARATUSES FOR IMPLANTABLE MEDICAL DEVICE TELEMETRY POWER MANAGEMENT | 1 |
Thomas J. Harris | US | Rochester | 2013-05-02 / 20130107656 - Concrete Mixing Drum Fin Structure | 1 |
Jeramie Harris | US | Alexandria | 2014-01-02 / 20140006038 - Account Tracking System for Health Resource Encounters | 1 |
Gary James Harris | US | Maple Grove | 2013-04-18 / 20130092271 - Coupling | 1 |
Charmaine K. Harris | US | Pine Springs | 2015-03-26 / 20150088157 - PERCUTANEOUS FLAT LEAD INTRODUCER | 2 |
Dan Harris | US | New Haven | 2014-01-02 / 20140006844 - METHOD AND SYSTEM FOR AUTOMATICALLY DETECTING AND RESOLVING INFRASTRUCTURE FAULTS IN CLOUD INFRASTRUCTURE | 1 |
Paul George Harris | GB | Cambridgeshire | 2013-11-21 / 20130306071 - DEVICE FOR DISPENSING A PLURALITY OF UNITARY DOSES OF DRY POWDER, AND INHALER COMPRISING SUCH DEVICE | 1 |
Clayton Harris | US | Tustin | 2015-03-19 / 20150079395 - POLYMER PARTICLES | 3 |
Donald A. Harris | US | Ventura | 2013-11-14 / 20130300241 - COMPOSITE STATOR FOR ELECTROMECHANICAL POWER CONVERSION | 1 |
Stephen E. Harris | US | Palo Alto | 2014-04-03 / 20140092467 - Ultrabright long biphoton generation with non-linear optical material | 1 |
Roderick Harris | US | Northville | 2015-08-13 / 20150226164 - IN-CYLINDER CHARGING SYSTEM FOR FUEL DELIVERY SYSTEMS AND METHODS | 2 |
James D. Harris | US | Clarkston | 2014-03-27 / 20140085472 - TRAILER ANGLE DETECTION SYSTEM | 1 |
John W. Harris | US | Plymouth | 2013-12-19 / 20130338849 - DYNAMOMETER VEHICLE OPERATING MODE CONTROL | 1 |
Patricia Harris | US | Woodhaven | 2013-10-17 / 20130274167 - CLEANING COMPOSITION FOR DISHWASHING | 2 |
Michael Wayne Harris | US | Eau Claire | 2014-03-27 / 20140088538 - DISPOSABLE ABSORBENT ARTICLE WITH EXTENSIBLE AND RETRACTABLE CORE | 1 |
Philip B. Harris | US | Naples | 2016-01-07 / 20160006783 - SYSTEM AND METHOD FOR CREATING AND MANAGING GEOFEEDS | 16 |
Phil Harris | US | Naples | / - | 1 |
Stephen Edward Harris | US | Columbus | 2014-03-27 / 20140090004 - Antenna System and Installation for High Volume Television Capture | 1 |
Kyle William Harris | US | Maineville | 2013-12-12 / 20130332183 - Array of Drugs and Wellness Products | 1 |
Wade Harris | US | Lumberton | 2014-05-29 / 20140144923 - PAPER-BASED CONTAINER LIDS AND METHODS FOR MAKING THE SAME | 2 |
Ian R. Harris | US | Radnor | 2014-06-05 / 20140154226 - REGENERATION AND REPAIR OF NEURAL TISSUE USING POSTPARTUM-DERIVED CELLS | 2 |
Dean Harris | CA | Red Deer | 2014-05-08 / 20140126230 - Portable Computer Carrying and Locking Device | 1 |
Snow Christopher Harris | CA | Waterloo | 2013-05-02 / 20130110992 - ELECTRONIC DEVICE MANAGEMENT USING INTERDOMAIN PROFILE-BASED INFERENCES | 2 |
Phil Harris | CA | Calgary | 2015-03-19 / 20150075302 - FLUID FLOW SAMPLING DEVICE | 2 |
Jordan Harris | CA | Vancouver | 2013-06-20 / 20130157229 - Text Reading and Translation in a Visual Prosthesis | 1 |
Harry Harris | CA | Geargetown | 2014-01-30 / 20140026415 - GAS TURBINE ROTOR ASSEMBLY METHOD | 1 |
Jennifer R. Harris | US | Milwaukee | 2014-01-30 / 20140031176 - MONOCOQUE AMBULATION AID | 1 |
David Thomas Harris | US | Tucson | 2014-01-23 / 20140024011 - Method for Preparing Adipose Tissue | 1 |
Jeff Scott Harris | US | New York | 2015-07-16 / 20150199533 - CORRECTING ACCESS RIGHTS OF FILES IN ELECTRONIC COMMUNICATIONS | 3 |
Faith Harris | US | Mamaroneck | 2013-07-18 / 20130185819 - Genetically Modified Major Histocompatibility Complex Animals | 2 |
Colin Harris | US | Syracuse | 2014-01-16 / 20140018704 - TRI-AXIAL ELECTRO-GONIOMETER FOR SPINAL MOTION, ASSOCIATED SYSTEM AND METHODS | 1 |
Alexander Harris | US | East Setauke | 2013-02-21 / 20130045328 - Electrodes Synthesized from Carbon Nanostructures Coated with a Smooth and Conformal Metal Adlayer | 1 |
Ian James Harris | GB | Axbridge | 2013-06-27 / 20130161463 - FOLDABLE SUPPORT MECHANISM | 1 |
Jane Harris | US | Springfield | 2013-12-19 / 20130334262 - ACCESSIBLE FAUCET HANDLE | 1 |
Kevin Edward Harris | US | Salem | 2013-03-14 / 20130061970 - MULTI-LAYERED PATCH SYSTEM AND METHOD | 1 |
Matthew Thomas Harris | US | Portland | 2013-12-19 / 20130339078 - SYSTEM AND METHOD LINKING BUILDING INFORMATION MODELING AND ENTERPRISE RESOURCE PLANNING | 1 |
Joseph Brett Harris | US | Tualatin | 2015-09-10 / 20150255285 - METHOD AND APPARATUSES FOR REDUCING POROGEN ACCUMULATION FROM A UV-CURE CHAMBER | 2 |
Trevor Harris | US | Mercer Island | 2013-12-05 / 20130326430 - OPTIMIZATION SCHEMES FOR CONTROLLING USER INTERFACES THROUGH GESTURE OR TOUCH | 1 |
Marc Harris | US | Yakima | 2013-11-14 / 20130298517 - SYSTEM, APPARATUS, AND METHOD FOR RAKING AND BALING MATERIAL IN A SINGLE PASS | 2 |
Paul Gregory Harris | US | Baltimore | 2014-05-15 / 20140131949 - TILE GAME AND METHOD OF GAME PLAY | 1 |
Shawn Harris | US | Norton | 2014-05-08 / 20140129365 - SYSTEMS AND METHODS FOR NEGOTIATING A PURCHASE PRICE | 1 |
John L. Harris | US | Foxboro | 2015-06-25 / 20150176058 - DEVICE FOR PREPARING A SAMPLE | 3 |
Colby Harris | US | Weston | 2016-05-19 / 20160135973 - STENT DELIVERY SYSTEMS WITH A RECONSTRAINING MEMBER | 10 |
Courtney Harris | US | San Diego | 2013-10-17 / 20130269232 - METHOD AND DEVICE FOR CONVERTING FIREARM WITH DETACHABLE MAGAZINE TO A FIREARM WITH FIXED MAGAZINE | 1 |
James D. Harris | US | Noblesville | 2015-04-02 / 20150092059 - IMAGING SYSTEM FOR VEHICLE | 2 |
Keith J. Harris | US | Chester | 2013-01-03 / 20130005728 - SUBSTITUTED PYRIMIDINES AS PROSTAGLANDIN D2 RECEPTOR ANTAGONISTS | 1 |
Andrew S. Harris | US | Fairfield | 2013-09-26 / 20130253972 - SYSTEM AND METHOD FOR SCHEDULING AND ORDERING SERVICES | 1 |
Jeffrey Mark Harris | US | San Francisco | 2013-09-19 / 20130243750 - ANTI-IGE ANTIBODIES AND METHODS USING SAME | 1 |
James Harris | US | Houston | 2013-08-08 / 20130200006 - FILTER CLEANING SYSTEM AND METHOD | 1 |
Michael Harris | US | Phoenixville | 2013-07-25 / 20130191755 - COMMISSIONING DEVICES FOR AUTOMATION SYSTEMS | 1 |
Douglas Harris | US | Louisville | 2015-05-21 / 20150135903 - PROCESSES FOR RECOVERING METALS FROM AQUEOUS SOLUTIONS | 2 |
Bryan K. Harris | US | Sandy | 2014-05-29 / 20140145043 - PANEL MOUNTING SYSTEM | 8 |
James Jeffrey Harris | US | Cameron Park | 2014-06-05 / 20140151298 - Method and System for Enhanced Osmotic Mass Transfer | 8 |
Thomas L/ Harris | US | Powell | 2014-06-05 / 20140155753 - DISPOSABLE LIGHT SOURCE FOR ENHANCED VISUALIZATION OF SUBCUTANEOUS STRUCTURES | 1 |
Kenneth P. Harris | US | Deerfield | 2014-06-12 / 20140159364 - COGENERATION SYSTEM WITH OIL AND FILTER CHANGE FEATURE | 1 |
Theodore Robert Harris | US | Charlotte | 2014-06-12 / 20140161664 - APPARATUS FOR IRRADIATION | 1 |
Lawrence W. Harris | GB | Birmingham | 2014-10-30 / 20140322385 - DEGRADABLE CHEWING GUM AND METHOD OF MAKING THE SAME | 2 |
Jonathan K. Harris | US | Tulsa | 2014-10-16 / 20140305750 - CABLE-ACTUATED DISC BRAKE FOR A BICYCLE | 2 |
Timothy Allen Harris | US | Bend | 2014-06-19 / 20140167406 - AIR TOOL HOOK | 1 |
Stephen Harris | US | Kennett Square | 2015-07-02 / 20150183708 - Renewable Acrylic Acid Production and Products Made Therefrom | 2 |
Jason L. Harris | US | Lebanon | 2016-05-19 / 20160135977 - CONFORMING ANCHOR FOR DUODENAL BARRIER | 35 |
James Harris | US | Mechanicsburg | 2014-07-03 / 20140182682 - Mountable water spray shield | 1 |
Derek S. Harris | US | Roslyn | 2014-07-24 / 20140204344 - DS Digi Holo Wheels | 1 |
Steve Harris | US | Bloomington | 2014-07-24 / 20140207155 - ANEURYSM CLOSURE CLIP | 1 |
Kevin Lee Harris | US | Lawton | 2014-07-31 / 20140210137 - Fixed Printhead Fused Filament Fabrication Printer and Method | 1 |
Maria Cristina Harris | US | Worcester | 2014-08-21 / 20140235476 - MULTIVALENT BINDING PROTEIN COMPOSITIONS AND METHODS FOR IDENTIFYING VARIANTS OF SAME | 2 |
Philip Harris | US | Summit | 2014-08-07 / 20140222563 - Solutions For Hedging Against Foreign-Exchange Currency Risk | 1 |
Richard G. Harris | CA | North Vancouver | 2016-05-12 / 20160132785 - SYSTEMS AND METHODS FOR OPERATING A QUANTUM PROCESSOR TO DETERMINE ENERGY EIGENVALUES OF A HAMILTONIAN | 12 |
John N. Harris | US | Seattle | 2015-06-25 / 20150174874 - Aircraft Interior Panels and Methods of Panel Fabrication | 11 |
Roy L. Harris | US | San Diego | 2016-01-28 / 20160024101 - PYRAZINO[2,3-b]PYRAZINE mTOR KINASE INHIBITORS FOR ONCOLOGY INDICATIONS AND DISEASES ASSOCIATED WITH THE mTOR/PI3K/AKT PATHWAY | 12 |
Bradley J. Harris | US | New London | 2015-02-26 / 20150053318 - AGRICULTURAL TIRE TREAD | 9 |
James W. Harris | US | Palatine | 2014-12-18 / 20140371510 - PRODUCT RECOVERY FROM ADSORPTION-SEPARATION PURGE FLUIDS | 9 |
Travis Harris | US | Houston | 2014-08-07 / 20140216756 - CASING FLOAT TOOL | 1 |
Marshal Harris | US | Houston | 2016-03-24 / 20160084067 - METHOD AND APPARATUS FOR VIDEO VALIDATION | 4 |
Dennis Harris | US | Houston | 2014-06-05 / 20140150879 - APPARATUS FOR ADJUSTING SHAPE MEMORY ALLOY TRANSITION TEMPERATURES TO TRACK SLOWLY CHANGING AMBIENT TEMPERATURE | 2 |
David T. Harris | US | Houston | 2014-10-23 / 20140316085 - Process of Producing Polyolefins Using Metallocene Polymerization Catalysts and Copolymers Therefrom | 3 |
Christopher Lowell Harris | US | Houston | 2014-02-06 / 20140033916 - RF REGENERATION OF HYDRO-ABSORPTIVE MATERIAL | 1 |
Stephen Harris | US | Emmaus | 2014-08-07 / 20140215788 - Method for assembling a crusher | 1 |
Joshua Harris | US | Lancaster | 2014-08-07 / 20140217866 - MOBILE PHONE ENCLOSURES | 1 |
David A. Harris | US | Coatesvile | 2014-08-07 / 20140220276 - Enhanced Braided Sleeve and Method of Construction Thereof | 1 |
Joshua David Harris | US | Copley | 2014-11-27 / 20140346737 - PISTON SEAL ASSEMBLY | 2 |
Michael Harris | US | Mountain View | 2016-05-12 / 20160132596 - Generating Search Results Based On Software Application Installation Status | 11 |
Michael Harris | US | Uniontown | 2014-08-07 / 20140221044 - SECURITY ENHANCING APPARATUS FOR ATTACHING TO AN ELECTRONIC DEVICE | 1 |
Jeremiah J. Harris | US | Armonk | 2014-07-31 / 20140213359 - Game System with Interactive Show Control | 1 |
David Hamilton Harris | US | Mountainside | 2014-07-24 / 20140206526 - ZSM-5 ADDITIVE ACTIVITY ENHANCEMENT BY IMPROVED ZEOLITE AND PHOSPHORUS INTERACTION | 1 |
Craig Steven Harris | GB | Cheshire | 2014-07-24 / 20140206700 - CHEMICAL COMPOUNDS | 1 |
Justin Harris | CA | Waterloo | 2015-03-19 / 20150081711 - LINKING ONTOLOGIES TO EXPAND SUPPORTED LANGUAGE | 2 |
Kevin Harris | AU | West End | 2014-07-17 / 20140199938 - APPARATUS FOR EXHAUSTING AIR | 1 |
Tequila Harris | US | Atlanta | 2014-07-03 / 20140186530 - HYBRID EXTRUSION AND COATING TECHNOLOGY, DEVICE, AND METHOD | 1 |
Traci L. Harris | US | Peoria | 2014-07-03 / 20140188529 - SYSTEM AND METHOD FOR REDEMPTION AND EXCHANGE OF UNUSED TICKETS | 1 |
Jeffrey N. Harris | US | San Antonio | 2015-12-03 / 20150343117 - Fabrication Of Bone Regeneration Scaffolds And Bone Filler Material Using A Perfusion Flow System | 3 |
Peter M. Harris | US | Boca Raton | 2015-08-20 / 20150230829 - POLYAXIAL BONE ANCHOR INCORPORATING A TWO POSITION SADDLE ASSEMBLY | 2 |
Angela Harris | US | Allen Park | 2014-06-12 / 20140159283 - Method of Forming Natural Fiber Polymer Article | 2 |
Brian Robert Harris | US | Cordova | 2014-06-12 / 20140163572 - MIDLINE REFERENCING FEMORAL SIZING CALIPER | 1 |
Stephen Harris | US | Seattle | 2014-05-08 / 20140129032 - GENETIC LEARNING FOR ENVIRONMENTAL CONTROL AUTOMATION | 1 |
Paul Andrew Harris | US | Thornton | 2014-05-08 / 20140129946 - CROWD-SYNC TECHNOLOGY FOR PARTICIPANT-SHARING OF A CROWD EXPERIENCE | 1 |
Debra Lynn Harris | US | Lake Worth | 2014-08-28 / 20140239053 - FOOD CONTAINING DEVICE | 1 |
Robert Harris | US | 2014-09-04 / 20140249686 - SYSTEM AND METHOD OF LARGE AREA MICROGRID STABILITY CONTROLS | 1 | |
Brian Harris | US | Phoenix | 2014-09-11 / 20140254234 - RANDOM FUSE SENSING | 1 |
Kevin W. Harris | US | Middlebury | 2014-09-11 / 20140252056 - Translating Roller Load Assist | 1 |
Otis Robert Harris | US | Orlando | 2014-09-11 / 20140254646 - MAGNETIC FIELD DATA MODEM | 1 |
Stephen J. Harris | US | Bloomfield | 2014-11-06 / 20140329143 - LITHIUM ION BATTERY | 9 |
David A. Harris | US | Coatesville | 2015-12-31 / 20150377660 - PROTECTION SHIELD POSITIONING ASSEMBLY AND POSITIONING DEVICE THEREFORE AND METHOD OF USE | 9 |
Bryan Christopher Harris | US | Marriottsville | 2014-09-18 / 20140280220 - SCORED STORAGE DETERMINATION | 1 |
Philip B. Harris | US | Naples | 2016-01-07 / 20160006783 - SYSTEM AND METHOD FOR CREATING AND MANAGING GEOFEEDS | 16 |
Dennis John Harris | US | Houston | 2014-09-18 / 20140262230 - Acoustic Artificial Lift System For Gas Production Well Deliquification | 2 |
Jerome A. Harris | US | Saint Louis | 2014-09-18 / 20140273718 - SELF-SEALING BALLOON OR BLADDER | 1 |
Curtis H. Harris | US | Anderson | 2014-09-18 / 20140259383 - ROUGH-IN ASSEMBLY FOR FREE-STANDING TUB FILLER | 1 |
Billy Harris | US | Anderson | 2014-09-18 / 20140259456 - SOFT FEEL PRINTED FABRIC AND METHOD OF PRODUCING SAME | 1 |
Shane Antony Harris | AU | Glenelg South | 2014-09-18 / 20140265236 - Stand Bracket For A Bicycle | 1 |
Craig Harris | AU | Berowra Heights | 2014-09-18 / 20140261422 - DEVICE FOR PROVIDING BREATHABLE GAS | 1 |
Jennifer Harris | US | Layton | 2015-07-02 / 20150189079 - METHODS, DEVICES, AND SYSTEMS FOR REMOTELY CONTROLLING A COMMUNICATION DEVICE | 2 |
Christopher Lee Harris | US | Holladay | 2014-09-18 / 20140269660 - USING A CONTROL PANEL AS A WIRELESS ACCESS POINT | 3 |
William Harris | US | Oklahoma City | 2014-09-18 / 20140272783 - SUCTION SYSTEM AND METHODS OF MAKING AND USING SAME | 1 |
Jason R. Harris | US | South San Francisco | 2016-02-18 / 20160046613 - COMPOUNDS AND USES THEREOF FOR THE MODULATION OF HEMOGLOBIN | 8 |
Paul Harris | US | San Diego | 2016-02-25 / 20160051758 - SYSTEM AND METHOD FOR DETECTING OCCLUSIONS IN AN INFUSION PUMP | 3 |
Michael Harris | US | Palo Alto | 2016-05-05 / 20160125000 - HISTORY PRESERVING DATA PIPELINE | 6 |
James Harris | US | San Jose | 2015-01-15 / 20150020220 - SYSTEMS AND METHODS FOR APPLICATION BASED INTERCEPTION OF SSL/VPN TRAFFIC | 10 |
Richard H. Harris | US | Xenia | 2016-05-05 / 20160121643 - Binder with External Storage Capacity | 5 |
Christopher K. Harris | US | Santa Clara | 2015-02-12 / 20150046467 - RANKING CONTENT ITEMS USING PREDICTED PERFORMANCE | 2 |
Roderick James Harris | US | Northville | 2016-03-31 / 20160090957 - SYSTEM CONTROL STRATEGY AND METHODS FOR MULTI-PHYSICS FLUID ATOMIZING | 3 |
Adrienne Rose Harris | US | Ann Arbor | 2015-08-13 / 20150224267 - SAFETY NEEDLE SYSTEM OPERABLE WITH A MEDICAL DEVICE | 3 |
Scott David Harris | US | Carlinville | 2014-10-09 / 20140304192 - METHOD AND SYSTEM FOR BUILDING AN INVESTMENT PORTFOLIO | 1 |
Matthew Harris | AU | Narrabeen | 2014-09-25 / 20140283448 - Modular Garden Planter Bed Structure | 1 |
Christopher Gary Harris | US | Auburn | 2015-07-02 / 20150183171 - Method and Apparatus for Reducing Ply Wrinkling of Composite Laminates During Forming | 2 |
Janice Harris | US | Independence | 2014-10-23 / 20140316946 - EVENT-DRIVEN SALES PLATFORM AND NOTIFICATION SYSTEM AND METHOD | 1 |
James Wesley Harris | US | Charlotte | 2014-10-23 / 20140312289 - VEHICLE SAFETY CATCH FENCE | 1 |
Michael R. Harris | US | Ramsey | 2014-10-23 / 20140314528 - CAR DUMPER DUST COLLECTION METHOD AND APPARATUS | 1 |
Richard Harris | US | Camas | 2014-10-30 / 20140318122 - Blow Off Valve and Related System and Method | 1 |
William V. Harris | US | New York | 2014-10-30 / 20140324517 - Communication Data Analysis and Processing System and Method | 1 |
William Matthew Harris | US | Seattle | 2014-11-06 / 20140327581 - Device, System and Methods Using Angle of Arrival Measurements for ADS-B Authentication and Navigation | 1 |
Steven Jeffrey Harris | US | Georgetown | 2014-04-03 / 20140092195 - Motor Control System and Method for a Laser Scanning Unit of an Imaging Apparatus | 1 |
Theodore Harris | US | San Francisco | 2016-04-21 / 20160109954 - Gesture Recognition Cloud Command Platform, System, Method, and Apparatus | 11 |
Craig Edward Harris | AU | Sydney | 2015-11-12 / 20150320960 - PAP SYSTEM | 4 |
Geoff Harris | AU | Tintenbar | 2014-11-20 / 20140338933 - IMPROVEMENTS IN GRADER BLADE ASSEMBLIES | 1 |
Craig Harris | AU | Tintenbar | 2014-11-20 / 20140338933 - IMPROVEMENTS IN GRADER BLADE ASSEMBLIES | 1 |
James E. Harris | US | Cato | 2014-11-27 / 20140345308 - TANDEM COMPRESSOR REFRIGERATION SYSTEM AND A METHOD OF USING THE SAME | 1 |
Peter Harris | US | Boca Raton | 2015-01-29 / 20150026958 - METHODS FOR FORMING A CONNECTABLE INSERT | 10 |
Lenard Harris | US | Jacksonville | 2014-12-04 / 20140352038 - SHELL FOR A PROTECTIVE HELMET | 1 |
Aaron T. Harris | US | Owatonna | 2015-12-24 / 20150368899 - TUNABLE ACOUSTIC PANEL | 2 |
Glenn A. Harris | US | Nashville | 2014-12-04 / 20140357526 - HYDROGEL-MEDIATED TISSUE ANALYSIS | 1 |
Dean William Harris | AU | Highett | 2014-12-11 / 20140360587 - REDUCING FRICTION OF A VISCOUS FLUID FLOW IN A CONDUIT | 1 |
Michael Harris | US | Cary | 2014-12-18 / 20140368117 - SOLID STATE LIGHTING DEVICES AND METHODS OF MANUFACTURING THE SAME | 8 |
Todd James Harris | US | San Clemente | 2014-12-18 / 20140371664 - THERMAL TREATMENT OF THE SKIN SURFACE WITH NANOPARTICLES WITH COATINGS THAT FACILITATE SELECTIVE REMOVAL FROM THE SKIN SURFACE | 17 |
Cindy Ann Harris | US | Huntersville | 2014-12-18 / 20140367533 - GARDEN HOSE HOLDER | 1 |
Andrew Harris | US | Denver | 2014-12-18 / 20140372318 - SYSTEMS AND METHODS FOR PAYMENT PROCESSING ON PLATFORMS | 1 |
Damian W. Harris | US | St. Louis Park | 2014-12-18 / 20140367887 - METHODS AND APPARATI FOR HANDLING, HEATING AND COOLING A SUBSTRATE UPON WHICH A PATTERN IS MADE BY A TOOL IN HEAT FLOWABLE MATERIAL COATING, INCLUDING SUBSTRATE TRANSPORT, TOOL LAYDOWN, TOOL TENSIONING AND TOOL RETRACTION | 1 |
Stephen Harris | US | Stamford | 2015-05-07 / 20150126540 - Intranasal Pharmaceutical Dosage Forms Comprising Naloxone | 2 |
Jerome Anzio Harris | US | St. Louis | 2015-01-22 / 20150020480 - METHOD AND SYSTEM FOR FILLING BLADDER MEMBERS | 1 |
Daniel B. Harris | US | Andover | 2015-11-19 / 20150331885 - ROLE TRANSFER BETWEEN USERS OF A COMPUTER SYSTEM | 3 |
Christopher Alan Harris | US | Madison | 2015-01-29 / 20150027914 - TOILET CADDY ASSEMBLY AND METHOD OF FORMING A TOILET CADDY ASSEMBLY | 1 |
Kevin W. Harris | US | Birmingham | 2015-01-29 / 20150031554 - EXTRACELLULAR TELOMERE ASSAY | 1 |
Graham Michael Harris | GB | Countersthorpe | 2015-11-12 / 20150321865 - Sheet Feeders | 2 |
Jason Harris | US | Mason | 2015-02-05 / 20150038987 - Applier For Anchoring A Lining To A Hollow Organ | 1 |
Jeffrey Wayne Harris | US | Austin | 2015-02-19 / 20150048713 - ELECTRIC MACHINES AND METHODS OF MAKING SAME | 1 |
Daren Lee Harris | US | Gilbert | 2015-02-26 / 20150053539 - ADHESIVELY ATTACHED LENS WITH FINISHING LAYER | 1 |
David Harris | US | Upland | 2015-02-26 / 20150055731 - Digital Transmitter With Sample Rate Digital Predistortion | 1 |
Lee Harris | US | Madison | 2015-03-05 / 20150060090 - SYSTEM AND METHOD FOR DISTRIBUTION OF A LIQUID USING REMOTE CONTROLLED HOVERCRAFT | 1 |
Tyrone Harris | US | Lincoln | 2015-03-12 / 20150068016 - Hose Clamp Fastener Locking Device | 1 |
James Harris | US | Gallipolis | 2015-03-12 / 20150068965 - OIL FILTER NUT | 1 |
Jennifer Harris | US | Gallipolis | 2015-03-12 / 20150068965 - OIL FILTER NUT | 1 |
Shannon Harris | US | Nanuet | 2015-03-12 / 20150071959 - NEISSERIA MENINGITIDIS COMPOSITIONS AND METHODS THEREOF | 1 |
Thomas D, Harris | US | Salem | 2015-04-02 / 20150094465 - N-ALKOXYAMIDE CONJUGATES AS IMAGING AGENTS | 9 |
Johnny Todd Harris | US | Delray Beach | 2015-03-19 / 20150075011 - VEGETABLE PEELER | 1 |
Nelly Harris | US | Phoenix | 2015-03-19 / 20150079820 - GROUNDING PLUG SYSTEM FOR CABLES | 1 |
Stephen M. Harris | US | Henderson | / - | 1 |
Stephen M. Harris | US | Henverson | / - | 1 |
Casey Alexander Harris | US | Las Vegas | 2015-03-19 / 20150080118 - GAMING SYSTEM AND METHOD FOR PROVIDING A MULTIPLE PLAYER BONUS EVENT | 1 |
Justin D. Harris | US | Chelmsford | 2015-03-19 / 20150074878 - HEADGEAR SHROUD ASSEMBLY | 1 |
Troy W Harris | US | Apex | 2015-03-19 / 20150077045 - ROBOTIC MOWER INDUCTIVE CHARGING STATION | 1 |
Joseph B. Harris | US | Pullman | 2015-03-19 / 20150081363 - Group-Oriented Software Development | 1 |
Simon D. Harris | GB | Gloucester | 2015-03-26 / 20150083857 - LANDING GEAR FAIRING | 1 |
Richard H. Harris | GB | Hampshire | 2015-03-26 / 20150089591 - HANDLING ENCODED INFORMATION | 1 |
David A. Harris | US | Coatsvile | 2015-04-02 / 20150093556 - WRAPPABLE LAMINATED TEXTILE SLEEVE WITH ENHANCED FLEXIBILITY AND METHOD OF REDUCING CRACKING IN A FOIL LAYER OF A WRAPPABLE TEXTILE SLEEVE | 1 |
Michael Harris | US | Normal | 2015-04-09 / 20150100508 - SYSTEMS AND METHODS TO ASSESS THE CONDITION OF A PROPERTY | 5 |
Rod Harris | CO | Fort Collins | 2015-04-23 / 20150109618 - In-Furnace Retro-Reflectors with Steerable Tunable Diode Laser Absorption Spectrometer | 1 |
Rod Harris | US | Fort Collins | 2015-04-23 / 20150109618 - In-Furnace Retro-Reflectors with Steerable Tunable Diode Laser Absorption Spectrometer | 1 |
Michael J. Harris | US | Columbus | 2015-04-23 / 20150109626 - Tire Digitizer | 1 |
Jason L. Harris | US | Hamilton | 2016-04-14 / 20160100836 - Devices and Methods for Endoluminal Plication | 11 |
Lori R. Harris | US | St. Louis | 2015-04-30 / 20150113838 - TRACTION ENHANCEMENT DEVICES AND RELATED PACKAGES OF TRACTION ENHANCEMENT DEVICES | 1 |
William Harris | CA | Oshawa | 2015-04-30 / 20150114302 - Animal's bowl | 1 |
Geoffrey S. Harris | US | Cardiff | 2016-05-05 / 20160122386 - V1A RECEPTOR AGONISTS | 2 |
Donovan Basil Harris | US | Streamwood | 2015-05-14 / 20150128328 - Gripless Exercise Glove | 1 |
Neil John Harris | GB | Cambridge | 2015-08-27 / 20150243874 - TRANSDUCERS WITH IMPROVED IMPEDANCE MATCHING | 3 |
David Charles Hamlyn Harris | AU | Westmead | 2015-05-14 / 20150132302 - Vaccine and uses thereof | 1 |
Mark T. Harris | US | Westerville | 2015-08-27 / 20150242823 - SYSTEMS AND METHODS FOR PERFORMING FINANCIAL TRANSACTIONS | 10 |
Richard H. Harris | US | Raleigh | 2015-07-16 / 20150197107 - PRINTERS HAVING A STATIONARY PRINT HEAD AND RELATED METHODS | 9 |
Mark Matthew Harris | US | Bothell | 2015-11-12 / 20150324551 - SYSTEMS AND METHODS FOR NON-VERBALLY COMMUNICATING PATIENT COMFORT DATA | 2 |
Jan Harris | US | Houston | 2015-06-04 / 20150152348 - SYSTEMS AND METHODS FOR COLLECTING, TRANSPORTING AND REPURPOSING OR DESTROYING UNUSED PHARMACEUTICALS | 1 |
Adam Pierce Harris | US | San Diego | 2015-06-25 / 20150180958 - SYSTEMS AND METHODS FOR SEAMLESS HOST MIGRATION | 8 |
Cory Harris | US | Nampa | 2015-09-24 / 20150265084 - ZIPPER ASSIST DEVICE AND METHOD | 2 |
Grover Curtis Harris | US | Norman | 2015-10-15 / 20150295481 - Bi-Rotational Generator | 2 |
Bobby Harris | US | Orange | 2015-06-25 / 20150176688 - Automobile Differential Locking Assembly | 1 |
Tyler Harris | US | Pompano Beach | 2015-06-25 / 20150180566 - SYSTEM AND METHOD FOR WIRELESS BROADBAND COMMUNICATION IN A MARINE ENVIRONMENT | 1 |
Baruch Z. Harris | US | Somerville | 2016-04-14 / 20160101056 - MUCOADHESIVE DEVICES FOR DELIVERY OF ACTIVE AGENTS | 2 |
Elizabeth Harris | US | Salt Lake City | 2015-06-25 / 20150178874 - SYSTEMS AND METHODS FOR REAL-TIME GROUP CODING | 1 |
Jennifer Harris | US | Phoenix | 2015-12-03 / 20150342861 - HAIR PRODUCT WITH STABLE VISCOSITY | 10 |
Kevin W. Harris | US | Nashua | 2015-07-02 / 20150186170 - IMPLEMENTING A JUMP INSTRUCTION IN A DYNAMIC TRANSLATOR THAT USES INSTRUCTION CODE TRANSLATION AND JUST-IN-TIME COMPILATION | 1 |
Paul Ronald Harris | CA | Vancouver | 2015-12-24 / 20150366469 - SYSTEM FOR MEASUREMENT OF CARDIOVASCULAR HEALTH | 2 |
Douglas Jeffrey Harris | US | Louisville | 2015-07-02 / 20150185642 - Overcoat Formulation for Long-Life Electrophotographic Photoconductors and Method for Making the Same | 3 |
Tristan Harris | US | Santa Rosa | 2016-03-03 / 20160063452 - Systems and Methods for Task Assistance | 2 |
Weston T. Harris | US | Lindon | 2015-09-17 / 20150264495 - PERSONAL HEARING DEVICE | 2 |
Glenn A. Harris | US | Boston | 2015-11-05 / 20150318161 - HIGH PRESSURE MASS SPECTROMETRY SYSTEMS AND METHODS | 2 |
David A. Harris | US | Boston | 2015-07-16 / 20150196508 - PRION PROTEIN LIGANDS AS THERAPEUTIC AGENTS FOR NEURODEGENERATIVE DISORDERS | 1 |
Graham Harris | GB | Hampshire | 2015-12-10 / 20150351938 - PROSTHETIC ANKLE AND FOOT COMBINATION | 9 |
Stephen Harris | US | Oshkosh | 2013-09-19 / 20130239428 - METHOD TO REVERSE CYLINDER DRIVE IN A DIRECT BELT ON BASKET DRYER/TUMBLER | 1 |
Michael W. Harris | US | Eau Claire | 2015-09-17 / 20150258567 - Liquid Dispenser Having Individualized Process Air Control | 6 |
Jennifer Ruth Harris | US | Milwaukee | 2015-07-23 / 20150202112 - SINGLE-POINT SUPPORTIVE MONOCOQUE AMBULATION AID | 1 |
Eric Nathaniel Harris | US | Oshkosh | 2014-02-13 / 20140044135 - Lookup Engine with Reconfigurable Low Latency Computational Tiles | 1 |
Logan Carl Harris | US | Orem | 2015-07-23 / 20150204969 - TARGET SPOTTING AND TRACKING APPARATUS AND METHOD | 1 |
Jeremiah Harris | US | Greenwich | 2015-11-12 / 20150321095 - Game System with Interactive Show Control | 4 |
Mark Anthony Harris | US | West Bloomfield | 2015-07-30 / 20150211085 - HEAT TREATMENT FOR VEHICLE SEAT STRUCTURES AND COMPONENTS | 1 |
David Harris | US | Southlake | 2015-07-30 / 20150213358 - METHODS AND APPARATUS FOR ANALYZING SYSTEM EVENTS | 1 |
Richard Harris | AU | Karana Downs | 2016-05-12 / 20160134006 - A STABILIZED PLATFORM FOR A WIRELESS COMMUNICATION LINK | 2 |
Alan S. Harris | US | Cambridge | 2015-08-06 / 20150218274 - ANTIBODY MOLECULES TO TIM-3 AND USES THEREOF | 1 |
Steven L. Harris | US | Logan | 2015-08-06 / 20150219488 - GRAIN MEASUREMENT APPARATUS | 1 |
Simon Harris | AU | Keysborough | 2015-08-13 / 20150224506 - PROCESSING CONTAINERS | 1 |
Todd J. Harris | US | Carlsbad | 2016-03-17 / 20160075851 - SILVER NANOPLATE COMPOSITIONS AND METHODS | 2 |
Shaun Harris | US | College Station | 2015-12-24 / 20150370297 - MODULAR POWER DISTRIBUTION FOR COMPUTING SYSTEMS | 2 |
Tim Harris | US | Solon | 2015-08-20 / 20150233173 - Spacer for Insulating Glazing Unit | 1 |
James Kevin Harris | US | Charlotte | 2015-08-20 / 20150235324 - DETECTING SUSPICIOUS ACTIVITY RELATED TO BALANCING TRANSACTIONS AT A CASH DRAWER | 1 |
Michael Harris | US | Mountain View | 2016-05-12 / 20160132596 - Generating Search Results Based On Software Application Installation Status | 11 |
Daniel Harris | US | New Haven | 2015-12-17 / 20150363281 - METHOD AND SYSTEM FOR AUTOMATICALLY DETECTING AND RESOLVING INFRASTRUCTURE FAULTS IN CLOUD INFRASTRUCTURE | 2 |
Mark T. Harris | US | Blowing Rock | 2015-08-27 / 20150242826 - FACILITATING PRESENTATION OF CONTENT RELATING TO A FINANCIAL TRANSACTION | 1 |
Charles E. Harris | US | Plymouth | 2015-09-03 / 20150247430 - VALVE ASSEMBLY | 1 |
Cynthia J. Harris | US | Gahanna | 2015-09-03 / 20150248686 - INTEGRATED PLATFORM EMPLOYEE TRANSACTION PROCESSING FOR BUY YOUR OWN DEVICE (BYOD) | 1 |
Daniel Harris | US | Wilton | 2015-09-10 / 20150252257 - HIGHLY LUMINESCENT SEMICONDUCTOR NANOCRYSTALS | 1 |
James L. Harris | US | Houston | 2015-09-10 / 20150253070 - REFRIGERANT SUPPLY TO A COOLING FACILITY | 2 |
Benjamin G. Harris | US | Plainville | 2015-09-10 / 20150253847 - WEARABLE DEVICE CONTROLLER | 1 |
William I. Harris | US | Frankenmuth | 2015-09-17 / 20150259456 - WATER INSOLUBLE COPOLYMER INCLUDING PENDANT ARYL EPOXIDE GROUPS | 1 |
Christopher Kenneth Harris | US | Santa Clara | 2014-06-19 / 20140172558 - PURCHASE TRANSACTION CONTENT DISPLAY | 2 |
Joshua Harris | US | Yonkers | 2015-09-24 / 20150270862 - MOBILE PHONE ENCLOSURES | 1 |
Laura E. Harris | US | Minneapolis | 2015-09-24 / 20150267069 - TITANIUM DIOXIDE PIGMENT GRIND DISPERSION AND PAINT | 1 |
Jaime L. Harris | US | Rosemount | 2015-10-01 / 20150273489 - OSCILLATING NOZZLES | 8 |
Duncan Harris | US | Fairfield | 2015-10-01 / 20150277240 - Patterning Device Support and Lithographic Apparatus | 1 |
Daniel K. Harris | US | Wilton | 2015-10-01 / 20150273085 - SHORT-WAVELENGTH INFRARED (SWIR) FLUORESCENCE IN VIVO AND INTRAVITAL IMAGING WITH SEMICONDUCTOR NANOCRYSTALS | 1 |
Louis L. Harris | US | St. Joseph | 2015-10-01 / 20150276737 - Immunogenic compositions including SIRS virus | 1 |
Kevin W. Harris | US | Roseville | 2015-10-01 / 20150277861 - JUST-IN-TIME DYNAMIC TRANSLATION FOR TRANSLATION, COMPILATION, AND EXECUTION OF NON-NATIVE INSTRUCTIONS | 1 |
Kevin Harris | US | Fairhaven | 2015-10-01 / 20150273275 - NANOCRYSTALLINE CELLULOSE AS AN ADDITIVE IN GOLF BALLS | 1 |
William Harris | US | Brooklyn | 2015-10-01 / 20150278759 - System and Method for Vehicle Delivery Tracking Service | 1 |
Stephen R. Harris | US | Raymond | 2015-10-08 / 20150282475 - Elevated Drive-In Hunting Blind | 1 |
Eric M. Harris | US | Carol Stream | 2015-10-08 / 20150282734 - MEDICAL DEVICE PLACEMENT SYSTEM AND A METHOD FOR ITS USE | 1 |
Scott J. Harris | US | The Woodlands | 2015-10-08 / 20150283594 - SYSTEMS AND METHODS FOR EXTRUDING TUBES | 1 |
Robert Harris | US | Burke | 2015-10-08 / 20150286074 - Componentized Eyewear Systems And Methods of Using the Same | 1 |
Sherry Harris | US | Burke | 2015-10-08 / 20150286074 - Componentized Eyewear Systems And Methods of Using the Same | 1 |
Anthony Richard Harris | US | Narragansett | 2015-12-03 / 20150344490 - Heteroaromatic Compounds and their Use as Dopamine D1 Ligands | 2 |
Donald R. Harris | US | Tallmadge | 2016-02-04 / 20160029855 - VENTED REFILL UNITS AND DISPENSERS HAVING VENTED REFILL UNITS | 11 |
Jason L. Harris | US | Lebanon | 2016-05-19 / 20160135977 - CONFORMING ANCHOR FOR DUODENAL BARRIER | 35 |
Gloria J. Harris | US | Chicago | 2015-10-22 / 20150299937 - Washer and Dryer Identification Tag | 1 |
Stephen Vaughan Harris | US | Mesa | 2015-10-22 / 20150297273 - PLATES WITH COUNTERSINKS | 1 |
Jeremiah Harris | US | New Windsor | 2015-10-22 / 20150300620 - Sound Adaptive Cooling System for a Stage Light | 1 |
Peter Harris | GB | Cambridge | 2015-10-22 / 20150302545 - GRAPHICS PROCESSING SYSTEMS | 1 |
Brandon B. Harris | US | Palo Alto | 2015-03-12 / 20150070275 - SYSTEMS AND METHODS FOR NAVIGATING A SCENE USING DETERMINISTIC MOVEMENT OF AN ELECTRONIC DEVICE | 1 |
Ralph D. Harris | US | New Hartford | 2015-10-29 / 20150308343 - INSTALLATION MOUNTS FOR A TURBINE EXHAUST CASE | 1 |
Tyler Raymond Harris | AU | New South Wales | 2015-10-29 / 20150311998 - INHIBITING UNAUTHORISED CONTACTLESS READING OF A CONTACTLESS READABLE OBJECT | 1 |
Robert L. Harris | US | Las Vegas | 2015-11-05 / 20150315538 - METHODS OF MICROALGAE CULTIVATION FOR INCREASED RESOURCE PRODUCTION | 1 |
Royger Paul Harris | US | Austin | 2014-11-06 / 20140327879 - HANDHELD TELEPHONY DEVICE FOR FIELD SOBRIETY TESTING | 4 |
Mary Dee Harris | US | Austin | 2015-06-04 / 20150154359 - METHOD AND SYSTEM FOR GENERATING MEDICAL NARRATIVE | 1 |
Karl Harris | US | Austin | 2015-08-13 / 20150227356 - Adaptive deployment of applications for mobile devices | 1 |
Brannon C. Harris | US | Austin | 2015-11-12 / 20150326228 - Current Synthesizer Correction | 2 |
Robert Harris | GB | Teddington | 2015-11-12 / 20150321122 - DE-AERATOR FOR A WATER HEATING SYSTEM | 1 |
Jeremy J. Harris | US | Doylestown | 2016-02-18 / 20160046832 - COMPOSITION, METHODS AND DEVICES USEFUL FOR MANUFACTURING OF IMPLANTABLE ARTICLES | 3 |
Matthew C. Harris | US | Derry | 2015-11-12 / 20150326424 - AUTOMATED SAN NETWORK TOPOLOGICAL DIAGRAM AND POINT-TO-POINT CABLING CREATION FOR CUSTOMERS ENVIRONMENTS | 1 |
Paul Harris | US | Livonia | 2015-11-26 / 20150337700 - COMBUSTION GAS COOLING APPARATUS, DENITRATION APPARATUS HAVING THE COMBUSTION GAS COOLING APPARATUS, AND COMBUSTION GAS COOLING METHOD | 2 |
Robert Harris | US | Raleigh | 2016-03-03 / 20160064932 - PORTABLE LOAD BALANCING AND SOURCE OPTIMIZATION | 2 |
Neil John Harris | GB | Cambridge, Cambridgeshire | 2015-11-19 / 20150331966 - Method Of Designing A panel Assembly For A Vibratory Panel Device | 1 |
Jensen M. Harris | US | Bellevue | 2015-12-24 / 20150370771 - ACCESSING AN OUT-SPACE USER INTERFACE FOR A DOCUMENT EDITOR PROGRAM | 9 |
Jason Harris | US | Boca Raton | 2016-04-14 / 20160101884 - Vacuum Sealer with Adjustable Head | 2 |
John William Harris | US | Houston | 2015-11-26 / 20150337207 - PROCESS FOR MAKING A DISTILLATE PRODUCT AND/OR C2-C4 OLEFINS | 1 |
Shane D. Harris | US | Tomball | 2015-12-03 / 20150346370 - METHOD OF CALIBRATION FOR DOWNHOLE FIBER OPTIC DISTRIBUTED ACOUSTIC SENSING | 1 |
Scott Harris | US | San Francisco | 2015-04-23 / 20150111956 - FORMULATIONS FOR THE DELIVERY OF ACTIVE INGREDIENTS | 1 |
Jeffrey M. Harris | US | San Francisco | 2015-06-11 / 20150163087 - TRANSPARENTLY INTERCEPTING AND OPTIMIZING RESOURCE REQUESTS | 1 |
Hobart W. Harris | US | San Francisco | 2015-01-29 / 20150030678 - Methods and Compositions for Treating Wounds and Reducing the Risk of Incisional Hernias | 1 |
Elliott B. Harris | US | San Francisco | 2015-12-03 / 20150350591 - System And Methods For Time Lapse Video Acquisition And Compression | 4 |
Dylan Kuper Harris | US | San Francisco | 2015-10-08 / 20150283463 - SYSTEMS AND METHODS OF DYNAMICALLY SELECTING CONTACTS AND PROMOTING PRODUCTS | 1 |
Michael Richards Harris | US | East Lansing | 2015-12-03 / 20150348169 - SYSTEM AND METHOD FOR MARKETPLACE SOFTWARE PLATFORM | 1 |
Kathleen A. Harris | US | Portola Valley | 2015-12-03 / 20150344858 - NOVEL MANNANASE, COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Lyndsay Harris | US | Briarcliff Manor | 2015-12-03 / 20150347679 - PREDICTIVE OUTCOME ASSESSMENT FOR CHEMOTHERAPY WITH NEOADJUVANT BEVACIZUMAB | 1 |
Nicholas C. Harris | US | Cambridge | 2015-12-10 / 20150354938 - METHODS, SYSTEMS, AND APPARATUS FOR PROGRAMMABLE QUANTUM PHOTONIC PROCESSING | 1 |
Ryan P. Harris | NY | Fenton | 2015-12-10 / 20150355943 - WEIGHTED STEALING OF RESOURCES | 1 |
Ronnie Harris | US | Las Vegas | 2015-12-17 / 20150364003 - Apparatus, System and Method For Utilizing a Secondary Controller For Multiple Game Play and Tracking Credits | 1 |
Paul Harris | US | West Haverstraw | 2015-12-24 / 20150367159 - Exercise device for utilizing an elastic band to perform exercises | 1 |
Andrew G. Harris | US | Antioch | 2015-12-24 / 20150368632 - CRYSTALLINE MATERIALS ON BIOLOGICAL TISSUE AND METHODS FOR MAKING THE SAME | 1 |
Jason T. Harris | US | San Martin | 2015-12-24 / 20150371038 - LOCATING A WIRELESS COMMUNICATION ATTACK | 1 |
Jordan Harris | CA | Edmonton | 2015-12-24 / 20150368989 - DOWNHOLE COUPLING | 1 |
Wesley Harris | US | St. Louis | 2016-01-07 / 20160002067 - IMMOBILIZED LIGANDS FOR THE REMOVAL OF METAL IONS AND METHODS THEREOF | 1 |
Frederick John Harris | GB | Solihull | 2016-02-04 / 20160031036 - LINEAR FRICTION WELDING | 2 |
Jennifer Harris | US | San Diego | 2016-01-28 / 20160024587 - MARKERS ASSOCIATED WITH WNT INHIBITORS | 1 |
Chad Tyler Harris | CA | Toronto | 2016-01-28 / 20160025832 - SYSTEM AND METHOD FOR MAGNETIC RESONANCE IMAGE ACQUISITION | 1 |
Mitchell Thomas Harris | US | Verdi | 2016-01-28 / 20160028483 - APPARATUS FOR MODULAR IMPLEMENTATION OF MULTI-FUNCTION ACTIVE OPTICAL CABLES | 1 |
Ryan Harris | US | Mount Prospect | 2016-01-28 / 20160029100 - MULTI-DIMENSIONAL SOUND SYSTEM FOR USE WITH AN INTERACTIVE DEVICE | 1 |
Michael J. Harris | US | Houston | 2016-05-05 / 20160123104 - DOWNHOLE TOOL WITH ANTI-EXTRUSION DEVICE | 10 |
Geir Harris | NO | Soreidgrend | 2016-02-11 / 20160041062 - LEAK INDICATOR | 1 |
Brad Harris | US | Farmington | 2016-03-03 / 20160066400 - Flat-Panel-Display, Bottom-Side, Electrostatic-Dissipation | 4 |
Roger Harris | GB | New Market | 2016-02-25 / 20160051502 - METHODS AND COMPOSITIONS FOR INCREASING THE ANAEROBIC WORKING CAPACITY IN TISSUES | 8 |
Cooper Harris | US | Venice | 2016-04-07 / 20160098701 - METHOD AND APPARATUS FOR TRANSACTION MANAGEMENT | 1 |
Colby Harris | US | Weston | 2016-05-19 / 20160135973 - STENT DELIVERY SYSTEMS WITH A RECONSTRAINING MEMBER | 10 |
Jason R. Harris | US | South San Francisco | 2016-02-18 / 20160046613 - COMPOUNDS AND USES THEREOF FOR THE MODULATION OF HEMOGLOBIN | 8 |
Justin Harris | US | Greenville | 2016-02-18 / 20160049039 - Electronic Skill Game | 1 |
Christopher Harris | US | San Diego | 2016-02-25 / 20160055213 - SYSTEM AND METHOD FOR PERFORMING LONGEST COMMON PREFIX STRINGS SEARCHES | 1 |
Jason Harris | US | Lebanon | 2016-03-03 / 20160058450 - Methods and Devices for Adjusting a Tissue Gap of an End Effector of a Surgical Device | 1 |
Robbie Harris | US | Woodinville | 2016-03-03 / 20160062942 - Child Serial Device Discovery Protocol | 2 |
Stephen M. Harris | US | Canon City | 2016-03-03 / 20160065508 - System And Method For Identifying An Expert | 1 |
Howard Harris | US | Denver | 2016-04-07 / 20160097934 - APPARATUS AND METHOD OF MANUFACTURE FOR A LAYERED ARTWORK | 1 |
David Edward Harris | US | Westminster | 2016-03-24 / 20160081839 - Ankle-Foot Orthosis and Method of Manufacture | 1 |
Jessica Harris | US | Houston | 2016-04-07 / 20160098771 - SYSTEM AND METHOD FOR NEGOTIATING TERMS OF SALE | 1 |
Ashley Harris | US | Houston | 2016-03-17 / 20160077237 - Method of Stratigraphic Modeling of Faults | 1 |
Scott C. Harris | US | Rancho Sante Fe | 2016-03-17 / 20160080694 - VIDEOCONFERENCING SYSTEMS WITH RECOGNITION ABILITY | 1 |
Norman E. Harris | US | West Palm Beach | 2016-03-24 / 20160081389 - WINDPROOF ASHTRAY | 1 |
Richard Earl Harris | US | Pittsburgh | 2016-03-24 / 20160081458 - BARBER CLIPPER STAND | 1 |
Luke B. Harris | US | Boston | 2016-04-07 / 20160095938 - CONJUGATES COMPRISING CELL-BINDING AGENTS AND CYTOTOXIC AGENTS | 1 |
Malcolm Harris | US | Lafayette | 2016-04-14 / 20160101892 - CONTAINER OR PLATTER ASSEMBLY HAVING LOCKING AND RELEASE FEATURES | 1 |
Kevin Harris | AU | Queensland | 2016-04-14 / 20160102873 - Packaged HeatPump with Integrated Smokespill | 1 |
Damian Harris | US | San Francisco | 2016-04-14 / 20160104882 - NANOCOMPOSITE BATTERY ELECTRODE PARTICLES WITH CHANGING PROPERTIES | 1 |
William S. Harris | US | Sioux Falls | 2016-04-21 / 20160109471 - LIPOPROTEIN PARTICLE NUMBER FROM MEASUREMENTS OF LIPOPROTEIN PARTICLE PHOSPHOLIPID CONCENTRATION IN LIPOPROTEIN PARTICLE MEMBRANE BILAYER | 8 |
Christopher G. Harris | US | Auburn | 2016-04-21 / 20160107432 - METHOD OF MANUFACTURING A VARIABLE-RADIUS LAMINATED RADIUS FILLER | 8 |
Robert Harris | CA | Port Rowan | 2016-04-21 / 20160108622 - Vent Assembly | 1 |
Roger Allen Harris | US | Dublin | 2016-04-21 / 20160107962 - PROCESS OF REMOVING HEAT | 1 |
Ronald D. Harris | US | Meriden | 2016-04-28 / 20160114844 - RETRACTABLE TRAILER APPARATUS | 1 |
George G. Harris | US | Wobum | 2016-04-28 / 20160116816 - MULTI-COLOR ELECTROPHORETIC DISPLAYS | 1 |
Stephen Harris | US | Weston | / - | 1 |
Thomas Harmon Harris | US | Rosedale | 2014-10-09 / 20140301787 - Mine Seal and Method of Construction for High Resistance to Transverse Loads | 1 |
Thomas Harris | US | Colonial Heights | 2015-08-20 / 20150231531 - VANED FILTRATION MEDIA AND METHODS OF MAKING THE SAME | 1 |
Thomas Harris | US | Salem | 2015-07-30 / 20150211241 - EXIT DEVICE MOUNT WITH CLOSED TERMINATION | 2 |
Nesdon Alexandre' Harris | US | Manassas | 2014-03-06 / 20140068286 - ADAPTIVE COMPUTING SYSTEM WITH MODULAR CONTROL, SWITCHING, AND POWER SUPPLY ARCHITECTURE | 1 |
Michael H. Harris | US | Blacksburg | 2014-12-04 / 20140354379 - INTEGRATED ROTARY JOINT ASSEMBLY WITH INTERNAL TEMPERATURE-AFFECTING ELEMENT | 1 |
David L. Harris | US | Weems | 2016-03-10 / 20160066548 - BIRD FEEDER | 1 |
Brent Harris | US | Charlottesville | 2016-05-12 / 20160131600 - APPARATUS AND TECHNIQUES FOR FOURIER TRANSFORM MILLIMETER-WAVE SPECTROSCOPY | 1 |
Richard G. Harris | CA | Burnaby | 2016-05-12 / 20160132785 - SYSTEMS AND METHODS FOR OPERATING A QUANTUM PROCESSOR TO DETERMINE ENERGY EIGENVALUES OF A HAMILTONIAN | 1 |
Mark Roy Harris | CA | Woodlawn | 2016-05-12 / 20160133373 - NON-PLANAR INDUCTIVE ELECTRICAL ELEMENTS IN SEMICONDUCTOR PACKAGE LEAD FRAME | 1 |
Richard Harris | AU | Queensland | 2016-05-12 / 20160134105 - AN ELECTRICAL PROTECTION DEVICE AND A METHOD OF PROVIDING ELECTRICAL PROTECTION | 1 |
Craig Steven Harris | GB | Macclesfield | 2016-05-19 / 20160137634 - Chemical Compounds | 1 |
Stephen James Harris | GB | Waltham-On-The-Wolds | 2016-05-19 / 20160138089 - ASSAY AND METHOD | 1 |
Jonna Harris-Bowman | US | Spokane | 2012-07-19 / 20120181191 - Jewelry Organization, Storage, and Transportation Assemblies and Methods | 2 |
Harris Corporation | US | Melbourne | 2013-08-08 / 20130199774 - HEAVY OIL PRODUCTION WITH EM PREHEAT AND GAS INJECTION | 7 |
Harris Corporation | US | 2014-10-09 / 20140301826 - SYSTEM AND METHOD OF UTILIZING A HOUSING TO CONTROL WRAPPING FLOW IN A FLUID WORKING APPARATUS | 4 | |
Zoe Harris-Hajenga | US | St. Paul | 2010-05-20 / 20100125307 - MULTI-SITE VENTRICULAR PACING THERAPY WITH PARASYMPATHETIC STIMULATION | 1 |
John Robert Harris, Ii | US | Rancho Palos Verdes | 2014-05-01 / 20140116354 - EMERGENCY LEASH | 8 |
John Robert Harris, Ii | US | Rancho Palos Verdes | 2014-05-01 / 20140116354 - EMERGENCY LEASH | 8 |
Robert Daniel Harris, Ii | US | Ashland | 2012-04-05 / 20120082525 - SCRAPER SHARPENER | 1 |
James Edwin Harris, Iii | US | Atlanta | 2013-10-24 / 20130282527 - SYSTEM AND METHOD OF PROVIDING ELECTRONIC AND INTERACTIVE REPLICATIONS OF PUBLICATIONS | 1 |
John A. Harris, Iii | US | Palm Beach Gardens | 2013-06-27 / 20130160426 - ROCKET ENGINE INJECTOR ASSEMBLY WITH CRYOGENIC CAVITY INSULATION | 1 |
Troy W. Harris, Iii | US | Charlotte | 2014-03-06 / 20140067172 - Electric Lawn Tractor Power Management System And Method | 5 |
Edward J. Harris, Iii | US | Sanatoga | 2009-03-19 / 20090072825 - SYSTEMS AND METHODS FOR DEEP-LOOKING NMR LOGGING | 1 |
William Harris, Iii | US | Denver | 2013-08-29 / 20130219582 - MULTI-COMPONENT COVERALL | 1 |
Ralph Burton Harris, Iii | US | Woodinville | 2015-11-05 / 20150319234 - LOAD BALANCING SCALABLE STORAGE UTILIZING OPTIMIZATION MODULES | 4 |
Ralph New Harris, Iii | US | Redwood City | 2015-09-24 / 20150266817 - TETRALIN AND INDANE DERIVATIVES AND USES THEREOF | 6 |
Roy Leonard Harris, Iii | US | San Diego | 2011-10-06 / 20110245245 - METHODS OF TREATMENT COMPRISING THE ADMINISTRATION OF HETEROARYL COMPOUNDS | 2 |
Cyril Edward Roger Harris, Iii | US | Houston | 2010-03-04 / 20100057435 - SYSTEM AND METHOD FOR SPEECH-TO-SPEECH TRANSLATION | 1 |
Theodore G. Harris, Iii | US | Houston | 2009-11-12 / 20090281235 - Polystyrene compositions having improved mechanical properties and methods of using same | 1 |
Tom K. Harris, Iii | US | Morgan Hill | 2014-06-26 / 20140174655 - POLISHING TOOL WITH DIAPHRAM FOR UNIFORM POLISHING OF A WAFER | 1 |
Otis Robert Harris, Iii | US | Orlando | 2013-11-07 / 20130295867 - DIVERSE RADIO RECEIVER SYSTEM | 2 |
Frank William Harris, Iii | US | Danville | 2013-01-03 / 20130006591 - SYSTEM AND METHOD FOR GENERATING A GEOSTATISTICAL MODEL OF A GEOLOGICAL VOLUME OF INTEREST THAT IS CONSTRAINED BY A PROCESS-BASED MODEL OF THE GEOLOGICAL VOLUME OF INTEREST | 1 |
William Franklin Harris, Jr. | US | Ponca City | 2012-02-09 / 20120035297 - REMEDIATION OF AGGLOMERATED FLOW IMPROVERS | 1 |
Gerald W. Harris, Jr. | US | Rowayton | 2009-03-05 / 20090058046 - Ski with improved edging characteristics | 1 |
Raymond C. Harris, Jr. | US | Poughkeepsie | 2009-12-10 / 20090307115 - FACILITATING PROCUREMENT FUNCTIONS OVER A COMPUTER NETWORK | 1 |
William H. Harris, Jr. | US | Woodside | 2010-04-22 / 20100100928 - Secure network computing | 1 |
H. William Harris, Jr. | US | Saltville | 2014-12-18 / 20140370120 - Methods of Nourishing Animals | 2 |
Benton A. Harris, Jr. | US | Tyler | 2013-04-18 / 20130092355 - Heat Exchanger With Subcooling Circuit | 1 |
Thomas Harris, Jr. | US | Jackson | 2013-06-13 / 20130149199 - PITOT TUBE CONNECTION | 1 |
Theodore T. Harris, Jr. | US | Tucson | 2015-11-19 / 20150331716 - USING QUEUES CORRESPONDING TO ATTRIBUTE VALUES AND PRIORITIES ASSOCIATED WITH UNITS OF WORK AND SUB-UNITS OF THE UNIT OF WORK TO SELECT THE UNITS OF WORK AND THEIR SUB-UNITS TO PROCESS | 22 |
John Wesley Harris, Jr. | US | Taylors | 2016-02-11 / 20160040537 - TURBINE BLADE MID-SPAN SHROUD ASSEMBLY | 25 |
Roundell L. Harris, Jr. | US | Indianapolis | 2010-01-28 / 20100020960 - SYSTEM AND METHOD FOR PROVIDING COMMUNICATIONS SERVICES | 1 |
Robert E. Harris, Jr. | US | Woodinville | 2014-12-04 / 20140354310 - Capacitive Sensor Testing | 1 |
Robert Eugene Harris, Jr. | US | Woodinville | 2012-06-21 / 20120159250 - COMPATIBILITY TESTING USING TRACES, LINEAR TEMPORAL RULES, AND BEHAVIORAL MODELS | 1 |
Theodore T. Harris, Jr. | US | Tucson | 2015-11-19 / 20150331716 - USING QUEUES CORRESPONDING TO ATTRIBUTE VALUES AND PRIORITIES ASSOCIATED WITH UNITS OF WORK AND SUB-UNITS OF THE UNIT OF WORK TO SELECT THE UNITS OF WORK AND THEIR SUB-UNITS TO PROCESS | 22 |
Brian Robert Harris, Jr. | US | Cordova | 2012-11-08 / 20120283738 - MIDLINE REFERENCING FEMORAL SIZING CALIPER | 2 |
H. William Harris, Jr. | US | Portland | 2011-03-24 / 20110067640 - METHODS OF RAISING CRUSTACEANS IN LOW SALINITY WATER | 3 |
Ronald R. Harris, Jr. | US | Dundee | 2016-01-21 / 20160019637 - COLLECTIVE NETWORK PURCHASING (CNP) SYSTEM | 1 |
Paul F. Harris, Jr. | US | Ladson | 2009-11-12 / 20090277071 - FISHING LURE | 2 |
Paul Forrest Harris, Jr. | US | Ladson | 2011-11-17 / 20110281688 - Pediatric Hand Therapy Device | 2 |
Brian R. Harris, Jr. | US | Cordova | / - | 1 |
Ralph Alan Harris, Jr. | US | Houston | / - | 1 |
James Lee Harris, Jr. | US | Katy | 2014-12-18 / 20140366561 - INTEGRATED CASCADE PROCESS FOR VAPORIZATION AND RECOVERY OF RESIDUAL LNG IN A FLOATING TANK APPLICATION | 3 |
Robert M Harris, Jr. | US | Hobe Sound | 2015-12-03 / 20150342805 - MOBILE TRANSPORTATION DEVICE CONVERTIBLE TO A TRENDELENBURG TABLE AND FOR USE IN A MOTOR VEHICLE AND METHOD THEREOF | 2 |
James S. Harris, Jr. | US | Stanford | 2015-12-31 / 20150374269 - Methods and Apparatus for Rapid Monitoring of Hemostatic State | 12 |
Fritz B. Harris, Jr. | US | Rocklin | 2011-10-20 / 20110253690 - FLEXIBLE BEAM DELIVERY SYSTEM FOR HIGH POWER LASER SYSTEMS | 2 |
John Wesley Harris, Jr. | US | Greenville | 2013-07-25 / 20130187339 - Near Flow Path Seal with Axially Flexible Arms | 2 |
William Hamilton Harris, Jr. | US | Woodside | 2012-01-26 / 20120022686 - RICH CONTENT MANAGEMENT AND DISPLAY FOR USE IN REMOTE FIELD ASSETS | 1 |
Arthur J. Harris, Jr. | US | Orlando | 2010-10-28 / 20100269509 - Anti-flashback features in gas turbine engine combustors | 3 |
John Dow Harris, Jr. | US | Joliet | 2014-07-31 / 20140212550 - SYSTEMS AND METHODS FOR PROVIDING A FOOD PRODUCT WITH ADDITIVES | 2 |
James R. Harris, Jr. | US | Madison Heights | 2012-02-02 / 20120027155 - Integrated Reactor Missile Shield and Crane Assembly | 1 |
Robert Harris, Jr. | US | Woodinville | 2016-02-11 / 20160041830 - EXTRACTION OF OPERATING SYSTEM-SPECIFIC CHARACTERISTICS VIA A COMMUNICATION INTERFACE | 2 |
George D. Harris, Jr. | US | Chesterfield | 2015-11-19 / 20150329596 - ANTIVIRAL COMPOUNDS AND METHODS FOR TREATING INFECTIONS CAUSED BY DOUBLE-STRANDED DNA VIRUSES | 2 |
James S. Harris, Jr. | US | Stanford | 2015-12-31 / 20150374269 - Methods and Apparatus for Rapid Monitoring of Hemostatic State | 12 |
John Wesley Harris, Jr. | US | Taylors | 2016-02-11 / 20160040537 - TURBINE BLADE MID-SPAN SHROUD ASSEMBLY | 25 |
William C. Harris, Jr. | US | Derby | 2013-04-25 / 20130101432 - Erosion Resistant Helicopter Blade | 1 |
Theodore Timothy Harris, Jr. | US | Tucson | 2011-11-24 / 20110289059 - ORDERING VOLUMES AND TRACKS FOR DATA TRANSFER BASED ON USAGE CHARACTERISTICS | 2 |
Patrick G. Harris, Jr. | US | Cedar Park | 2013-04-04 / 20130084903 - WIRELESS TELEPHONY DEVICE WITH BREATH ANALYSIS SENSOR AND METHODS FOR USE THEREWITH | 3 |
Rano J. Harris, Jr. | US | Spanish Fort | 2013-03-21 / 20130068561 - PERSONAL EMERGENCY DESCENDER SYSTEM, AND METHODS OF USE | 3 |
Lynelle Harrison | US | Ypsilanti | 2013-08-01 / 20130193706 - OVERHEAD CONSOLE WITH STORAGE UNIT INSERT | 1 |
William L. Harrison | US | West Chester | 2013-10-17 / 20130269291 - MULTI-LAYER FRANKFURTER LOADING METHOD | 3 |
Robert Harrison | US | Lamar | 2010-05-06 / 20100107625 - TRANSMISSION CONTROL DEVICES AND SYSTEMS, AND METHODS OF ASSEMBLY AND USE THEREOF | 1 |
Dudley John Harrison | GB | West Midlands | 2014-07-03 / 20140183935 - Vehicle Braking System | 1 |
Robert Harrison | CA | Milton | 2016-01-07 / 20160000422 - Apparatus and methods for Loading Suture | 12 |
Steven Harrison | US | Sugar Land | 2011-11-10 / 20110272148 - METHODS, SYSTEMS AND APPARATUS FOR COILED TUBING TESTING | 1 |
Tammy Lynn Harrison | US | Redondo Beach | 2014-06-26 / 20140180718 - REMOTE ACCESS MANAGEMENT SYSTEMS | 1 |
Arthur C. Harrison | US | Rockville | 2010-01-28 / 20100022181 - HIGH EFFICIENCY & HIGH POWER PATCH ANTENNA AND METHOD OF USING | 2 |
Tami Dion Harrison | US | Mobile | 2011-02-24 / 20110041249 - Knee cushion | 1 |
David C. Harrison | US | Placitas | 2010-03-11 / 20100059206 - Insulating apparatus utilizing a quantity of water for thermal transfer and thermal mass | 1 |
Joshua A. Harrison | US | Neosho | 2010-07-29 / 20100186168 - SIMPLICITY BED | 1 |
William H. Harrison | US | Dalton | 2010-06-17 / 20100151226 - Carpet backings prepared from hydroxylated vegetable oil-based polyurethanes | 2 |
Stephen Alan Harrison | US | Baton Rouge | 2015-01-15 / 20150020272 - Sea oats named 'La12-202' | 8 |
Richard John Harrison | GB | Cambridge | 2014-06-26 / 20140179664 - Heterocyclyl Pyrimidine Analogues As JAK Inhibitors | 8 |
Robert Harrison | GB | Birmingham | 2013-03-14 / 20130065591 - APPARATUS AND METHOD FOR MAKING MEASUREMENTS IN MOBILE TELECOMMUNICATIONS SYSTEM USER EQUIPMENT | 3 |
Robert Harrison | US | Bloomfield Hills | 2009-01-01 / 20090000635 - Tool for applying hair dressing substances | 1 |
Michael John Harrison | US | Petaluma | 2013-11-28 / 20130314951 - RESONANT CONVERTER AND METHODS OF OPERATING | 9 |
Brian Harrison | US | Wayland | 2015-10-15 / 20150294363 - PRIORITIZED LOCATION BASED AD DISPLAY | 1 |
Robert Harrison | US | Perris | 2013-10-17 / 20130270453 - SYSTEM FOR RADIATION STERILIZATION OF MEDICAL DEVICES | 9 |
Robert Harrison | GB | Berkshire | 2008-11-27 / 20080289294 - Hand Rail with Light Source Inside the Mounting Bracket and Back Up Power Supply | 1 |
Lewis D. Harrison | US | Highland Village | 2013-04-25 / 20130102849 - DEPLOYMENT METHODS AND MECHANISMS FOR MINIMALLY INVASIVE IMPLANTATION OF HEART CONTACTING CARDIAC DEVICES | 1 |
Stephen Harrison | CA | Victoria | 2016-02-25 / 20160056858 - SPREAD SPECTRUM METHOD AND APPARATUS | 1 |
Victor I. Harrison | US | Glen Rock | 2013-02-21 / 20130045794 - METHODS AND SYSTEMS FOR PRESENTING PARI-MUTUEL BETTING OPTIONS AND CONSTRUCTING WAGERS | 1 |
Gerard Joseph Harrison | US | Snohomish | 2013-09-26 / 20130251221 - ULTRASOUND IMAGING SYSTEM AND METHOD WITH PEAK INTENSITY DETECTION | 2 |
Micah Harrison | US | Spencerport | 2015-02-12 / 20150040669 - DEVICES, SYSTEMS AND METHODS FOR DETECTING AND EVALUATING IMPACT EVENTS | 2 |
Paul B. Harrison | US | Neponset | 2016-02-25 / 20160052723 - BULK MATERIAL CONVEYOR BELT SCRAPER AND METHOD OF FORMING THE SAME | 1 |
Joel Patrick Harrison | US | Maryville | 2016-02-18 / 20160047835 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR DETECTING A SURFACE USING A PIPETTE AND/OR POSITIONING A PIPETTE | 4 |
Matthew Harrison | US | Boerne | 2013-08-15 / 20130205780 - SYSTEM AND METHOD FOR THERMOELECTRIC ENERGY GENERATION | 1 |
Timothy Harrison | GB | Craigavon | 2015-02-12 / 20150045377 - PHARMACEUTICAL COMPOUNDS | 4 |
Katherine E. Harrison | US | North Cambridge | 2012-09-20 / 20120237848 - Electrochemical device comprising an electrically-conductive, selectively-permeable membrane | 3 |
Mark Barrington John Harrison | AU | Surrey Hills | 2015-02-05 / 20150039707 - DOCUMENT PROCESSING | 1 |
Richard K. Harrison | US | Austin | 2015-02-05 / 20150036234 - METHODS AND COMPOSITIONS RELATED TO DIELECTRIC COATED METAL NANOPARTICLES IN THIN-FILM OPTO-ELECTRONIC CONVERSION DEVICES | 1 |
Benjamin S. Harrison | US | Tobaccoville | 2015-01-22 / 20150024053 - TOPICAL WOUND TREATMENT METHOD AND COMPOSITION | 4 |
Jacob C. Harrison | US | W. Newton | 2015-04-16 / 20150104543 - ORGANIC ACID PRODUCTION BY FUNGAL CELLS | 2 |
D. Christian Harrison | US | Salt Lake City | 2010-03-18 / 20100070901 - Systems and Methods for In-Line Viewing of Multiple File Types over a Network Using a Single Player | 2 |
Soren David Harrison | US | Somerville | 2009-03-05 / 20090058354 - SOLAR-POWERED MEDIA SYSTEM AND APPARATUS | 1 |
Dalen Joel Harrison | US | Portland | 2010-02-25 / 20100049741 - METHOD AND SYSTEM FOR PROVIDING SUPPLEMENTARY CONTENT TO THE USER OF A STORED-MEDIA-CONTENT DEVICE | 1 |
Stephen C. Harrison | US | Cambridge | 2010-04-29 / 20100105151 - Novel Druggable Regions in the Dengue Virus Envelope Glycoprotein and Methods of Using the Same | 1 |
Charles Lamar Harrison | US | Durham | 2010-02-11 / 20100031477 - ADJUSTABLE RETAINER | 1 |
Kate Harrison | US | Arlington | 2016-02-18 / 20160049656 - THREE-DIMENSIONAL, POROUS ANODE FOR USE IN LITHIUM-ION BATTERIES AND METHOD OF FABRICATION THEREOF | 1 |
Richard H. Harrison | US | Easton | 2015-02-05 / 20150034277 - COOLING TOWER FILL | 1 |
Reuven Harrison | IL | Tel Aviv | 2015-03-12 / 20150074755 - METHOD AND SYSTEM FOR MAPPING BETWEEN CONNECTIVITY REQUESTS AND A SECURITY RULE SET | 8 |
Ian Harrison | FR | Poissy | 2011-02-03 / 20110028376 - PROCESS FOR PROTECTING THE COLORS OF COLORED TEXTILE ARTICLES OR FOR PROVIDING CREASE RESISTANCE TO TEXTILE ARTICLES | 3 |
Noel Harrison | IE | Galway | 2015-09-10 / 20150250507 - COMPONENTS FOR BONE FIXATION DEVICES | 2 |
Michael John Harrison | NZ | Christchurch | 2012-04-12 / 20120086536 - High Frequency Power Transformer and Method of Forming | 5 |
Jonathan P. Harrison | NZ | Ponsonby | 2012-09-06 / 20120222681 - FOREHEAD SUPPORT FOR FACIAL MASK | 2 |
Ian Michael Harrison | FR | Poissy | 2015-02-12 / 20150044262 - ENCAPSULATION OF PERFUMES | 2 |
Richard J. Harrison | DE | Hockessin | 2009-08-06 / 20090194975 - Assistive mobility device | 1 |
Ian Harrison | FR | Maurice Berteaux | 2009-07-02 / 20090165216 - COMPOSITION BASED ON NANOPARTICLES OR A NANOLATEX OF POLYMERS FOR FABRIC CARE | 1 |
Jacob Carter Harrison | US | Newton | 2012-09-27 / 20120244589 - Photoalkanogens with Increased Productivity | 1 |
Paul Jonathan Harrison | IE | Dublin | 2012-02-09 / 20120035138 - Bisphosphonate Formulation | 2 |
Jacob C. Harrison | US | Newton | 2015-08-20 / 20150232864 - Methods and Compositions for Limiting Viability of a Modified Host Cell Outside of Designated Process Conditions | 3 |
Daniel J. Harrison | US | Nederland | 2016-03-03 / 20160066386 - METHOD AND DEVICE FOR REMOTE SENSING AND CONTROL OF LED LIGHTS | 11 |
John Linden Harrison | ZA | Glenashley | 2013-08-08 / 20130200010 - SLUDGE TREATMENT SYSTEM AND METHOD | 1 |
Ed Harrison | US | Canton | 2013-08-08 / 20130200651 - PLASTIC VEHICLE-INTERIOR-PART WITH AN IMPACT MODULE | 1 |
Peter Hugh Harrison | ZA | Centurion | 2009-01-08 / 20090007349 - Automatic swimming pool cleaners and associated hoses | 1 |
Harvey Harrison | US | Andover | 2011-04-07 / 20110079072 - WIND SENSOR | 1 |
Colin G. Harrison | US | Brookfield | 2015-07-23 / 20150206264 - JURISDICTION MODELING EMPLOYING CROSS SYSTEM DEPENDENCIES TO DETERMINE INCIDENT IMPACT AND RESILIENCE | 5 |
Lee Harrison | US | El Dorado Hills | 2011-11-10 / 20110272094 - PLANAR ELECTRONIC DEVICE HAVING A MAGNETIC COMPONENT AND METHOD FOR MANUFACTURING THE ELECTRONIC DEVICE | 1 |
Michael R. Harrison | US | Dearborn | 2013-01-31 / 20130029772 - INNER SEAL FOR CV JOINT BOOT | 1 |
John D. Harrison | US | Tacoma | 2011-11-10 / 20110271514 - POSITIONING ASSEMBLY FOR COMPOSITE STRUCTURE | 1 |
Joycelyn S. Harrison | US | Arlington | 2015-10-29 / 20150307691 - Nanotubular Toughening Inclusions | 8 |
Barry Harrison | US | Davisburg | 2013-01-24 / 20130019720 - RING PLIERS | 1 |
Malcolm Harrison | GB | Hampshire | 2011-12-08 / 20110300059 - PROCESS FOR GAS SWEETENING | 1 |
Keith Harrison | GB | Monmouthshire | 2012-04-19 / 20120093521 - Quantum Repeater And System And Method For Creating Extended Entanglements | 2 |
James Harrison | CA | Barrie | 2014-04-10 / 20140099600 - METHOD OF INSTALLING A FINAL DENTAL PROSTHESIS | 2 |
Richard M. Harrison | US | St. Louis Park | 2008-08-28 / 20080208543 - LAND PLAN DEVELOPMENT | 1 |
Peter Harrison | CA | Aurora | 2014-08-21 / 20140234465 - Food product press | 1 |
Stephen C. Harrison | US | Brighton | 2014-10-09 / 20140302043 - BROADLY NEUTRALIZING HUMAN ANTIBODY THAT RECOGNIZES THE RECEPTOR-BINDING POCKET OF INFLUENZA HEMAGGLUTININ | 3 |
Keith Harrison | GB | Bristol | 2014-09-11 / 20140259169 - VIRTUAL MACHINES | 2 |
Deborah Briana Harrison | US | Seattle | 2015-10-01 / 20150278765 - INFORMATION COLLECTIONS | 1 |
Sherri-Ann Harrison | CA | Whitby | 2014-03-06 / 20140065264 - NUTRITION FORMULATION AND PRODUCT PRODUCED THEREFROM | 1 |
Nicholas Harrison | GB | Oakington | 2013-02-28 / 20130047985 - DRY POWDER INHALER ASSEMBLY AND CONTAINERS | 1 |
Andrew Harrison | GB | Derby | 2011-12-29 / 20110320186 - ENTITY RECOGNITION | 1 |
Robert Harrison | US | North Andover | 2015-11-12 / 20150327343 - PLANCKIAN AND NON-PLANCKIAN DIMMING OF SOLID STATE LIGHT SOURCES | 7 |
Tom Harrison | US | Austin | 2015-09-17 / 20150262086 - MANAGING PATRON SERVICING RESOURCES WITHIN A VENUE | 2 |
Andrew J. Harrison | GB | Yorkshire | 2010-04-15 / 20100094179 - Optimized Diffraction Zone for Ultrasound Therapy | 1 |
Heather Harrison | US | Boulder | 2012-02-02 / 20120024292 - DUAL-LUMEN TRACHEAL TUBE WITH SHAPED LUMEN DIVIDER | 1 |
Stephen Alan Harrison | US | Rayne | 2015-01-15 / 20150020271 - Sea oats named 'LA12-201' | 1 |
Gerald M. Harrison | US | Lancaster | 2013-07-18 / 20130180077 - BAG COMPARTMENT FOR VACUUM CLEANER | 2 |
Benjamin Harrison | US | Sacramento | 2015-05-14 / 20150129510 - BAGGED FILTER CARTRIDGE, SYSTEM AND METHOD | 2 |
Thomas Bryant Harrison | US | Austin | 2011-12-15 / 20110303371 - SUNSHADE FOR USE WITH GOLF CARTS | 1 |
Richard Harrison | GB | Berkshire | 2009-06-04 / 20090142138 - Pipe Structure and Methods of Laying and Use of a Pipeline Including Such a Pipe Structure | 1 |
Paula Rosamund Harrison | GB | Cambridge | 2015-12-31 / 20150376285 - Antibody Molecule For Human GM-CSF Receptor Alpha | 4 |
Ronnie M. Harrison | US | Boise | 2012-05-31 / 20120137161 - METHOD AND APPARATUS FOR GENERATING A PHASE DEPENDENT CONTROL SIGNAL | 4 |
Neil Richard Harrison | GB | Birmingham | 2009-09-24 / 20090235931 - UNIT DOSE DRY POWDER INHALER | 2 |
Chris Harrison | US | Mount Kisco | 2011-11-24 / 20110285667 - ELECTROVIBRATION FOR TOUCH SURFACES | 2 |
Charles J. Harrison | US | Ann Arbor | 2009-12-24 / 20090317461 - ORAL COMPOSITIONS EFFECTIVE FOR THE TREATMENT OF ORAL CAVITY MALODOR ASSOCIATED WITH THE CONSUMPTION OF ODOR-CAUSING COMPOUNDS | 1 |
Christopher Harrison | US | Aubumdale | 2011-05-05 / 20110104809 - DOWNHOLE SPECTROSCOPIC HYDROGEN SULFIDE DETECTION | 1 |
Trevor Harrison | GB | Essex | 2010-04-15 / 20100093751 - Indolizineacetic Acids and Their Therapeutic Use as Ligands of the CRTH2 Receptor | 1 |
Michael W. Harrison | US | Indianapolis | 2009-12-17 / 20090311295 - PARTICLES WITH HIGH UNIFORM LOADING OF NANOPARTICLES AND METHODS OF PREPARATION THEREOF | 1 |
Cameron Harrison | AU | Lysterfield South | 2013-05-23 / 20130127638 - Cyclist Proximity Warning System | 1 |
Grant Robert Harrison | US | Dover | 2011-12-15 / 20110307311 - INTERACTIVE, INTERNET SUPPORTED HEALTH AND FITNESS MANAGEMENT SYSTEM | 1 |
William Todd Harrison | US | Apex | 2013-05-09 / 20130113550 - SWITCHED-CAPACITOR FILTER | 4 |
Blair A. Harrison | CA | Nepean | 2015-10-08 / 20150284449 - H. PYLORI LIPOPOLYSACCHARIDE OUTER CORE EPITOPE | 1 |
Stuart Ronald Harrison | AU | Clyde | 2011-11-03 / 20110266062 - LATCHING CONFIGURATION FOR A MICROTUNNELING APPARATUS | 1 |
Mark Harrison | US | Indianapolis | 2009-10-15 / 20090255862 - Eco-treament system | 1 |
Rick Harrison | US | Anderson | 2015-09-10 / 20150252551 - AUTOMATED BELOW GROUND CABLE INSTALLATION WITHIN A SELECT FILL | 1 |
Daniel C. Harrison | US | Tucson | 2009-10-08 / 20090250597 - OPTICAL FIBER ASSEMBLY WRAPPED ACROSS ROLL-NOD GIMBAL AXES IN A DIRCM SYSTEM | 1 |
Paul Harrison | US | Gahanna | 2014-05-01 / 20140115937 - FIREARM SECURING DEVICES | 1 |
John C. Harrison | US | Portland | / - | 1 |
Fenton W. Harrison | US | Windsor | 2011-12-29 / 20110317147 - Time Shifted PN Codes for CW LIDAR, RADAR, and SONAR | 1 |
Gavin Harrison | GB | Bushey-Herts | 2011-11-03 / 20110265632 - CYMBAL MOUNTING ASSEMBLY | 1 |
Nigel David Harrison | GB | Cambridge | 2011-11-03 / 20110270161 - Injection Device | 1 |
Dunkan James Harrison | GB | Greater London | 2010-06-03 / 20100132489 - Gyroscopic Torque Converter | 1 |
Craig M. Harrison | US | Gulf Breeze | 2013-04-25 / 20130097826 - BUCKLE ASSEMBLY AND METHODS OF USE | 1 |
Michael D. Harrison | US | Decatur | 2013-08-22 / 20130216693 - FIBER-CONTAINING CARBOHYDRATE COMPOSITION | 8 |
Soren Harrison | US | Somerville | 2011-12-29 / 20110315883 - THIN FILM MEASUREMENT TECHNIQUE | 1 |
Eric Harrison | US | Kennebunk | 2014-02-27 / 20140057509 - RESCUE DEVICE | 1 |
Richard Harrison | US | 2009-05-28 / 20090134603 - Collapsible crib | 1 | |
James Harrison | GB | Barnet | 2011-10-27 / 20110260400 - Board Games | 1 |
Robert Mark Harrison | US | Grapevine | 2016-04-28 / 20160119084 - CARRIER AGGREGATION ACKNOWLEDGEMENT BITS | 32 |
Stephen M. Harrison | GB | Wallingford | 2015-06-11 / 20150160314 - INDIRECT COOLING TYPE SUPERCONDUCTING MAGNET APPARATUS | 10 |
Joseph Harrison | US | Ontario | 2013-02-28 / 20130050720 - IMAGE QUALITY STATUS WORKFLOW | 2 |
Nick Harrison | GB | Cambridgeshire | 2011-12-22 / 20110313432 - APPLICATOR FOR SURGICAL CLIPS | 1 |
Justin Harrison | GB | Dundee | 2016-03-03 / 20160060224 - N-MYRISTOYL TRANSFERASE INHIBITORS | 2 |
Dennis Harrison | US | Horn Lake | 2009-05-14 / 20090125116 - HINGED JOINT SYSTEM | 1 |
Andrew J. L. Harrison | GB | Bristol | 2009-05-14 / 20090120152 - RING ROLLING FROM METAL BLANKS | 1 |
Bern Harrison | US | Granger | 2016-04-14 / 20160103090 - SYSTEM AND METHOD FOR DETECTING USED AND DRIED SENSORS | 7 |
Boyd Lynn Harrison | US | Princeton Junction | 2009-10-08 / 20090253716 - AMINO-IMIDAZOLONES FOR THE INHIBITION OF BETA-SECRETASE | 4 |
Bryce A. Harrison | US | Hamilton | / - | 1 |
David A. Harrison | US | Wayne | 2010-08-05 / 20100192509 - Repair Swatch For Hail Damaged Asphalt Roofing | 1 |
Boyd L. Harrison | US | Princeton Junction | 2016-04-21 / 20160108080 - 19-NOR C3, 3-DISUBSTITUTED C21-N-PYRAZOLYL STEROIDS AND METHODS OF USE THEREOF | 9 |
Steve Harrison | US | Lynchburg | 2012-01-12 / 20120008542 - Distributed Ad Hoc Mesh Network Protocol for Underground Mine and Hazardous Area Communications | 1 |
Renee Joan Harrison | US | Prosperity | 2014-07-17 / 20140197000 - Organizational Luggage System | 1 |
Robert Harrison | US | Perris | 2013-10-17 / 20130270453 - SYSTEM FOR RADIATION STERILIZATION OF MEDICAL DEVICES | 9 |
Dudley Harrison | GB | Birmingham | 2009-05-07 / 20090118960 - Vehicle Stability Control Apparatus | 1 |
Trevor Keith Harrison | GB | Essex | 2010-06-10 / 20100144786 - QUINOLINE DERIVATIVES AS CRTH2 RECEPTOR LIGANDS | 6 |
Geoffrey E. Harrison | US | Everett | 2014-05-15 / 20140134379 - Sandwich-Structural Composite Apparatus with Core Joining and Splicing Method for Retention of Structural and Acoustic Capability | 1 |
Joshua Harrison | US | Kirkland | 2014-03-06 / 20140067835 - SEARCH EXTENSIBILITY APPLICATION FRAMEWORK IN A HOSTED SEARCH | 3 |
Robert S. Harrison | US | Birmingham | 2009-03-26 / 20090082126 - Putter club holder | 1 |
Chad E. Harrison | US | Tulsa | 2008-09-18 / 20080228160 - ESSENTIAL HOME PHARMACY KITS | 1 |
Nicholas Harrison | GB | Cambridgeshire | 2011-10-27 / 20110259326 - INHALER WITH INDEXING LINKED TO MOVEMENT OF COVER | 2 |
Christopher Harrison | US | Pittsburgh | 2016-04-07 / 20160098185 - METHOD AND APPARATUS FOR ADDRESSING TOUCH DISCONTINUITIES | 11 |
Daniel Jude Harrison | US | Pittsford | 2011-10-13 / 20110251060 - Thermographic Imaging Element | 1 |
Harold Harrison | US | Friday Harbor | 2014-02-13 / 20140044146 - METHOD AND APPARATUS FOR DETECTING TRACK FAILURE | 1 |
John Harrison | US | Palouse | 2016-04-14 / 20160105610 - System and Method for Viewing a Plurality of Videos | 5 |
Michael Harrison | US | Chillicothe | 2009-02-12 / 20090040299 - LASER SAFETY SYSTEM WITH BEAM STEERING | 1 |
Donald Harrison | US | New Orleans | 2015-11-05 / 20150317391 - MEDIA PLAYABLE WITH SELECTABLE PERFORMERS | 2 |
Andrew Charles Harrison | GB | Telford | 2010-07-22 / 20100181782 - LOCKING ARRANGEMENT FOR A DOOR | 1 |
Robert Harrison | DE | Sankt Wolfgang | 2013-01-10 / 20130008733 - Motor Vehicle | 1 |
Stuart Harrison | AT | Clyde | 2013-01-10 / 20130008718 - CONTROL SYSTEM AND INTERFACE FOR A TUNNELING APPARATUS | 1 |
Christopher Harrison | US | Auburndale | 2016-05-12 / 20160131630 - Methods and Systems for Correction of Oil-Based Mud Filtrate Contamination on Saturation Pressure | 32 |
Paul Martin Harrison | GB | East Grinstead | 2014-05-29 / 20140147694 - Method for Laser Marking a Metal Surface with a Desired Colour | 1 |
James A. Harrison | US | Chicago | 2011-10-06 / 20110246354 - FINANCIAL INSTRUMENT FOR A SPECIFIC DELIVERABLE PRODUCT ON A DAILY SETTLEMENT BASIS | 1 |
Andrew Joseph Lawrence Harrison | GB | Bristol | 2010-07-29 / 20100191500 - ANALYSIS OF PARALLEL MANIPULATORS | 1 |
Neil R. Harrison | GB | South Shields | 2010-07-29 / 20100189566 - Manufacturing a composite component | 1 |
Keith Harrison | GB | Stoke Gifford Bristol | 2009-04-30 / 20090113267 - Error detection method and apparatus | 1 |
Daniel S. Harrison | US | Kirkland | 2012-06-07 / 20120143077 - MEASUREMENT AND USE OF IN-SOCKET RESIDUAL LIMB VOLUME CHANGE DATA FOR PROSTHETIC FITTING | 2 |
Beverly Harrison | US | Seattle | 2015-11-12 / 20150327055 - Techniques For Automatically Distinguishing Between Users Of A Handheld Device | 3 |
Ian Harrison | US | Norfolk | 2015-11-05 / 20150316614 - DEBUGGING SYSTEM AND METHOD | 5 |
Charles D. Harrison | US | Arlington | 2010-05-13 / 20100119343 - Aircraft Landing Gear Loader | 1 |
Daniel J. Harrison | US | Noderland | 2014-02-06 / 20140036488 - UNIVERSAL LIGHT EMITTING DIODE ILLUMINATION DEVICE AND METHOD | 1 |
Philip Harrison | US | Seattle | 2010-06-10 / 20100145972 - METHOD FOR VOCABULARY AMPLIFICATION | 1 |
Gene Lee Harrison | US | Leesburg | 2016-05-12 / 20160134666 - PROVIDING SURVIVABLE CALLING AND CONFERENCING | 1 |
Chad Harrison | US | Tulsa | 2011-05-26 / 20110120904 - HOME PHARMACY KITS | 2 |
Nigel Harrison | GB | Hertfordshire | 2011-07-21 / 20110178469 - INJECTION DEVICE | 5 |
Joseph H. Harrison | US | Puyallup | 2009-01-15 / 20090013742 - COMPOSITIONS AND METHODS FOR WASTEWATER TREATMENT | 1 |
Steven Mark Harrison | US | Bremerton | 2011-05-12 / 20110113018 - METHOD AND SYSTEM FOR MERGING DISPARATE VIRTUAL UNIVERSES ENTITIES | 4 |
Rodney George Harrison | GB | London | 2013-08-01 / 20130198456 - Fast Cache Reheat | 1 |
Bruce J. Harrison | CA | Saskatoon | 2013-08-15 / 20130212727 - HYBRID CANOLA QUALITY BRASSICA JUNCEA | 1 |
William J. Harrison | GB | Weymouth | 2012-03-08 / 20120058050 - LOADED LATEX OPTICAL MOLECULAR IMAGING PROBES CONTAINING LIPOPHILIC LARGE STOKES SHIFT DYES | 1 |
James Harrison | US | Oro Valley | 2014-10-09 / 20140300971 - HIGH BRIGHTNESS DIODE OUTPUT METHODS AND DEVICES | 2 |
Jonathan Mark Harrison | GB | Wherstead | 2015-08-13 / 20150224906 - Trailer Loading Apparatus | 1 |
Neil Harrison | US | Santa Fe | 2008-12-25 / 20080315170 - Quantum coherent switch utilizing commensurate nanoelectrode and charge density periodicities | 1 |
Gregory Harrison | US | Seattle | 2016-05-12 / 20160132299 - DYNAMICALLY CONFIGURABLE WORKFLOW IN A MOBILE ENVIRONMENT | 7 |
Roger G. Harrison | US | Norman | 2014-02-13 / 20140044771 - ENZYME PRODRUG CANCER THERAPY SELECTIVELY TARGETED TO TUMOR VASCULATURE AND METHODS OF PRODUCTION AND USE THEREOF | 5 |
Dan Harrison | US | New York | 2014-01-30 / 20140032325 - SYSTEM AND METHOD FOR PROMOTING ITEMS WITHIN A LOCATION-BASED SERVICE | 1 |
Steven M. Harrison | US | Bremerton | 2014-05-01 / 20140118383 - DIFFERENTIAL RESOURCE APPLICATION IN VIRTUAL WORLDS BASED ON PAYMENT AND ACCOUNT OPTIONS | 6 |
Mark Harrison | US | San Francisco | 2012-10-25 / 20120271707 - PAYMENT VIA FINANCIAL SERVICE PROVIDER USING NETWORK-BASED DEVICE | 1 |
Benjamin Harrison | CA | Waterloo | 2013-03-14 / 20130061411 - BARBECUE GRILL CLEANER WITH BARRIER FLAP | 1 |
Neil Harrison | GB | Northampton | 2011-09-01 / 20110209561 - CORIOLIS MASS FLOWMETER | 2 |
Edward Allen Harrison | US | Bel Air | 2008-12-18 / 20080309285 - Battery pack identification system | 1 |
Jim G. Harrison | US | Cloverdale | 2012-12-27 / 20120330397 - Stent Delivery Systems and Methods for Making and Using Stent Delivery Systems | 1 |
Edward A. Harrison | US | Bel Air | 2008-11-20 / 20080284373 - CORDLESS POWER TOOL SYSTEM HAVING SLIDABLY-ENGAGING POWER SOURCE CONNECTION | 1 |
Nigel David Harrison | GB | Hertfordshire | 2011-09-29 / 20110232790 - Rotary Supply Joint, Rotary Timing Valve And Product Handling Apparatus | 1 |
Joshua C. Harrison | US | Kirkland | 2013-03-07 / 20130060627 - PROXIMITY-DEPENDENT SHOPPING OFFER | 2 |
Jesse Harrison | US | Gig Harbor | 2011-09-29 / 20110231977 - Helmet cooling device | 1 |
Jadon M. Harrison | CA | North Vancouver | 2016-03-03 / 20160065057 - FUEL CELL DC-DC CONVERTER | 2 |
Charles F. Harrison | US | Seattle | 2010-12-16 / 20100315337 - OPTICAL CAPACITIVE THUMB CONTROL WITH PRESSURE SENSOR | 1 |
Mark Harrison | AT | Wernberg | 2014-06-19 / 20140167270 - Method of Fabricating a Layer Stack | 3 |
Terrence Harrison | US | Eighty-Four | 2009-04-09 / 20090090835 - Revolving Storage Device | 1 |
Diane D. Harrison | US | Villanova | 2014-01-30 / 20140030311 - MONOLITHIC INTRAVAGINAL RINGS COMPRISING PROGESTERONE AND METHODS OF MAKING AND USES THEREOF | 2 |
Harold H. Harrison | US | Lewisburg | 2009-03-26 / 20090081683 - Kits and Methods for Assessing the Coenzyme Q Reducing Status of a Patient, Including a Patient Ingesting a Statin | 1 |
Diane Deborah Harrison | US | Villanova | 2012-08-30 / 20120220558 - Methods of Treating Hormone-Related Conditions Using Thio-Oxindole Derivatives | 2 |
Gerald Harrison | US | Upper Darby | 2010-02-25 / 20100047913 - Colloidal Gold Single Reagent Quantitative Protein Assay | 1 |
Doug Harrison | US | Tower City | 2012-06-21 / 20120153116 - Convertible Case for a Tablet Computer | 3 |
P. Craig Harrison | US | Lancaster | 2011-03-10 / 20110059224 - COFFEE FLAVORED CHOCOLATE BAR | 1 |
Scott Harrison | US | Elkins Park | 2011-04-14 / 20110085985 - NOVEL SUBSTITUTED AZABENZOXAZOLES | 3 |
Philip David Harrison | CA | Delta | 2011-09-22 / 20110226385 - Continuous rotation log turner | 1 |
Robin Harrison | CA | East Mount Albert | 2014-01-23 / 20140025495 - SYSTEMS AND METHODS FOR MANAGING USER INFORMATION OVER A NETWORK | 1 |
Kelly M. Harrison | US | Newport Beach | 2012-03-15 / 20120065178 - OCULAR THERAPY USING GLUCOCORTICOID DERIVATIVES SELECTIVELY PENETRATING POSTERIOR SEGMENT TISSUES | 1 |
Nigel David Harrison | GB | Melbourn | 2011-09-15 / 20110222993 - Apparatus For Handling Capsules And Capsule Processing Equipment Including Such An Apparatus | 1 |
Scott T. Harrison | US | Glenside | 2015-10-22 / 20150299227 - INHIBITORS OF CATECHOL O-METHYL TRANSFERASE AND THEIR USE IN THE TREATMENT OF PSYCHOTIC DISORDERS | 5 |
Gary D. Harrison | US | Claremore | 2015-07-30 / 20150216073 - Systems and Methods for Cooling Electric Drives | 1 |
William Harrison | US | Anacortes | 2011-09-08 / 20110218593 - SYSTEMS, DEVICES AND METHODS FOR THE TREATMENT OF TINNITUS | 1 |
J. T. Harrison | US | Chicago | 2014-01-02 / 20140000526 - SCOOPABLE CAT LITTER WITH IMPROVED CLUMP STRENGTH | 1 |
Ryan Harrison | US | Anderson | 2012-08-02 / 20120192440 - POWER TOOL WITH RECIPROCATING BLADE | 7 |
Ryan T. Harrison | US | Anderson | 2012-08-02 / 20120192852 - CHARCOAL IGNITION DEVICE | 8 |
Dale Harrison | US | West Chicago | 2014-04-03 / 20140093691 - SHIM DEVICE | 1 |
Edward Harrison | US | Sterling Heights | 2008-10-30 / 20080265516 - TWO STAGE SEALANTS AND METHOD OF FORMING AND/OR USING THE SAME | 1 |
John P. Harrison | US | Greer | 2010-06-17 / 20100147708 - SUTURE TRAY PACKAGE | 1 |
Cristian L. Harrison | US | Beverly | 2013-05-23 / 20130131356 - PREPARATION OF PROTECTED ALPHA-KETO BETA-AMINO ESTERS AND AMIDES | 3 |
Jim A. Harrison | GB | Warwick | 2014-01-16 / 20140019807 - TRANSACTION SERVER PERFORMANCE MONITORING USING COMPONENT PERFORMANCE DATA | 2 |
Philip Harrison | GB | Huddersfield | 2011-11-24 / 20110288819 - SURFACE ANALYSIS APPARATUS AND METHOD | 1 |
Katharine L. Harrison | US | Austin | 2015-11-12 / 20150325439 - GROWING CRYSTALLINE SEMICONDUCTOR OXIDE THIN FILMS ON A SUBSTRATE AT A LOW TEMPERATURE USING MICROWAVE RADIATION | 1 |
Martin Roy Harrison | GB | Brackley | 2014-04-03 / 20140091785 - TARGET CLEARANCE MEASUREMENT DEVICE | 1 |
Robin Sue Harrison | US | Clearfield | 2014-02-13 / 20140046681 - Response Message Normalization System | 1 |
Rupert Mark Harrison | GB | W. Sussex | 2010-08-26 / 20100212610 - Heat Recovery System and Method | 1 |
Paul Harrison | US | Temperance | 2014-05-01 / 20140116576 - SYSTEM AND METHOD OF MAKING A CAST PART | 1 |
Peter J. Harrison | US | Hudson | 2015-11-26 / 20150337176 - FLAME RETARDANT ADHESIVE | 5 |
Maria Harrison | US | Ardmore | 2009-04-30 / 20090113571 - ROOT-SPECIFIC PHOSPHATE TRANSPORTER PROMOTERS | 2 |
Henry Noel Arnold Harrison | GB | Guildford | 2014-04-10 / 20140101750 - SUPERVISED DATA TRANSFER | 1 |
Paul Bernard Harrison | ZA | Gauteng | 2014-03-27 / 20140083822 - Conveyor Belt Idler Assembly | 1 |
Reuven Harrison | IL | Ramat Gan | 2014-03-20 / 20140082196 - Method of Managing Connectivity Between Resources in a Computer Network and System Thereof | 1 |
William T. Harrison | US | Apex | 2014-03-06 / 20140061884 - STACKED DIE POWER CONVERTER | 1 |
Glenn Harrison | GB | Winchester | 2010-08-26 / 20100212507 - METHODS, CAPSULES AND APPARATUS FOR THE PRODUCTION OF FOAMED DRINKS | 1 |
Howard Jason Harrison | US | Bethesda | 2013-12-05 / 20130325629 - Interactive Advertising Based On License Plate Recognition | 2 |
Dennis Harrison | US | Nesbit | 2015-09-03 / 20150245915 - HINGED JOINT SYSTEM | 2 |
Richard J. Harrison | GB | Godmanchester | 2010-09-30 / 20100249321 - Polymerisation of ethylenically unsaturated monomers | 1 |
Marshall C. Harrison | US | Fairfield | 2013-09-26 / 20130254288 - SYSTEM AND METHOD FOR VERIFYING PARENTAL APPROVAL | 1 |
Philip Robert Harrison | GB | Chalfont St Giles | 2015-09-17 / 20150258459 - STORING STATE FOR PHYSICAL MODULAR TOYS | 6 |
Huang Harrison | TW | Taichung City | 2013-09-05 / 20130227913 - LENGTH-ADJUSTABLE WRAP FILM DISPENSER | 1 |
Martin Roy Harrison | GB | Evenly | 2013-03-28 / 20130076373 - TARGET SENSOR | 1 |
Gregory Anthony Harrison | US | Oviedo | 2015-03-05 / 20150066825 - SIMULATED INFRARED MATERIAL COMBINATION USING NEURAL NETWORK | 1 |
Nia R. Harrison | US | Ann Arbor | 2016-02-04 / 20160030992 - HOT-STAMPING TAILOR-WELDED BLANKS OF ALUMINUM SHEET | 6 |
Reuven Harrison | IL | Tel Aviv | 2015-03-12 / 20150074755 - METHOD AND SYSTEM FOR MAPPING BETWEEN CONNECTIVITY REQUESTS AND A SECURITY RULE SET | 8 |
Declan Harrison | IE | Belfast | 2014-09-18 / 20140281035 - METHOD, APPARATUS, AND NON-TRANSITORY COMPUTER MEDIUM FOR OBTAINING A REQUIRED FRAME SIZE FOR A COMPRESSED DATA FRAME | 4 |
Robert William Harrison | GB | Woking | 2013-08-15 / 20130207376 - SECURITY ELEMENT, SECURITY DOCUMENT AND METHODS OF MANUFACTURE THEREOF | 3 |
Millie Harrison | US | Crawfordville | 2011-08-25 / 20110204593 - Trailer hitch system | 1 |
Nathan Harrison | US | Cambridge | 2014-05-01 / 20140118831 - LIGHT DIFFUSER AND METHOD OF MANUFACTURING THE SAME | 1 |
Jane Harrison | US | Princeton | 2012-01-12 / 20120007365 - Deployable wind power and battery unit | 3 |
Katherine E. Harrison | US | Arlington | 2013-05-23 / 20130130126 - ELECTROCHEMICAL CELL FOR HIGH-VOLTAGE OPERATION AND ELECTRODE COATINGS FOR USE IN THE SAME | 1 |
Nathan Harrison | US | Brookline | 2014-09-18 / 20140268879 - TRANSPARENT WAVEGUIDE DIFFUSER FOR LIGHTING AND METHODS OF MANUFACTURING TRANSPARENT WAVEGUIDE DIFFUSER | 1 |
Richard Harrison | US | Dallas | 2013-03-28 / 20130081085 - PERSONALIZED TV LISTING USER INTERFACE | 1 |
Jonathan R. Harrison | US | Philadelphia | 2014-06-19 / 20140172782 - ENTERPRISE MIGRATION PLANNING INFORMATION REPOSITORY | 1 |
Sean J. Harrison | US | Belmont | 2015-07-30 / 20150210700 - INDOLE-SUBSTITUTED PYRROLOPYRIMIDINYL INHIBITORS OF UBA6 | 12 |
Daniel Edward Harrison | US | Reston | 2009-04-23 / 20090106236 - Method for scoring products, services, institutions, and other items | 1 |
Daniel Harrison | US | Nederland | 2015-08-20 / 20150233566 - LIGHT EMITTING DIODE REPLACEMENT LAMP | 13 |
Daniel J. Harrison | US | Pittsford | 2011-08-18 / 20110201721 - ACETONE-BASED SOLUTION OF A VINYL RESIN | 1 |
Paul W. Harrison | US | Los Angeles | 2015-12-03 / 20150344712 - High contrast surface marking using nanoparticle materials | 2 |
John Harrison | US | Hesperia | 2014-10-02 / 20140291417 - LIQUID ASPIRATOR AND RESERVOIR FOR SHOWER HEAD | 1 |
Michael Harrison | US | Petaluma | 2016-03-31 / 20160091554 - METHOD AND APPARATUS FOR GROUND FAULT DETECTION | 7 |
Jere Harrison | US | Los Angeles | 2015-05-14 / 20150129772 - SURFACE MICRO-MACHINED MULTI-POLE ELECTROMAGNETS | 2 |
John Harrison | GB | Cambridge | 2013-08-15 / 20130210664 - Methods for the Identification of Methyltransferase Interacting Molecules and for the Purification of Methyltransferase Proteins | 3 |
Kent D. Harrison | US | Maple Grove | 2013-07-04 / 20130172872 - DEVICE AND METHODS FOR NERVE MODULATION USING A NOVEL ABLATION CATHETER WITH POLYMERIC ABLATIVE ELEMENTS | 5 |
Craig Harrison | US | Fort Collins | 2010-11-18 / 20100293620 - IDENTIFICATION, STORAGE AND DISPLAY OF LAND DATA ON A WEBSITE | 5 |
Graham Harrison | US | Arlington | 2009-08-27 / 20090211343 - In-situ structuring rheometer based on chaotic advection | 1 |
David L. Harrison | US | Denver | 2008-11-06 / 20080275737 - INSURANCE ESTIMATING SYSTEM | 1 |
Justin Harrison | US | Seattle | 2013-06-06 / 20130143651 - CONTROLLING PUBLIC DISPLAYS WITH PRIVATE DEVICES | 1 |
Anthony M. Harrison | US | Forest Lake | 2008-11-27 / 20080289389 - WIRE-FORMING APPARATUS | 1 |
Deborah B. Harrison | US | Seattle | 2015-12-31 / 20150382147 - LEVERAGING USER SIGNALS FOR IMPROVED INTERACTIONS WITH DIGITAL PERSONAL ASSISTANT | 2 |
Chet Harrison | US | Corona Del Mar | 2011-11-24 / 20110289044 - FOOD PREPARATION SYSTEM AND METHOD | 1 |
Phillip Harrison | US | Lancaster | 2015-01-08 / 20150007388 - BEDDING PRODUCT HAVING DIFFERENT COLORS FOR HEM AND BODY | 1 |
David Ronald Harrison | US | Fremont | 2012-12-20 / 20120320767 - PERFORMANCE OPTIMIZED AND CONFIGURABLE STATE BASED HEURISTIC FOR THE CLASSIFICATION OF REAL-TIME TRANSPORT PROTOCOL TRAFFIC | 1 |
Craig D. Harrison | US | Fort Collins | 2010-11-18 / 20100293193 - DOCUMENT GEOSPATIAL SHAPE TAGGING, SEARCHING, ARCHIVING, AND RETRIEVAL SOFTWARE | 1 |
John Edward Harrison | GB | Wiltshire | 2015-10-01 / 20150279226 - ADAPTIVE COGNITIVE SKILLS ASSESSMENT AND TRAINING | 1 |
Dudley John Harrison | GB | Solihull West Midlands | 2014-12-04 / 20140358395 - METHOD OF VEHICLE STABILITY CONTROL | 1 |
Christopher Harrison | US | Pittsburgh | 2016-04-07 / 20160098185 - METHOD AND APPARATUS FOR ADDRESSING TOUCH DISCONTINUITIES | 11 |
Jeffrey Norwood Harrison | AU | Carlingford | 2015-07-16 / 20150198960 - LOW-POWER LOW-DROPOUT VOLTAGE REGULATORS WITH HIGH POWER SUPPLY REJECTION AND FAST SETTLING PERFORMANCE | 1 |
Roger Frank Harrison | NZ | Auckland | 2016-02-11 / 20160039112 - ENGINEERED TIMBER PRODUCTS, COMPONENTS AND METHODOLOGIES | 2 |
John M. Harrison | GB | Bristol | 2011-12-29 / 20110314909 - METHOD FOR PREDICTING INITIAL UNBALANCE IN A COMPONENT | 2 |
Ken Harrison | US | Madison | 2015-10-22 / 20150296795 - Systems, Methods, and Compositions Involving Chlorine Dioxide and Zeolite | 8 |
William Lamont Harrison | US | Riner | 2012-08-16 / 20120204551 - SELF-ASSEMBLED FILMS AND PROCESSES THEREOF | 2 |
Kelvin Berrman Harrison | US | Los Angeles | 2015-01-15 / 20150014048 - SATELLITE SURVEILANCE INSULATION | 1 |
Samuel Harrison | FR | Pontcharra | 2015-01-15 / 20150013758 - PROCESS FOR TREATING A HETEROJUNCTION PHOTOVOLTAIC CELL | 1 |
Dale Harrison | US | New York | 2014-09-18 / 20140280318 - Method and System for Generating a Geocode Trie and Facilitating Reverse Geocode Lookups | 1 |
Robert J. Harrison | GB | Birmingham | 2013-01-03 / 20130005397 - ACCESS STRATUM MANAGER | 5 |
Neil Harrison | GB | Totnes | 2010-02-18 / 20100040061 - MPLS TRANSPORT NETWORK SCHEME | 1 |
John William Harrison | US | Goodrich | 2010-04-15 / 20100089195 - ADJUSTMENT DEVICE FOR A REMOTE CONTROL ASSEMBLY HAVING AN EASILY ENGAGEABLE AND DISENGAGEABLE LOCKING ELEMENT | 1 |
Joycelyn S. Harrison | US | Arlington | 2015-10-29 / 20150307691 - Nanotubular Toughening Inclusions | 8 |
William Harrison | US | Riner | 2011-04-28 / 20110097543 - PATTERN PROCESSES AND DEVICES THEREOF | 1 |
Brian Harrison | GB | Sandy | 2010-07-22 / 20100184587 - PALLADIUM-GOLD CATALYST SYNTHESIS | 1 |
Colin Michael Harrison | GB | Bath | 2009-02-05 / 20090035709 - Gas combustion apparatus | 1 |
John Ian Rhys Harrison | GB | Pembrokeshire | 2010-11-18 / 20100287844 - DOOR | 1 |
David A. Harrison | US | San Francisco | 2013-12-19 / 20130340050 - ZERO CONFIGURATION COMMUNICATIONS BETWEEN A SANDBOXED PROGRAM AND A NETWORKED SERVICE | 1 |
Kent Harrison | US | Maple Grove | 2011-06-09 / 20110137155 - DELIVERY DEVICE FOR LOCALIZED DELIVERY OF A THERAPEUTIC AGENT | 6 |
Neil Harrison | GB | Boughton | 2010-12-09 / 20100309930 - ADAPTATION SCHEME FOR COMMUNICATIONS TRAFFIC | 2 |
Ryan James Harrison | CA | Ontario | 2015-07-16 / 20150199309 - Renderer-Assisted Webpage Navigating Tool | 1 |
Philip James Harrison | GB | Yorkshire | 2011-01-13 / 20110009032 - Deburring Medium and Process | 1 |
David Charles Harrison | GB | Kent | 2009-01-15 / 20090019019 - METHOD AND SYSTEM FOR OBTAINING INFORMATION | 1 |
Keith Harrison | GB | Chepstow | 2013-07-11 / 20130179971 - Virtual Machines | 8 |
Daniel Harrison | US | Nederland | 2015-08-20 / 20150233566 - LIGHT EMITTING DIODE REPLACEMENT LAMP | 13 |
Christopher Allen Harrison | US | Shelby Township | 2013-06-13 / 20130145752 - METHOD OF USING A PUMP POSITION SENSOR FOR AN EBS PUMP CHECK | 1 |
John Harrison | GB | Edinburgh | 2011-07-28 / 20110182135 - Enhanced Slurrification Method | 3 |
Richard John Harrison | GB | Cambridge | 2014-06-26 / 20140179664 - Heterocyclyl Pyrimidine Analogues As JAK Inhibitors | 8 |
Moira Harrison | GB | East Sussex | 2011-02-03 / 20110027880 - CELL CULTURE SYSTEM FOR PANCREATIC ISLANDS | 1 |
Stephen Alan Harrison | US | Baton Rouge | 2015-01-15 / 20150020272 - Sea oats named 'La12-202' | 8 |
Lee R. Harrison | US | Moseley | 2012-04-12 / 20120088712 - Particulate fabric softening composition and method of making it | 1 |
Ryan James Harrison | CA | Kitchener | 2015-07-16 / 20150201377 - SYSTEM AND METHOD FOR SELECTING A POWER EFFICIENT NETWORK INTERFACE | 2 |
Craig Harrison | US | Gulf Breeze | 2015-12-10 / 20150352712 - TRUCK BOX WALL CONNECTION | 4 |
Christopher Allen Harrison | US | Shelby Twp. | 2012-12-13 / 20120316731 - Mini-Spare Yaw Mitigation During Driver Braking | 1 |
Jason Harrison | US | Sunnyvale | 2016-02-11 / 20160042046 - DISTRIBUTED DATA STORE | 16 |
Brian Harrison | GB | Bedfordshire | 2013-12-12 / 20130331258 - PALLADIUM-GOLD CATALYST SYNTHESIS | 2 |
Justin M. Harrison | US | Seattle | 2013-12-19 / 20130337916 - COMPANION GAMING EXPERIENCE SUPPORTING NEAR-REAL-TIME GAMEPLAY DATA | 1 |
Albert Thomas Harrison | US | Alexandria | 2011-03-31 / 20110077746 - Method for cleaning or limiting adhesive. | 1 |
Dylan Jessie Harrison | US | West Palm Beach | 2012-11-01 / 20120272576 - SYSTEM AND DEVICE FOR OPENING AND CLOSING SLIDING DOORS | 1 |
Robert Mark Harrison | US | Grapevine | 2016-04-28 / 20160119084 - CARRIER AGGREGATION ACKNOWLEDGEMENT BITS | 32 |
Stephen Harrison | US | Sugar Land | 2008-08-21 / 20080201080 - DETERMINING FLUID AND/OR RESERVOIR INFORMATION USING AN INSTRUMENTED COMPLETION | 1 |
Michael John Harrison | US | Petaluma | 2013-11-28 / 20130314951 - RESONANT CONVERTER AND METHODS OF OPERATING | 9 |
Robert Craig Harrison | US | Magnolia | 2013-12-19 / 20130333733 - Single Pass Roller Cleaner | 1 |
Steven L. Harrison | US | Eagan | 2013-12-26 / 20130340367 - TILED TRANSITION BRACKETING | 3 |
Benjamin Michael Harrison | ON | Waterloo | 2014-09-18 / 20140261393 - PORTABLE STOVE WITH REMOVABLE ADJUSTABLE LEGS | 1 |
Bernard F. Harrison | GB | West Sussex | 2011-02-24 / 20110042578 - Ion beam monitoring arrangement | 1 |
Daniel David Harrison | US | Niskayuna | 2014-06-26 / 20140177786 - PHOTON-COUNTING CT-SYSTEM WITH REDUCED DETECTOR COUNTING-RATE REQUIREMENTS | 1 |
Kerry Harrison | GB | Winnersh | / - | 1 |
David Harrison | AU | Strathfield | 2012-04-19 / 20120095908 - Distributed Computing for Engaging Software Services | 1 |
Michael D. Harrison | US | Lake In The Hills | 2015-07-02 / 20150181918 - Fiber-Containing Carbohydrate Composition | 1 |
Leonard Charles Harrison | AU | Melbourne | 2015-09-17 / 20150259412 - Soluble Mediator | 2 |
Rebecca Harrison | CA | Toronto | 2015-09-24 / 20150269567 - METHODS AND SYSTEMS FOR IMPROVING PAYMENT CARD ACCEPTANCE QUALITY | 1 |
Mark Harrison | GB | Melbourne | 2013-05-09 / 20130111872 - ELECTRICAL HARNESSES | 2 |
Stephen M. Harrison | GB | Wallingford | 2015-06-11 / 20150160314 - INDIRECT COOLING TYPE SUPERCONDUCTING MAGNET APPARATUS | 10 |
Anthony Harrison | US | Atoka | 2011-07-28 / 20110184416 - PRE-ASSEMBLED CONSTRUCT FOR INSERTION INTO A PATIENT | 1 |
Stephen Harrison | US | 2009-02-05 / 20090035753 - Novel druggable regions in the dengue virus envelope glycoprotein and methods of using the same | 1 | |
David Jonathan Harrison | GB | Uxbridge, Middlesex | 2015-11-26 / 20150340169 - SUPERCAPACITOR | 1 |
Stephen Harrison | GB | Stevenage | 2015-12-17 / 20150361039 - SULFONAMIDE COMPOUNDS AND THEIR USE IN THE MODULATION RETINOID-RELATED ORPHAN RECEPTOR | 5 |
Stephen Harrison | US | Benicia | 2015-09-17 / 20150259215 - Preparation Of Lithium Carbonate From Lithium Chloride Containing Brines | 28 |
Calvin W. Harrison | US | Franklin | 2015-11-26 / 20150341812 - VIDEO QUALITY MONITORING | 1 |
Nick Harrison | GB | Oakington | 2014-09-18 / 20140261868 - BOTTLE PRESSURIZATION DELIVERY SYSTEM | 1 |
Stephen Harrison | US | Benicia | 2015-09-17 / 20150259215 - Preparation Of Lithium Carbonate From Lithium Chloride Containing Brines | 28 |
Ross T. Harrison | US | Lincoln | 2015-12-03 / 20150346969 - INTERACTIVE MEDIA OBJECT DEVELOPMENT SYSTEM AND METHOD | 1 |
Joseph M. Harrison | US | Ontario | 2011-07-21 / 20110176151 - METHOD AND SYSTEM FOR CREATING AND USING CUSTOMIZED SAMPLE RENDERING JOB | 1 |
Mark Harrison | GB | Bampton | 2013-12-12 / 20130327738 - CONTAINER PREVENTING CONTACT WITH PLASTICS | 1 |
Kenneth Harrison | US | Madison | 2012-04-26 / 20120100230 - Systems, Devices, and/or Methods for Managing Crops | 1 |
Richard John Harrison | GB | Camberge | 2011-04-28 / 20110098288 - SULFONAMIDES AS ZAP-70 INHIBITORS | 1 |
Daniel Thomas Harrison | CA | Newmarket | 2014-10-09 / 20140304784 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR MANAGING ACCESS TO SYSTEMS, PRODUCTS, AND DATA BASED ON INFORMATION ASSOCIATED WITH A PHYSICAL LOCATION OF A USER | 1 |
David A. Harrison | US | 2012-04-26 / 20120100322 - CARBON BLACK WITH LARGE PRIMARY PARTICLE SIZE AS REHEAT ADDITIVE FOR POLYESTER AND POLYPROPYLENE RESINS | 1 | |
Lee Andrew Harrison | GB | Stevenage | 2012-04-26 / 20120101086 - THIADIAZOLE DERIVATIVES AND THEIR USE FOR THE TREATMENT OF DISORDERS MEDIATED BY S1P1 RECEPTORS | 2 |
Katherine Anne Harrison | GB | Oxford | 2016-05-19 / 20160136516 - INTERACTIVE ELECTRONIC GAMES | 1 |
James J. Harrison | US | Novato | 2016-02-04 / 20160032041 - COPOLYMERS MADE WITH ALLYL-TERMINATED POLYOLEFINS AND UNSATURATED ACIDIC REAGENTS, DISPERSANTS USING SAME, AND METHODS OF MAKING SAME | 20 |
Beverly Harrison | US | Palo Alto | 2015-11-26 / 20150339707 - SYSTEM AND METHOD FOR EVENT TRIGGERED SEARCH RESULTS | 2 |
Phil Harrison | GB | Chalfont St. Giles | 2008-11-06 / 20080274804 - METHOD AND SYSTEM FOR ADDING A NEW PLAYER TO A GAME IN RESPONSE TO CONTROLLER ACTIVITY | 1 |
Brooke Harrison | US | Longmont | 2011-07-14 / 20110171196 - METHODS OF TREATMENT AND USES FOR CAMKII AND ITS INTERACTION WITH HDACS AND CALPAIN | 1 |
Nohemi Harrison | US | West Hills | 2015-04-30 / 20150119369 - Clear, Greaseless Compositions | 2 |
Michael J. Harrison | US | Petaluma | 2015-04-09 / 20150098251 - ARC FAULT PROTECTION FOR POWER CONVERSION | 9 |
Matthew Harrison | US | San Jose | 2014-01-23 / 20140020730 - SYSTEM FOR THERMOELECTRIC ENERGY GENERATION | 1 |
Laurence Harrison | US | San Francisco | 2015-07-16 / 20150199927 - Full-Color Transparent Signage | 2 |
Kyle Garner Harrison | US | Los Altos | 2014-04-03 / 20140095614 - IDENTITY CROWD-SOURCED CURATION | 1 |
James Jefferies Harrison | US | West Hills | 2015-01-22 / 20150020849 - Regenerative Compositions for the Entrapment of Particles and Methods for its Use | 2 |
David J. Harrison | US | Wayne | 2013-12-05 / 20130326089 - AUTONOMOUS SYSTEMS FOR REMOTE CONTROL OF HANDHELD DEVICES | 1 |
Blair Harrison | US | Sherman Oaks | 2013-11-14 / 20130305278 - INTEGRATED ADVERTISING IN VIDEO LINK AGGREGATION SYSTEM | 1 |
Alastair Robin Harrison | GB | Oxfordshire | 2014-08-28 / 20140240690 - DETERMINING EXTRINSIC CALIBRATION PARAMETERS FOR A SENSOR | 1 |
Andrew Harrison | US | Houston | 2015-12-03 / 20150345236 - Misalignment Mitigation in a Rotating Control Device | 1 |
James J. Harrison | US | West Hills | 2012-12-06 / 20120310911 - Search Engine Identifying Chemical Products | 1 |
Christopher Harrison | US | Newton | 2015-12-03 / 20150345289 - MICROFLUIDIC OSCILLATING TUBE DENSITOMETER FOR DOWNHOLE APPLICATIONS | 1 |
David A. Harrison | GB | Redcar Cleveland | 2011-03-31 / 20110073558 - CARBON BLACK WITH LARGE PRIMARY PARTICLE SIZE AS REHEAT ADDITIVE FOR POLYESTER AND POLYPROPYLENE RESINS | 1 |
Maureen M. Harrison | US | Sugar Loaf | 2013-09-26 / 20130252998 - CCI-779 FORMULATIONS FOR PARENTERAL ADMINISTRATION | 3 |
Oscar Harrison | AU | Balcatta | 2015-12-03 / 20150345556 - FLUID BEARINGS | 1 |
Winston Harrison | US | Brooklyn | 2011-12-01 / 20110294587 - URBAN GOLF COURSE | 1 |
Rodney G. Harrison | GB | London | 2014-09-18 / 20140281228 - System and Method for an Accelerator Cache Based on Memory Availability and Usage | 1 |
Stanley Harrison | US | Bethlehem | 2011-06-30 / 20110155897 - GAS-CLUSTER-JET GENERATOR AND GAS-CLUSTER ION-BEAM APPARATUS UTILIZING AN IMPROVED GAS-CLUSTER-JET GENERATOR | 1 |
Allen R. Harrison | US | Houston | 2014-11-13 / 20140332218 - APPARATUS AND METHODS FOR RECOVERY OF HYDROCARBONS | 4 |
Joe A. Harrison | US | Olympia | 2011-06-30 / 20110154656 - SYSTEMS AND METHODS FOR MANUFACTURING MODIFIED IMPEDANCE COAXIAL CABLES | 1 |
Greg Harrison | US | Seattle | 2013-10-10 / 20130268351 - VERIFIED ONLINE IMPRESSIONS | 1 |
Bavery L. Harrison | US | Seattle | 2011-06-23 / 20110154233 - PROJECTED DISPLAY TO ENHANCE COMPUTER DEVICE USE | 1 |
Ian Ross Harrison | AU | Port Kennedy | 2015-05-21 / 20150135786 - FERTILIZER COMPOSITIONS AND METHODS OF MAKING AND USING THE SAME | 1 |
Mark Simon Harrison | GB | Bampton | 2014-07-24 / 20140207606 - PRODUCT DESIGN SYSTEM | 1 |
Louis Harrison | US | Raleigh | 2014-07-17 / 20140201099 - Continuity of instruction during a socially distancing event | 2 |
Lindsey Harrison | GB | Sheffield | 2011-04-21 / 20110088757 - Photovoltaic Cell | 1 |
David Harrison | GB | Blackburn | 2012-11-08 / 20120284647 - COLLABORATIVE INPUT SYSTEM | 2 |
Chad E. Harrison | US | Plain City | 2015-05-14 / 20150130231 - VEHICLE SEAT ASSEMBLY WITH CHANGING STATION | 1 |
Barry J. Harrison | US | Davisburg | 2012-11-29 / 20120297603 - Hose Clamp Pliers | 3 |
Paul Harrison | US | Columbus | 2014-10-09 / 20140300170 - COLLAPSIBLE CHAIR | 4 |
Michael J. Harrison | US | Austin | 2008-10-09 / 20080249787 - ORGANIZATIONAL CONSENSUS SYSTEMS AND METHODS | 2 |
Warren Harrison | CA | Georgetown | 2012-05-17 / 20120121848 - Composite materials for sports articles and method of manufacturing the composite materials | 1 |
Matthew John Harrison | GB | Macclesfield | 2010-03-18 / 20100067113 - Apparatus and Method for Displaying Hierarchical Data | 1 |
Andrew Harrison | GB | Combe Hay | 2010-04-08 / 20100086361 - PIPE PUSHING AND HANDLING | 1 |
Alan Harrison | IE | Ballina | 2014-09-04 / 20140246466 - Device for Securing Items in a Cargo Area of a Car | 1 |
Neil Harrison | GB | Duston | 2016-05-19 / 20160138952 - DEVICE FOR DETERMINING TEMPERATURE AS WELL AS MEASURING ARRANGEMENT FOR DETERMINING FLOW | 2 |
Donna M. Harrison | US | San Antonio | 2008-11-20 / 20080285732 - Prepaid Telephony System and Method of Activating a Prepaid Telephony Account | 1 |
Harold Devillo Harrison | US | Friday Harbor | 2013-09-12 / 20130233649 - Wayside Friction Management System | 1 |
Daniel T. Harrison | CA | Newmarket | 2015-04-16 / 20150106512 - PREDICTIVE CLOUD PROVISIONING BASED ON HUMAN BEHAVIORS AND HEURISTICS | 3 |
Ian Harrison | GB | Tamworth | 2014-09-04 / 20140245674 - PORTAL FRAME | 1 |
Kenneth M. Harrison | US | Mukilteo | 2013-07-25 / 20130186040 - Composite Tube Having Co-Bonded End Fittings | 1 |
Ian Harrison | GB | Dyfed | 2010-06-24 / 20100160872 - Eye-Guard | 1 |
Lee Harrison | GB | Wilstead | 2012-08-09 / 20120201058 - MOBILE DEVICE ADAPTER AND CHARGER | 1 |
Sarah Harrison | US | New York | 2008-08-21 / 20080201176 - PRACTICE MANAGEMENT SYSTEM (PMS) INTEGRATION METHOD | 3 |
Christopher Harrison | US | Mount Kitto | 2008-09-25 / 20080235087 - System and method for presenting alternative advertising data | 1 |
David W. Harrison | US | Patterson | 2014-10-09 / 20140304621 - IDENTIFYING INTENDED COMMUNICATION PARTNERS IN ELECTRONIC COMMUNICATIONS | 3 |
Bradley Chase Harrison | US | New York | 2009-06-04 / 20090144275 - SYSTEM AND METHOD FOR GENERAL SEARCH PARAMETERS HAVING QUANTIZED RELEVANCE VALUES THAT ARE ASSOCIATED WITH A USER | 1 |
Howard Harrison | CA | Mississauga | 2015-12-10 / 20150354530 - MULTIPLE AIRFOIL WIND TURBINE BLADE ASSEMBLY | 1 |
Nigel Harrison | GB | Melbourn | 2009-01-08 / 20090012471 - Injection Device | 1 |
Sarah E. Harrison | US | New York | 2010-08-19 / 20100211493 - Incentive Programs For Healthcare Cards | 3 |
Zachary Michael Harrison | US | Scarsdale | 2010-09-30 / 20100242153 - Adjustable Glove for Eletronic Devices | 1 |
David G. Harrison | US | Nashville | 2014-09-11 / 20140256774 - METHODS FOR TREATING INFLAMMATION AND HYPERTENSION WITH GAMMA-KETOALDEHYDE SKAVENGERS | 1 |
Steven Harrison | AU | Coorparoo | 2010-10-14 / 20100257785 - SLIDE HANDLE | 1 |
Stuart Harrison | AU | Clyde | 2014-08-07 / 20140219725 - TUNNELING APPARATUS | 6 |
David N. Harrison | AU | Victoria | 2010-08-05 / 20100196874 - METHOD OF DRUG DESIGN | 1 |
Kenneth Harrison | AU | Sunshine | 2010-07-15 / 20100175222 - Swivel Castor Braking System | 1 |
Herbert Barry Harrison | AU | Caloundra | 2012-03-08 / 20120056194 - BARRIER STRUCTURES AND METHODS OF FORMING SAME TO FACILITATE SILICON CARBIDE EPITAXY AND SILICON CARBIDE-BASED MEMORY FABRICATION | 3 |
Stuart Ronald Harrison | AU | Rye | 2010-03-18 / 20100065331 - AUGER BORING MACHINE | 1 |
Keith Harrison | GB | Chepstow | 2013-07-11 / 20130179971 - Virtual Machines | 8 |
Dale Harrison | AU | Western Australia | 2016-05-12 / 20160131465 - A PROJECTILE BODY AND CORRESPONDING AMMUNITION ROUND FOR SMALL ARMS OR A LIGHT FIREARM | 2 |
Keith Harrison | GB | Chepstow Monmouthshire | 2013-06-20 / 20130159713 - AUTHENTICATION METHOD | 3 |
Ken Harrison | AU | Queensland | 2009-10-22 / 20090261278 - Apparatus for Controlling Fluid Flow | 1 |
Leslie Mervyn Harrison | AU | Hocking | 2011-08-11 / 20110192309 - Projectile | 4 |
Stuart Harrison | AU | Dandenong South | 2012-09-27 / 20120241221 - MICROTUNNELLING SYSTEM AND APPARATUS | 4 |
James Joseph Harrison | US | Novato | 2013-10-03 / 20130261313 - Process for Preparation of Low Molecular Weight Molybdenum Succinimide Complexes | 1 |
Kent D. Harrison | US | 2010-06-10 / 20100145437 - Stent Design Allowing Extended Release of Drug and/or Enhanced Adhesion of Polymer to OD Surface | 1 | |
D. Jed Harrison | CA | Edmonton | 2011-03-03 / 20110048945 - APPARATUS AND METHOD FOR TRAPPING BEAD BASED REAGENTS WITHIN MICROFLUIDIC ANALYSIS SYSTEMS | 4 |
Chris Harrison | GB | Leeds | 2012-10-18 / 20120260497 - SURGICAL INSTRUMENT | 1 |
Nancy Harrison | CA | North Vancouver | 2014-12-18 / 20140370108 - Pharmacokinetics of S-Adenosylmethionine Formulations | 8 |
Arthur Harrison | CA | Milton | 2010-12-23 / 20100319502 - PARTS MANUFACTURING METHODS, SYSTEMS AND USES | 1 |
Robert Andrew Harrison | CA | Toronto | 2012-08-23 / 20120214189 - Devices and Methods for Pharmacokinetic-Based Cell Culture System | 2 |
James Harrison | CA | Vancouver | 2010-11-04 / 20100277515 - MITIGATION OF LCD FLARE | 1 |
James Harrison | CA | Collingwood | 2010-09-30 / 20100242193 - HEAD FOR A POWERED DENTURE BRUSH AND A DENTURE BRUSH INCORPORATING THE SAME | 1 |
James Harrison | US | Hampton | 2010-04-15 / 20100095354 - SECURE ACCESS OF ELECTRONIC DOCUMENTS AND DATA FROM CLIENT TERMINAL | 1 |
Albert W. Harrison | US | Detroit | 2011-04-14 / 20110086741 - STACKABLE MOTOR WITH FLEXIBLE MODES OF OPERATION | 2 |
David Harrison | US | San Francisco | 2016-05-19 / 20160140122 - RELEVANCY IMPROVEMENT THROUGH TARGETING OF INFORMATION BASED ON DATA GATHERED FROM A CAPTURE DEVICE ASSOCIATED WITH A CLIENT DEVICE | 20 |
Andrew R. Harrison | US | Minneapolis | 2012-08-30 / 20120220533 - MODULATING NEUROMUSCULAR JUNCTION DENSITY CHANGES IN BOTULINUM-TOXIN TREATED TISSUE | 1 |
Colin George Harrison | US | Brookfield | 2013-08-01 / 20130198840 - SYSTEMS, METHODS AND COMPUTER PROGRAMS PROVIDING IMPACT MITIGATION OF CYBER-SECURITY FAILURES | 7 |
Mike Harrison | US | Dearborn | 2010-07-01 / 20100164186 - INNER SEAL FOR CV JOINT BOOT | 1 |
Molly Harrison | US | Brownsburg | 2009-04-30 / 20090107795 - Material wear indication system | 1 |
David J. Harrison | US | New Milford | 2016-05-12 / 20160130126 - MIXING NOZZLE | 7 |
Kyle R. Harrison | US | Kansas City | 2010-07-15 / 20100179820 - AUTOMATED ANALYSIS OF DATA COLLECTED BY IN-VIVO DEVICES | 1 |
Jeffrey S. Harrison | US | Merrimack | 2009-03-19 / 20090076955 - Electronic device repair kits and methods of use | 1 |
William L. Harrison | US | Cincinnati | 2012-08-23 / 20120211321 - QUICK DISCONNECT COUPLING | 2 |
Boyd L. Harrison | US | Princeton Junction | 2016-04-21 / 20160108080 - 19-NOR C3, 3-DISUBSTITUTED C21-N-PYRAZOLYL STEROIDS AND METHODS OF USE THEREOF | 9 |
Michael E. Harrison | US | Indianapolis | 2010-08-12 / 20100199621 - SYSTEM FOR STEERING A TRACTION DRUM DRIVEN MOBILE OBJECT | 1 |
William Harrison | US | Hopkinsville | 2010-09-30 / 20100248930 - COMPOSITE MATERIALS AND BODIES INCLUDING SILICON CARBIDE AND TITANIUM DIBORIDE AND METHODS OF FORMING SAME | 1 |
William Harrison | US | West Chester | 2011-01-13 / 20110005170 - MULTI-LAYER FRANKFURTER LOADING METHOD | 1 |
Jeffrey Harrison | CA | Dawson Settlement | 2010-09-09 / 20100224135 - OFFSHORE AQUACULTURE SYSTEM | 1 |
Rene Harrison | CA | Toronto | 2010-09-02 / 20100221767 - DIAGNOSTIC METHOD AND PROGNOSTIC TOOL FOR OSTEOARTHRITIS | 2 |
Howard Robert Harrison | CA | Mississauga | 2010-08-26 / 20100215502 - MULTISTAGE WIND TURBINE WITH VARIABLE BLADE DISPLACEMENT | 1 |
Derrick Harrison | US | Lenoir | 2013-05-16 / 20130122235 - Manual Sonic Welding Machine | 1 |
David W. Harrison | CA | Vancouver | 2010-06-17 / 20100147135 - Brass-Wind Mouthpiece | 1 |
Tom Harrison | US | Newton | 2008-10-23 / 20080263019 - NATURAL LANGUAGE QUERY PROCESSING | 1 |
Cristian Harrison | US | Beverly | 2015-08-06 / 20150218122 - Process of Producing Cycloalkylcarboxamido-indole Compounds | 5 |
Brian Harrison | CA | Kanata | 2014-05-29 / 20140148522 - VULCANIZED RUBBER CONVERSION | 5 |
Robert J. Harrison | US | Medfield | 2012-06-14 / 20120149650 - Diphtheria Toxin Variant | 3 |
Benjamin Michael Harrison | CA | Waterloo | 2014-09-18 / 20140265186 - PORTABLE COOKING APPARATUS | 2 |
Daniel J. Harrison | CA | Edmonton | 2010-03-11 / 20100061892 - MICROFLUIDIC DEVICE HAVING AN ARRAY OF SPOTS | 1 |
Sean J. Harrison | US | Belmont | 2015-07-30 / 20150210700 - INDOLE-SUBSTITUTED PYRROLOPYRIMIDINYL INHIBITORS OF UBA6 | 12 |
Luddy Harrison | US | Chestnut | 2010-09-02 / 20100223605 - APPARATUS AND METHOD FOR AUTOMATICALLY PARALLELIZING NETWORK APPLICATIONS THROUGH PIPELINING TRANSFORMATION | 1 |
Ian Harrison | GB | Monmouthshire | 2011-05-12 / 20110109467 - TENSION INDICATOR | 2 |
Joe Harrison | CA | Calgary | 2010-01-21 / 20100015245 - Combination of Copper Cations with Peroxides or Quaternary Ammonium Compounds for the Treatment of Biofilms | 1 |
Steven Anthony Bernard Harrison | CA | Nepean | 2011-08-04 / 20110191621 - DYNAMIC FREQUENCY ADJUSTMENT FOR INTEROPERABILITY OF DIFFERENTIAL CLOCK RECOVERY METHODS | 3 |
Jose Harrison | US | North Andover | 2010-09-16 / 20100231236 - Integrated VSWR Detector for Monolithic Microwave Integrated Circuits | 1 |
Karen J. Harrison | CA | Kingston | 2009-04-09 / 20090092991 - Assays, methods and systems for predicting follicular lymphoma outcome | 1 |
Robert Charles Harrison | CA | Mississauga | 2008-11-27 / 20080290038 - Magnetic hydrocarbon treatment device and method | 1 |
Frank W. Harrison | CA | Burlington | 2008-09-04 / 20080211148 - APPARATUS AND METHOD FOR INJECTION OF FLUID HYDROCARBONS INTO A BLAST FURNACE | 1 |
Gregory A. Harrison | US | Oviedo | 2016-04-21 / 20160109712 - HEAD-MOUNTED DISPLAY APPARATUS EMPLOYING ONE OR MORE FRESNEL LENSES | 14 |
William Greg Harrison | US | Dunnellon | 2013-07-04 / 20130167887 - Canopy Apparatus with Articulating Arm | 1 |
Dewitt Gary Harrison | US | Dunnellon | 2013-07-04 / 20130167887 - Canopy Apparatus with Articulating Arm | 1 |
Dion Harrison | AU | Karana Downs | 2013-01-24 / 20130025011 - Ptilotus plant named 'B123' | 1 |
Brent R. Harrison | US | Peoria | 2014-12-11 / 20140365031 - REMOTE OPERATOR STATION | 1 |
Robert J. Harrison | US | Saint Charles | 2015-03-26 / 20150085691 - COMMUNICATION EFFECTS IN NETWORK SIMULATIONS | 2 |
Ryan James Harrison | US | Mountain View | 2014-09-18 / 20140282962 - Generation of One Time Use Login Pairs Via a Secure Mobile Communication Device for Login on an Unsecure Communication Device | 1 |
Charles Harrison | US | Santa Fe | 2015-05-07 / 20150122255 - METHOD AND APPARATUS FOR PORTABLE FLUID DELIVERY FOR ANIMALS | 2 |
Philip Robert Harrison | UK | Chalfont St Giles | 2015-09-17 / 20150258440 - GENERATION OF CUSTOM MODULAR OBJECTS | 1 |
Allan Harrison | US | Brea | 2012-06-21 / 20120153438 - MULTIPLE NOBLE METALS FOR LIFETIME SUPPRESSION FOR POWER SEMICONDUCTORS | 1 |
Daniel Jed Harrison | CA | Edmonton | 2015-12-24 / 20150369740 - OPTOGENETIC PROBES FOR MEASURING MEMBRANE POTENTIAL | 1 |
Allen Ray Harrison | US | Houston | 2011-11-17 / 20110277997 - TOOL TO DETERMINE FORMATION FLUID MOVEMENT | 1 |
Bryce Alden Harrison | US | Hamilton | 2014-10-16 / 20140309178 - INHIBITORS OF SODIUM GLUCOSE COTRANSPORTER 1 | 9 |
Carl Randall Harrison | US | Decatur | 2015-09-24 / 20150268425 - FIBER OPTIC MODULE ASSEMBLIES AND CONNECTOR ASSEMBLIES USING THE SAME | 3 |
Lane T. Harrison | US | Boston | 2015-04-16 / 20150106927 - REAL-TIME DETECTION AND CLASSIFICATION OF ANOMALOUS EVENTS IN STREAMING DATA | 1 |
Stephen Paul Harrison | GB | Kent | 2009-06-18 / 20090156414 - Genetic Analysis in Racing Animals | 1 |
Joycelyn S. Harrison | US | Hampton | 2014-02-13 / 20140041705 - SOLAR RADIATION CONTROL AND ENERGY HARVESTING FILM | 9 |
Michael D. Harrison | US | Decatur | 2013-08-22 / 20130216693 - FIBER-CONTAINING CARBOHYDRATE COMPOSITION | 8 |
Bradley A. Harrison | US | Chicago | 2009-12-17 / 20090308223 - Harmonica | 1 |
Tom Harrison | US | Warsaw | 2010-10-21 / 20100263686 - BODY WASHING DEVICE | 1 |
James A. Harrison | US | Dallas | 2011-11-17 / 20110282290 - APPARATUS FOR UMBILICAL CATHETER | 2 |
Simon James Harrison | AU | Melbourne | 2013-07-04 / 20130171141 - COMBINATION OF HDAC INHIBITORS WITH THROMBOCYTOPENIA DRUGS | 1 |
William Harrison | US | Springfield | 2015-04-16 / 20150101593 - BROIL BAFFLE FOR AN OVEN | 1 |
Bruce Thomas Harrison | AU | Eastern Heights | 2011-05-19 / 20110117608 - DOUBLE-STRANDED NUCLEIC ACID | 1 |
Daniel J. Harrison | US | Nederland | 2016-03-03 / 20160066386 - METHOD AND DEVICE FOR REMOTE SENSING AND CONTROL OF LED LIGHTS | 11 |
Blair A. Harrison | CA | Ottawa | 2012-11-29 / 20120301480 - H. PYLORI LIPOPOLYSACCHARIDE OUTER CORE EPITOPE | 2 |
Donald W. Harrison | US | Frederick | 2012-07-12 / 20120175184 - METHOD FOR MAKING ACOUSTICAL PANELS WITH A THREE-DIMENSIONAL SURFACE | 1 |
Kelly M. Harrison | US | Harker Heights | 2015-09-24 / 20150265633 - STEROID CONTAINING DRUG DELIVERY SYSTEM | 2 |
Ian Harrison | GB | Oxfordshire | 2011-05-19 / 20110114671 - PARTICULATE DISPENSER | 1 |
Stephen David Harrison | US | Albany | 2014-12-04 / 20140357659 - METHOD FOR PREDICTING AND EVALUATING RESPONSIVENESS TO CANCER TREATMENT WITH DNA-DAMAGING CHEMOTHERAPEUTIC AGENTS | 1 |
Robert J. Harrison | GB | Pershore | 2011-05-19 / 20110116633 - APPARATUS AND METHOD FOR DETERMINING UPLINK CIPHERING ACTIVATION TIME IN UNIVERSAL MOBILE TELECOMMUNICATIONS SYSTEM USER EQUIPMENT | 1 |
Michael J. Harrison | US | Petaluma | 2015-04-09 / 20150098251 - ARC FAULT PROTECTION FOR POWER CONVERSION | 9 |
Raymond H. Harrison | US | Denver | 2015-04-02 / 20150095960 - Cable Network Data Analytics System | 1 |
Jacque S. Harrison | US | Frederick | 2012-07-12 / 20120175184 - METHOD FOR MAKING ACOUSTICAL PANELS WITH A THREE-DIMENSIONAL SURFACE | 1 |
William J. Harrison | US | Signal Mountain | 2014-01-09 / 20140012065 - CATHETER PUMP | 1 |
Robert M. Harrison | US | Grapevine | 2012-03-22 / 20120069925 - METHOD AND APPARATUS FOR FEEDBACK IN CLOSED LOOP TRANSMITTING | 4 |
Lewis Harrison | US | Arlington | 2009-05-07 / 20090118570 - Apparatus and method for minimally invasive implantation of heart assist device | 1 |
Philip James Harrison | US | 2012-07-05 / 20120167479 - Materials Processing Medium and Method | 1 | |
Timothy Harrison | GB | Great Dunmow | 2009-05-21 / 20090131419 - Sulphones which modulate the action of gamma secretase | 2 |
Martin Harrison | GB | Northants | 2012-02-09 / 20120032689 - Blade tip clearance measurement sensor for gas turbine engines | 2 |
Timothy Harrison | IE | Belfast | 2010-08-12 / 20100204230 - PIPERAZINE DERIVATIVES FOR TREATMENT OF AD AND RELATED CONDITIONS | 3 |
Charles Harrison | US | Kansas City | 2012-07-05 / 20120173478 - CUSTOM DATA MART CREATION | 1 |
Timothy Harrison | GB | Belfast | 2010-10-21 / 20100267801 - CYCLOHEXYL SULPHONES FOR TREATMENT OF CANCER | 3 |
Thomas Harrison | US | Centerville | 2014-09-18 / 20140263711 - COMBINED HEAD MASSAGER AND SPRAY HAIR WASHER | 1 |
Herbert Harrison | AU | Golden Beach | 2011-02-24 / 20110042685 - SUBSTRATES AND METHODS OF FABRICATING EPITAXIAL SILICON CARBIDE STRUCTURES WITH SEQUENTIAL EMPHASIS | 1 |
Herbert Barry Harrison | AU | Golden Beach | 2011-02-24 / 20110042686 - SUBSTRATES AND METHODS OF FABRICATING DOPED EPITAXIAL SILICON CARBIDE STRUCTURES WITH SEQUENTIAL EMPHASIS | 1 |
Craig A. Harrison | AU | Nunswading | 2012-06-07 / 20120141469 - CRIPTO ANTAGONISM OF ACTIVIN AND TGF-B SIGNALING | 3 |
Paula Marie Harrison | US | Greenville | 2011-06-09 / 20110133458 - METHOD AND APPARATUS FOR OPTIMIZING WIND TURBINE OPERATION | 1 |
David Keith Harrison | GB | Durham | 2009-01-01 / 20090005663 - NON-INVASIVE MEASUREMENT OF BLOOD ANALYTES | 1 |
Nicholas Harrison | GB | Hertfordshire | 2012-05-24 / 20120125330 - INHALATION DEVICE AND METHOD OF DISPENSING MEDICAMENT | 1 |
Justin David Harrison | US | Huntington Beach | 2011-06-09 / 20110132343 - RAPID FIRE BLOW GUN TOY | 1 |
Albert Andrew Harrison | US | Brooklyn | 2009-04-09 / 20090090748 - Self opening pour spout and rotating nozzle | 1 |
Daniel David Harrison | US | Delanson | 2014-11-27 / 20140348290 - Apparatus and Method for Low Capacitance Packaging for Direct Conversion X-Ray or Gamma Ray Detector | 4 |
Stuart Douglas Harrison | AU | Traralgon | 2014-10-30 / 20140318219 - Method for Accelerated Testing of a Membrane Module | 1 |
William J. Harrison | US | Pittsford | 2009-11-19 / 20090284829 - PLASMONIC ELEMENTS | 4 |
James T. Harrison | US | Blue Point | 2010-01-07 / 20100003295 - Nonabrasive Sensory Exfoliating System | 1 |
R. Keith Harrison | US | Shreveport | 2011-06-09 / 20110132201 - Programmable cooking system and method | 1 |
William James Harrison | US | Pittsford | 2010-02-11 / 20100034748 - Molecular imaging probes based on loaded reactive nano-scale latex | 1 |
Brent C. Harrison | US | Seattle | 2014-10-30 / 20140322748 - System and Method to Improve Yield of Sorted Particles | 1 |
Todd Harrison | US | New York | 2010-04-22 / 20100100942 - System and Method for Exchanging Information Regarding Financial Markets in a Moderated Environment | 1 |
James Harrison | US | Miami Beach | 2014-10-30 / 20140324621 - CONSOLIDATION MANAGER FOR THIRD PARTY SERVICES | 1 |
Kelly M. Harrison | US | Liverpool | 2011-03-31 / 20110077229 - Steroid Containing Drug Delivery Systems | 2 |
Rodney G. Harrison | US | Seattle | 2012-08-02 / 20120198152 - SYSTEM, APPARATUS, AND METHOD SUPPORTING ASYMMETRICAL BLOCK-LEVEL REDUNDANT STORAGE | 1 |
Walter A Harrison | US | Palo Alto | 2014-11-06 / 20140327142 - METAL CONTACTS TO GROUP IV SEMICONDUCTORS BY INSERTING INTERFACIAL ATOMIC MONOLAYERS | 1 |
Christopher Harrison | US | Mount Kisco | 2016-03-03 / 20160066001 - DYNAMIC SYNCHRONIZATION OF MEDIA STREAMS WITHIN A SOCIAL NETWORK | 20 |
Neal A. Harrison | US | Lake Forest | 2016-02-04 / 20160031550 - SUBMERGED VORTEX GENERATOR | 1 |
Akbar Shareef Harrison | US | Houston | 2014-07-24 / 20140203159 - Grasp/Portable Technology Device Handler | 1 |
Ryan T. Harrison | US | El Granada | 2014-11-06 / 20140326476 - AUXILIARY HANDLE FOR USE WITH A POWER TOOL | 1 |
Michael D. Harrison | US | Hoffman Estates | 2016-02-04 / 20160029678 - IMPROVED SWEETENER | 1 |
Patrick N. Harrison | CA | Aurora | 2016-03-24 / 20160081483 - RESISTIVE SUPPORT MECHANISM FOR A CHAIR INCLUDING USER FEEDBACK | 2 |
Benjamin Harrison | GB | Oundle | 2010-07-29 / 20100186394 - Exhaust gas after treatment assembly | 1 |
Martin Roy Harrison | GB | Northants | 2016-05-05 / 20160123899 - Cavity Resonator System | 3 |
James Harrison | US | Fort Lauderdale | 2014-11-27 / 20140346824 - Rapid Drying Buoyant Cushion and Floatation Device | 3 |
Dudley John Harrison | GB | Solihull | 2012-08-02 / 20120193889 - Method of Operating a Trailer Braking System | 1 |
Robert Todd Harrison | US | Fallon | 2011-06-02 / 20110126974 - Method for manufacture of designer blended boards | 1 |
Craig Maurice Harrison | US | Gulf Breeze | 2010-03-04 / 20100052490 - INSERT FOR TRUCK BOX | 1 |
Gregory A. Harrison | US | Oviedo | 2016-04-21 / 20160109712 - HEAD-MOUNTED DISPLAY APPARATUS EMPLOYING ONE OR MORE FRESNEL LENSES | 14 |
James Harrison | US | Lithia | 2010-12-16 / 20100314199 - Fall Protection Systems | 1 |
Edward H. Harrison | US | Goodland | 2011-08-18 / 20110197441 - SPEED AND POSITION SENSING DEVICE FOR EMD TWO-CYCLE DIESEL ENGINES | 2 |
Larry E. Harrison | US | Houston | 2012-05-31 / 20120132437 - Plunger Lift Systems and Methods | 1 |
James A. Harrison | US | Addison | 2014-04-24 / 20140114256 - System for Umbilical Catheter | 2 |
Mark Harrison | US | Grapevine | 2016-05-19 / 20160143055 - SIGNALING ADAPTED CSI-RS PERIODICITIES IN ACTIVE ANTENNA SYSTEMS | 4 |
Lannie D. Harrison | US | Dickinson | 2011-08-04 / 20110185793 - Seal assembly with leak monitoring | 2 |
Fiona A. Harrison | US | Los Angeles | 2008-08-28 / 20080203313 - DEPTH SENSING IN CdZnTe PIXEL DETECTORS | 1 |
Dana Harrison | US | Santa Barbara | 2008-09-04 / 20080210315 - MULTI-WATER FILTER AND FAUCET SYSTEM | 1 |
Rosemary Sharon Harrison | AU | Bondi Junction | 2013-06-20 / 20130157928 - NOCICEPTIN MIMETICS | 1 |
David Harrison | GB | Warrington | 2014-07-31 / 20140211242 - PRINT JOB MANAGEMENT | 1 |
Dudley Harrison | GB | Solihull | 2014-10-23 / 20140316666 - Method of, and Apparatus for, Controlling the Speed of a Vehicle | 2 |
Andrew James Harrison | GB | Huntington | 2009-08-27 / 20090216158 - ULTRASOUND AND TISSUE REPAIR | 1 |
Robert Harrison | US | Fallbrook | 2016-01-28 / 20160022848 - SYSTEM FOR RADIATION STERILIZATION OF MEDICAL DEVICES | 3 |
Nigel Harrison | GB | Kent | 2011-01-13 / 20110005167 - Transfer Line | 1 |
Jason F. Harrison | US | San Jose | 2008-09-11 / 20080222560 - USER INTERFACE FOR CREATING IMAGE COLLAGE | 1 |
Ryan T. Harrison | US | Anderson | 2012-08-02 / 20120192852 - CHARCOAL IGNITION DEVICE | 8 |
Michael R. Harrison | US | Tallmadge | 2010-01-14 / 20100007173 - Flatbed trailer sidewall panels | 2 |
Adam J. Harrison | US | Elk River | 2008-08-28 / 20080208543 - LAND PLAN DEVELOPMENT | 1 |
James Harrison | US | Duluth | 2011-12-08 / 20110297366 - JUMPER | 1 |
Edward R. Harrison | US | Beaverton | 2014-09-18 / 20140283100 - DISPLAY PRIVACY WITH DYNAMIC CONFIGURATION | 5 |
David Frank Harrison | US | Boulder Creek | 2014-01-23 / 20140026034 - READER MODE PRESENTATION OF WEB CONTENT | 3 |
David S. Harrison | US | Sunnyvale | 2009-01-22 / 20090024977 - LOCAL PREFERRED DIRECTION ARCHITECTURE, TOOLS, AND APPARATUS | 1 |
Robert Harrison | CA | Milton | 2016-01-07 / 20160000422 - Apparatus and methods for Loading Suture | 12 |
Keith Alexander Harrison | GB | Monmouthshire | 2008-12-18 / 20080313735 - NULLIFICATION OF MALICIOUS CODE BY DATA FILE TRANSFORMATION | 1 |
Kelly Harrison | US | Brentwood | 2009-02-19 / 20090044500 - Footwear Integrated Strapless Spur System | 1 |
Richard E. Harrison | US | Aptos | 2014-02-13 / 20140047596 - Raspberry plant named 'Drisraspsix' | 3 |
William James Harrison | US | Temecula | 2009-03-26 / 20090082843 - SELF-EXPANDING STENT WITH ENHANCED DELIVERY PRECISION AND STENT DELIVERY SYSTEM | 1 |
David Harrison | US | New Milford | 2008-08-28 / 20080206429 - Beverage Dispenser With Additive Dispensing | 1 |
Mark Harrison | US | Piedmont | 2009-06-04 / 20090144402 - Storage Management for Renderfarm | 1 |
David Harrison | US | Boulder Creek | 2008-12-11 / 20080307301 - Web Clip Using Anchoring | 1 |
David Harrison | IE | Maynooth | 2009-02-05 / 20090037569 - SYSTEM AND METHOD FOR PROVIDING A DISTRIBUTED WORKFLOW THROUGH A PLURALITY OF HANDHELD DEVICES | 2 |
David Harrison | US | Denville | 2009-03-26 / 20090081621 - Methods And Systems For Personalizing A Display Board Featuring A Product For Professional Presentations | 1 |
David Harrison | GB | Hertfordshire | 2009-05-28 / 20090136448 - Antiviral 2-Carboxy-Thiophene Compounds | 1 |
David Harrison | GB | Lothian | 2010-04-15 / 20100092472 - COMPOUNDS AND METHODS FOR THE MODULATION OF BETA-1 INTEGRIN FUNCTION TO MEDIATE TISSUE REPAIR | 1 |
David Harrison | GB | Middlesex | 2010-05-27 / 20100129710 - LITHOGRAPHICALLY PRINTED CELLS | 2 |
David Harrison | US | San Francisco | 2016-05-19 / 20160140122 - RELEVANCY IMPROVEMENT THROUGH TARGETING OF INFORMATION BASED ON DATA GATHERED FROM A CAPTURE DEVICE ASSOCIATED WITH A CLIENT DEVICE | 20 |
Melissa L. Harrison | US | Lake Mary | 2012-11-29 / 20120299345 - BDry Disposable Seat Guard with Flex Stretch | 1 |
Stephen D. Harrison | US | Albany | 2009-11-12 / 20090281100 - Benzimidazole quinolinones and uses thereof | 3 |
Oliver Harrison | GB | South Yorkshire | 2008-11-06 / 20080274950 - Cell Adhesion by Modified Cadherin Molecules | 1 |
Clark Harrison | US | Cypress | 2011-04-21 / 20110088902 - DIVERTING AGENTS FOR WATER SHUT OFF | 1 |
Douglas J. Harrison | US | Tomball | 2012-08-16 / 20120205110 - Wellbore Servicing Compositions and Methods of Making and Using Same | 7 |
Ken Harrison | US | Madison | 2015-10-22 / 20150296795 - Systems, Methods, and Compositions Involving Chlorine Dioxide and Zeolite | 8 |
Robert Mark Harrison | US | Irving | 2011-08-18 / 20110200018 - SYSTEM AND METHOD FOR IMPROVED CONTROL CHANNEL TRANSMIT DIVERSITY | 3 |
Richard Iain Harrison | GB | Buckinghamshire | 2009-07-02 / 20090166379 - Dispenser | 1 |
Lewis D. Harrison | US | Arlington | 2015-07-16 / 20150196697 - Biphasic and Dynamic Adjustable Support Devices and Methods with Assist and Recoil Capabilities for Treatment of Cardiac Pathologies | 3 |
Kenneth W. Harrison | US | Fair Oaks | 2009-12-10 / 20090302257 - VACUUM SEALING SYSTEM AND DEVICE | 1 |
Dale Harrison | US | Austin | 2010-11-18 / 20100290033 - Method and System for Using Reflectometry Below Deep Ultra-Violet (DUV) Wavelengths for Measuring Properties of Diffracting or Scattering Structures on Substrate Work Pieces | 1 |
Francis Anthony Harrison | US | Houston | 2010-09-16 / 20100230918 - Hand Truck Loading Accessories | 1 |
Michael J. Harrison | US | San Mateo | 2010-01-07 / 20100004963 - METHOD AND SYSTEM FOR IDENTIFYING A BUSINESS ORGANIZATION THAT NEEDS TRANSFORMATION | 1 |
David Harrison | US | Patterson | 2014-11-20 / 20140343796 - Detect Driver Persona in a Vehicle | 1 |
Torrence Virgil Harrison | US | Hayward | 2010-01-14 / 20100006394 - Transitions between conveyance paths | 1 |
Gerald Michael Harrison | US | Lancaster | 2013-06-27 / 20130160231 - FLOOR CARE APPLIANCE WITH INTERCHANGEABLE DIRT COLLECTION MODULES | 1 |
Michael A. Harrison | US | Santa Rosa | 2010-02-18 / 20100039291 - Vehicle/Crosswalk Communication System | 1 |
Hui-Wen Harrison | US | San Diego | 2015-01-29 / 20150032477 - SYSTEM AND METHOD FOR DATA QUALITY ASSURANCE CYCLE | 2 |
Blair R. R. Harrison | US | Sherman Oaks | 2014-11-13 / 20140337335 - VIDEO LINK DISCOVERY IN A VIDEO-LINK AGGREGATION SYSTEM | 3 |
Declan Harrison | GB | Belfast | 2014-11-06 / 20140331266 - CACHING OF CONTENT | 3 |
Peter Hugh Harrison | ZA | Irene | 2012-06-07 / 20120137451 - AUTOMATIC SWIMMING POOL CLEANERS AND ASSOCIATED HOSES | 1 |
Michael John Harrison | GB | Staffordshire | 2012-06-07 / 20120142918 - LIGANDS | 1 |
John James Harrison | GB | Richmond | 2008-09-25 / 20080234115 - EXERCISE MACHINE | 1 |
Michael J. Harrison | US | Princeton | 2016-02-11 / 20160040033 - METHOD AND COMPOSITION FOR DEPOSITING AN IMPRINT ONTO A SUBSTRATE | 10 |
Bryce Alden Harrison | US | Hamilton | 2014-10-16 / 20140309178 - INHIBITORS OF SODIUM GLUCOSE COTRANSPORTER 1 | 9 |
Michael J. Harrison | US | Princeton | 2016-02-11 / 20160040033 - METHOD AND COMPOSITION FOR DEPOSITING AN IMPRINT ONTO A SUBSTRATE | 10 |
Don Valate Harrison | US | Salt Lake City | 2013-04-25 / 20130100154 - SPECTRUM ANALYZER INTERFACE | 1 |
Brete C. Harrison | US | Sonoma | 2012-12-20 / 20120318898 - REDUNDANT TIRE AND RUBBER COMPOUND REPROCESSING | 4 |
Jeffrey S. Harrison | US | Palo Alto | 2010-08-26 / 20100217149 - AUTOMATED DIAGNOSTIC HEARING TEST | 2 |
William Vanbrooks Harrison | US | Valencia | 2010-12-30 / 20100331913 - Hybrid multi-function electrode array | 3 |
William V. Harrison | US | Valencia | 2011-03-31 / 20110077579 - Cochlear implant with localized fluid transport | 1 |
Craig Harrison | US | Basking Ridge | 2016-05-19 / 20160139037 - Interactive variable pathlength device | 5 |
John Michael Harrison | GB | Bristol | 2009-06-25 / 20090163284 - Developments in or relating to the balancing of a rotating assembly | 1 |
Michael R. Harrison | US | San Francisco | 2015-05-28 / 20150144142 - Device and Method for Correcting Obstructive Sleep Apnea | 6 |
Bradley James Harrison | US | San Francisco | 2015-12-17 / 20150364008 - Multi-Hand Bet With Escalating Payouts | 2 |
Paul Harrison | US | Keller | 2010-09-09 / 20100228733 - Method and System For Semantic Distance Measurement | 3 |
Scott Harrison | US | Bakersfield | 2010-05-20 / 20100125111 - COMPOSITIONS AND METHODS FOR RESISTING SOIL EROSION AND FIRE RETARDATION | 2 |
W. Vanbrooks Harrison | US | Valencia | 2008-09-18 / 20080228243 - Cochlear Implant Sound Processor With Permanently Integrated Replenishable Power Source | 1 |
David Harrison | GB | West Sussex | 2011-05-26 / 20110121763 - Linear Accelerators | 1 |
Neal A. Harrison | US | San Clemente | 2009-02-26 / 20090052478 - Beam Director Flow Control | 1 |
Richard L. Harrison | US | Bonita | 2009-10-29 / 20090271466 - Data logging with network interfacing feature | 1 |
Ken Harrison | US | Fair Oaks | 2009-12-10 / 20090301579 - VACUUM PRESSURE SYSTEMS WITH VACUUM CHAMBER FULL-RANGE, CLOSED-LOOP PRESSURE CONTROL | 1 |
Michael Richard Harrison | US | San Francisco | 2013-09-26 / 20130248109 - RAPIDLY CROSSLINKABLE ADHESIVES FOR BIOMEDICAL APPLICATIONS | 1 |
Blair R.r. Harrison | US | Sherman Oaks | 2013-05-02 / 20130110978 - AUTOMATIC SELECTION OF DIGITAL SERVICE FEED | 3 |
Dale A. Harrison | US | Austin | 2015-03-05 / 20150059440 - Vacuum Ultraviolet Absorption Spectroscopy System And Method | 16 |
Ryan Harrison | US | El Granada | 2016-04-07 / 20160100083 - Swivel Camera Mount | 3 |
Tyler Harrison | US | Somerville | 2015-05-21 / 20150141474 - CYCLIC ETHER DGAT1 INHIBITORSCYCLIC ETHER DGAT1 INHIBITORS | 2 |
David A. Harrison | US | Cupertino | 2014-01-16 / 20140019664 - METHOD AND SYSTEM FOR PERFORMING DMA IN A MULTI-CORE SYSTEM-ON-CHIP USING DEADLINE-BASED SCHEDULING | 3 |
Brooke Harrison | US | Boulder | 2015-02-12 / 20150045433 - METHODS AND COMPOSITIONS FOR INDUCING PHYSIOLOGICAL HYPERTROPHY | 2 |
James Jeffries Harrison | US | West Hills | 2015-04-30 / 20150119369 - Clear, Greaseless Compositions | 4 |
Richard Harrison | CA | London | 2009-12-17 / 20090308771 - TUBE ASSEMBLY FOR HOLDING DRINKWARE | 1 |
Phil Harrison | GB | London | 2012-12-13 / 20120313879 - HAND-HELD DEVICE WITH TOUCHSCREEN AND DIGITAL TACTILE PIXELS | 7 |
Ronald Harrison | US | Carlsbad | 2010-02-04 / 20100025149 - Ladder With Stretcher | 1 |
Sarah Harrison | GB | London | 2009-01-01 / 20090006135 - Accelerated Payments for Health Care Plans | 1 |
Sarah J. Harrison | GB | Ashborne | 2014-11-27 / 20140345191 - Quaternary Ammonium Salts In Heating Oils | 1 |
Christopher Roy Harrison | GB | London | 2015-03-12 / 20150073973 - CONTROLLING PRICE CASCADE MOVEMENTS IN AN ELECTRONIC TRADING SYSTEM | 3 |
Philip Robert Harrison | GB | London | 2009-01-08 / 20090010335 - Control of data processing | 1 |
Mark Harrison | US | Escondido | 2016-01-07 / 20160006514 - INTEGRATED TRANSCEIVER WITH LIGHTPIPE COUPLER | 6 |
Kyle Harrison | US | San Francisco | 2015-11-19 / 20150332024 - Syndication Including Melody Recognition and Opt Out | 6 |
William Lee Harrison | US | El Dorado Hills | 2012-06-21 / 20120154097 - PLANAR ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING | 8 |
Willaim Vanbrooks Harrison | US | Valencia | 2010-12-16 / 20100318158 - Optimizing Pitch and Other Speech Stimuli Allocation in a Cochlear Implant | 1 |
Guy Harrison | US | Irvine | 2012-08-30 / 20120222002 - SYSTEMS AND METHODS FOR MONITORING A COMPUTING ENVIRONMENT | 2 |
Kenneth Harrison | US | Cotati | 2011-03-03 / 20110047806 - ONE MAN HIGH WALL PENETRATION MEASUREMENT TRANSFER TOOL | 1 |
Chris Harrison | US | Pittsburgh | 2016-03-24 / 20160085372 - METHOD AND APPARATUS FOR IMPROVING ACCURACY OF TOUCH SCREEN EVENT ANALYSIS BY USE OF EDGE CLASSIFICATION | 7 |
Nancy Gale Hackett Harrison | US | Boulder | 2015-03-12 / 20150067966 - MULTI-COMPONENT BODY PILLOW AND METHODS | 2 |
Sheyann Harrison | US | Colorado Springs | 2014-05-08 / 20140128932 - INTELLIGENT SELF-ORGANIZING ELECTRODE STIMULATION DELIVERY SYSTEM | 1 |
Zoe Alicia Harrison | GB | Stevenage | 2013-06-27 / 20130165433 - Novel Use | 1 |
Robert Mathews Harrison | US | San Juan Capistrano | 2010-03-11 / 20100064226 - REMOTE DISCOVERY AND SYSTEM ARCHITECTURE | 1 |
Willie K. Harrison | US | Colorado Springs | 2014-06-19 / 20140171856 - Applications for Physical-Layer Security | 2 |
William Lee Harrison | US | Sacramento | 2011-05-12 / 20110108317 - PACKAGED STRUCTURE HAVING MAGNETIC COMPONENT AND METHOD THEREOF | 1 |
Michael G. Harrison | US | Round Rock | 2009-04-09 / 20090093108 - SEMICONDUCTOR FABRICATION PROCESS INCLUDING SILICIDE STRINGER REMOVAL PROCESSING | 1 |
Gary Harrison | GB | Wellington | 2012-06-14 / 20120145980 - MOUNTING BRACKET | 1 |
Craig Harrison | AU | Tamago | 2012-06-14 / 20120146412 - ELECTRICAL ENERGY DISTRIBUTION SYSTEM WITH RIDE-THROUGH CAPABILITY | 1 |
Kim Harrison | US | Holland | 2009-04-02 / 20090088604 - VERTEBRALLY-MOUNTED TISSUE RETRACTOR AND METHOD FOR USE IN SPINAL SURGERY | 1 |
Craig William Harrison | AU | Tomago | 2010-12-23 / 20100320837 - ELECTRICAL ENERGY AND DISTRIBUTION SYSTEM | 1 |
Thomas B. Harrison | US | Holly Springs | 2016-02-18 / 20160050108 - OPTIMIZING A CLOUD-COMPUTING ENVIRONMENT | 6 |
Steven Harrison | US | Memphis | 2009-02-26 / 20090054237 - COMPOSITIONS AND METHODS | 1 |
Grady Harrison | US | Wichita | 2010-03-18 / 20100064473 - Attachment Bolt And Tensioned Support System Using Same | 1 |
William Sean Harrison | US | Smyma | 2010-09-30 / 20100250313 - SYSTEMS AND METHODS FOR ENFORCING FIDUCIARY COMPLIANCE | 1 |
William James Harrison | US | Signal Mountain | 2012-07-05 / 20120172655 - IMPELLER HOUSING FOR PERCUTANEOUS HEART PUMP | 6 |
Thomas D. Harrison | US | Draper | 2014-08-07 / 20140216753 - Bernoulli Assisted Hydrocarbon Lift System and Method to Prohibit Water-Coning | 1 |
William Sean Harrison | US | Smyrna | 2010-04-08 / 20100088245 - SYSTEMS AND METHODS FOR DEVELOPING STUDIES SUCH AS CLINICAL TRIALS | 1 |
Mark James Harrison | AT | Wernberg | 2016-01-07 / 20160005647 - Contacts for Semiconductor Devices and Methods of Forming Thereof | 1 |
Adam Jay Harrison | US | Herndon | 2012-07-12 / 20120177941 - Multilayer armor and method of manufacture thereof | 1 |
John E. Harrison | US | Bellevue | 2014-07-24 / 20140203952 - VEHICLE CONDITION MONITORING AND REPORTING | 2 |
Stephen Harrison | US | Boston | 2014-11-20 / 20140341949 - VACCINE | 3 |
Timothy E. Harrison | US | Wichita | 2016-01-07 / 20160001871 - Fuel Tank Access Door Systems And Methods | 1 |
Aaron James Harrison | US | Aurora | 2016-03-24 / 20160084740 - ENGINEERED DETECTION SWAB | 1 |
Robert C. Harrison | US | Roswell | 2010-06-03 / 20100136318 - CONSTRUCTION BOARDS WITH IMPROVED FACERS | 1 |
William Lee Harrison | US | El Dorado Hills | 2012-06-21 / 20120154097 - PLANAR ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING | 8 |
Nancy Harrison | CA | North Vancouver | 2014-12-18 / 20140370108 - Pharmacokinetics of S-Adenosylmethionine Formulations | 8 |
Dennis A. Harrison, Ii | US | Atoka | 2010-10-28 / 20100274357 - EXPANDABLE IMPLANT, INSTRUMENT, AND METHOD | 1 |
Albert W. Harrison, Iii | US | Detroit | 2014-11-20 / 20140342196 - Device for Making Rapid Connections and Disconnections Between High Voltage Battery Modules and Other Motor Vehicle Systems | 4 |
William Henry Harrison, Iv | US | Dalton | 2015-08-13 / 20150224675 - Method of Recycling Synthetic Turf and Infill Product | 2 |
Wilbur Ernest Harrison, Jr. | US | Melbourne | 2009-05-14 / 20090120698 - Harrison automobiles (HA) that use the kinetic energy stored in Harrison flywheel engines (HFE) to power and control automobiles and other machines | 1 |
Shelton E. Harrison, Jr. | US | Newport Coast | 2014-08-21 / 20140236752 - ELECTRONIC BOND AND GUARANTY PROCESS AND BUSINESS METHOD | 1 |
Roger G. Harrison, Jr. | US | Norman | 2016-03-31 / 20160089350 - Enzyme Conjugate and Prodrug Cancer Therapy | 5 |
Shelton E. Harrison, Jr. | US | Culver City | 2011-03-17 / 20110066523 - ELECTRONIC BOND AND GUARANTY PROCESS AND BUSINESS METHOD | 2 |
Wilbur E. Harrison, Jr. | US | Melbourne | / - | 1 |
Sidney Meade Harrison, Jr. | US | Chester | 2012-07-26 / 20120188501 - EYEGLASS TEMPLE INSERT AND ASSEMBLY | 1 |
John J. Harrison, Jr. | US | Northborough | 2016-03-03 / 20160063512 - IDENTIFYING A CLOUD SERVICE USING NETWORK TRAFFIC AND OTHER DATA | 1 |
John H. Harrison, Jr. | US | Plano | 2009-02-26 / 20090054997 - Apparatus and method for addressing modules in a system for controlling the release of material | 4 |
James E. Harrison, Jr. | US | New Lebanon | 2013-02-21 / 20130044166 - PRINTING SYSTEM INCLUDING FILTER WITH UNIFORM PORES | 3 |
James E. Harrison, Jr. | US | Dayton | 2009-05-21 / 20090126626 - ANNULAR NOZZLE STRUCTURE FOR HIGH DENSITY INKJET PRINTHEADS | 1 |
Elizabeth Harrison-Meyer | US | Chicago | 2012-10-25 / 20120268064 - Inductively Rechargeable Portable Charger | 4 |
Catherine M.h. Harriss | US | San Diego | 2014-10-30 / 20140324648 - VIDEO-VOICE PREPARATION OF ELECTRONIC TAX RETURN | 1 |
Paul Harriss | AU | Quakers Hills | 2009-06-18 / 20090152426 - Adjustable Mounting Assembly for Ceiling Fixtures | 1 |
Edward B. Harriss | US | Newbury | 2014-10-30 / 20140318194 - DRAWER LOCKING SYSTEM | 1 |
Eric Harrisson | CA | Carleton-Sur-Mer | 2009-08-06 / 20090193725 - Prefabricated building structure with collapsible roof sections | 1 |
Teodorico J. Harrisson | US | Alpharetta | 2014-09-11 / 20140258218 - NOMADIC DATA COLLECTION AND MANAGEMENT METHOD INCLUDING PESSIMISTIC LOCKING OF DATA | 2 |
Benjamin S. Harrisson | US | Tobaccoville | 2012-05-10 / 20120114729 - SILICONE-PEROXIDE COMPOSITIONS FOR LONG-TERM, CONTROLLED OXYGEN RELEASE | 1 |
D. Christian Harrisson | US | Salt Lake City | 2010-07-15 / 20100180186 - System and Method for Storage and Distribution of Electronic Publications by Content Creators and Online Publishers with Referral-Based Commissions | 1 |
Simon Harrisson | FR | Bourg-La-Reine | 2011-08-04 / 20110189469 - FUNCTIONALIZED THIN FILM POLYAMIDE MEMBRANES | 1 |
Howard Lee Harris, Sr. | US | Grand Prairie | 2010-09-16 / 20100229763 - Wheel desk | 1 |
Daniel W. Harrist | US | Carnegie | 2015-08-20 / 20150236551 - POWERING DEVICES USING RF ENERGY HARVESTING | 13 |
Daniel W. Harrist | US | Carnegie | 2015-08-20 / 20150236551 - POWERING DEVICES USING RF ENERGY HARVESTING | 13 |
Kevin Harrity | US | Oak Creek | 2015-08-13 / 20150225167 - SHROUD AND DISPENSING SYSTEM FOR A HANDHELD CONTAINER | 14 |
John Eric Harrity | US | Centreville | 2010-04-22 / 20100100555 - SYSTEMS AND METHODS FOR CHANGING SYMBOL SEQUENCES IN DOCUMENTS | 1 |
Janette Harro | US | York | 2015-01-22 / 20150024000 - MULTIVALENT VACCINE PROTECTION FROM STAPHYLOCOCCUS AUREUS INFECTION | 1 |
Jaanus Harro | EE | Tartu | 2010-08-05 / 20100196896 - METHOD AND A KIT FOR IDENTIFYING A HUMAN WHO HAS THE PREDISPOSITION FOR INCREASED CONSUMPTION OF CARBOHYDRATES AND METHOD FOR MANAGING THE NAMED HUMAN'S DIETARY INTAKE OF NUTRITIONAL ENERGY | 1 |
Peter Logan Harrod | GB | Cambridge | 2010-09-02 / 20100223518 - Diagnostic mode switching | 2 |
Eric Harrod | US | Pasco | 2013-06-06 / 20130139435 - ADJUSTABLE PLANT SUPPORT | 1 |
William B. Harrod | US | Minden | 2015-05-28 / 20150147265 - Removal of Bromine From Gaseous Hydrogen Bromide | 4 |
Robert Harrod | US | Rowlett | 2014-01-02 / 20140004555 - Non-Transformed, Immortalized Human T-Lymphocyte Cell-Lines | 1 |
Kimberly Harrod | US | Glen Ellyn | 2015-12-24 / 20150370761 - DISPLAY LAYOUT EDITING SYSTEM AND METHOD USING DYNAMIC REFLOW | 1 |
Richard Harrod | AU | Erskineville, Nsw | 2016-03-17 / 20160073824 - Heated Dual-Wall Carafe Apparatus and Method | 1 |
James Edward Arthur Harrod | GB | Norfolk | 2013-07-18 / 20130180614 - DOUBLE ISOLATION FOR DOUBLE CHAMBER DIFFERENTIAL PRESSURE METER | 1 |
David Harrod | US | Philadelphia | 2010-01-07 / 20100005110 - Method of Displaying Traffic Information on a Web Page | 1 |
Peter Harrod | SE | Goteborg | 2008-12-25 / 20080314351 - Turbocharger Intake Air Chamber Provided with Air Inlet from Crankcase Blowby Ventilation (Ccv) | 1 |
Gregory Ralph Harrod | US | Wichita | 2013-03-14 / 20130066472 - TRANSITION TEMPERATURE ADJUSTMENT USER INTERFACES | 11 |
Magnus Harrod | SE | Alingsas | 2013-05-23 / 20130129801 - AQUEOUS DISPERSION COMPRISING GALACTOLIPIDS AND METHOD FOR PRODUCTION THEREOF | 2 |
Marvin Lewis Harrod | US | Pasco | 2013-06-06 / 20130139435 - ADJUSTABLE PLANT SUPPORT | 1 |
Greg R. Harrod | US | Wichita | 2010-03-25 / 20100076605 - HVAC System Controller Configuration | 1 |
Ky B. Harrod | US | Little Rock | 2008-12-11 / 20080305352 - Modular illuminated trophy system | 1 |
William T. Harrod | US | Mahtomedi | 2014-09-11 / 20140251459 - PRESSURIZED GAS CONTAINING SYSTEM | 5 |
Willam B. Harrod | US | Minden | 2013-10-24 / 20130281743 - METHODS OF REMOVING IMPURITIES FROM ALKYL BROMIDES DURING DISTILLATION AND DISTILLATE PRODUCED THEREIN | 1 |
Richard Harrod | AU | Erskineville | 2013-08-01 / 20130192477 - Combination Juicer-Blender | 2 |
Denny Harroff | US | Garland | 2016-03-24 / 20160081300 - TREATMENT APPLICATOR FOR RODENTS | 1 |
Steven John Harrold | US | Valley Center | 2010-11-25 / 20100297429 - COMPOSITE MEMBRANE AND METHOD OF MAKING | 1 |
Michael P. Harrold | US | San Mateo | 2012-12-06 / 20120305838 - Polyelectrolyte-Coated Size-Exclusion Ion-Exchange Particles | 5 |
Mark Harrold | US | Santa Clara | 2013-11-21 / 20130310835 - Intramedullary Medical Devices and Methods of Manufacture | 2 |
George Hilary Harrold | US | Redding | 2016-03-17 / 20160077443 - Rapid Exchange Device for Lithography Reticles | 4 |
Brent Thomas Harrold | US | Savage | 2012-08-09 / 20120201093 - MULTI-SIZE MIXER | 3 |
Mary Jean Harrold | US | Atlanta | 2011-03-17 / 20110066959 - Systems and Methods for Visualization of Exception Handling Constructs | 1 |
Lewis Norman Harrold | US | Georgetown | 2012-12-20 / 20120323106 - ICG/ECG MONITORING APPARATUS | 6 |
David Maxwell Harrold | US | Longmont | 2015-07-30 / 20150209839 - WORKPIECE CLEANING | 2 |
Stephen John Harrold | GB | Altrincham | 2013-08-01 / 20130194045 - Fine Tuning of Electronic Oscillators | 1 |
Mark Harrold | US | Foothill Ranch | 2013-04-04 / 20130085502 - METHOD AND APPARATUS FOR INSTALLATION OF INTRAMEDULLARY MEDICAL DEVICE | 1 |
Jonathan Harrold | GB | Warwickshire | 2012-11-29 / 20120299913 - Directional flat illuminators | 13 |
Paul Andrew Harrold | GB | Derby | 2010-04-22 / 20100099591 - LUBRICATION METHODS | 1 |
Jonathan Harrold | GB | Warwick | 2012-03-22 / 20120069279 - Graded Index Birefringent Component and Manufacturing Method Thereof | 3 |
William B. Harrold | GB | Cambridge | 2009-07-02 / 20090168914 - Method and System for Utilizing Transmit Local Oscillator for Improved Cell Search and Multi-Link Communication in Multi-Mode Device | 1 |
Hilary Harrold | US | Wilton | 2013-04-25 / 20130100430 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Michael Harrold | US | San Mateo | 2015-01-29 / 20150031031 - APPARATUS FOR COLLECTING FINGERPRINTS AND BUCCAL SWABS | 6 |
Steven John Harrold | US | Chanhassen | 2014-02-27 / 20140054228 - Novel Flux Enhancing Agent for Improving Composite Polyamide Reverse Osmosis Membrane Performance | 3 |
Jonathan Harrold | GB | Leamington Spa | 2016-05-12 / 20160131825 - Directional backlight | 21 |
Jonathan Harrold | GB | Upper Heyford | 2014-10-16 / 20140306612 - ILLUMINATION SYSTEM | 5 |
Jonathan Harrold | US | Boulder | 2013-12-12 / 20130328866 - Spatially multiplexed imaging directional backlight displays | 1 |
Colin John Harrold | GB | London | 2016-02-04 / 20160037231 - DATA COMMUNICATIONS | 1 |
John E. Harrold | US | Bloomsbury | 2009-11-19 / 20090287169 - Rectangular metered dispenser with feed-containing piston drive mechanism | 3 |
Michael Harrold | US | San Francisco | 2011-07-21 / 20110174623 - Concentration and Purification of Analytes Using Electric Fields | 2 |
Lewis N. Harrold | US | Georgetown | 2010-01-28 / 20100022850 - TRANSDUCER ARRAY FOR SENSING PHYSIOLOGICAL INFORMATION | 1 |
Roy John Harron | GB | Bristol | 2014-03-13 / 20140072407 - FILLED STATIC STRUCTURE FOR AXIAL-FLOW MACHINE | 1 |
Wilson Harron | US | Berkeley | 2015-12-10 / 20150356178 - SEARCH AND IDENTIFICATION OF VIDEO CONTENT | 7 |
Roy J. Harron | GB | Bristol | 2011-03-24 / 20110070095 - AEROFOIL STRUCTURE | 1 |
Wilson Harron | US | Berkekey | 2015-03-12 / 20150074703 - DISPLAYING AN ACTIONABLE ELEMENT OVER PLAYING CONTENT | 1 |
Gerald Harron | CA | Martensville | 2011-08-04 / 20110191815 - AGILE DISTORTION AND NOISE CANCELLATION ACROSS MULTIPLE CHANNELS AND PORTS IN A CATV UPCONVERTER/MODULATOR | 3 |
Jason Brett Harrop | AU | Victoria | 2011-06-30 / 20110161801 - DOCUMENT ASSEMBLY SYSTEM | 1 |
Jason Brett Harrop | AU | Darlington Point Nsw | 2014-04-03 / 20140095968 - SYSTEMS AND METHODS FOR ELECTRONIC FORM CREATION AND DOCUMENT ASSEMBLY | 1 |
Paul James Harrop | GB | Abingdon | 2013-06-13 / 20130148885 - METHOD AND SYSTEM FOR DETERMINING COLOUR FROM AN IMAGE | 4 |
Richard Harrop | GB | Newbury | 2010-06-24 / 20100158884 - Cascade | 3 |
James S. Harrop | US | Philadelphia | 2014-05-29 / 20140148902 - EXPANDABLE IMPLANT, INSTRUMENT, AND METHOD | 3 |
Jeremy Harrop | GB | Childwickham Broadway | 2015-03-19 / 20150076053 - Dialysis Machine | 1 |
Richard Harrop | GB | Oxford | 2013-08-22 / 20130217116 - Peptide II | 4 |
Neil J. Harrop | GB | Essex | 2014-11-06 / 20140327924 - WORKFLOW TO ALLOW CONTINUED PRINTING IN PRESENCE OF SEVERE PRINTER ERROR | 1 |
Rob Harrop | GB | Southampton | 2014-07-24 / 20140208308 - COMPUTER SYSTEM AND METHOD FOR RESOLVING DEPENDENCIES IN A COMPUTER SYSTEM | 6 |
Richard Harrop | GB | Oxfordshire | 2012-08-23 / 20120213822 - CHEMO-IMMUNOTHERAPY METHOD | 2 |
William Harrop | US | Stoughton | 2015-05-28 / 20150143938 - MECHANISM FOR VEHICLE TRANSMISSION DEFAULT TO PARK | 4 |
James Harroun | US | Concord | 2010-06-17 / 20100151680 - Substrate carrier with enhanced temperature uniformity | 1 |
James S. Harroun | US | Concord | 2009-05-21 / 20090126632 - Quick-change precursor manifold for large-area CVD and PECVD | 1 |
David John Harroun | US | Wales | 2014-11-27 / 20140350738 - Predictive Alert System for Building Energy Management | 1 |
David A. Harroun | US | Frankfort | 2011-08-25 / 20110204661 - SWING GATE HOLD-BACK DEVICE | 1 |
Aaron E. Harrow | US | Lapeer | 2010-11-11 / 20100281940 - VERTICAL AIR COMPLIANT HEMMING HEAD | 2 |
Jeffrey Harrow | US | Lutz | 2008-12-11 / 20080301875 - FOLDING FRAME MOTORIZED PRONE CART | 1 |
Jason Harrow | US | Los Angeles | 2015-05-28 / 20150143630 - Foldable Bed Frame | 1 |
Charlie Harrow | GB | Dundee | 2016-04-14 / 20160104140 - AUTHENTICATED SELF-SERVICE TERMINAL (SST) ACCESS | 1 |
Lawrence Harrow | US | Indian Wells | 2010-07-15 / 20100175186 - Bed base assembled without using tools or separate fasteners and method | 1 |
Lawrence Harrow | US | Commerce | 2010-02-11 / 20100031440 - Bed base | 7 |
Lawrence Harrow | US | Indian Wella | 2009-12-17 / 20090307842 - Easy to assemble bed base, two-component connector & kit | 1 |
James Edward Brereton Harrow | GB | Fulbourn Cambridgeshire | 2014-12-04 / 20140357256 - NETWORK ELEMENT, INTEGRATED CIRCUIT CELLULAR COMMUNICATION SYSTEM AND METHOD FOR CAPTURING USER EQUIPMENT MEASUREMENTS | 1 |
Scott Harrow | US | Scottsdale | 2014-09-11 / 20140253157 - TEST SYSTEM WITH LOCALIZED HEATING AND METHOD OF MANUFACTURE THEREOF | 2 |
James Edward Brereton Harrow | GB | Cambridgeshire | 2015-10-08 / 20150289181 - NETWORK ELEMENTS, WIRELESS COMMUNICATION SYSTEM AND METHODS THEREFOR | 2 |
Ivan P. Harrow | IE | Navan | 2009-04-23 / 20090106355 - Method and Apparatus for Peer-to-Peer Services | 1 |
Charles Harrow | GB | Dundee | 2015-09-03 / 20150248674 - END-TO-END DEVICE AUTHENTICATION | 3 |
Greg Harrowe | US | Berkeley | 2013-08-01 / 20130196314 - Genes Differentially Expressed in Breast Cancer | 1 |
Gregory Martin Harrowe | US | Emeryville | 2014-04-03 / 20140093492 - METHOD FOR PREVENTING AND TREATING CANCER METASTASIS AND BONE LOSS ASSOCIATED WITH CANCER METASTASIS | 1 |
Gregory Martin Harrowe | US | Eugene | 2014-08-28 / 20140242071 - M-CSF SPECIFIC MONOCLONAL ANTIBODY AND USES THEREOF | 1 |
Gregory M. Harrowe | US | Eugene | 2012-02-23 / 20120045450 - METHOD FOR PREVENTING AND TREATING CANCER METASTASIS AND BONE LOSS ASSOCIATED WITH CANCER METASTASIS | 3 |
Christopher Harrower | CA | Edmonton | 2012-01-12 / 20120011624 - MICRO/NANO DEVICES FABRICATED FROM CU-HF THIN FILMS | 1 |
James Harrower | GB | Sterling | 2011-10-27 / 20110259844 - TAMPER-EVIDENT CLOSURE | 3 |
Geoff Harrower | CA | Burnaby | 2009-04-09 / 20090091563 - CHARACTER ANIMATION FRAMEWORK | 1 |
Christopher Harrower | CA | Toronto | 2013-08-15 / 20130210618 - KINETIC STABILIZATION OF MAGNESIUM HYDRIDE | 1 |
Robert Mark Harrsion | US | Grapevine | 2014-08-21 / 20140233407 - Interference Measurement Methods for Advanced Receiver in LTE/LTE-A | 2 |
Gregory S. Harruff | US | Rio | 2014-03-20 / 20140078731 - LED SOCKET ADAPTER ASSEMBLY | 1 |
Barbara A. Harruff | US | Kettering | 2015-09-24 / 20150266730 - METHOD OF GENERATING HYDROGEN FROM THE REACTION OF STABILIZED ALUMINUM NANOPARTICLES WITH WATER AND METHOD OF FORMING STABILIZED ALUMINUM NANOPARTICLES | 1 |
Kevin Harrup | US | Hopewell | 2016-05-12 / 20160132898 - METHODS AND PRODUCTS FOR PRODUCT TRACING AND AUTHENTICATION USING CONDUCTIVE INKS | 1 |
Mason Kurt Harrup | US | Idaho Falls | 2014-11-20 / 20140342240 - Fluorinated phosphazenes for use as electrolyte additives and co-solvents in lithium ion batteries | 1 |
Mason K. Harrup | US | Idaho Falls | 2016-02-25 / 20160056506 - IONIC LIQUIDS, ELECTROLYTE SOLUTIONS INCLUDING THE IONIC LIQUIDS, AND ENERGY STORAGE DEVICES INCLUDING THE IONIC LIQUIDS | 9 |
Mason K. Harrup | US | Idaho Falls | 2016-02-25 / 20160056506 - IONIC LIQUIDS, ELECTROLYTE SOLUTIONS INCLUDING THE IONIC LIQUIDS, AND ENERGY STORAGE DEVICES INCLUDING THE IONIC LIQUIDS | 9 |
Clive Harrup | GB | Bedfordshire | 2012-06-07 / 20120142476 - TRANSMISSION SYSTEM | 1 |
Clive James Harrup | GB | Bedfordshire | 2009-04-02 / 20090084223 - DIFFERENTIAL GEAR CASING AND METHOD | 1 |
Shimon Harrus | IL | Moshav Tal Shahar | 2013-05-16 / 20130122041 - ATTENUATED EHRLICHIOSIS VACCINE | 2 |
Christopher Harry | US | Bellevue | 2009-07-02 / 20090167515 - AUTOMATED TELLTALE IDENTIFICATION | 1 |
Franklin Scott Harry | US | Wilmington | 2012-06-21 / 20120152767 - Mobile armory | 1 |
John E. Harry | GB | Rutland | 2011-11-24 / 20110283886 - Method And Apparatus For Regenerating A Filter | 1 |
Jason D. Harry | US | Rumford | 2014-12-11 / 20140364678 - SYSTEM AND METHOD FOR NEURO-STIMULATION | 3 |
David Paul Harry | AU | Dingley Village | 2009-10-22 / 20090265415 - COMPUTERISED SYSTEM AND METHOD FOR OPTIMISING DOMAIN PARKING PAGES | 1 |
Seibel Harry | US | Durham | 2014-06-19 / 20140167601 - Enhanced Luminous Flux Semiconductor Light Emitting Devices Including Red Phosphors that Exhibit Good Color Rendering Properties and Related Red Phosphors | 1 |
David N. Harry | US | Katy | 2015-04-02 / 20150094239 - Hydraulic Fracturing Method and Fluid Including In-Situ Boron-Laden Produced Waters | 3 |
Christopher Paul Harry | US | Clyde Hill | 2012-12-20 / 20120318489 - ENGINE HEAT CONSERVATION SYSTEMS AND METHODS | 1 |
Craig Harry | US | Redmond | 2010-04-01 / 20100083230 - Data Feature Tracking Through Hierarchical Node Sets | 1 |
David Marinus Harry | NL | Den Haag | 2010-04-22 / 20100098479 - PACKAGING WITH IMPROVED REMOVING ELEMENT | 1 |
Jane Harry | US | Ashland City | 2010-06-24 / 20100154772 - Fluid Charged Rotary Heating System | 1 |
John Ernest Harry | GB | Rutlard | 2010-06-24 / 20100154632 - Autoselective regenerating particulate filter | 1 |
Craig Allen Harry | US | Wake Forest | 2010-05-13 / 20100121855 - Lookup Partitioning Storage System and Method | 1 |
Telema Harry | US | Louisville | 2011-12-22 / 20110309752 - APPLIANCE WITH AN IMPROVED SOLID STATE DEVICE LIGHTING | 3 |
Lindsay Harry | US | Atlanta | 2011-06-16 / 20110139410 - Floating Coil Heat Exchanger | 2 |
Benjamin Brian Harry | US | Austin | 2014-10-16 / 20140310816 - Method to Prevent Operating System Digital Product Key Activation Failures | 1 |
Craig A. Harry | US | Wake Forest | 2013-08-01 / 20130198409 - EFFICIENT IMPLEMENTATION OF USER-PROVIDED DNS NAMES | 1 |
Finch Harry | GB | Harlow | 2011-02-24 / 20110046191 - COMBINATION OF A MUSCARINIC RECEPTOR ANTAGONIST AND A BETA-2-ADRENOCEPTOR AGONIST | 1 |
Benjamin R. Harry | US | Henderson | 2013-05-23 / 20130130779 - USING CODED IDENTIFIERS FOR ADAPTIVE GAMING | 1 |
Clark Harry | US | Temecula | 2012-08-30 / 20120217179 - Residential interior tool kit and box | 1 |
Jennifer R. Harry | US | Northumberland | 2013-07-18 / 20130183647 - System and Method for Managing Information About Playground Installations | 1 |
Chris Pin Harry | CA | Dorval | 2012-12-27 / 20120324904 - RADIAL STAGING METHOD AND CONFIGURATION OF A LIQUID INJECTION SYSTEM FOR POWER PLANTS | 2 |
Brian David Harry | US | Baldwinsville | 2013-04-18 / 20130096882 - DATA ACQUISITION SYSTEM FOR TEST AND MEASUREMENT SIGNALS | 1 |
Stephane Harry | FR | Jardin | 2013-08-22 / 20130217941 - PROCESS FOR OLIGOMERIZATION OF OLEFINS THAT USES A CATALYTIC COMPOSITION THAT COMPRISES AN ORGANOMETALLIC COMPLEX THAT CONTAINS AN ALKOXY LIGAND THAT IS FUNCTIONALIZED BY A HETEROATOM | 3 |
David Ray Harry, Jr. | US | Oak Ridge | 2010-03-04 / 20100056413 - HIGH-TEMPERATURE CLEANING SYSTEM, ASSOCIATED SUBSTRATES, AND ASSOCIATED METHODS | 1 |
Donald E. Harryman | US | Sunnyvale | 2013-08-08 / 20130200062 - ATHERMALIZED PERMANENT-ALIGNMENT OPTICAL-ELEMENT MOUNT | 2 |
Urban Harryson | SE | Kullavik | 2008-09-11 / 20080220111 - METHOD AND APPARATUS FOR PRODUCING FREE-FORM PRODUCTS | 1 |
Anna Harrysson | SE | Molndal | 2012-10-11 / 20120258090 - METHOD FOR PRODUCTION OF RECOMBINANT HUMAN THROMBIN | 2 |
Fredrik Harrysson | SE | Goteborg | 2012-12-06 / 20120306711 - Antenna Arrangement | 7 |
Ralph Harrysson | SE | Trollhättan | 2010-07-22 / 20100181778 - UNITY WIND POWER PLANT WITH VERTICAL AXIS OF ROTATION | 1 |
Frederik Harrysson | SE | Gothenburg | 2008-12-25 / 20080318584 - Virtual Multiple Antenna (Vmat) | 1 |
Ralph Harrysson | SE | Trollhättan | 2010-07-22 / 20100181778 - UNITY WIND POWER PLANT WITH VERTICAL AXIS OF ROTATION | 1 |
Eric Hars | US | Mission Viejo | 2015-12-24 / 20150366634 - APPARATUS AND METHODS FOR TREATING ROOT CANALS OF TEETH | 1 |
Laszlo Hars | US | Lafayette | 2016-04-21 / 20160112196 - PARALLELIZABLE CIPHER CONSTRUCTION | 21 |
Aurelien Hars | FR | Meylan | 2009-06-25 / 20090164679 - DATA TRANSMISSION METHOD AND CORRESPONDING DEVICE | 1 |
Laszlo Hars | US | Lafayette | 2016-04-21 / 20160112196 - PARALLELIZABLE CIPHER CONSTRUCTION | 21 |
Erik Hars | US | Mission Viejo | 2015-05-14 / 20150132712 - APPARATUS AND METHODS FOR TREATING ROOT CANALS OF TEETH | 3 |
Laszlo Hars | US | Cranberry Twp | 2010-02-04 / 20100031057 - Traffic analysis resistant storage encryption using implicit and explicit data | 1 |
Laszlo Hars | US | Cranberry Township | 2009-03-19 / 20090077147 - Multi-Bit Sampling Of Oscillator Jitter For Random Number Generation | 4 |
John Joseph Harsany | US | Tualatin | 2009-06-11 / 20090146359 - LOW PROFILE CLAMP FOR USE WITH APPARATUS FOR THERMAL CONTROL IN THE ANALYSIS OF ELECTRONIC DEVICES | 2 |
Marton Harsanyi | HU | Dunakeszi | 2015-10-15 / 20150291536 - METHOD FOR PREPARING PHENYLOXYMETHYL-NITRO-IMIDAZOLE DERIVATIVES AND USE OF SAME | 1 |
Gabor Harsanyi | HU | Budapest | 2012-09-27 / 20120241661 - VALVE STRUCTURE FOR A MICROFLUIDIC CHANNEL | 2 |
Lior Harsat | IL | Kfar-Saba | 2009-11-19 / 20090288099 - APPARATUS AND METHOD FOR ACCESSING AND INDEXING DYNAMIC WEB PAGES | 1 |
Martin Harsch | DE | Mundelsheim | 2009-05-14 / 20090120518 - SANITARY SINGLE-LEVER MIXER | 1 |
Heinrich Harsch | DE | Stutensee | 2016-03-31 / 20160091142 - Cooling device with cryostat and cold head having reduced mechanical coupling | 1 |
Samuel Harsch | CH | Ballaigues | 2016-02-25 / 20160057549 - METHOD AND SYSTEM FOR PROVIDING HEARING ASSISTANCE TO A USER | 8 |
Bradley Harsch | US | Elk Grove | 2016-04-28 / 20160117644 - SYSTEM AND METHOD FOR BUSINESS PROCESS AUTOMATION FOR FINANCIAL ADVISORS | 1 |
Thomas B. Harsch | US | Stow | 2009-01-15 / 20090017810 - METHOD TO SUSTAIN TCP CONNECTION | 1 |
Samuel Harsch | CH | Ballaigues | 2016-02-25 / 20160057549 - METHOD AND SYSTEM FOR PROVIDING HEARING ASSISTANCE TO A USER | 8 |
Thomas Harsch | DE | Hallerndorf | 2014-03-13 / 20140072165 - COUPLABLE HEARING APPARATUS FOR A HEARING DEVICE, COUPLING ELEMENT AND HEARING DEVICE | 1 |
Margit Harsch | CH | Tuggen | 2012-12-13 / 20120313292 - METHOD FOR PRODUCING CERAMIC ARTICLES | 1 |
Erich Harsch | DE | Ochsenhausen | 2013-05-09 / 20130112931 - WINCH | 2 |
Michael Johannes Harsch | FR | Reims | 2014-08-21 / 20140234481 - METHOD OF PRODUCING AN ALCOHOLIC BEVERAGE HAVING A FRUITY FLAVOR | 1 |
Mary Harscher | US | Lafayette | 2011-03-24 / 20110071237 - Flame Resistance Natural Fiber-Filled Thermoplastics with Improved Properties | 1 |
Marc Harscher | DE | Laichingen | 2012-05-31 / 20120133566 - Foldable Log-Periodic Antenna | 1 |
Mario Harscher | DE | Bad Wurzach | 2013-06-13 / 20130150199 - PUMP UNIT WITH A PUMP AND A HYBRID DRIVE | 1 |
Alex Harscher | DE | Mössingen | 2009-08-27 / 20090216295 - ACTIVE SUB-RETINA IMPLANT | 1 |
Werner Harscher | DE | Heiningen | 2010-04-15 / 20100088874 - METHOD FOR MANUFACTURING A BALL CAGE OF A JOINT | 1 |
Alex Harscher | DE | Mössingen | 2009-08-27 / 20090216295 - ACTIVE SUB-RETINA IMPLANT | 1 |
Andrew Michael Harsh | US | Chagrin Falls | 2013-02-28 / 20130047634 - COOLING CUBE ASSEMBLY | 1 |
Michael Ryan Harsh | US | Redmond | 2013-08-15 / 20130212600 - CONSTRAINED MODE FOR RUNNING APPLICATIONS | 1 |
Philip Harsh | US | Lancaster | 2013-06-06 / 20130139309 - Plunger and Methods of Producing Hydrophobic Surfaces | 3 |
Philip Harsh | US | Gilbertsville | 2015-01-29 / 20150030779 - Highly Durable Superhydrophobic, Oleophobic and Anti-Icing Coatings and Methods and Compositions for Their Preparation | 1 |
Philip Harsh | US | Blandon | 2011-04-07 / 20110078917 - Coal fine drying method and system | 1 |
Michael R. Harsh | US | Redmond | 2014-11-13 / 20140337433 - Media Streams from Containers Processed by Hosted Code | 6 |
Joseph Andrew Harsh | US | Fairfax | 2010-04-29 / 20100105018 - PASSIVE EDUCATION METHOD AND DEVICE | 1 |
Mike Harsh | US | Redmond | 2013-05-09 / 20130117792 - ADAPTIVE VIDEO SWITCHING FOR VARIABLE NETWORK CONDITIONS | 2 |
Aaron Harsh | US | Portland | 2015-08-13 / 20150227950 - SYSTEMS AND METHODS FOR ASCERTAINING NETWORK MARKET SUBSCRIPTION COVERAGE | 8 |
Susan R. Harsh | US | Fairfax | 2009-07-30 / 20090188617 - DOG NOSE ART | 1 |
Steven Harsh | US | Trego | 2008-10-02 / 20080242456 - HELICAL ARROW FLETCHING | 1 |
Phillip Harsh | US | Gilbertsville | 2014-03-27 / 20140087134 - Superhydrophobic and Oleophobic Coatings with Low VOC Binder Systems | 1 |
Cory James Harsh | US | Chagrin Falls | 2013-02-28 / 20130047634 - COOLING CUBE ASSEMBLY | 1 |
Avinash Harsh | US | Sunnyvale | 2015-11-05 / 20150319116 - SYSTEM AND METHOD FOR MULTI-CHANNEL DELIVERY OF TRANSFORMED AND AUGMENTED MESSAGES IN REAL-TIME | 1 |
Aaron Harsh | US | Portland | 2015-08-13 / 20150227950 - SYSTEMS AND METHODS FOR ASCERTAINING NETWORK MARKET SUBSCRIPTION COVERAGE | 8 |
Jasmeen K. Harsh | US | Peoria | 2012-10-04 / 20120254710 - RISK CHARTS FOR FAILURE MODE AND EFFECT ANALYSIS | 4 |
Philip R. Harsh | US | Gilbertsville | 2014-05-22 / 20140137466 - Methods and Compositions for Drying Coal | 1 |
Philip Harsh | US | 2012-07-05 / 20120167457 - METHODS AND COMPOSITIONS FOR DRYING COAL | 1 | |
Nagaraja Harsha | IN | Bangalore | 2015-11-12 / 20150327024 - Multicast Transmissions in a Network Environment With User Anchor Controllers | 1 |
Solomon Harsha | US | Los Gatos | 2015-03-12 / 20150074257 - METHOD, APPARATUS, AND COMPUTER-READABLE MEDIUM FOR PARALLELIZATION OF A COMPUTER PROGRAM ON A PLURALITY OF COMPUTING CORES | 1 |
Suryanarayana Harsha | IN | Bangalore | 2008-12-04 / 20080301502 - SYSTEM CRASH ANALYSIS USING PATH TRACING TECHNOLOGIES | 1 |
Narayani Harsha | IN | Thiruvananthapuram Kerala | 2013-05-02 / 20130105397 - MAGNETIC DYE-ADSORBENT CATALYST | 1 |
Asheesh Harsha | US | New York | 2009-03-12 / 20090068251 - METHODS AND COMPOSITIONS FOR PROMOTING WOUND HEALING | 1 |
Pavithra Harsha | US | Yorktown Heights | 2015-11-19 / 20150332298 - PRICE MATCHING IN OMNI-CHANNEL RETAILING | 4 |
Liron Har-Shai | IL | Haifa | 2013-08-01 / 20130194706 - Photovoltaic Panel Circuitry | 3 |
Gadi Har-Shai | IL | Hod-Hasharon | 2015-12-24 / 20150368438 - ELASTOMERIC COMPOSITES EXHIBITING HIGH AND LONG-LASTING MECHANICAL STRENGTH AND ELASTICITY AND DEVICES CONTAINING SAME | 3 |
Bret Harsham | US | Newton | 2015-05-21 / 20150142205 - Actions Prediction for Hypothetical Driving Conditions | 5 |
Bret A. Harsham | US | Newton | 2009-08-27 / 20090216740 - Method for Indexing for Retrieving Documents Using Particles | 2 |
Scott Harshbarger | US | Pittsburgh | 2015-11-05 / 20150317456 - MEDICATION STORAGE AND DISPENSING APPARATUS HAVING LINEAR DRAWER ASSEMBLY INCLUDING DISCRETE STORAGE MODULES | 2 |
Steven E. Harshbarger | US | Corte Madera | 2016-03-24 / 20160088055 - MEDIA PLATFORM FOR ADDING SYNCHRONIZED CONTENT TO MEDIA WITH A DURATION | 4 |
Richard Harshbarger | US | Munroe Falls | 2010-09-02 / 20100221437 - Base-coat in-mold coating | 1 |
Michael Harshbarger | US | Lake Villa | 2010-06-24 / 20100154238 - ELECTRONIC DEPTH GAUGE WITH VARIABLE ELECTRICAL RESISTANCE SENSING | 1 |
Daniel R. Harshbarger | US | Columbus | 2015-04-23 / 20150108831 - RESERVE POWER SYSTEM FOR INTERNAL COMBUSTION ENGINE | 5 |
Kara B. Harshbarger | US | Los Angeles | 2009-08-20 / 20090205550 - TEMPORARY HEMMING DEVICE | 1 |
Joel Harshbarger | US | Palmetto | 2012-06-28 / 20120160948 - Apparatus for Adjusting the Payout of Tether From a Reel Assembly | 2 |
Stuart D. Harshbarger | US | Woodbine | 2014-03-06 / 20140067083 - Control System for Prosthetic Limb | 2 |
Aaron H. Harshbarger | US | Sidney | 2010-09-09 / 20100228428 - INFORMATION SYSTEM FOR INDUSTRIAL VEHICLES | 1 |
Wayne E. Harshberger | US | Oswego | 2012-05-24 / 20120128456 - Z-Bar Linkage for Wheel Loader Machines | 2 |
Wayne Harshberger | US | Oswego | 2014-04-03 / 20140095036 - Automatic Shift Control System for a Powertrain and Method | 2 |
Michael Ryan Harshberger | US | Corning | 2013-11-28 / 20130312459 - APPARATUS AND METHOD FOR CONTROL OF GLASS STREAMS IN LAMINATE FUSION | 1 |
Wayne E. Harshberger, Ii | US | Oswego | 2014-11-13 / 20140336874 - Dynamic Tip-Off Detection, Display and Location Selection | 3 |
James Daniel Harshfield | US | Roanoke | 2012-12-06 / 20120310383 - SYSTEMS AND METHODS FOR THIRD-PARTY FOUNDATION FIELDBUS INFORMATION | 2 |
Steven T. Harshfield | US | Emmett | 2013-06-06 / 20130140703 - CONTACT STRUCTURE IN A MEMORY DEVICE | 4 |
Terrence V. Harshfield | US | Novi | 2011-03-03 / 20110055817 - PERFORMANCE MANAGEMENT TOOL HAVING UNIFIED ANALYSIS REPORT | 1 |
Gabriel James Harshman | US | Portage | 2013-12-26 / 20130345765 - SYSTEMS AND METHODS FOR OFF-AXIS TISSUE MANIPULATION | 1 |
Gabriel J. Harshman | US | Kalamazoo | 2014-05-15 / 20140130937 - MANIFOLD FOR FILLING PLURAL CANNULAE, THE MANIFOLD INCLUDING A QUICK RELEASE MECHANISM FOR SIMULTANEOUSLY HOLDING AND RELEASING THE CANNULAE TO/FROM THE MANIFOLD | 1 |
James Vaughan Harshman | US | Bradenton | 2016-02-25 / 20160051929 - ADDITION OF ALKALINE MATERIALS TO BIOTRICKLING FILTER OR BIO-FILTER MAKE-UP WATER | 2 |
E. Scott Harshman | US | Kirkland | 2012-12-27 / 20120330160 - ELECTRICAL CONNECTOR | 1 |
Edward Scott Harshman | US | Kirkland | 2015-09-17 / 20150257800 - SHAPE ADAPTABLE INTRAMEDULLARY FIXATION DEVICE | 1 |
Dale Richard Harshman | US | Lynden | 2014-01-09 / 20140011683 - Layered Ionic Superconductor | 1 |
Julia M. Harshman | US | Owings | 2015-05-28 / 20150150167 - Apple tree named 'Antietam Blush' | 1 |
James P. Harshman | US | Bradenton | 2012-08-23 / 20120213662 - SYNERGISTIC METHODS FOR ODOR CONTROL | 3 |
Scott Harshman | US | Menlo Park | 2016-04-21 / 20160106576 - CONTROLLER FOR DRY EYE TREATMENT SYSTEMS | 1 |
Trent A. Harshman | US | Galveston | 2012-02-16 / 20120036771 - Stackables | 3 |
E. Scott Harshman | US | Redmond | 2009-09-03 / 20090221918 - ELECTRICAL CONNECTOR | 1 |
James Paul Harshman | US | Brandenton | 2013-08-29 / 20130220431 - SLURRY FEED SYSTEM AND METHOD | 1 |
Edward S. Harshman | US | Kirkland | 2016-01-28 / 20160022351 - FLUID DELIVERY SYSTEM AND METHOD FOR TREATMENT | 3 |
James Paul Harshman | US | Bradenton | 2012-01-19 / 20120012188 - SLURRY FEED SYSTEM AND METHOD | 2 |
Scott Harshman | US | Kirkland | 2015-04-23 / 20150112365 - METHODS AND DEVICES FOR FORMING A TISSUE FOLD | 7 |
Bruce Harshman | US | Wentzville | 2012-09-13 / 20120231107 - Double Bag Vacuum Infusion Process and System for Low Cost, Advanced Composite Fabrication | 2 |
Mark Harshman | US | St. Joseph | 2009-03-26 / 20090079103 - Tool and apparatus for forming a moldable material | 1 |
Jarmo Harsia | US | Woodstock | 2016-01-07 / 20160002888 - VARIABLE LOAD SENSE OPEN CENTER HYBRID SYSTEM | 1 |
Jamo Antero Harsia | US | Lincolnshire | 2009-01-29 / 20090025380 - Fixed/variable hybrid system | 1 |
Jarmo A. Harsia | US | Palatine | 2013-11-14 / 20130298542 - HYDRAULIC SYSTEM WITH RETURN PRESSURE CONTROL | 1 |
Jarmo Harsia | US | Elk Grove Village | 2014-01-23 / 20140020373 - FIXED VARIABLE HYBRID SYSTEM | 1 |
Jarmo Harsia | US | Chicago | 2015-02-19 / 20150048188 - PTO DRIVEN CHIPPER SYSTEM | 4 |
Jarmo Antero Harsia | US | Lincolnshire | 2012-07-19 / 20120180472 - Fixed/variable hybrid system | 2 |
Scott Allen Harsila | US | Bothell | 2015-07-30 / 20150211850 - INTERCHANGEABLE REFLECTIVE ASSEMBLY FOR A CHROMATIC RANGE SENSOR OPTICAL PEN | 7 |
Andrew John Harsley | GB | Grantham | 2016-01-07 / 20160001943 - TIE STRIPS | 1 |
Andrew John Harsley | GB | Lincolnshire | 2011-06-16 / 20110143074 - MOULDED TIE STRIPS | 1 |
Wibowo Harsono | CN | Shanghai | 2012-10-25 / 20120268964 - DIFFUSER FILM WITH CONTROLLED LIGHT COLLIMATION | 1 |
Harsono Harsono | SG | Singapore | 2014-07-03 / 20140182860 - Tubing Hanger Assembly with Single Trip Internal Lock Down Mechanism | 2 |
Ryan Harsono | US | San Diego | 2012-05-31 / 20120134283 - DETERMINING NEIGHBOR LISTS AND PN OFFSETS FOR NEW SECTOR | 1 |
Dennis A. Harstad | US | Rapid City | 2011-04-07 / 20110081689 - Process for Thermal-Mechanical Pretreatment of Biomass | 1 |
Edward E. Harstead | US | New York | 2014-11-13 / 20140334811 - Apparatus And Method For Conserving Power In A Passive Optical Network | 2 |
John Christopher Harston | GB | Clevedon | 2008-09-18 / 20080227612 - Rotary Transfer Mechanism | 1 |
Stephen W. Harston | US | Andover | 2009-11-19 / 20090284243 - AUTO-NULLED BANDGAP REFERENCE SYSTEM AND STROBED BANDGAP REFERENCE CIRCUIT | 1 |
Stephen William Harston | US | Andover | 2008-09-11 / 20080218154 - Integrated energy metering system | 1 |
Geofrey Harston | US | Laurel | 2009-06-04 / 20090142051 - COHERENT OPTICAL DETECTOR AND COHERENT COMMUNICATION SYSTEM AND METHOD | 1 |
Geoffrey Harston | US | Laurel | 2012-01-05 / 20120002211 - CHEMICAL SENSING WITH COHERENT DETECTION OF OPTICAL SIGNAL | 1 |
Eva Harström | SE | Hasselby | 2011-02-17 / 20110040291 - TISSUE STIMULATING DEVICE AND METHOD | 3 |
Eva Harström | SE | Hasselby | 2011-02-17 / 20110040291 - TISSUE STIMULATING DEVICE AND METHOD | 4 |
Eva Harström | SE | Hässelby | 2010-01-21 / 20100016935 - MEDICAL IMPLANTABLE LEAD | 1 |
Csaba Harsvolgyi | US | 2008-09-11 / 20080222004 - Order Entry Graphical User Interface | 1 | |
Mikhael Harswanto | ID | Jakarta | 2011-11-10 / 20110276651 - ROUTING LOGIC | 3 |
Barry Patrick Hart | US | Palo Alto | 2016-02-04 / 20160030446 - PYRIDO [4,3-B]INDOLE AND PYRIDO [3,4-B] INDOLE DERIVATIVES AND METHODS OF USE | 9 |
Robert A. Hart | US | Portland | 2012-01-12 / 20120010662 - PEDICULAR FACET FUSION SCREW WITH PLATE | 1 |
Robert M. Hart | US | Portland | 2012-01-12 / 20120010669 - PEDICULAR FACET FUSION SCREW WITH PLATE | 1 |
Robert D. Hart | US | Roseville | 2011-11-24 / 20110284607 - APPARATUS AND METHOD FOR STORING AND TRANSPORTING ELECTRONIC DEVICES | 1 |
Terance William Hart | GB | Cambridge | 2012-01-12 / 20120010263 - ACETYLENE DERIVATIVES HAVING MGLUR 5 ANTAGONISTIC ACTIVITY | 1 |
Jeffrey Shawn Hart | US | Brea | 2012-01-12 / 20120010002 - SCORING MACHINE | 1 |
Kimberly Ann Hart | US | Wantagh | 2012-01-12 / 20120007390 - Highchair lap bib | 1 |
George Hart | US | Ponte Vedra Beach | 2014-03-27 / 20140087612 - PLASTISOL COMPOSITIONS INCLUDING ORGANOSILICON COMPOUND(S) | 1 |
James E. Hart | US | Englewood | 2012-01-05 / 20120004876 - Portable System for Auto Var Testing | 1 |
Roy Lynn Hart | US | Laguna Niguel | 2011-12-01 / 20110290623 - LOW PROFILE SWITCH | 1 |
Michael J. Hart | US | San Jose | 2012-01-05 / 20120002392 - ELECTRO-STATIC DISCHARGE PROTECTION FOR DIE OF A MULTI-CHIP MODULE | 1 |
Jason Hart | US | Fremont | 2015-10-01 / 20150279133 - CONFIGURABLE DIGITAL BADGE HOLDER | 8 |
Lotta Hart | SE | Limhamn | 2016-03-24 / 20160082290 - SYSTEMS AND METHODS FOR MODULATING PRESSURE WAVE THERAPY | 2 |
Stuart Hart | GB | Conventry | 2015-10-15 / 20150294546 - LOW POWER DETECTION AND ALARM | 1 |
Brian Hart | GB | Wakefield | 2015-12-24 / 20150368998 - Subsea Test Tree Intervention Package | 4 |
Beau Hart | US | Ball Ground | 2015-10-01 / 20150273174 - Controlling Oxygen Concentrator Timing Cycle Based on Flow Rate of Oxygen Output | 1 |
Philip Hart | GB | Nottinghamshire | 2015-10-15 / 20150291302 - MACHINE AND METHOD FOR ITS OPERATION | 2 |
A.a. M. Hart | NL | Castricum | 2013-05-09 / 20130116145 - DIAGNOSIS AND PROGNOSIS OF BREAST CANCER PATIENTS | 2 |
Michael Hart | US | Brooklyn | 2015-10-01 / 20150281257 - SYSTEM TO IDENTIFY MACHINES INFECTED BY MALWARE APPLYING LINGUISTIC ANALYSIS TO NETWORK REQUESTS FROM ENDPOINTS | 1 |
Robert Hart | US | Portland | 2011-04-28 / 20110098710 - Preparatory Reamers For Orthopedic Implants | 1 |
Robert Alan Hart | US | Portland | 2010-10-21 / 20100268230 - METHOD AND APPARATUS FOR DENS FRACTURE FIXATION | 1 |
David Hart | US | Cornelius | 2010-01-14 / 20100005654 - Planarization Methods | 2 |
Richard L. Hart | US | Portland | 2008-12-18 / 20080308325 - Powered trailer dolly | 1 |
Stuart Hart | GB | Coventry | 2013-08-08 / 20130201022 - OPTICAL SMOKE DETECTOR | 5 |
Courtenay R. Hart | US | Eugene | 2010-10-21 / 20100267078 - Selective Detection of Proteins that Contain Two or More Alpha-Helical Transmembrane Domains | 1 |
Kenneth M. Hart | US | Klamath Falls | 2010-07-01 / 20100162644 - SILL CORNER WITH PATHWAY | 2 |
Courtenay Hart | US | Eugene | 2014-02-06 / 20140038856 - Site-specific labeling of affinity tags in fusion proteins | 3 |
Courtenay Rae Hart | US | Eugene | 2009-01-01 / 20090004641 - SITE-SPECIFIC LABELING OF AFFINITY PEPTIDES IN FUSION PROTEINS | 1 |
Katherine Hart | US | Batavia | 2013-02-14 / 20130036736 - AUTOMATED MASS MANAGEMENT CONTROL | 1 |
Pierre Hart | CA | Calgary | 2014-04-24 / 20140109697 - PRESSURE SENSOR CARRIER | 1 |
Gregory Michael Hart | US | Mercer Island | 2014-09-18 / 20140278438 - Providing Content on Multiple Devices | 2 |
Claye K. Hart | US | 2013-01-10 / 20130010801 - SYSTEM AND METHOD FOR CONGREGATING DISPARATE BROADBAND INFRASTRUCTURE | 1 | |
Robert James Hart | US | Frisco | 2015-09-24 / 20150269469 - Method of, and System and Label For, Authenticating Objects in situ | 4 |
Andrew J. Hart | CA | Glencairn | 2014-05-15 / 20140134359 - INTERLOCKING SAFETY GRIP | 2 |
Carrie Hart | AE | Palm Jumeirah | 2014-05-29 / 20140149571 - Trust-Based Self-Organizing Wireless Network | 1 |
Brendan George Hart | US | Troy | 2013-03-07 / 20130058187 - Agitation Apparatus with Interchangeable Module and Impact Protection Using Reactive Feedback Control | 1 |
Anastasios John Hart | US | Somerville | 2016-03-24 / 20160083256 - CONTINUOUS PROCESS FOR THE PRODUCTION OF NANOSTRUCTURES INCLUDING NANOTUBES | 4 |
Anastasios John Hart | US | Cambridge | 2016-01-28 / 20160023904 - STRAIN ENGINEERED MICROSTRUCTURES | 3 |
Joel Edward Hart | US | Tualatin | 2013-05-30 / 20130135111 - SELF-CALIBRATING CURRENT SWITCH WITH DISPLAY | 1 |
Jason Dean Hart | US | Fremont | 2013-11-28 / 20130318575 - METHOD AND APPARATUS FOR DYNAMIC AUTHENTICATION | 4 |
Andrew Richard Hart | US | Ithaca | 2014-08-07 / 20140216162 - Sensor for High Pressure Processing of Articles | 2 |
Todd Hart | US | Cary | 2013-05-30 / 20130138537 - SYSTEM FOR AND METHOD OF MANAGING FIBER REEL DATA AT A CENTRALIZED LOCATION | 1 |
Stephen Hart | US | Marengo | 2012-12-27 / 20120325399 - IMPACT RESISTANT DOOR SKIN, DOOR INCLUDING THE SAME, AND METHOD OF MANUFACTURING AN IMPACT RESISTANT DOOR SKIN FROM A PRE-FORMED DOOR SKIN | 1 |
David E. Hart | US | Cornelius | 2013-04-04 / 20130082320 - STRAPPED DUAL-GATE VDMOS DEVICE | 1 |
Shane Paul Hart | US | Cypress | 2013-06-06 / 20130140029 - TORQUE LIMITING DEVICE | 1 |
William Pierson Hart | US | Cornelius | 2013-04-04 / 20130082065 - STACKABLE GLASS CONTAINER | 2 |
Richard Louis Hart | US | Broadalbin | 2016-04-14 / 20160104890 - ELECTRODE COMPOSITIONS AND RELATED ENERGY STORAGE DEVICES | 18 |
Brendan Hart | US | Troy | 2014-10-09 / 20140302485 - Integrated Temperature Control of Laboratory Instrument | 2 |
John R. Hart | US | Sacramento | 2013-03-21 / 20130071890 - Production of PHA using Biogas as Feedstock and Power Source | 1 |
Alister James Hart | GB | London | 2013-03-21 / 20130072940 - POSITION OF HIP JOINT PROSTHESES | 1 |
Cynthia J. Hart | US | Fort Collins | 2012-12-20 / 20120317702 - Slidably Adjustable Magnetic Catch for Garment | 1 |
Emily Hart | US | Somerville | 2013-03-21 / 20130074005 - SYSTEM, METHOD AND GRAPHICAL USER INTERFACE FOR DISPLAYING AND CONTROLLING VISION SYSTEM OPERATING PARAMETERS | 1 |
Paul A. Hart | US | Warren | 2011-01-27 / 20110017880 - LATERAL SEISMIC BRACE | 2 |
Paul Hart | US | Warren | 2009-07-23 / 20090184222 - FLANGE-ENGAGING CLAMP | 2 |
Ronald Lee Hart | US | Xenia | 2009-07-23 / 20090186058 - MICROENCAPSULATION OF BIOCIDES AND ANTIFOULING AGENTS | 1 |
Gregory T. Hart | US | Lompoc | 2013-03-28 / 20130080074 - AUTOMATED HYDRAULIC PROPERTY MEASUREMENT APPARATUS | 1 |
Michael Hart | US | Cleveland Heights | 2008-12-11 / 20080306241 - METHOD OF STABILIZING METAL PIGMENTS AGAINST GASSING | 1 |
John A. Hart | US | Middletown | 2009-08-20 / 20090205913 - Magnetorheological (MR) rod guide assembly and MR damper having same | 1 |
Louise Hart | US | Fremont | 2013-03-28 / 20130075475 - PERSONAL SECURE MULTI-IDENTIFICATION DEVICE | 1 |
Richard L. Hart | US | Dalton | 2009-04-23 / 20090101234 - STUMP CUTTER WITH A WEIGHT CENTERED POWER TRAIN | 1 |
Jason Dean Hart | US | Freemont | 2013-03-28 / 20130075475 - PERSONAL SECURE MULTI-IDENTIFICATION DEVICE | 1 |
Keir Hart | US | Lafayette | 2016-04-14 / 20160100881 - SURGICAL TISSUE SEALER | 30 |
Robert W. Hart | US | Philadelphia | 2012-12-13 / 20120315638 - Moisture-Activated Self-Heating Analysis Device | 1 |
Patrick Hammel Hart | US | Ballston Park | 2014-06-26 / 20140175887 - SYSTEM AND METHOD FOR VOLTAGE REGULATION OF A RENEWABLE ENERGY PLANT | 1 |
James Michael Hart | US | Akron | 2014-06-26 / 20140174625 - PNEUMATIC TIRE WITH GEODESIC BELT | 1 |
Lynsey Hart | US | Brimfield | 2015-07-16 / 20150198071 - Filter System and Filtration Method for Fluid Reservoirs | 2 |
Ian D. Hart | US | Foster City | 2014-10-23 / 20140317155 - RESEARCH DATA COLLECTOR AND ORGANIZER | 1 |
Brian Donald Hart | US | Sunnyvale | 2014-11-06 / 20140327579 - ANGLE OF ARRIVAL LOCATION SENSING WITH ANTENNA ARRAY | 24 |
Allan R. Hart | US | Phoenix | 2012-12-06 / 20120310524 - METHODS AND SYSTEMS FOR DISPLAYING PROCEDURE INFORMATION ON AN AIRCRAFT DISPLAY | 1 |
Linda A. Hart | US | Dallas | 2015-08-13 / 20150224050 - Lip Stick | 2 |
Neal Hart | GB | London | 2015-08-06 / 20150222950 - METHOD OF IDENTIFYING MEDIA CONTENT | 3 |
Bryan Conrad Hart | CA | Halifax | 2016-03-10 / 20160072759 - SYSTEMS AND METHODS OF ONLINE SOCIAL ENVIRONMENT BASED TRANSLATION OF ENTITY MENTIONS | 2 |
Keith Hart | US | Oakland Township | 2015-03-05 / 20150060003 - METHOD OF SIMULTANEOUSLY MANUFACTURING A PLURALITY OF CRANKSHAFTS | 4 |
Matthew N. Hart | US | Palmyra | 2016-04-21 / 20160106737 - Extended Release Abuse Deterrent Liquid Fill Dosage Form | 1 |
Jacob J. Hart | US | Dallas | 2013-06-20 / 20130154162 - System and Method of Optimizing a Composite System | 1 |
Jonathan Hart | US | Salt Lake City | 2014-05-22 / 20140138280 - OUTDOOR TOOL SYSTEM WITH INTERCHANGEABLE MODULAR HEADS | 4 |
Stephen John Hart | US | San Juan Capistrano | 2014-11-06 / 20140329172 - ANISOTROPIC OPTICAL MATERIAL | 1 |
Brian W. Hart | US | Austin | 2016-05-19 / 20160139978 - FIRMWARE DUMP COLLECTION FROM PRIMARY SYSTEM DUMP DEVICE ADAPTER | 30 |
Charles E. Hart | US | Woodinville | 2013-08-15 / 20130209467 - METHOD OF TREATING FIBROPROLIFERATIVE DISORDERS | 5 |
Charles E. Hart | US | Brentwood | 2016-01-07 / 20160000972 - COMPOSITIONS AND METHODS FOR TREATING THE VERTEBRAL COLUMN | 11 |
Michael John Beems Hart | GB | London | 2011-08-25 / 20110206027 - Wireless Communication Systems | 27 |
Timothy O. Hart | US | Glendale | 2014-11-20 / 20140343706 - Customizable Healing Abutment | 1 |
Brian W. Hart | US | Austin | 2016-05-19 / 20160139978 - FIRMWARE DUMP COLLECTION FROM PRIMARY SYSTEM DUMP DEVICE ADAPTER | 30 |
William S. Hart | US | Plano | 2009-01-01 / 20090001928 - Transformation of battery charger to power source using signature adapters | 1 |
Tace Parley Hart | US | Richmond | 2011-02-17 / 20110036586 - Self Aligning Mud Saver Valve Seat | 1 |
Eric Hart | US | Galveston | 2010-01-14 / 20100007348 - ANISOTROPY ORIENTATION IMAGE FROM RESISTIVITY MEASUREMENTS FOR GEOSTEERING AND FORMATION EVALUATION | 2 |
Daniel R. Hart | US | Sugar Land | 2014-06-05 / 20140151067 - Casing Manipulation Assembly with Hydraulic Torque Locking Mechanism | 5 |
Paul Robert Hart | US | Sugar Land | 2009-09-03 / 20090218099 - Method for Enhancing Heavy Hydrocarbon Recovery | 2 |
Paul Hart | US | Sugar Land | 2009-02-05 / 20090036329 - INHIBITING NAPHTHENATE SOLIDS AND EMULSIONS IN CRUDE OIL | 1 |
William Stephen Hart | US | Plano | 2008-11-06 / 20080272742 - Method and apparatus for acquiring battery temperature measurements using stereographic or single sensor thermal imaging | 2 |
Colin W. Hart | US | Everett | 2015-06-11 / 20150157884 - OXYGEN ENRICHED USER COMPARTMENT ON AN AIRCRAFT | 3 |
Daniel J. Hart | US | Watertown | 2009-07-30 / 20090191491 - Method of Creating an Image in a Photoresist Laminate | 1 |
Kyle Hart | US | Puyallup | 2016-04-14 / 20160103386 - SLIDE-ABLE MOUNT FOR AN IMAGE DEVICE | 1 |
Robert P. Hart | US | East Hampton | 2015-03-19 / 20150075260 - FUELING SYSTEM VAPOR RECOVERY AND CONTAINMENT PERFORMANCE MONITOR AND METHOD OF OPERATION THEREOF | 7 |
Richard Casey Hart | US | Clinton | 2015-08-13 / 20150223808 - System and Method For Making Tapered Looped Suture | 7 |
William G. Hart | US | Sandy Hook | 2009-04-30 / 20090107086 - System for integrated production of envelopes and contents thereof | 1 |
Barry Hart | US | Woodbridge | 2010-06-03 / 20100137324 - RHO-KINASE INHIBITORS | 2 |
Simon David Hart | GB | Welshpool | 2016-03-03 / 20160061507 - Variable Speed Compressor Control with Lost Rotor Mitigation | 20 |
Michael J. Hart | US | Palo Alto | 2016-02-18 / 20160049940 - INTERCONNECT CIRCUITS HAVING LOW THRESHOLD VOLTAGE P-CHANNEL TRANSISTORS FOR A PROGRAMMABLE INTEGRATED CIRCUIT | 11 |
Chris Hart | AU | Rerwick | 2016-03-24 / 20160081869 - MEDICAL WASTE DISPOSAL APPARATUS | 1 |
Monroe Hart | US | Homewood | 2014-12-04 / 20140357395 - Golf Training System and Method | 1 |
Barry Patrick Hart | US | Palo Alto | 2016-02-04 / 20160030446 - PYRIDO [4,3-B]INDOLE AND PYRIDO [3,4-B] INDOLE DERIVATIVES AND METHODS OF USE | 9 |
Catherine V. Hart | CA | Vancouver | 2016-03-17 / 20160080415 - NETWORK INTRUSION DIVERSION USING A SOFTWARE DEFINED NETWORK | 1 |
Mitchell E. Hart | US | Grand Blanc | 2014-08-07 / 20140216416 - FUEL MODULE WITH ELECTROSTATIC DISCHARGE MITIGATION | 5 |
Anastasios John Hart | US | Ann Arbor | 2013-10-31 / 20130283884 - HIGH RESOLUTION PIPETTE | 10 |
Jamie R. Hart | US | Byron Center | 2010-08-12 / 20100201082 - Multilayer Seal for a Container | 1 |
Daniel L. Hart | US | Rochester | 2010-08-12 / 20100202353 - WIRELESS ACCESS MODULE WITH INTEGRATED ANTENNA | 1 |
Anastasios J. Hart | US | Ann Arbor | 2010-07-29 / 20100189913 - ROLLING CONTACT LAYER-BY-LAYER ASSEMBLY | 1 |
Russell Hart | US | Chelsea | 2014-01-02 / 20140004550 - METHODS FOR ASSAYING ENZYME-MEDIATED OXIDATIVE DEMETHYLATION | 3 |
Charles C. Hart | US | Rancho Santa Margarita | 2016-03-10 / 20160071437 - SIMULATED TISSUE STRUCTURE FOR SURGICAL TRAINING | 42 |
Alan Hart | US | Jupiter | 2013-02-21 / 20130045053 - METHOD AND APPARATUS FOR REMEDIATING ORGANIC LOADING OF MARINE SEDIMENTS | 1 |
John P. Hart | US | Raleight | 2011-07-07 / 20110165900 - DISPATCH APPLICATION UTILIZING SHORT MESSAGE SERVICE | 2 |
Hope Hart | US | Research Triangle Park | 2014-03-27 / 20140090104 - INSECTICIDAL PROTEINS | 5 |
Hope Hart | US | Fuquay-Varina | 2010-01-21 / 20100017914 - INSECTICIDAL PROTEINS | 1 |
Reuben E. Hart | US | Greensboro | 2012-09-27 / 20120244771 - METHODS AND APPARATUS FOR MAKING ELASTIC COMPOSITE YARNS | 3 |
Robert H. Hart | US | Cary | 2015-12-17 / 20150359426 - Procedural Optical Coherence Tomography (OCT) for Surgery and Related Methods | 27 |
Lisa Hart | US | Hudson | 2010-12-30 / 20100329613 - Field Installable Ferrule and Tool and Method for Installing Optical Fibers in the Ferrule Using The Tool | 1 |
David G. Hart | US | Raleigh | 2011-08-04 / 20110187206 - SAFETY INTERLOCKS FOR ELECTRICITY METER CONTROL RELAYS | 2 |
Christopher Hart | US | Chicago | 2016-03-17 / 20160075504 - CONFECTIONARY PRODUCT HOLDER WITH INDIVIDUALIZED COMPARTMENTS | 2 |
Shandon D. Hart | US | Maplewood | 2012-07-26 / 20120189784 - OPTICAL FILM ASSEMBLY AND DISPLAY DEVICE | 6 |
Shandon D. Hart | US | Corning | 2015-11-05 / 20150316697 - LOW LAYER COUNT REFLECTIVE POLARIZER WITH OPTIMIZED GAIN | 9 |
Mary Katherine Hart | US | Frederick | 2013-07-18 / 20130184168 - IMMUNOREACTIVE FRANCISELLA TULARENSIS ANTIGENS | 1 |
Ross Hart | US | Houston | 2013-07-25 / 20130186009 - Window Rough Opening Seal and Method of Sealing a Window Rough Opening | 1 |
Nathan Hart | US | Naperville | 2015-02-12 / 20150046193 - CONTRACTOR SELECTION SYSTEM AND METHOD | 4 |
Benjamin Hart | AU | North Melbourne | 2015-01-15 / 20150019388 - Method and Apparatus for Preventing Fraudulent Transactions Online | 1 |
Jonathan D. Hart | US | Oceanside | 2012-10-25 / 20120267454 - DISC SHAPED REGULATED DRIP IRRIGATION EMITTER | 1 |
Donald Hart | US | Foothill Ranch | 2013-05-23 / 20130132443 - STRUCTURE-SPECIFIC RECORD COUNT DATABASE OPERATIONS | 2 |
Kevin R. Hart | US | Champaign | 2015-11-19 / 20150328848 - Method Of Making A Self-Healing Composite System | 2 |
Samuel Allen Hart | US | Duvall | 2012-10-11 / 20120255418 - Combined metal and composite violin construction | 1 |
Tyler R. Hart | US | Seattle | 2012-10-11 / 20120257339 - Multi-Channel Amplifier Techniques | 1 |
Paul R. Hart | US | Phoenix | 2016-03-31 / 20160094187 - MODIFIABLE SIGNAL ADJUSTMENT DEVICES FOR POWER AMPLIFIERS AND CORRESPONDING METHODS & APPARATUS | 11 |
Andrew Hart | GB | Solihull | 2015-01-22 / 20150024905 - METHOD FOR NON-MICROSLIP BASED DUAL CLUTCH TRANSMISSION POWER ON UP SHIFT | 1 |
Julian I. Hart | US | Brighton | 2016-03-03 / 20160058474 - Subcutaneous sensor inserter and method | 6 |
Adam Lee Hart | US | Stuart | 2013-08-08 / 20130202445 - TURBINE BLADE HAVING IMPROVED FLUTTER CAPABILITY AND INCREASED TURBINE STAGE OUTPUT | 1 |
Charles P. Hart | US | Mountain View | 2013-08-08 / 20130202716 - Treatment of Cancer Using Hypoxia Activated Prodrugs | 1 |
Courtney Elizabeth Hart | US | Adams | 2015-02-05 / 20150038326 - Chemically Modified Mycological Materials Having Absorbent Properties | 1 |
Michelle Hart | US | Richmond | 2014-05-22 / 20140142204 - PROCESS AND SYSTEM FOR BLENDING SYNTHETIC AND NATURAL CRUDE OILS AND BLENDS MADE THEREBY. | 1 |
Christopher Patrick Hart | AU | Queensland | 2014-05-15 / 20140130807 - BREATHING ASSIST DEVICE | 1 |
Kevin J. Hart | US | Coppell | 2015-02-12 / 20150046296 - Augmented Reality Device with Global Positioning | 5 |
Nicholas Richard Hart | AU | Hallett Cove | 2012-09-13 / 20120230300 - Radio Network Assignment and Access System | 1 |
Matthew Hart | GB | London | 2016-01-28 / 20160025679 - INTERDIGITATED ELECTRODE CONFIGURATION FOR ION FILTER | 6 |
Michael John Hart | GB | London | 2011-10-20 / 20110256827 - Communication System | 4 |
Terance William Hart | GB | London | 2009-02-19 / 20090048230 - COMPOUNDS AND COMPOSITIONS USEFUL AS CATHEPSIN S INHIBITORS | 2 |
Stephen Hart | GB | London | 2012-03-29 / 20120077270 - Control of Gene Expression Using a Complex of an Oligonucleotide and a Regulatory Peptide | 2 |
Stephen Lewis Hart | GB | London | 2010-07-22 / 20100184831 - Materials and Complexes for the Delivery of Biologically-Active Materials to Cells | 4 |
Melissa Christine Derville Hart | US | Cornelius | 2013-05-02 / 20130110668 - USER SOLUTIONS ONLINE PURCHASING | 1 |
Christopher T. Hart | US | Pineville | 2014-12-18 / 20140373121 - SYSTEM AND METHOD FOR PROVIDING INTERNAL SERVICES TO EXTERNAL ENTERPRISES | 2 |
Christopher Hart | CA | Greely | 2014-09-18 / 20140268802 - OPTICAL DEVICE AND SYSTEM FOR SOLID-STATE LIGHTING | 1 |
David P. Hart | US | Webster | 2009-01-08 / 20090013064 - MANAGING DISTRIBUTED DEVICES WITH LIMITED CONNECTIVITY | 1 |
Thomas Richard Hart | US | Canastota | 2009-01-15 / 20090015350 - BAND-STOP FILTERS | 1 |
Colin P. Hart | US | Queensbury | 2009-08-13 / 20090204078 - Manifold and Valve Seal for Use with a Medical Device | 1 |
James Hart | US | Poughkeepsie | 2009-08-27 / 20090211602 - System and Method For Removing Edge-Bead Material | 1 |
Steven C. Hart | US | Webster | 2010-12-30 / 20100326352 - APPARATUS FOR APPLYING AN ACOUSTIC DAMPENING COATING TO THE INTERIOR OF A XEROGRAPHIC DRUM | 3 |
Richard Louis Hart | US | Broadalbin | 2016-04-14 / 20160104890 - ELECTRODE COMPOSITIONS AND RELATED ENERGY STORAGE DEVICES | 18 |
Richard Louis Hart | US | Schenectady | 2008-10-23 / 20080261098 - PROTON-CONDUCTING MEMBRANES FOR ELECTROCHEMICAL DEVICES, AND RELATED ARTICLES AND PROCESSES | 1 |
Gary Allen Hart | US | Walworth | 2015-10-15 / 20150293275 - ENHANCED PERFORMANCE METALLIC BASED OPTICAL MIRROR SUBSTRATES | 3 |
Colin Hart | US | Queensbury | 2009-08-06 / 20090198209 - FLUID MANIFOLD CONTROL DEVICE | 1 |
Charles C. Hart | US | Summerville | 2012-06-28 / 20120165612 - TAMPONADE TROCAR DEVICE AND METHOD | 29 |
Steven C. Hart | US | Williamson | 2010-04-01 / 20100080927 - CONTINUOUS MANUFACTURING PROCESS FOR COATED-CORE CLEANER BLADES | 2 |
Christopher William Hart | US | Rexford | 2010-09-09 / 20100226049 - Systems and Methods for Protecting a Series Capacitor Bank | 1 |
Richard Louis Hart | US | Albany | 2010-11-04 / 20100279165 - CATHODE COMPOSITION AND ELECTROCHEMICAL CELL COMPRISING SAME | 1 |
Brian Hart | US | Allenton | 2013-08-29 / 20130221629 - Convertible Trailer and Storage Basket | 1 |
David Patrick Hart | US | Webster | 2015-03-12 / 20150074248 - Managing Configurations of Distributed Devices | 3 |
Adam Hart | US | Middletown | 2016-05-05 / 20160123177 - VANE ARM WITH INCLINED RETENTION SLOT | 1 |
John E. Hart | US | Chicago | 2014-12-04 / 20140353371 - TRADING INTERFACE RETRIEVED BASED UPON BARCODE DATA | 2 |
Steven Hart | US | Marengo | 2013-08-22 / 20130217282 - METHOD OF SEALING A POROUS FIBROUS SUBSTRATE, AND DOOR SKINS, PRODUCED BY THE METHOD | 1 |
Ted C. Hart | US | Redmond | 2015-03-05 / 20150061934 - CLOUD-OFFLOADED GLOBAL SATELLITE POSITIONING | 1 |
Alan Hart | US | Waltham | 2014-09-18 / 20140260737 - ROTARY ACTUATION MECHANISM | 1 |
Gregory R. Hart | US | Palo Alto | 2015-12-03 / 20150342537 - SYSTEMS AND METHODS FOR REPORTING BLOOD FLOW CHARACTERISTICS | 12 |
John P. Hart | US | Raleigh | 2013-07-25 / 20130190022 - DISPATCH APPLICATION UTILIZING SHORT MESSAGE SERVICE | 2 |
Athena Hart | US | Huntley | 2013-02-07 / 20130035045 - MOBILE WIRELESS COMMUNICATIONS DEVICE WITH SELECTIVELY CONTROLLED ANTENNA AND FILTER SWITCHES AND RELATED METHODS | 1 |
Joseph Christopher Hart | US | Palm Harbor | 2012-08-09 / 20120203498 - TAMPER DETECTION WITH TILT SENSORS | 1 |
Jeffrey Alan Hart | US | Kent | 2013-10-10 / 20130266377 - WATERCRAFT LIFT AND AUTOMATIC WATER CRAFT COVER | 1 |
Peter C. Hart | US | Holland | 2016-02-04 / 20160035031 - User Interface for an Electronic Trading System | 1 |
Douglas P. Hart | US | Charlestown | 2015-08-20 / 20150230901 - LAYERED MEDIUM FOR THREE-DIMENSIONAL IMAGING | 31 |
Darren John Hart | GB | Salisbury | 2012-08-02 / 20120197343 - APPARATUS FOR FUNCTIONAL ELECTRICAL STIMULATION OF THE BODY | 1 |
Roy Hart | NZ | Motueka | 2013-05-16 / 20130125271 - Pear tree name 'PREMP33' | 1 |
Yoram Hart | IL | Shoham | 2008-10-30 / 20080264995 - Buffering And Tension Control System And Method | 1 |
Scott Hart | US | Richardson | 2016-01-21 / 20160020945 - INITIALIZING A NETWORK INTERFACE BASED ON STORED DATA | 1 |
Brandon Hart | US | Rockwall | 2013-05-16 / 20130124867 - SYSTEM AND METHOD FOR SECURE SOFTWARE LICENSE DISTRIBUTION | 1 |
Daniel Hart | US | Sugar Land | 2013-11-14 / 20130299184 - Multi-Cycle Ball Activated Circulation Tool with Flow Blocking Capability | 1 |
Nicholas Hart | GB | London | 2013-11-21 / 20130310699 - Patient Monitoring Method and Monitoring Device | 1 |
Roy Hart | NZ | Mouteka | 2009-06-18 / 20090158475 - Feijoa variety named 'Anatoki' | 3 |
Augustinus A.m. Hart | NL | Steenwijk | 2009-06-18 / 20090157326 - Diagnosis and prognosis of breast cancer patients | 1 |
Matthew J. Hart | US | Wilbraham | 2015-03-19 / 20150075296 - Load Cell for Measuring Load on a Rod | 1 |
Stefan Hart | DE | Bruckberg | 2009-11-26 / 20090292007 - Inhibition of TACE or amphiregulin for the Modulation of EGF Receptor Signal Transactivation | 1 |
Bryan Hart | CA | Halifax | 2015-03-19 / 20150081569 - METHODS AND SYSTEMS FOR FACILITATING CUSTOMER SUPPORT USING A SOCIAL POST CASE FEED AND PUBLISHER | 1 |
Joseph Hart | US | Camas | 2010-01-21 / 20100016812 - Medical laser gel applicator apparatus and system | 1 |
Andreas Hart | CH | Uster | 2010-01-21 / 20100016197 - Cyclohexenyl Butenones and Fragrance Compositions Comprising Them | 1 |
Sheila Adams Hart | US | Redmond | 2009-12-03 / 20090297875 - SCULPTURE KIT | 1 |
Jonathan R.m. Hart | US | Kirkland | 2008-12-18 / 20080313165 - SCALABLE MODEL-BASED PRODUCT MATCHING | 1 |
Michelle L. Hart | US | Richland | 2011-07-21 / 20110179093 - Storing and Managing Information Artifacts Collected by Information Analysts Using a Computing Device | 2 |
Ryan Andrew Hart | US | Puyallup | 2011-03-24 / 20110071890 - System and method for bundled selling of goods and services at a prepaid fixed price using the internet | 1 |
James Joseph Hart | US | Fogelsville | 2012-07-19 / 20120181182 - Electrolytic Apparatus, System and Method for the Safe Production of Nitrogen Trifluoride | 1 |
Matthew Thomas Hart | US | 2012-07-19 / 20120185551 - UNWANTED E-MAIL FILTERING SYSTEM INCLUDING VOTING FEEDBACK | 3 | |
Anastasios John Hart | US | Ann Arbor | 2013-10-31 / 20130283884 - HIGH RESOLUTION PIPETTE | 10 |
Kyle Moses Hart | US | Puyallup | 2011-03-24 / 20110071890 - System and method for bundled selling of goods and services at a prepaid fixed price using the internet | 1 |
Todd R. Hart | US | Kennewick | 2015-05-07 / 20150126758 - SYSTEM AND PROCESS FOR EFFICIENT SEPARATION OF BIOCRUDES AND WATER IN A HYDROTHERMAL LIQUEFACTION SYSTEM | 4 |
Mark Hart | US | Spokane | 2010-01-28 / 20100022914 - Skin Biopsy Devices, Kits Containing Skin Biopsy Device, and Methods of Obtaining a Skin Biopsy | 1 |
Gregory R. Hart | US | Lakewood | 2009-08-20 / 20090205980 - PAINT PARAPHERNALIA METHOD AND APPARATUS | 1 |
David Hart | US | San Francisco | 2015-08-06 / 20150220248 - GROUPING ITEMS IN A FOLDER | 11 |
Karey Hart | US | Orangevale | 2012-07-12 / 20120179857 - TECHNIQUES TO TRUNCATE DATA FILES IN NONVOLATILE MEMORY | 1 |
Brad J. Hart | US | Lakewood | 2013-10-03 / 20130255886 - GLASS HANDLING ASSEMBLY | 1 |
Linda Hart | US | Addison | 2016-01-07 / 20160000688 - COSMETIC COMPOSITIONS AND USES THEREOF | 1 |
Gerald Hart | TT | Port Of Spain | 2010-01-28 / 20100022551 - TRIMETAZIDINE FOR USE IN THE TREATMENT OF FIBROMYALGIA SYNDROME AND RELATED CONDITIONS | 1 |
Chris Hart | AU | Berwick | 2013-05-09 / 20130113171 - MEDICAL WASTE DISPOSAL APPARATUS | 1 |
Nigel Thomas Hart | SG | Singapore | 2010-09-16 / 20100230871 - Method of Sintering Ceramic Materials | 1 |
James M. Hart | US | Bellville | 2012-07-12 / 20120174570 - TRANSMISSION HYDRAULIC CONTROL SYSTEM HAVING FLOW AUGMENTATION | 1 |
William Hart | US | Hoover | 2008-08-21 / 20080201162 - E-INTERVIEW SYSTEM AND METHOD | 1 |
Jeffrey Hart | US | Reedsville | 2008-09-18 / 20080228082 - Intracavity Probe With Continuous Shielding of Acoustic Window | 2 |
Sherry Lynn Hart | US | Aurora | 2012-08-09 / 20120198617 - UNDER BED SKIRT SUPPORT WITH FULLY SEPARATED CORNERS AND WITH LAYERS THAT DO NOT HAVE TO BE EQUALLY LOFTED | 3 |
Barry M. Hart | US | Ellicott City | 2008-09-25 / 20080234535 - Device and method for thrombosis and pulmonary embolism | 1 |
David Lee Hart | US | Roswell | 2008-09-25 / 20080234421 - Optically-Enhanced Tire Preparation | 1 |
Richard D. Hart | US | Nashua | 2008-10-02 / 20080238065 - ROLL CAGE ASSEMBLY | 1 |
Terence J. Hart | US | Pittsburgh | 2008-10-09 / 20080248224 - (METH)ACRYLIC COATED CERAMIC ARTICLE | 2 |
Perry Steve Hart | US | Comer | 2008-10-16 / 20080250613 - LOCKING CLIP FOR A BILLBOARD SIGN TENSIONING SYSTEM | 1 |
Ronald P. Hart | US | Cranford | 2008-10-30 / 20080269072 - Rational Probe Optimization for Detection of MicroRNAs | 1 |
Jesse Hart | US | St. Louis | 2012-06-14 / 20120149024 - COTTON EVENT MON 88913 AND COMPOSITIONS AND METHODS FOR DETECTION THEREOF | 2 |
Brian Hart | US | Moscow | 2013-12-26 / 20130341278 - REACTIVE FILTRATION | 3 |
Brett D. Hart | US | Albuquerque | 2009-01-01 / 20090003599 - SYSTEMS AND METHODS FOR PUBLISHING SELECTIVELY ALTERED SENSOR DATA IN REAL TIME | 1 |
Charles M. Hart | US | Washington | 2009-01-01 / 20090000318 - ENVIRONMENTALLY FRIENDLY HEATPUMP SYSTEM | 1 |
Dennis Hart | US | Incline Village | 2009-01-29 / 20090026328 - SHOWER BRACKET | 2 |
Scott Hart | US | Sharon | 2015-01-29 / 20150030271 - EXTRUDED BEARING HOUSING | 2 |
Charles Hart | US | Atlanta | 2009-03-19 / 20090077584 - Methods, systems, and products for sharing presence information | 1 |
George Maynard Hart | CA | Campbellville | 2014-08-28 / 20140241167 - HYBRID CABLE-WIRELESS SYSTEM | 3 |
Peter Hart | US | Lehi | 2009-04-16 / 20090098191 - Use of bases to stabilize transdermal formulations | 1 |
Colin Ray Hart | US | Ankeny | 2009-04-30 / 20090107808 - VIBRATING ALIGNMENT CONVEYOR | 1 |
Aaron Hart | US | Boulder | 2009-06-11 / 20090150349 - DYNAMIC GEOGRAPHICAL SPATIAL SEARCH | 1 |
Gary E. Hart | US | Indianapolis | 2009-06-11 / 20090145713 - MAGNETORHEOLOGICAL FAN COUPLING | 1 |
Mark Hart | US | Carl Junction | 2009-08-27 / 20090216473 - SYSTEM AND METHOD FOR NONDESTRUCTIVE TESTING OF THERMAL BATTERIES | 1 |
John Bradford Hart | US | Dover | 2009-08-27 / 20090213871 - Apparatus and Methods for Incorporating Bandwidth Forecasting and Dynamic Bandwidth Allocation into a Broadband Communication System | 2 |
Daniel J. Hart | US | Louisville | 2015-07-16 / 20150196189 - DISHWASHER APPLIANCE | 8 |
Jeffrey Hart | US | Freehold | 2009-09-03 / 20090221371 - MOOVDISK | 1 |
Michael J. Hart | US | Marietta | 2013-03-28 / 20130080237 - SYSTEM AND METHOD FOR REWARDS PROGRAM FOR CREDIT CARD ISSUER | 2 |
Michael Hart | US | Anderson | 2014-09-18 / 20140261367 - PORTABLE TILE SAW | 2 |
Nathan W. Hart | US | Sioux Falls | 2014-10-16 / 20140306080 - SUPPORT ASSEMBLY | 2 |
Gary Thomas Hart | US | Hortonville | 2015-03-19 / 20150080285 - DELIVERY PARTICLE | 4 |
Mary Kate Hart | US | Frederick | 2010-02-11 / 20100034843 - IMMUNOGENIC COMPOSITIONS AND VACCINES FOR EBOLA | 1 |
Dennis L. Hart | US | Incline Village | 2016-02-11 / 20160040422 - EXTENDABLE SLEEVE FOR POURED CONCRETE DECK | 9 |
John R. Hart | US | Stillwater | 2010-03-18 / 20100068249 - ABUSE-RESISTANT TRANSDERMAL DOSAGE FORM | 1 |
Brian Christopher Hart | US | Georgetown | 2010-05-13 / 20100118070 - Micro-Miniature Fluid Jetting Device | 1 |
Teal Hart | US | North Pole | 2010-06-17 / 20100148440 - MULTI-LEVEL BOARD GAME | 1 |
Justin Scott Hart | GB | Purton | 2012-06-28 / 20120166621 - Sharing the Status of S-CSCF Nodes Across I-CSCF Nodes in a Communications Network | 1 |
Duane M. Hart | US | St. Paul | 2010-08-05 / 20100196671 - POLYMERIC COMPOSITE ARTICLE AND METHOD OF MAKING THE SAME | 1 |
John Hart | US | Florence | 2010-09-30 / 20100242725 - OXYGEN SCAVENGER AND A METHOD FOR SCAVENGING OXYGEN | 1 |
Patricia Suzanne Hart | US | Potomac | 2014-08-28 / 20140243215 - METHOD FOR DIAGNOSING RENAL DISEASES OR PREDISPOSITIONS | 2 |
Thomas C. Hart | US | Potomac | 2014-08-28 / 20140243215 - METHOD FOR DIAGNOSING RENAL DISEASES OR PREDISPOSITIONS | 2 |
Charles Frederick Hart | US | Atlanta | 2014-09-11 / 20140258431 - Methods of Routing Messages Using a Listener Registry | 2 |
Roger Hart | US | Loveland | 2015-12-17 / 20150361130 - Capture Purification Processes for Proteins Expressed in a Non-Mammalian System | 8 |
Orval F. Hart | US | Los Alamos | 2010-12-30 / 20100328093 - Emergency Responder Geographic Information System | 2 |
Donald William Hart | US | Stockton | 2011-01-20 / 20110016481 - Electronic Information Request Card Generation and Transmittal System | 1 |
Benjamin Patrick Hart | US | Champlin | 2011-02-03 / 20110029676 - PER PRIORITY TCP QUALITY OF SERVICE | 1 |
Nicholas Hart | US | Randolph | 2011-02-17 / 20110039930 - FAST-ACTING NAPROXEN COMPOSITION WITH REDUCED GASTROINTESTINAL EFFECTS | 1 |
Reuben D. Hart | US | Odenton | 2012-05-03 / 20120106724 - Intelligent queue for information teleservice messages with superceding updates | 3 |
Colin R. Hart | US | Ankeny | 2015-09-10 / 20150251858 - APPARATUS FOR TRANSPORTING FOOD PRODUCTS TO A LOADING HEAD | 15 |
Amy Hart | US | Ewing | 2013-08-29 / 20130225551 - JAK2 INHIBITORS AND THEIR USE FOR THE TREATMENT OF MYELOPROLIFERATIVE DISEASES AND CANCER | 2 |
Jason M. Hart | US | Hayden | 2012-07-05 / 20120169392 - MIN-TIME HARDENDED PULSE FLOP | 6 |
Jonathan Neil Hart | US | Salt Lake City | 2015-11-05 / 20150313325 - STRAP AND THE METHOD OF MANUFACTURE | 3 |
Bradley R. Hart | US | Arlington | 2011-03-31 / 20110077872 - MICROCANTILEVER-BASED GAS SENSOR EMPLOYING TWO SIMULTANEOUS PHYSICAL SENSING MODES | 1 |
Mark Hart | US | Ashland | 2011-04-14 / 20110087361 - Automated Tracking And Storage System For Use With An Automated Thermal Battery Manufacturing System | 2 |
Bradbury Hart | US | Amherst | 2011-05-05 / 20110106703 - COMPUTERIZED DEPOSIT ACCOUNT MANAGEMENT | 1 |
William Hart | US | Freehold | 2011-05-05 / 20110105977 - DISCREET PATCH FOR VIRAL LESIONS | 1 |
Jesse Hart | US | Augusta | 2011-04-07 / 20110082038 - EUKARYOTIC TRANSLATION INITIATION FACTOR GENE REGULATORY ELEMENTS FOR USE IN PLANTS | 1 |
Peter W. Hart | US | Atlanta | 2015-07-30 / 20150211188 - HIGH YIELD AND ENHANCED PERFORMANCE FIBER | 4 |
Philip R. Hart | US | Yardley | 2014-05-29 / 20140145442 - MOORING ANCHOR SYSTEM FOR WAVE ENERGY CONVERTERS (WECS) | 2 |
Duane M. Hart | US | Rosemount | 2012-06-28 / 20120160324 - CONCENTRATING SOLAR MIRROR PANEL ASSEMBLY WITH CORRUGATED STIFFENER | 1 |
Gerald W. Hart | US | Kingsville | 2010-12-30 / 20100330594 - Early Detection of Diabetes | 1 |
Derek Nigel John Hart | AU | Concord, New South Wales | 2015-12-31 / 20150376277 - ANTI-CD83 ANTIBODIES AND USE THEREOF | 1 |
Robert H. Hart | US | Cary | 2015-12-17 / 20150359426 - Procedural Optical Coherence Tomography (OCT) for Surgery and Related Methods | 27 |
Nigel Paul Hart | US | Lake Mary | 2009-03-26 / 20090083319 - METHOD OF EXECUTING A BACKGROUND TASK AND AN ARRAY CONTROLLER | 1 |
Mandi C. Hart | US | Tampa | 2014-10-09 / 20140304143 - ELECTRONIC CHECK CASHING SYSTEM | 2 |
Christopher Hart | US | Sarasota | 2010-09-16 / 20100233696 - METHODS, FLOW CELLS AND SYSTEMS FOR SINGLE CELL ANALYSIS | 1 |
Rickey Hart | US | Marco Island | 2014-05-15 / 20140131249 - Suture Cartridge For Meniscal Repair | 12 |
Charles Hart | US | Davie | 2015-11-05 / 20150316908 - SENSOR SYSTEM | 2 |
Adam L. Hart | US | Stuart | 2014-09-18 / 20140270072 - GRAIN SIZE INSPECTION OF A GAS TURBINE COMPONENT BY X-RAY REFRACTION | 3 |
Richard Hart | US | Culver | 2013-10-24 / 20130277912 - Interactive Board Game | 1 |
Derek N. Hart | NZ | Christchurch | 2010-12-02 / 20100303818 - Dendritic cell receptor | 1 |
Gili Hart | IL | Shoham | 2016-03-17 / 20160076018 - LONG-ACTING COAGULATION FACTORS AND METHODS OF PRODUCING SAME | 9 |
Celia P. Hart | FR | Noyarey | 2013-09-19 / 20130243788 - ANTIBODIES TO TGF-BETA | 2 |
Stuart Hart | US | Tampa | 2013-10-24 / 20130282050 - LAPAROSCOPIC TOOL FOR GRASPING TISSUE | 4 |
Stuart Arthur Hart | GB | London | 2011-05-26 / 20110121968 - ALARM SYSTEM | 1 |
Michael John Beem Hart | GB | London | 2009-04-02 / 20090086670 - WIRELESS COMMUNICATION SYSTEM | 1 |
Jeffrey Hart | US | Port Royal | 2011-05-26 / 20110125025 - THREE DIMENSIONAL IMAGING ULTRASOUND PROBE | 1 |
Colin R. Hart | US | Clive | 2009-04-02 / 20090087297 - STACK TRANSFER DEVICE | 1 |
Gregory M. Hart | US | Mercer Island | 2015-09-10 / 20150254361 - MANAGING INFORMATION ASSOCIATED WITH NETWORK RESOURCES | 9 |
Paul R. Hart | US | Phoenix | 2016-03-31 / 20160094187 - MODIFIABLE SIGNAL ADJUSTMENT DEVICES FOR POWER AMPLIFIERS AND CORRESPONDING METHODS & APPARATUS | 11 |
Patrick Hammel Hart | US | Ballston Lake | 2015-04-30 / 20150114010 - SYSTEM AND METHOD FOR ENHANCED CONVECTION COOLING OF TEMPERATURE-DEPENDENT POWER PRODUCING AND POWER CONSUMING ELECTRICAL DEVICES | 1 |
Andrew John Hart | CA | Kleinburg | 2015-04-30 / 20150117936 - CONNECTOR APPARATUS | 2 |
Christopher E. Hart | US | Cambridge | 2010-03-11 / 20100063742 - MULTI-SCALE SHORT READ ASSEMBLY | 1 |
Gordon Hart | US | Shrewsbury | 2011-07-07 / 20110165371 - SEGMENTED INSULATIVE DEVICE AND RELATED KIT | 2 |
Matt Eric Hart | US | Lunenburg | 2012-07-26 / 20120190301 - MOTION-BASED INTERACTION BETWEEN A PORTABLE ELECTRONIC DEVICE AND A STATIONARY COMPUTING DEVICE | 2 |
Matt E. Hart | US | Lunenburg | 2009-10-08 / 20090254343 - IDENTIFYING AUDIO CONTENT USING DISTORTED TARGET PATTERNS | 4 |
Annmarie D. Hart | US | Marblehead | 2014-07-24 / 20140202347 - SYSTEM AND METHOD FOR PERSONALIZING A CARD | 3 |
Gordon Harper Hart | US | Shrewsbury | 2011-05-12 / 20110108200 - LOW PERMEANCE SEGMENTED INSULATIVE DEVICE AND RELATED KIT | 1 |
Brian T. Hart | US | Bedford | 2011-10-13 / 20110251718 - METHOD FOR CONTROLLING A DRUG DISPENSING SYSTEM | 2 |
Kyle Hart | US | Belmont | 2013-02-28 / 20130053274 - QUANTIFICATION OF NUCLEIC ACID MOLECULES USING MULTIPLEX PCR | 3 |
Gili Hart | IL | Shoham | 2016-03-17 / 20160076018 - LONG-ACTING COAGULATION FACTORS AND METHODS OF PRODUCING SAME | 9 |
Rickey D. Hart | US | North Attleboro | 2012-08-30 / 20120221019 - SURGICAL FASTENING SYSTEM AND METHOD FOR USING THE SAME | 4 |
Les Hart | US | Baton Rouge | 2014-07-03 / 20140182417 - RECLAIMING AND INHIBITING ACTIVATION OF DRI DUST AND FINES | 2 |
Shandon Dee Hart | US | Corning | 2016-04-21 / 20160107928 - GLASS-FILM LAMINATES WITH CONTROLLED FAILURE STRENGTH | 24 |
Darren Hart | FR | Noyarey | 2011-01-13 / 20110008348 - SOLUBLE FRAGMENTS OF INFLUENZA VIRUS PB2 PROTEIN CAPABLE OF BINDING RNA-CAP | 1 |
Murdock Allen Hart | US | Tempe | 2012-05-31 / 20120132263 - Methods for Wafer Bonding, and for Nucleating Bonding Nanophases | 1 |
Roger Hart | US | Loveland | 2015-12-17 / 20150361130 - Capture Purification Processes for Proteins Expressed in a Non-Mammalian System | 8 |
Stuart Richard Hart | US | Tampa | 2016-01-28 / 20160022304 - SMALL DIAMETER LAPAROSCOPIC TOOL HAVING RELEASABLE TIP | 6 |
Edward Hart | US | Redmond | 2015-04-30 / 20150116151 - HIGH-SENSITIVITY GPS DEVICE WITH DIRECTIONAL ANTENNA | 4 |
Karmen C. Hart | US | Benton | 2012-10-11 / 20120255571 - SKIN CARE DEVICE | 2 |
Stefan Hart | SG | Singapore | 2011-01-13 / 20110008347 - CANCER-RELATED PROTEIN KINASES | 1 |
Genevieve A. Hart | AU | Ashburton | 2015-05-07 / 20150123497 - VIBRATION ENERGY HARVESTING USING CYCLOIDAL MOTION | 1 |
Molson Laurent Hart | US | Greenwich | 2012-05-17 / 20120122618 - GAME, FITNESS, STRENGTHENING AND REHABILITATION, COORDINATION IMPROVEMENT DEVICE, SHUTTLECOCK, AND CUSTOMIZABLE COLLECTIBLE | 1 |
Graeme Keith Hart | AU | Victoria | 2012-05-17 / 20120122432 - System, Method and Computer Program for Determining the Probability of a Medical Event Occurring | 1 |
Tyler R. Hart | US | Kenmore | 2016-02-18 / 20160045752 - ELECTROGARDIOGRAM MONITORING | 2 |
William T. Hart | US | Castro Valley | 2011-06-23 / 20110146705 - UV LAMP ASSEMBLY OF DEGAS CHAMBER HAVING ROTARY SHUTTERS | 1 |
Richard C. Hart | US | Clinton | 2015-12-10 / 20150351844 - TROCAR PACKAGING CLIP | 1 |
Courtney Hart | US | Adams | 2013-11-21 / 20130309755 - Fungal Leachate and Method of Making Same | 1 |
Kevin A. Hart | US | San Diego | 2015-05-14 / 20150135061 - SYSTEMS AND METHODS FOR PARALLEL TRAVERSAL OF DOCUMENT OBJECT MODEL TREE | 1 |
Lisa Hart | US | Hickory | 2011-06-23 / 20110150409 - Field Installable Ferrule and Tool and Method for Installing Optical Fibers in the Ferrule Using The Tool | 1 |
Joseph Harold Hart | US | Bakersfield | 2014-06-26 / 20140174209 - Method and Apparatus for Preparing a Bale Sample from a Bale of Fibrous Material, and a Bale Sample Produced Thereby | 1 |
Dennis Francis Hart | US | Phoenix | 2011-06-23 / 20110151714 - Digital, Small Signal and RF Microwave Coaxial Subminiature Push-on Differential Pair System | 1 |
Michael Hart | US | Wooster | 2014-03-27 / 20140084075 - NOZZLE FLUID FLOW INDICATOR SYSTEM | 1 |
John Hart | GB | Shefield | 2015-08-27 / 20150238829 - GOLF CLUB HEADS WITH TURBULATORS AND METHODS TO MANUFACTURE GOLF CLUB HEADS WITH TURBULATORS | 2 |
Nicholas Andrew Hart | GB | Sheffield | 2013-04-25 / 20130099557 - WHEEL CLAMPING ASSEMBLIES | 1 |
Terance Hart | GB | Winnersh | 2015-07-02 / 20150183769 - Azetidine Derivatives | 2 |
Tony Hart | GB | Colne, Lancashire | 2014-03-27 / 20140083829 - Actuator Assembly Having An External Plunger Sleeve | 1 |
David V. Hart | CA | Vancouver | 2013-08-15 / 20130205762 - AUXILIARY FLOW VALVE SYSTEM AND METHOD FOR MANAGING LOAD FLOW REQUIREMENTS FOR AUXILIARY FUNCTIONS ON A TRACTOR HYDRAULIC SYSTEM | 3 |
Allan Hart | CA | Calgary | 2010-06-17 / 20100147022 - PROCESS AND APPARATUS FOR REMOVAL OF SOUR SPECIES FROM A NATURAL GAS STREAM | 1 |
Neil Hart | CA | Chelsea | 2012-07-19 / 20120182997 - METHOD AND APPARATUS FOR PROVIDING TRANSPORT OF CUSTOMER QOS INFORMATION VIA PBB NETWORKS | 5 |
Rob Hart | CA | Halifax | 2010-07-01 / 20100168074 - Freezable Unit Dosage Delivery System and Method of Preparation | 1 |
Brandon Hart | CA | Okotoks | 2015-11-26 / 20150336044 - Carbon Dioxide Capture Method and Facility | 2 |
Alisa Hart | US | Boulder Creek | 2014-05-08 / 20140124123 - ELECTROSTATIC CHUCKS AND METHODS FOR REFURBISHING SAME | 2 |
Linda Hart | US | Dallas | 2015-05-28 / 20150147357 - Compositions for Sensitive Skin | 1 |
Andrew Ian Hart | GB | Coleford | 2010-06-17 / 20100152563 - DUAL FLOW BLOOD MONITORING SYSTEM | 1 |
Kevin Anthony Hart | GB | Cheshire | 2009-06-04 / 20090142351 - Human and rat PGC-3, PPAR-gamma coactivations and splice variants thereof | 1 |
Keir Hart | US | Lafayette | 2016-04-14 / 20160100881 - SURGICAL TISSUE SEALER | 30 |
Justin Scott Hart | GB | Swindon | 2011-04-07 / 20110083175 - Methods and Apparatuses for Policing and Prioritizing of Data Services | 2 |
Adam Hart | GB | Essex | 2011-03-24 / 20110070107 - Disposable Pump Head | 1 |
Nigel Hart | GB | Locksbottom Kent | 2011-03-03 / 20110050418 - SECURITY SYSTEM | 1 |
Jarrod Hart | GB | Truro | 2015-07-02 / 20150184064 - Proppants and Anti-Flowback Additives Comprising Flash Calcined Clay, Methods of Manufacture, and Methods of Use | 3 |
Alan David Hart | GB | Bristol | 2011-03-03 / 20110053538 - HIGH SPEED FREQUENCY DETECTOR | 1 |
Philip Hart | GB | Nuthall | 2012-05-31 / 20120133725 - TAPE DRIVE AND PRINTING APPARATUS | 4 |
Nigel T. Hart | GB | Derly | 2009-03-12 / 20090068538 - Module for a fuel cell stack | 1 |
John Ernest Hart | GB | Tadley Hampshire | 2010-11-18 / 20100292438 - PROTEINACEOUS COMPOUNDS | 1 |
Peter Hart | GB | Litton | 2010-10-28 / 20100272514 - WATER DETENTION SYSTEM INCORPORATING A COMPOSITE DRAINAGE MEMBRANE | 1 |
Alan Michael Hart | GB | Harston | 2010-09-23 / 20100240297 - COMMUNICATION SYSTEM | 2 |
Brian Hart | GB | Wakefield Yorkshire | 2010-09-02 / 20100218955 - OIL FIELD SYSTEM FOR THROUGH TUBING ROTARY DRILLING | 1 |
Keith Hart | GB | Welland | 2015-05-14 / 20150129684 - ELONGATE CONTINUOUS FLOW CONTROLLER WITH WEB-SHAPED OR STRING-SHAPED FLOW RESTRICTOR | 2 |
Philip Hart | GB | Nottingham | 2014-03-06 / 20140063171 - TAPE DRIVE AND PRINTING APPARATUS | 7 |
Steve Hart | GB | Brimsham Park | 2010-06-10 / 20100139983 - ROTARY STEERABLE DEVICES AND METHODS OF USE | 1 |
Phillip Hart | GB | Nuthall | 2010-06-03 / 20100135709 - TAPE DRIVE AND PRINTING APPARATUS | 1 |
Nigel T. Hart | GB | Derby | 2014-03-06 / 20140065506 - MODULE FOR A FUEL CELL STACK | 3 |
Jarrod R. Hart | GB | Cornwall | 2010-05-27 / 20100130666 - Particulate Glass Compositions and Methods of Production | 2 |
Jason Hart | GB | Bedfordshire | 2010-05-20 / 20100125579 - DATA STORAGE | 1 |
Stuart Arthur Hart | GB | Coventry | 2010-05-13 / 20100117840 - FIRE ALARM AND LIKE DEVICES | 2 |
Sean J. Hart | US | Keswick | 2014-04-03 / 20140090979 - Paired Laser and Electrokinetic Separation, Manipulation, and Analysis Device | 2 |
John L. Hart | GB | Bramcote | 2010-06-10 / 20100143269 - PHARMACEUTICAL COMPOSITIONS FOR THE TREATMENT OF ASTHMA | 1 |
Steven Hart | GB | Bristol | 2010-04-29 / 20100101867 - SELF-STABILIZED AND ANTI-WHIRL DRILL BITS AND BOTTOM-HOLE ASSEMBLIES AND SYSTEMS FOR USING THE SAME | 1 |
Robert Anthony Hart | GB | Bracknell | 2015-03-12 / 20150068043 - HEATED SHAVING RAZOR | 6 |
David Kevin Hart | GB | Cambridge | 2009-12-17 / 20090313507 - Generation of trace data in a multi-processor system | 1 |
Timothy Hart | GB | Southampton | 2009-10-15 / 20090257116 - Erbium and Erbium/Ytterbium Cladding Pumped Hybrid Optical Amplifier | 1 |
Darren James Hart | GB | Berkshire | 2009-09-24 / 20090239761 - Protein arrays and uses thereof | 1 |
Paul Douglas Hart | GB | Lowestoft | 2009-07-02 / 20090169319 - DOWNHOLE DEBURRING TOOL | 1 |
Edward Hart | GB | West Yorkshire | 2009-04-23 / 20090101133 - DEVICE FOR REGULATING THE AIR/GAS MIXTURE SUPPLIED TO BURNER APPLIANCES, IN PARTICULAR FOR BURNERS OF DECORATIVE FIRES | 1 |
Alan David Hart | GB | Avon | 2009-01-29 / 20090027267 - SCANNED ANTENNA SYSTEM | 1 |
Terance William Hart | GB | High Peak | 2008-12-18 / 20080312316 - Chromone Derivatives Useful as Antagonists of Vr1 Receptors | 1 |
Anthony John Hart | GB | Nottingham | 2008-12-11 / 20080302080 - CABLE/HOSE HAULAGE CHAIN | 1 |
Keith Hart | GB | Worcestershire | 2013-03-28 / 20130075496 - SANITARY FITTED ELEMENT FOR A WATER OUTLET | 2 |
Nicholas Andrew Hart | GB | South Yorkshire | 2008-09-04 / 20080211295 - Wheel Clamping Assemblies | 1 |
Mark Christopher Hart | GB | Scotland | 2008-08-21 / 20080200346 - METHOD AND DEVICE | 1 |
Kenton Hart | GB | Aberystwyth | 2011-07-07 / 20110165277 - Biological Materials and Uses Thereof | 1 |
James E. Hart | US | Dracut | 2011-07-07 / 20110167117 - FEATURE PROPAGATION | 1 |
Robert Hart | US | San Francisco | 2011-07-14 / 20110167741 - METHODS AND SYSTEMS FOR RETROFITTING GLASS OR INSULATED GLASS UNITS OF EXISTING CURTAIN WALL SYSTEMS FOR IMPROVED THERMAL PERFORMANCE | 1 |
Hope Hart | US | Durham | 2014-06-12 / 20140162272 - CORN EVENT MIR162 | 2 |
Peter E. Hart | US | Menlo Park | 2015-12-31 / 20150379043 - System and Methods for Creation and Use of a Mixed Media Environment | 16 |
Robert Hart | US | Cary | 2016-05-12 / 20160131867 - AUTOMATIC FOCUSING OPTICAL ASSEMBLY, SYSTEM AND METHOD | 1 |
Andrew Clifford Hart | US | Mauldin | 2013-09-26 / 20130251528 - VARIABLE LENGTH COMPRESSOR ROTOR PUMPING VANES | 7 |
Jason Hart | US | Fremont | 2015-10-01 / 20150279133 - CONFIGURABLE DIGITAL BADGE HOLDER | 8 |
Terance W. Hart | GB | Cambridge | 2013-12-12 / 20130331568 - ACETYLENE DERIVATIVES HAVING MGLUR 5 ANTAGONISTIC ACTIVITY | 1 |
Brian Hart | US | Sunnyvale | 2016-05-12 / 20160135080 - TRANSMIT NODE DETECTION BY A RECEIVER IN A SHARED ACCESS LTE ENVIRONMENT | 12 |
Christine E. Hart | CA | Toronto | 2012-04-19 / 20120095935 - METHOD FOR MANAGING THE INBOUND FREIGHT PROCESS OF THE SUPPLY CHAIN ON BEHALF OF A RETAILER DISTRIBUTION NETWORK | 1 |
Chad S. Hart | US | San Marcos | 2015-07-02 / 20150184896 - SOLAR PANEL SUPPORT APPARATUS | 2 |
Gordon Hart | CA | Rocky Mountain House | 2014-12-04 / 20140353450 - Modular Combination Skid | 2 |
Joseph N. Hart | US | Alexandria | 2012-04-19 / 20120092163 - INTRUDER DETECTION AND INTERDICTION SYSTEM AND METHODS FOR USING THE SAME | 1 |
Daniel J. Hart | US | Louisville | 2015-07-16 / 20150196189 - DISHWASHER APPLIANCE | 8 |
Paul R. Hart | US | Sugar Land | 2012-08-23 / 20120212729 - THERMAL PHASE SEPARATION SIMULATOR | 2 |
Gregory M. Hart | US | Mercer Island | 2015-09-10 / 20150254361 - MANAGING INFORMATION ASSOCIATED WITH NETWORK RESOURCES | 9 |
Terance William Hart | GB | Chapel-En-Le-Frith | 2014-10-23 / 20140315932 - QUINAZOLINONE DERIVATIVES USEFUL AS VANILLOID ANTAGONISTS | 3 |
Christopher Steven Hart | US | Chicago | 2013-12-26 / 20130341366 - DISCHARGE DEVICE | 1 |
Phillip Hart | GB | Nottingham | 2012-04-12 / 20120086763 - TAPE DRIVE AND PRINTING APPARATUS | 1 |
Michael E. Hart | US | Vancleave | 2012-06-14 / 20120145255 - SCENT NEBULIZER FOR AIR HANDLING SYSTEMS | 2 |
Dennis L. Hart | US | Incline Village | 2016-02-11 / 20160040422 - EXTENDABLE SLEEVE FOR POURED CONCRETE DECK | 9 |
Justin Hart | GB | Old Town | 2011-08-18 / 20110202592 - Use of Multiple Connections to Extend RADIUS Identifier Space | 1 |
Michael Hart | US | Seattle | 2012-04-05 / 20120082070 - ENABLING COEXISTENCE BETWEEN WIRELESS NETWORKS | 1 |
Michael Hart | US | Redmond | 2013-07-04 / 20130170360 - ENABLING COEXISTENCE BETWEEN FDD AND TDD WIRELESS NETWORKS | 2 |
Mimi Hart | US | Seal Beach | 2014-09-11 / 20140258120 - SYSTEMS AND METHODS FOR DEBIT CARD ACCOUNT CONFIRMATION | 1 |
John Hart | US | Lexington | 2012-12-27 / 20120324981 - Soot Sensor System | 2 |
Brian D. Hart | US | Sunnyvale | 2016-03-10 / 20160069978 - Enhancing Client Location via Beacon Detection | 18 |
Annmarie D. Hart | US | Seal Beach | 2013-06-06 / 20130140363 - TAMPER EVIDENT CARD READER | 6 |
Simon David Hart | GB | Newtown | 2013-11-28 / 20130313905 - Power Conversion System | 2 |
Simon David Hart | GB | Welshpool | 2016-03-03 / 20160061507 - Variable Speed Compressor Control with Lost Rotor Mitigation | 20 |
Christopher T. Hart | US | Charlotte | 2011-08-25 / 20110208783 - Integration of User Identifiers | 1 |
David Hart | US | Bend | 2015-11-19 / 20150328350 - Apparatus and Method for Sanitizing | 1 |
Colin Hart | US | Ankeny | 2014-01-16 / 20140014474 - SHOULDER POSITIONING CONVEYOR | 1 |
Lauren W. Hart | US | San Francisco | 2015-05-28 / 20150147666 - FLUIDIC ARCHITECTURE FOR METAL-HALOGEN FLOW BATTERY | 3 |
William H. Hart | US | Kenilworth | 2016-02-25 / 20160051445 - DEVICE FOR THE COLLECTION, REFINEMENT, AND ADMINISTRATION OF GASTROINTESTINAL MICROFLORA | 2 |
Barrie Hart | GB | Ipswich | 2014-10-09 / 20140299331 - Expandable Device for Use in a Well Bore | 4 |
James M. Hart | US | Belleville | 2016-03-10 / 20160069443 - CONTINUOUSLY VARIABLE TRANSMISSION WITH CHAIN OUTPUT | 423 |
Steven Hart | US | Dubugie | 2011-09-15 / 20110223411 - METHOD OF SEALING A POROUS FIBROUS SUBSTRATE, AND DOOR SKINS, PRODUCED BY THE METHOD | 1 |
Marcus C. Hart | US | Seattle | 2015-08-06 / 20150217850 - LAMINATED I-BLADE STRINGER | 1 |
Stephen M. Hart | US | Marietta | 2013-04-25 / 20130104181 - INTERFACE FOR USE WITH A VIDEO COMPRESSION SYSTEM AND METHOD USING DIFFERENCING AND CLUSTERING | 1 |
Beth Urban Hart | US | Atlanta | 2013-04-04 / 20130085814 - Supply Chain Optimization Using a Supply Chain Performance Management Tool | 3 |
Lauren Wessel Hart | US | San Francisco | 2014-06-05 / 20140152314 - Mass Distribution Indication of Flow Battery State of Charge | 5 |
Peter W. Hart | US | Richmond | 2013-11-21 / 20130306256 - High Yield and Enhanced Performance Fiber | 1 |
Charles Hart | US | South San Francisco | 2014-06-19 / 20140171389 - METHODS FOR TREATING CANCER | 3 |
Amy C. Hart | US | Ewing | 2016-05-19 / 20160137595 - IDO INHIBITORS | 6 |
Corey S. Hart | US | Mccordsville | 2016-05-05 / 20160121682 - END MEMBER ASSEMBLIES AND TRAVEL-RESTRAINT ASSEMBLIES AS WELL AS GAS SPRING ASSEMBLIES INCLUDING SAME | 2 |
Frank Hart | US | Richmond | 2014-03-20 / 20140076339 - METHODS OF REDUCING TOBACCO-SPECIFIC NITROSAMINES (TSNAs) AND/OR IMPROVING LEAF QUALITY IN TOBACCO | 1 |
Don Briggs Hart | US | San Clementine | 2014-03-27 / 20140084228 - HYDRAULIC LIFTING APPARATUS | 1 |
Lauren Hart | US | San Francisco | 2014-04-03 / 20140093804 - METAL-HALOGEN FLOW BATTERY WITH SHUNT CURRENT INTERRUPTION AND SEALING FEATURES | 1 |
Evan K. Hart | US | Moscow | 2014-05-01 / 20140121119 - Substance Identification Methods Using Pooling | 1 |
Sean J. Hart | US | Alexandria | 2014-08-07 / 20140220557 - Device and Method for Laser Analysis and Separation (LAS) of Particles | 2 |
Gregory R. Hart | US | Palo Alto | 2015-12-03 / 20150342537 - SYSTEMS AND METHODS FOR REPORTING BLOOD FLOW CHARACTERISTICS | 12 |
Murdock Hart | US | Tempe | 2013-03-21 / 20130071590 - MOLECULAR FILMS FOR HYDROPHOBIC IMPLANT SURFACES | 1 |
Celia Patricia Hart | FR | Noyarey | 2015-10-08 / 20150284456 - ANTIBODIES TO TGF-BETA | 2 |
Jarrod Hart | US | Los Olivos | 2011-09-29 / 20110233314 - GRINDING METHOD | 1 |
David Hart | US | Sunnyvale | 2013-10-17 / 20130272463 - DISTRIBUTED DIGITAL REFERENCE CLOCK | 5 |
Steven Hart | US | Acton | 2015-08-13 / 20150223522 - Method for Assembling a Cartridge for a Smoking Article | 1 |
Charles E. Hart | US | Brentwood | 2016-01-07 / 20160000972 - COMPOSITIONS AND METHODS FOR TREATING THE VERTEBRAL COLUMN | 11 |
George Hart | US | San Francisco | 2011-10-06 / 20110246533 - System, method and computer program product for rule-based performance of actions on related objects | 1 |
Don Hart | US | Dana Point | 2011-10-06 / 20110239827 - MULTIPLE SOCKET RATCHET WRENCH | 1 |
George Hart | US | Stony Brook | 2015-11-05 / 20150317916 - DEMONSTRATION TOOLS FOR GEOMETRIC PROPERTIES | 1 |
David Michael Hart | AU | Castlecrag | 2009-02-26 / 20090050591 - Mobile Data Center Unit | 1 |
Derek Nigel John Hart | AU | Queensland | 2009-04-16 / 20090098090 - VITRO IMMUNIZATION | 1 |
Jeff Hart | US | Columbus | 2014-02-06 / 20140034490 - DIFFUSION-BONDED SPUTTER TARGET ASSEMBLY AND METHOD OF MANUFACTURING | 1 |
Chris Hart | AU | Queensland | 2011-03-03 / 20110049071 - BLINDS | 1 |
Derek Nigel John Hart | AU | Dutton Park | 2011-04-28 / 20110097333 - THERAPEUTIC AND DIAGNOSTIC AGENTS | 4 |
Derek Nigel Hart | AU | Dutton Park | 2013-04-04 / 20130085261 - BINDING PARTNERS OF ANTIBODIES SPECIFIC FOR DENDRITIC CELL ANTIGENS | 2 |
Rickey Hart | US | Marco Island | 2014-05-15 / 20140131249 - Suture Cartridge For Meniscal Repair | 12 |
Michael Hart | US | Channahon | 2009-07-30 / 20090188402 - Circumferential Register for a Rotary Press | 1 |
Monroe Hart | US | Chicago | 2011-05-12 / 20110111876 - Golf Training System and Method | 1 |
Michael F. Hart | US | Mundelein | 2015-08-06 / 20150217472 - SAMPLE PREPARATION SAW | 7 |
Burton L. Hart | US | Auburn | 2011-04-14 / 20110083764 - NOZZLE FLOW CONTROL STRUCTURE | 4 |
Stephen Hart | US | Marango | 2009-10-08 / 20090249716 - IMPACT RESISTANT DOOR SKIN, DOOR INCLUDING THE SAME, AND METHOD OF MANUFACTURING AN IMPACT RESISTANT DOOR SKIN FROM A PRE-FORMED DOOR SKIN | 1 |
Gabriel Carl Hart | US | North Pekin | 2009-09-03 / 20090222248 - Method and system for determining a combined risk | 3 |
Jarrod R. Hart | US | Los Olivos | 2012-11-22 / 20120292250 - COMPOSITE FILTER AIDS HAVING NOVEL PORE SIZE CHARACTERISTICS | 2 |
Shandon Dee Hart | US | Corning | 2016-04-21 / 20160107928 - GLASS-FILM LAMINATES WITH CONTROLLED FAILURE STRENGTH | 24 |
Larry Hart | US | Metamora | 2009-02-26 / 20090049706 - Fluid level gauge having swivel blade | 1 |
Ian Richard Hart | US | Beaufort | 2014-02-06 / 20140038790 - METHOD OF PROVIDING BACK PAIN RELIEF | 1 |
Stephen P. Hart | US | Atlanta | 2014-02-06 / 20140039837 - SYSTEM AND METHOD FOR REMOTE MONITORING OF THE ORIENTATION OF A MESSAGE SIGN | 1 |
Burton L. Hart | US | Springfield | 2016-02-04 / 20160029834 - SPRAY HEAD ASSEMBLY WITH INTERIOR RISES, DEPRESSIONS, AND ORIFICES | 2 |
Thomas B. Hart | US | West Dundee | 2016-03-31 / 20160094496 - Devices for Instant Message Client Swap | 3 |
Jessica M. Hart | US | Fayetteville | 2015-11-05 / 20150314247 - SYSTEMS AND METHODS FOR DISSOLVING A GAS INTO A LIQUID | 1 |
Mark K. Hart | US | Willow Spring | 2014-02-06 / 20140039751 - CIGARETTE LIGHTER ADAPTER FOR ENGINE STATUS MONITORING | 1 |
Liza Hart | US | Nichols | 2011-10-13 / 20110248177 - TEST EQUIPMENT FOR VERIFICATION OF CRYSTAL LINEARITY AT HIGH-FLUX LEVELS | 1 |
Jackie Hart | US | Rocklin | 2008-09-04 / 20080215068 - Skin treatment system | 1 |
Don Hart | US | Woodland Hills | 2008-09-25 / 20080230347 - Interactive Vending Machines and a Method of Operating Same | 1 |
William Hart | US | Capitola | 2008-10-02 / 20080242325 - JUST-IN-TIME TRAINING OF DEPLOYED SKILL SUPPORT PERSONNEL VIA CELL PHONE MULTIMEDIA | 1 |
John D. Hart | US | San Diego | 2009-03-26 / 20090082139 - METHODS AND APPARATUS FOR DETERMINING DISTANCES TO SELECTED TARGETS ON A GOLF COURSE USING A WIRELESS COMMUNICATION DEVICE | 1 |
Matthew E. Hart | US | San Francisco | 2009-04-23 / 20090105347 - THYRONAMINE DERIVATIVES AND ANALOGS AND METHODS OF USE THEREOF | 1 |
Richard Dennis Hart | US | Concord | 2013-04-11 / 20130089129 - APPARATUS, METHODS, AND ARTICLES OF MANUFACTURE TO PREDICT VECTORED DIGITAL SUBSCRIBER LINE (DSL) PERFORMANCE GAINS | 3 |
Annmarie D. Hart | US | Carson | 2009-07-09 / 20090173790 - ENCRYPTING THE OUTPUT OF A CARD READER IN A CARD AUTHENTICATION SYSTEM | 2 |
Richard D. Hart | US | Irving | 2011-10-13 / 20110251718 - METHOD FOR CONTROLLING A DRUG DISPENSING SYSTEM | 1 |
Loren L. Hart | US | San Jose | / - | 1 |
Jonathan R. Hart | US | Kirkland | 2011-10-13 / 20110251973 - DERIVING STATEMENT FROM PRODUCT OR SERVICE REVIEWS | 1 |
Loren Hart | US | San Jose | 2012-04-19 / 20120096535 - One Time Password | 2 |
Joseph Dee Hart | US | Simi Valley | 2010-01-21 / 20100013607 - METHOD AND APPARATUS FOR PROVIDING A COMMUNICATION LINK | 1 |
Steven R. Hart | US | Carlsbad | 2015-09-03 / 20150249614 - PREDICTIVE DELAYCAST FEEDBACK | 13 |
Steve R. Hart | US | Carlsbad | 2010-03-18 / 20100071054 - NETWORK SECURITY APPLIANCE | 1 |
Peter Hart | US | Menlo Park | 2013-09-26 / 20130254687 - MELDED USER INTERFACES | 3 |
Richard Hart | US | Concord | 2010-06-17 / 20100154019 - COMMUNICATIONS LINK DISCONTINUITY DETECTION SYSTEMS AND METHODS | 2 |
William T. Hart | US | Aptos | 2010-07-01 / 20100163043 - SELF-CONTAINED ORAL VENTILATION DEVICE | 1 |
Roger U. Hart | US | San Diego | 2013-06-06 / 20130143695 - TRAINING DEVICE AND PIVOTAL SWING METHOD FOR IMPROVING ACCURACY OF HITTING A BALL WITH A BAT | 3 |
John H. Hart | US | Woodland Hills | 2010-08-05 / 20100195084 - Substrate holding platen with high speed vacuum | 2 |
David D. Hart | US | Temecula | 2010-08-05 / 20100192460 - Stressed Skin Structure for elevated raised bed horticulture | 1 |
Christopher Hart | US | San Francisco | 2010-08-12 / 20100205220 - METHOD OF DETERMINING INFLUENCE OF A MEMBER WITHIN A DATASET | 1 |
Mark W. Hart | US | San Jose | 2015-09-10 / 20150255767 - ION CONDUCTING HYBRID MEMBRANES | 7 |
Harlan H. Hart | US | Madera | 2015-09-17 / 20150258851 - CASTER SUSPENSION SYSTEM | 4 |
Alan D. Hart | US | San Carlos | 2010-05-06 / 20100109699 - METHODS, APPARATUS AND ARTICLES OF MANUFACTURE FOR TESTING A PLURALITY OF SINGULATED DIE | 2 |
Martin Hart | US | Irvine | 2008-11-20 / 20080288908 - SIMULTANEOUS DESIGN OF INTEGRATED CIRCUIT AND PRINTED CIRCUIT BOARD | 1 |
Richard D. Hart | US | Concord | 2013-04-25 / 20130101093 - METHODS, APPARATUS, AND ARTICLES OF MANUFACTURE TO TRIGGER PREEMPTIVE MAINTENANCE IN VECTORED DIGITAL SUBSCRIBER LINE (DSL) SYSTEMS | 5 |
Brian Donald Hart | US | City Sunnyvale | 2009-09-17 / 20090232001 - Congestion Control in Wireless Mesh Networks | 1 |
Brian Donald Hart | US | Milpitas | 2009-11-05 / 20090274136 - Automatic Partitioning of Wireless Access Points Into Overlay and Underlay Networks | 1 |
Reece Hart | US | San Francisco | 2012-10-18 / 20120266262 - METHODS AND COMPOSITIONS RELATING TO ZPA POLYPEPTIDES | 2 |
Barry Hart | US | Mountain View | 2010-08-26 / 20100216789 - RHO-KINASE INHIBITORS | 1 |
Peter C. Hart | US | San Rafael | 2014-08-07 / 20140222652 - USER INTERFACE FOR AN ELECTRONIC TRADING SYSTEM | 5 |
John H. Hart | US | Saratoga | 2012-10-25 / 20120269361 - Network Addressible Loudspeaker and Audio Play | 3 |
Herbert G. Hart | US | Sunnyvale | 2010-11-04 / 20100275739 - SINGLE PIECE ROTARY WRENCHING TOOL | 4 |
Mark Whitney Hart | US | San Jose | 2012-11-22 / 20120291668 - AROMATIC VINYL ETHER BASED REVERSE-TONE STEP AND FLASH IMPRINT LITHOGRAPHY | 2 |
James Joseph Hart | US | Fogelsvilles | 2015-08-27 / 20150240367 - Electrolytic Apparatus, System and Method for the Efficient Production of Nitrogen Trifluoride | 1 |
Ryan M. Hart | US | San Francisco | 2016-03-10 / 20160067216 - COMPOSITION OF A 5-HT2A SEROTONIN RECEPTOR MODULATOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO | 6 |
Harry Hart | US | Hemet | 2010-12-09 / 20100308057 - BEVERAGE CONTAINERS AND COMPONENTS THEREOF | 1 |
Julie Hart | US | Brooks | 2010-12-16 / 20100316734 - METHODS OF THERMOGRAPH-GUIDED MEDICAL TREATMENT | 1 |
Stephen L. Hart | US | Torrance | 2010-12-16 / 20100313543 - Lateral Flow High Voltage Propellant Isolator | 2 |
Barry Hart | US | Palo Alto | 2015-09-10 / 20150250762 - NOVEL MEK INHIBITORS FOR TREATING CARDIOMYOPATHIES AND RELATED CONDITIONS | 2 |
Jackie L. Hart | US | Rocklin | 2011-03-03 / 20110054490 - Skin treatment system | 1 |
Brian Hart | US | Sunnyvale | 2016-05-12 / 20160135080 - TRANSMIT NODE DETECTION BY A RECEIVER IN A SHARED ACCESS LTE ENVIRONMENT | 12 |
David Hart | US | San Francisco | 2015-08-06 / 20150220248 - GROUPING ITEMS IN A FOLDER | 11 |
Tim Hart | US | Castro Valley | 2011-04-28 / 20110097900 - QUARTZ WINDOW FOR A DEGAS CHAMBER | 1 |
Guy Hart | US | Woodland Hills | 2008-09-25 / 20080230347 - Interactive Vending Machines and a Method of Operating Same | 1 |
Shandon D. Hart | US | Corning | 2015-11-05 / 20150316697 - LOW LAYER COUNT REFLECTIVE POLARIZER WITH OPTIMIZED GAIN | 9 |
Michael J. Hart | US | Palo Alto | 2016-02-18 / 20160049940 - INTERCONNECT CIRCUITS HAVING LOW THRESHOLD VOLTAGE P-CHANNEL TRANSISTORS FOR A PROGRAMMABLE INTEGRATED CIRCUIT | 11 |
John Hart | US | Woodland Hills | 2010-08-05 / 20100195083 - Automatic substrate transport system | 1 |
Stephen J. Hart | US | Salt Lake City | 2011-10-27 / 20110261427 - SYSTEM AND METHOD FOR COLOR MOTION HOLOGRAPHY | 1 |
Steven R. Hart | US | Carlsbad | 2015-09-03 / 20150249614 - PREDICTIVE DELAYCAST FEEDBACK | 13 |
Brian Hart | US | Austin | 2012-08-02 / 20120198190 - MAKING AUTOMATED USE OF DATA VOLUME COPY SERVICE TARGETS | 2 |
Ty Hart | US | Boulder | 2015-10-29 / 20150306493 - System and method for recording objective base capture games, sports, or training exercise statistics | 1 |
Terance Hart | GB | Berkshire | 2012-02-02 / 20120028953 - Azetidine Derivatives | 1 |
Christopher Hart | US | Cambridge | 2012-02-02 / 20120028822 - METHODS, FLOW CELLS AND SYSTEMS FOR SINGLE CELL ANALYSIS | 1 |
Ken J. Hart | US | Travelers Rest | 2012-02-02 / 20120028553 - FLEXIBLE ABRASIVE GRINDING APPARATUS AND RELATED METHODS | 1 |
Brian D. Hart | US | Sunnyvale | 2016-03-10 / 20160069978 - Enhancing Client Location via Beacon Detection | 18 |
John Hart | US | Clinton Township | 2013-01-24 / 20130020984 - Battery Charging Cable Storage and Carry Kit for Electric Vehicle | 1 |
Alison Hart | GB | Cambridge | 2014-03-06 / 20140061459 - FIELD ASYMMETRIC ION MOBILITY SPECTROMETRY SYSTEM | 1 |
Kenneth M. Hart | US | Issaquah | 2011-11-03 / 20110270782 - SYSTEMS AND METHODS FOR DETERMINING INVESTMENT STRATEGIES | 1 |
Justin Hart | GB | Purton | 2015-10-01 / 20150280963 - METHODS AND SYSTEMS FOR INTEGRATING INDEPENDENT IMS AND WEBRTC NETWORKS | 2 |
Colin R. Hart | US | Ankeny | 2015-09-10 / 20150251858 - APPARATUS FOR TRANSPORTING FOOD PRODUCTS TO A LOADING HEAD | 15 |
Neil D. Hart | CA | Chelsea | 2013-08-29 / 20130227156 - IPV6 ADDRESS GENERATION TO TRIGGER A VIRTUAL LEASED LINE SERVICE | 2 |
Stephen W. Hart | US | Houston | 2014-09-18 / 20140277743 - ROBOT TASK COMMANDER WITH EXTENSIBLE PROGRAMMING ENVIRONMENT | 1 |
Charles P. Hart | US | Redwood City | 2015-09-17 / 20150258125 - TREATMENT OF CANCER USING HYPOXIA ACTIVATED PRODRUGS | 1 |
Russell Hart | US | Taunton | 2013-05-23 / 20130131545 - BONE MARROW ASPIRATION DEVICE AND NEEDLE | 1 |
Charles C. Hart | US | Rancho Santa Margarita | 2016-03-10 / 20160071437 - SIMULATED TISSUE STRUCTURE FOR SURGICAL TRAINING | 42 |
Howard Hart | US | El Paso | 2011-11-17 / 20110282916 - Methods and Systems for Duplicate Document Management in a Document Review System | 1 |
Kenneth Hart | GB | Buckinhamshire | 2014-03-20 / 20140075876 - MODULAR ENTRANCE FLOOR SYSTEM | 1 |
Jarrod Hart | GB | Cornwall | 2014-03-20 / 20140080741 - FERROSILICON WEIGHTING AGENTS FOR WELLBORE FLUIDS | 1 |
Kathy Hart | US | Hyattsville | 2014-03-20 / 20140077989 - COMPRESSIVE RADAR IMAGING TECHNOLOGY | 1 |
Bradley Hart | AU | Queensland | 2015-10-22 / 20150298367 - METHOD AND APPARATUS FOR MANUFACTURE OF COMPOSITE ARTICLES | 1 |
Dennis Hart | AU | Queensland | 2015-10-22 / 20150298367 - METHOD AND APPARATUS FOR MANUFACTURE OF COMPOSITE ARTICLES | 1 |
William Hart | AU | Queensland | 2015-10-22 / 20150298367 - METHOD AND APPARATUS FOR MANUFACTURE OF COMPOSITE ARTICLES | 1 |
Winston Hart | AU | Queensland | 2015-10-22 / 20150298367 - METHOD AND APPARATUS FOR MANUFACTURE OF COMPOSITE ARTICLES | 1 |
Dov Hartal | IL | Tel-Aviv | 2011-03-03 / 20110052763 - USE OF SOLUBLE TOMATO SOLIDS FOR REDUCING THE SALT CONTENT OF FOOD PRODUCTS | 3 |
Yael Har-Tal | IL | Herzaliya | 2015-03-26 / 20150087031 - METHODS FOR TREATING LIGNOCELLULOSIC MATERIALS | 1 |
Oded Har-Tal | IL | Beit Hashmonai | 2010-10-28 / 20100274666 - SYSTEM AND METHOD FOR SELECTING A MESSAGE TO PLAY FROM A PLAYLIST | 1 |
Hans-Georg Hartan | DE | Kevelaer | 2015-10-01 / 20150274565 - Method And Composition For Water Purification And Sludge Dewatering | 1 |
Hans-Georg Hartan | DE | Kevelear | 2014-09-25 / 20140284519 - SURFACE-TREATED CALCIUM CARBONATE AND ITS USE IN WATER PURIFICATION AND FOR THE DEWATERING OF SLUDGES AND SEDIMENTS | 1 |
Budi Hartanto | ID | Kudus | 2015-03-26 / 20150088023 - DEMOCRATIC NEUROTECHNOLOGY APPARATUS AND METHOD | 1 |
Kerry John Hartauer | US | Carmel | 2010-10-07 / 20100255106 - BENZOTHIOPHENES, FORMULATIONS CONTAINING SAME, AND METHODS | 1 |
Terry W. Hartcraft | US | Fredericksburg | 2015-09-10 / 20150253115 - Archery Target | 2 |
Vernon R. Hartdegan | US | Collierville | 2013-04-25 / 20130103037 - PROCEDURE FOR REPAIRING FOOT INJURY | 1 |
Vernon R. Hartdegen | US | Collierville | 2014-10-30 / 20140324180 - TIBIAL IMPLANT BASE | 8 |
Vernon R. Hartdegen | US | Collierville | 2014-10-30 / 20140324180 - TIBIAL IMPLANT BASE | 8 |
Vernon Hartdegen | US | Collierville | 2013-05-23 / 20130131741 - SYSTEMS, DEVICES, AND METHODS FOR ANCHORING ORTHOPAEDIC IMPLANTS TO BONE | 3 |
Vernon R. Hartdegen, Jr. | US | Collierville | 2014-03-13 / 20140074093 - STRAIGHT INTRAMEDULLARY FRACTURE FIXATION DEVICES AND METHODS | 3 |
Erik Anthony Harte | US | Seattle | 2012-02-23 / 20120047454 - Dynamic Soft Input | 1 |
Mark H. Harte | NL | Zaandam | 2010-12-16 / 20100317910 - SAPO MOLECULAR SIEVE CATALYSTS AND THEIR PREPARATION AND USES | 1 |
Sean P. Harte | IE | Kildare | 2011-06-02 / 20110127202 - MEDIA QUALITY ASSESSMENT SYSTEM AND METHOD | 1 |
Michael Francis Harte | AU | Richmond | 2010-05-27 / 20100130447 - INHIBITORS OF KINASE ACTIVITY | 1 |
Ciaran P. Harte | IE | Clonsilla | 2011-09-29 / 20110233081 - Software Media Packaging Apparatus | 1 |
Paul Harte | GB | Rotherham | 2016-05-12 / 20160131261 - MECHANICAL SEAL SUPPORT SYSTEM | 1 |
David Harte | AU | Macquarie University | 2015-09-24 / 20150271610 - WATERPROOF MOLDED MEMBRANE FOR MICROPHONE | 1 |
Glenn W. Harte | US | Mesquite | 2016-01-21 / 20160016303 - Dust Collection Method and Apparatus | 1 |
Jessie Harte | US | New York | 2014-11-13 / 20140337149 - SYSTEMS, METHODS, AND DEVICES FOR A ROTATION-BASED ORDER MODULE | 1 |
Matthew V. Harte | US | Emmaus | 2015-04-23 / 20150108913 - LOAD CONTROL DEVICE FOR HIGH-EFFICIENCY LOADS | 3 |
Michael Francis Harte | AU | View Bank | 2016-02-04 / 20160031835 - TUBULIN INHIBITORS | 2 |
James Roy Harte | US | Shawnee | 2014-09-18 / 20140262001 - PORTABLE LABELING DEVICE AND METHOD INVOLVING SAME | 1 |
David Harte | AU | Erskenville | 2015-06-11 / 20150163607 - HEARING PROSTHESIS ACCESSORY | 1 |
Matthew V. Harte | US | Hamacao | 2015-05-28 / 20150146465 - LOAD CONTROL DEVICE FOR HIGH-EFFICIENCY LOADS | 1 |
Matthew V. Harte | US | Breinigsville | 2016-03-03 / 20160066379 - CONTROLLABLE-LOAD CIRCUIT FOR USE WITH A LOAD CONTROL DEVICE | 2 |
Bruce Harte | US | Bath | 2010-06-17 / 20100151166 - MICRO-PERFORATED POLY(LACTIC) ACID PACKAGING SYSTEMS AND METHOD OF PREPARATION THEREOF | 2 |
Janice Harte | US | Bath | 2012-08-16 / 20120205366 - MICROWAVEABLE PACKAGING FOR FOOD PRODUCTS INCLUDING A FROZEN COMPONENT | 2 |
Kenneth J. Harte | US | Carlisle | 2011-08-04 / 20110185971 - Laser doping | 8 |
Canice Harte | US | Park City | 2014-04-03 / 20140091121 - Container and Support Assembly | 2 |
Eric Harte | US | Rochester | 2016-03-31 / 20160092734 - SYSTEM AND METHOD FOR DETECTING SETTLE DOWN TIME USING COMPUTER VISION TECHNIQUES | 1 |
Bruce R. Harte | US | East Lansing | 2010-03-25 / 20100076099 - Biodegradable polymeric nanocomposite compositions particularly for packaging | 1 |
James Richard Harte | US | Kansas City | 2013-09-12 / 20130236864 - Use of colored beads in an augmented simple abacus | 4 |
Naomi Harte | IE | Dublin | 2015-07-16 / 20150199979 - DETECTION OF CHOPPED SPEECH | 1 |
Noami A. Harte | IE | Dublin | 2015-07-16 / 20150199959 - OBJECTIVE SPEECH QUALITY METRIC | 1 |
Robert G. Harte | US | Ashland | 2013-09-19 / 20130240413 - ADJUSTABLE MAGNETIC SEPARATOR | 2 |
Kenneth J. Harte | US | Carlisle | 2011-08-04 / 20110185971 - Laser doping | 8 |
Marcus Harte | US | Bridgewater | 2012-05-17 / 20120123571 - ENHANCED PARIMUTUEL WAGERING | 3 |
William E. Harte | US | Moorpark | 2015-09-24 / 20150266812 - PYRAZOLOPYRIDAZINES AND METHODS FOR TREATING RETINAL DEGENERATIVE DISEASES AND HEARING LOSS ASSOCIATED WITH USHER SYNDROME | 5 |
Steven E. Harte | US | Livonia | 2012-09-20 / 20120234256 - MECHANICAL CONFLICT DEVICE | 2 |
Frederico Harte | US | Knoxville | 2014-10-30 / 20140318240 - Soak Chamber and System to Measure the Seed Density Hydration Profile of Seeds | 1 |
Janice B. Harte | US | Bath | 2010-11-18 / 20100291265 - BAKING COMPOSITION | 1 |
Anthony Alan Harte | GB | Hertfordshire | 2008-10-09 / 20080249848 - Method of Settling Commercial Indebtedness | 1 |
Michael Francis Harte | AU | Viewbank | 2013-10-17 / 20130274263 - TUBULIN INHIBITORS | 4 |
Mark Hendrikus Harte | NL | Zaandam | 2010-09-16 / 20100234661 - ALKYLATION PROCESS USING A CATALYST COMPRISING RARE EARTH CONTAINING ZEOLITES AND REDUCED AMOUNT OF NOBLE METAL | 1 |
Carola Sabine Hartel | DE | Kelkheim | 2009-11-05 / 20090274273 - Irradiation Verification Device for Radiotherapy Installations, and Method for Handling Thereof | 1 |
Robert Hartel | DE | Rinchnach | / - | 1 |
Michael Hartel | DE | Heidelberg | 2014-06-19 / 20140172918 - Role Based Access Management for Business Object Data Structures | 10 |
John M. Hartel | US | Bayside | 2010-12-16 / 20100314408 - METHOD AND APPARATUS FOR SANITARY DISPENSING OF HOLY WATER FROM A CROSS-SHAPED FIXTURE | 1 |
Michael Hartel | DE | Heidelberg | 2014-06-19 / 20140172918 - Role Based Access Management for Business Object Data Structures | 10 |
Bruce E. Hartel | US | Shelton | 2013-06-20 / 20130152470 - INJECTOR AND METHOD FOR REDUCING NOX EMISSIONS FROM BOILERS, IC ENGINES AND COMBUSTION PROCESSES | 2 |
Matthias Hartel | DE | Koln | 2009-12-17 / 20090308755 - ELECTROLYTE FOR THE GALVANIC DEPOSITION OF ALUMINIUM FROM APROTIC SOLVENTS IN A PLATING BARREL | 1 |
John Mark Hartel | US | Austin | 2012-10-18 / 20120265691 - Visualizing and Managing Complex Scheduling Constraints | 1 |
Karl Eglof Hartel | DE | Munchen | 2014-03-20 / 20140082247 - Method for the Data Exchange Between a Terminal and a Chip Card | 5 |
Marc Hartel | DE | Reiskirchen | 2009-01-29 / 20090025954 - Switchgear Cabinet | 2 |
Karl Eglof Hartel | DE | München | 2009-08-06 / 20090199206 - DATA STORAGE MEDIUM AND METHOD FOR CONTACTLESS COMMUNICATION BETWEEN THE DATA STORAGE MEDIUM AND A READER | 1 |
Dennis L. Hartel | US | Berthoud | 2015-05-21 / 20150142971 - System and Method for a Rate Control Technique for a Lightweight Directory Access Protocol Over MQSeries (LOM) Server | 3 |
Richard W. Hartel | US | Madison | 2014-01-02 / 20140004045 - METHOD TO ENHANCE SWALLOWING SAFETY AND APPEAL OF BEVERAGES FOR TREATING DYSPHAGIA BASED ON RHEOLOGICAL AND SENSORY PARAMETERS | 1 |
Rita Hartel | DE | Paderborn | 2012-08-30 / 20120218130 - INDEXING COMPRESSED DATA | 1 |
Karl Eglof Hartel | DE | Munich | 2015-10-01 / 20150281957 - Subscriber Identity Module for Authenticating a Subscriber on a Communication Network | 1 |
Thomas Hartel | DE | Hatten | 2011-11-17 / 20110280699 - LIFTING LOADING PLATFORM | 1 |
George Andrew Hartel | US | Huntersville | 2009-04-30 / 20090107579 - Loading system | 3 |
Marc Andreas Hartel | DE | Reiskirchen | 2008-10-16 / 20080250987 - Pallet | 1 |
Durbin Alan Hartel | US | Cherokee | 2010-05-20 / 20100122955 - METHOD AND SYSTEM OF FILTERING OIL | 1 |
Johannes Hartel | DE | Vilsbiburg | 2012-03-08 / 20120055806 - METHOD FOR PURIFYING LITHIUM-CONTAINING WASTE WATERS DURING THE CONTINUOUS MANUFACTURE OF LITHIUM TRANSITION METAL PHOSPHATES | 1 |
John Hartelius | US | Brick | 2015-09-03 / 20150249422 - FOLDING MOUNT FOR PHOTOVOLTAIC MODULES | 19 |
Mark Hartelius | US | Chicago | 2015-08-20 / 20150230424 - Portable pet carrier restraint system | 2 |
Mark Hartelius | US | Denver | 2013-10-03 / 20130260040 - MICROENCAPSULATED CATNIP OIL AND METHODS OF USING THE SAME | 1 |
Mark E. Hartelius | US | Chicago | 2014-12-11 / 20140364024 - Semi-rigid Floating Tube Assembly | 3 |
John Hartelius | US | Edison | 2015-02-12 / 20150041610 - SLIDER CLIP AND PHOTOVOLTAIC STRUCTURE MOUNTING SYSTEM | 1 |
John Hartelius | US | Brick | 2015-09-03 / 20150249422 - FOLDING MOUNT FOR PHOTOVOLTAIC MODULES | 19 |
Antony Douglas Hartell | GB | Chelmsford, Essex | 2011-12-15 / 20110303848 - Method and Apparatus for Electromagnetic Detection for Use in the Manufacture of Fibrous Web | 1 |
Nicholas Anthony Hartell | GB | Letchworth Garden City | 2014-02-13 / 20140043460 - OPTICAL ARRANGEMENT | 1 |
Philip Maxime Hartell | CA | Mississauga | 2011-09-29 / 20110237421 - METHOD AND SYSTEM FOR PRODUCING COATINGS FROM LIQUID FEEDSTOCK USING AXIAL FEED | 1 |
Kyle A. Hartelt | US | Portland | 2014-01-02 / 20140001427 - Winch | 1 |
Christopher Hartemink | US | Shoreview | 2012-09-27 / 20120245663 - IMPLANTABLE MEDICAL DEVICE HAVING AN ADHESIVE SURFACE PORTION | 5 |
Christopher A. Hartemink | US | Shoreview | 2016-03-10 / 20160067475 - IMPLANTABLE MEDICAL LEADS HAVING OSCILLATING CABLE CONDUCTOR LUMENS | 3 |
Alexander J. Hartemink | US | Durham | 2011-01-20 / 20110014607 - IMPRINTED GENES AND DISEASE | 1 |
Jan Hendrik Wessel Hartemink | NL | Lichtenvoorde | 2012-11-01 / 20120277009 - ENTERTAINMENT SYSTEM WITH SWING AND ROTATABLE SEATS | 1 |
Chris Hartemink | US | Shoreview | 2012-11-01 / 20120277607 - METHOD AND APPARATUS FOR IDENTIFICATION OF ISCHEMIC/INFARCTED REGIONS AND THERAPY OPTIMIZATION | 1 |
William Scott Harten | US | Woods Cross | 2015-05-28 / 20150149466 - CONDENSED HIERARCHICAL DATA VIEWER | 1 |
Paul Alexander Harten | DE | Essen | 2016-02-11 / 20160041397 - Device for Generating Laser Radiation Having a Linear Intensity Distribution | 2 |
Friedrich Harten | DE | Stadthagen | 2008-08-28 / 20080203669 - Arrangement for monitoring the leak-tightness of an evacuated space | 1 |
Holly Harten | CA | Sault Ste. Marie | 2014-06-19 / 20140167917 - Disease Mapping and Infection Control System and Method | 2 |
Bodo Von Harten | DE | Wuppertal | 2015-07-30 / 20150209494 - MOBILE SYSTEM FOR SEPARATING DONOR BLOOD BY MEANS OF GRAVITATIONAL FORCE | 5 |
Richard K. Hartenberger | US | Houston | 2011-06-16 / 20110145714 - SYSTEM AND METHOD FOR WEB-INTEGRATED STATISTICAL ANALYSIS | 1 |
Moritz Harteneck | DE | Unterhaching | 2011-03-03 / 20110053516 - TEST DEVICE FOR TESTING THE TRANSMISSION QUALITY OF A RADIO DEVICE | 1 |
Moritz Harteneck | DE | Muenchen | 2011-09-15 / 20110223869 - METHOD AND DEVICE FOR TESTING A MOBILE-RADIO DEVICE BY MEANS OF STATIC CHANNEL SIMULATION | 1 |
Moritz Hartenek | DE | Munich | 2015-01-15 / 20150016275 - METHOD AND A DEVICE FOR AVOIDING ERROR DETECTION OF CONTROL CHANNELS | 1 |
Helge Hartenhauer | DE | Dresden | 2014-12-04 / 20140356314 - 3-[3-(DIMETHYLAMINO)-1-ETHYL-2-METHYLPROPYL]PHENOL RESIN COMPLEX | 1 |
Bernhard Hartenstein | DE | Angelbachtal | 2012-03-22 / 20120072250 - SOLUTION PACKAGES INCLUDING SEGMENTS OF A PROCESS CHAIN | 1 |
Abraham Hartenstein | US | Chatsworth | 2016-02-11 / 20160043478 - Distributed Omni-Dual-Band Antenna System for a Wi-Fi Access Point | 18 |
Fredrick O. Hartenstein | US | Martinez | 2009-12-03 / 20090297271 - Turf Playing Surface Aeration and Drainage System | 1 |
Hans-Ulrich Hartenstein | US | Cramerton | 2010-09-23 / 20100240523 - METHOD OF REGENERATION OF SCR CATALYST POISONED BY PHOSPHOROUS COMPONENTS IN FLUE GAS | 3 |
Abraham Hartenstein | US | Chatsworth | 2016-02-11 / 20160043478 - Distributed Omni-Dual-Band Antenna System for a Wi-Fi Access Point | 18 |
Laurent Hartenstein | FR | Toulouse | 2009-05-07 / 20090117840 - Method of operating an aircraft system | 1 |
Markus Hartenstein | DE | Leimershiem | 2012-06-28 / 20120161061 - EXTRUDED SAN FOAMS | 1 |
John Hartenstine | US | Mountville | 2011-07-21 / 20110176276 - CTE-MATCHED HEAT PIPE | 1 |
Curtis Michael Hartenstine | US | Birdsboro | 2009-12-31 / 20090322131 - CHILD CAR SEAT | 1 |
Curtis M. Hartenstine | TW | Taipei | 2009-12-31 / 20090322130 - CHILD SAFETY SEAT | 1 |
Curtis M. Hartenstine | HK | Central | 2010-09-23 / 20100237591 - STROLLER | 1 |
Curtis M. Hartenstine | HK | Sheung Wan | 2010-06-10 / 20100138991 - PLAYPEN AND RELATED PLAYPEN SET | 1 |
Curtis M. Hartenstine | US | Birdsboro | 2016-04-28 / 20160114706 - CHILD SAFETY SEAT | 47 |
John L. Harter | US | Cary | 2015-10-01 / 20150281400 - ON-DEMAND CODE VERSION SWITCHING | 2 |
Kendall Harter | US | Spicewood | 2014-09-18 / 20140265515 - BEAN BAG CHAIRS WITH PILES AND RELATED APPARATUS AND MANUFACTURING METHODS | 1 |
Leonhard Harter | DE | Loßburg | 2016-03-10 / 20160067867 - AREA VACUUM GRIPPER | 3 |
Donald J. Harter | US | Ann Arbor | 2015-12-24 / 20150372445 - FEMTOSECOND LASER PROCESSING SYSTEM WITH PROCESS PARAMETERS CONTROLS AND FEEDBACK | 38 |
Kathleen Wettstein Harter | US | Vancouver | 2008-10-16 / 20080251596 - DIRECTING AEROSOL | 1 |
Ariel K. Harter | US | Simpsonville | 2009-04-30 / 20090110479 - FULLY CONTAINED RETENTION PIN FOR A TURBINE NOZZLE | 2 |
William Glen Harter | US | Ann Arbor | 2009-07-02 / 20090170886 - ANDROGEN MODULATORS | 1 |
David W. Harter | US | New Port Richey | 2012-09-20 / 20120237657 - COOKING APPARATUS AND METHOD WITH PRODUCT RECOGNITION | 4 |
Steven B. Harter | US | Las Vegas | 2009-07-30 / 20090192542 - SINGLE BALLOON RIPENING DEVICE WITH NOVEL INSERTER AND INFLATOR | 1 |
Robert J. Harter | US | La Crosse | 2015-10-08 / 20150289105 - Carwash With Smartphone Proximity Detection | 14 |
Donald Harter | US | Ann Arbor | 2012-05-17 / 20120120971 - YB: AND ND: MODE-LOCKED OSCILLATORS AND FIBER SYSTEMS INCORPORATED IN SOLID-STATE SHORT PULSE LASER SYSTEMS | 3 |
Raymond D. Harter | US | Cross Plains | 2010-02-18 / 20100041979 - INTERVENTIONAL IMMOBILIZATION DEVICE | 2 |
William Glen Harter | US | Chelsea | 2010-02-25 / 20100048517 - ANDROGEN MODULATORS | 1 |
Bonita Harter | US | Perkasie | 2010-02-25 / 20100043184 - FASTENER-FABRIC ASSEMBLY AND METHOD OF USE THEREOF | 1 |
James Sterling Harter | US | Lantana | 2010-05-06 / 20100114666 - METHOD AND SYSTEM FOR SCREENING AND EVALUATION OF RESEARCH PANEL MEMBERS | 1 |
Glenn Harter | US | Wellington | 2012-10-18 / 20120266095 - User Interface For Multi-Device Control | 3 |
Ariel Kelsey Coleman Harter | US | Simpsonville | 2010-01-14 / 20100008769 - Sealing Mechanism with Pivot Plate and Rope Seal | 1 |
George Harter | US | Berkeley | 2012-02-02 / 20120028298 - System and method for automated tissue slide processing and data management | 2 |
Michael Harter | US | Commerce Twp. | 2011-03-24 / 20110067970 - FLUID FRICTION CLUTCH | 1 |
Michael Harter | DE | Meckenbeuren/reute | 2016-04-14 / 20160102641 - FUEL PIPING ARRANGEMENT IN COMMON RAIL TYPE FUEL SUPPLY SYSTEMS | 1 |
Rainer Harter | DE | Schenkenzell | 2012-08-30 / 20120219353 - JOINT HAVING A BALL HEAD FASTENED TO A PIN AND PLAIN-BEARING FILM FOR SUCH A JOINT | 1 |
James Sterling Shapiro Harter | US | Lantana | 2014-07-03 / 20140188941 - Using a Graph Database to Match Entities by Evaluating Boolean Expressions | 3 |
Kendall D. Harter | US | Spicewood | 2015-04-23 / 20150108162 - FOODSTUFF PACKAGES AND RELATED APPARATUS, SYSTEMS, AND METHODS | 3 |
Richard W. Harter | US | Park Falls | 2014-02-20 / 20140048640 - Cut Sheet Length Control in a Corrugator Dry End | 1 |
Laurence Wylie Harter | US | San Jose | 2016-04-28 / 20160114159 - METHOD AND APPARATUS FOR TREATING SLEEP APNEA | 3 |
Ronald Scott Harter | US | Austin | 2013-05-09 / 20130112723 - Accessory Holder System for Mounting to a Golf Ball Holder in a Golf Cart | 1 |
Terrance Lee Harter | US | Canyon Lak | 2013-05-09 / 20130112723 - Accessory Holder System for Mounting to a Golf Ball Holder in a Golf Cart | 1 |
Michael Harter | US | Tampa | 2015-01-15 / 20150018753 - SYSTEMS AND METHODS FOR IN VIVO IRRADIATION OF BLOOD | 1 |
Andy Harter | GB | Cambridge | 2013-07-04 / 20130174079 - Virtual Interface Devices | 1 |
Steven V. Harter | US | Fargo | 2011-10-13 / 20110252009 - COMBINED SAVE AND VALIDATION LOGIC | 1 |
Sally L. Harter | US | Carmel | 2015-11-19 / 20150327706 - DISPOSABLE PATIENT ROOM DIVIDER CURTAIN PROTECTIVE BARRIER FILM TO REDUCE INFECTION | 1 |
Sally L. Harter | US | 2015-11-19 / 20150327706 - DISPOSABLE PATIENT ROOM DIVIDER CURTAIN PROTECTIVE BARRIER FILM TO REDUCE INFECTION | 1 | |
Eugene Harter | US | Summerfield | 2013-05-09 / 20130111835 - Paneled Partition Having A Retractable Extension | 2 |
Eugene Petit Harter | US | Summerfield | 2014-05-01 / 20140117161 - AIRCRAFT DOOR MECHANISM WITH HANDLE ACTUATED DESCENDER | 1 |
George Harter | US | Burlington | 2013-06-27 / 20130166593 - SYSTEMS, METHODS, AND MEDIA FOR LABORATORY TESTING SERVICES | 2 |
Scott Burton Harter | US | Corinth | 2014-04-10 / 20140099464 - PEEL AND STICK DECOUPLING MEMBRANE | 1 |
Thomas C. Harter | US | Neenah | 2013-08-29 / 20130226397 - PORTABLE DEVICE FOR LOGGING DRIVING INFORMATION | 1 |
Tom C. Harter | US | Neenah | 2015-11-12 / 20150325063 - PARTITIONED COMPLIANCE APPLICATION FOR REPORTING HOURS OF SERVICE | 1 |
John Leslie Harter | US | Cary | 2016-01-07 / 20160004868 - Visual display of risk-identifying metadata for identity management access requests | 1 |
Werner Harter | DE | Illingen | 2013-05-23 / 20130127237 - HYDRAULIC BRAKE SYSTEM AND METHOD AS WELL AS CONTROL DEVICE FOR ITS OPERATION | 13 |
David Harter | US | New Port Richie | 2012-06-21 / 20120152936 - IMPINGEMENT/CONVECTION/MICROWAVE OVEN AND METHOD | 2 |
Glenn Richard Harter | US | Wellington | 2012-08-16 / 20120209935 - SYSTEM AND METHOD FOR SELECTIVELY PROVIDING ACCESS TO A PLURALITY OF DEVICES | 1 |
Johann Harter | DE | Dresden | 2010-03-04 / 20100057685 - INFORMATION STORAGE AND RETRIEVAL SYSTEM | 1 |
Thomas Harter | DE | Hausach | 2010-01-07 / 20100003903 - DEVICE FOR PROCESSING THE SURFACE OF SPHERICAL SHELLS | 1 |
Matthias Harter | DE | Frankfurt | 2009-12-10 / 20090304181 - Method for generating and/or imprinting a retrievable cryptographic key during the production of a topographic structure | 1 |
Robert J. Harter | US | La Crosse | 2015-10-08 / 20150289105 - Carwash With Smartphone Proximity Detection | 14 |
Sabrina Harter | DE | Erlangen | 2009-10-22 / 20090261831 - MAGNETIC RESONANCE GRADIENT COIL FORMED BY TWO DIFFERENT TYPES OF CONDUCTORS | 1 |
Andrew G. Harter | US | Knoxville | 2015-02-12 / 20150040760 - DIRECT IMPACT AEROSOL SAMPLING BY ELECTROSTATIC PRECIPITATION | 1 |
Darren Harter | GB | Hucclecote | 2012-11-01 / 20120278895 - METHODS AND APPARATUS FOR DEALING WITH MALWARE | 2 |
Harold D. Harter | US | West Mifflin | 2012-03-08 / 20120058396 - OXIDATION-RESISTANT METAL SUPPORTED RECHARGEABLE OXIDE-ION BATTERY CELLS AND METHODS TO PRODUCE THE SAME | 1 |
Karl Harter | DE | Furtwangen | 2012-01-26 / 20120019240 - DEVICE, INSTRUMENT AND PROCESS FOR DETECTING MAGNETICALLY LABELED ANALYTES | 1 |
Joseph E. Harter, Jr. | US | Fort Wayne | 2012-08-02 / 20120192846 - PAINTBALL MARKER | 1 |
Joseph E. Harter, Jr. | US | Kokomo | 2014-09-18 / 20140266651 - SYSTEM AND METHOD FOR BLINDZONE OBJECT DETECTION | 1 |
William Wood Harter, Jr. | US | Aliso Viejo | 2009-08-27 / 20090217148 - Systems and Methods for Document Annotation | 2 |
William Hood Harter, Jr. | US | Aliso Viejo | 2009-12-03 / 20090300676 - GENERATING A COMBINED VIDEO STREAM FROM MULTIPLE INPUT VIDEO STREAMS | 1 |
Donald J. Harter, Jr. | US | Ann Arbor | 2013-12-12 / 20130329279 - METHOD AND APPARATUS FOR CONTROLLING AND PROTECTING PULSED HIGH POWER FIBER AMPLIFIER SYSTEMS | 1 |
Rüdiger Hartert | DE | Wuppertal | 2011-08-25 / 20110206893 - PENETRATION-INHIBITING MATERIAL | 1 |
Ian David Hartert | US | Nashville | 2013-12-12 / 20130326989 - Wooden Floor Tile With Milled Surface | 1 |
Carolin Hartert | DE | Penig | 2014-06-12 / 20140161542 - METHOD AND DEVICE FOR REMOVING A CONTACT LENS FROM A CONTAINER | 1 |
Rüdiger Hartert | DE | Wuppertal | 2011-08-25 / 20110206893 - PENETRATION-INHIBITING MATERIAL | 1 |
Rudiger Hartert | DE | Wuppertal | 2012-06-28 / 20120159699 - PENETRATION-RESISTANT TEXTILE FABRICS AND ARTICLES COMPRISING SAID FABRICS | 5 |
Carolin Hartert | DE | Hosbach | 2011-04-21 / 20110089054 - Method and Device for Removing a Contact Lens from a Container | 2 |
Merja Harteva | FI | Espoo | 2008-11-13 / 20080281128 - Hydroformylation Process | 1 |
Wouter Koen Harteveld | NL | Amsterdam | 2013-12-12 / 20130331614 - PROCESS AND REACTOR SYSTEM FOR THE PREPARATION OF POLYETHER POLYOLS | 12 |
Guenther Kurt Hartfeil | US | Cronelius | 2013-04-18 / 20130096984 - SYSTEM AND METHOD FOR ESTIMATING RESIDUAL LIFETIME VALUE OF A CUSTOMER BASE UTILIZING SURVIVAL ANALYSIS | 1 |
Guenther Kurt Hartfeil | US | Cornelius | 2013-09-26 / 20130253984 - SYSTEM AND METHOD FOR EVALUATING BANKING CONSUMERS AS A FUNCTION OF AGGREGATED RESIDUAL LIFETIME VALUES AND POTENTIAL LIFETIME VALUES | 3 |
Guenther Kurt Hartfeil | US | Charlotte | 2015-10-22 / 20150302437 - System and Method for Strategizing Interactions With A Client Base | 3 |
Margaret A. Hartfel | US | St. Paul | 2015-04-02 / 20150090614 - APPARATUS FOR SPRAYING LIQUIDS, AND ADAPTERS AND LIQUID RESERVOIRS SUITABLE FOR USE THEREWITH | 5 |
Charles B. Hartfelder | US | Lagrange Park | 2012-05-17 / 20120121782 - Conveyor Toaster with Self-Aligning Belts | 1 |
Andrea Hartfelder | US | Orchard Lake | 2013-08-08 / 20130200171 - SUMP SPRINKLER CONTROL SYSTEM | 2 |
David Hartfelder | US | Orchard Lake | 2013-08-08 / 20130200171 - SUMP SPRINKLER CONTROL SYSTEM | 2 |
Charles Hartfelder | US | Carol Stream | 2012-04-05 / 20120079699 - Apparatus and Method for Maintaining Cooked Food in a Ready-to-use Condition | 4 |
Teresa Hartfelder | US | Yulee | 2015-04-30 / 20150114864 - Wire Management Featured Integrated Into PETG Tray For EM Trackable Disposable Products | 1 |
John Wayne Hartfiel | US | Austin | 2009-08-20 / 20090210566 - MULTI-CHIP DIGITAL SYSTEM SIGNAL IDENTIFICATION APPARATUS | 1 |
Jon P. Hartfield | US | La Crosse | 2016-05-12 / 20160131433 - BRAZED HEAT EXCHANGER WITH FLUID FLOW TO SERIALLY EXCHANGE HEAT WITH DIFFERENT REFRIGERANT CIRCUITS | 4 |
Cheryl Hartfield | GB | Oxon | 2016-03-31 / 20160093468 - METHOD OF REDUCING THE THICKNESS OF A TARGET SAMPLE | 1 |
Cheryl D. Hartfield | US | Dallas | 2013-02-14 / 20130037713 - METHOD FOR PROCESSING SAMPLES HELD BY A NANOMANIPULATOR | 1 |
Kiva Hartfield | US | Lake Oswego | 2013-10-10 / 20130267354 - LACROSSE CRADLEBALL | 1 |
Cheryl Hartfield | US | Dallas | 2014-01-16 / 20140014742 - GAS INJECTION SYSTEM FOR ENERGETIC-BEAM INSTRUMENTS | 2 |
Cheryl Hartfield | US | Plano | 2016-02-04 / 20160035540 - TOTAL RELEASE METHOD FOR SAMPLE EXTRACTION IN AN ENERGETIC-BEAM INSTRUMENT | 3 |
Roy J. Hartfield | US | Auburn | 2008-09-11 / 20080216792 - POSITIVE DISPLACEMENT ROTARY VANE ENGINE | 1 |
Sandra Hartfield | US | Indian Wells | 2012-01-26 / 20120023019 - Automatic Settlement of User Account From a Remote Kiosk | 1 |
Jon Phillip Hartfield | US | La Crosse | 2016-05-19 / 20160138842 - REFRIGERANT MANAGEMENT IN HVAC SYSTEMS | 4 |
Harlan Hartfield | US | West Allis | 2009-02-05 / 20090031473 - Noiseless over garment with apertures | 1 |
Roy J. Hartfield, Jr. | US | Auburn | 2014-01-23 / 20140020384 - POSITIVE DISPLACEMENT ROTARY VANE ENGINE | 2 |
Susan E. Hartfield-Wunsch | US | Livonia | 2010-09-02 / 20100219178 - INDUCTION WELD ASSEMBLY OF CLOSURE PANELS | 2 |
Stephen A. Hartford | US | Folsom | 2011-08-18 / 20110200305 - LOW BANDWIDTH TELEVISION | 1 |
Jim Hartford | CA | Blenheim | 2015-05-14 / 20150129389 - LINEAR SENSOR | 2 |
Scott A. Hartford | US | Swanton | 2009-06-18 / 20090157212 - SYSTEM AND METHOD OF DETERMINING PAINT FORMULA HAVING A EFFECT PIGMENT | 1 |
Edward Hartford | US | Newbury Park | 2015-11-05 / 20150317537 - Method and Apparatus for Image Scoring and Analysis | 1 |
Sean D. Hartford | US | Hillsboro | 2015-03-05 / 20150059214 - Article of Footwear With Color Change Portion and Method of Changing Color | 5 |
Ginger Marissa Blisse Hartford | US | Dacula | 2015-05-28 / 20150149317 - VIRTUAL CUSTOM FRAMING EXPERT SYSTEM | 1 |
Wayne Hartford | US | Santa Clarita | 2014-12-11 / 20140361552 - Apparatus for a Door Latch | 2 |
Carrie Elizabeth Hartford | US | Santa Maria | 2012-04-12 / 20120084978 - COMPACTION OF ELECTRICAL INSULATION FOR JOINING INSULATED CONDUCTORS | 1 |
Stephen Andrew Hartford | US | San Jose | 2014-10-09 / 20140300769 - Automatic Time Lapse Capture | 2 |
Stephen Hartford | US | San Jose | 2015-09-10 / 20150256750 - METHOD AND APPARATUS FOR CAPTURING VIDEO IMAGES INCLUDING A START FRAME | 7 |
Valerie Hartford | US | Pacific Palisades | 2014-08-07 / 20140222424 - METHOD AND APPARATUS FOR CONTEXTUAL TEXT TO SPEECH CONVERSION | 1 |
Richard D. Hartford | US | Maybee | 2015-12-10 / 20150351546 - FURNITURE MEMBER SEATBACK LINKAGE WITH SELECTABLE FASTENER LOCATIONS FOR ADJUSTING SEATBACK ANGLE | 2 |
Matthew Hartford | US | Phoenix | 2016-03-10 / 20160069455 - RADIALLY NOTCHED PISTON RINGS | 1 |
James T. Hartford | US | Silverlake | 2011-04-07 / 20110081211 - Tool and inserts for milling splines | 2 |
Hartford Fire Insurance Company | US | Hartford | 2013-06-20 / 20130159553 - Real-time single entry multiple carrier interface (semci) | 12 |
Perry Hartge | US | Allison Park | 2011-12-01 / 20110293764 - Forming Melt Spun Nonwoven Webs | 1 |
Jeffrey D. Hartgerink | US | Pearland | 2014-07-03 / 20140187651 - MULTI-HIERARCHICAL SELF-ASSEMBLY OF A COLLAGEN MIMETIC PEPTIDE | 4 |
Klaus Harth | DE | Altleiningen | 2009-07-02 / 20090171117 - METHOD FOR PRODUCING A MULTI METAL OXIDE CATALYST, METHOD FOR PRODUCING UNSATURATED ALDEHYDES AND/OR CARBOXYLIC ACIDS AND BAND CALCINATION DEVICE | 1 |
Hubert Harth | DE | Hilden | 2012-04-19 / 20120093902 - FLUID RESERVOIR | 7 |
Yoram Harth | IL | Herzliya | 2013-09-05 / 20130231611 - Electrosurgical Methods and Devices Employing Phase-Controlled Radiofrequency Energy | 4 |
George H. Harth | US | Wadsworth | 2008-10-30 / 20080264358 - End Support Configuration for Steam Tubes of a Superheater or Reheater | 1 |
Dieter Harth | DE | Kusel | 2009-09-03 / 20090218853 - VEHICLE SEAT, ESPECIALLY MOTOR VEHICLE SEAT | 1 |
Yoram Harth | IL | Herzliyya | 2013-06-27 / 20130165928 - Systems and Methods Employing Radiofrequency Energy for Skin Treatment | 1 |
Eva M. Harth | US | Nashville | 2015-11-05 / 20150315174 - Dendritic Molecular Intracellular Transporters and Methods of Making and Using Same | 10 |
Eva M. Harth | US | Nashville | 2015-11-05 / 20150315174 - Dendritic Molecular Intracellular Transporters and Methods of Making and Using Same | 10 |
Yoram Harth | IL | Herzlia, | 2014-07-24 / 20140207217 - SKIN TREATMENT DEVICES AND METHODS | 1 |
Yoram Harth | IL | Herzlya | 2013-10-24 / 20130282085 - SKIN TREATMENT DEVICES AND METHODS | 1 |
Gunter Harth | US | Los Angeles | 2010-04-15 / 20100092518 - Recombinant Intracellular Pathogen Immunogenic Compositions and Methods of Use | 2 |
Jesper Hart-Hansen | DK | Lyngby | 2013-02-28 / 20130054335 - MOBILE PLATFORM FOR GENERATING AND DISTRIBUTING DEALS | 3 |
Matthew A. Harthcock | US | Stow | 2014-10-23 / 20140315010 - SOFT TOUCH LAMINATES CONSTRUCTED WITH IMPROVED FIRE RETARDANT PROPERTIES FOR TRANSPORTATION | 1 |
Matthew Harthcock | US | Stow | 2015-05-07 / 20150123307 - Fastenable Member for Sealing, Baffling or Reinforcing and Method of Forming Same | 1 |
Matthew Harthcock | US | Oakland Township | 2014-06-19 / 20140165405 - Fastenable Member for Sealing, Baffling or Reinforcing and Method of Forming Same | 2 |
Wim A. Harthoorn | GB | Horsham | 2014-01-02 / 20140006601 - SERVICES MANAGEMENT APPLICATION INTEGRATING SOCIAL MEDIA AND AUTOMATED INFRASTRUCTURE MONITORING | 1 |
Lucien Harthoorn | NL | Woerden | 2013-07-11 / 20130178530 - Nutritional Compensation For Western-Type Diet | 1 |
Duane Harthoorn | US | Lynnville | 2015-09-10 / 20150251187 - MATERIAL REDUCING APPARATUS INCLUDING AN AUTOMATICALLY POSITIONED THROWN OBJECT DEFLECTOR | 3 |
Lucien F. Harthoorn | NL | Woerden | 2013-04-18 / 20130095204 - NUTRITIONAL PHYTONUTRIENT COMPOSITIONS | 1 |
Duane Harthoorn | US | Lynville | 2013-04-25 / 20130098811 - MATERIAL REDUCING APPARATUS HAVING FEATURES FOR ENHANCING REDUCED MATERIAL SIZE UNIFORMITY | 2 |
Leunis Forrinus Harthoorn | NL | Utrecht | 2016-05-19 / 20160136210 - SYNBIOTIC COMPOSITION FOR TREATMENT OF INFECTIONS IN ALLERGIC PATIENTS | 1 |
Martin Harthoorn | CA | Calgary | 2013-06-13 / 20130145723 - TORQUE TRACK SYSTEM AND METHOD | 1 |
Duane Allen Harthoorn | US | Lynnville | 2013-12-26 / 20130341449 - ANVIL UNIT FOR A MATERIAL REDUCING MACHINE | 3 |
Richard A. Harthun | US | Burlington | 2010-04-22 / 20100097167 - VEGETABLE OIL BASED DIELECTRIC COOLANT | 1 |
Richard A. Harthun | US | Eagle | 2014-11-27 / 20140349019 - SILICONE AND SILOXANE-BASED IMPREGNATED COATING AND POLYMERIC MATERIALS FOR CONDITIONING | 6 |
Nancy L. Harthun | US | Charlottesville | 2009-04-09 / 20090093005 - PROTEIN-BASED BIOMARKERS FOR ABDOMINAL AORTIC ANEURYSM | 1 |
James Craig Harti | US | Snohomish | 2010-02-11 / 20100033734 - Vehicle frame deformation measurement apparatus and method | 1 |
Saad Harti | CH | Lutry | 2013-12-05 / 20130323334 - Use Of Compositions For Preventing Chemotherapy And Radiotherapy Induced Alopecia (CRIA), Reducing CRIA Impact And Improving The Appearance Of Hair Re-Growth After CRIA | 2 |
Julia Verena Hartig | DE | Neustadt | 2014-01-02 / 20140007289 - Promoters for Regulating Expression in Plants | 1 |
Marcel Hartig | DE | Krefeld | 2015-12-31 / 20150376749 - METHOD FOR PRODUCING AN ULTRA HIGH STRENGTH MATERIAL WITH HIGH ELONGATION | 1 |
Martin Hartig | DE | Speyer | 2015-04-16 / 20150106140 - ZERO DOWNTIME MAINTENANCE WITH MAXIMUM BUSINESS FUNCTIONALITY | 12 |
Stefan Hartig | DE | Achern | 2014-04-17 / 20140102048 - TRANSPORT AND TRANSFER CONTAINER FOR A LIQUID MEDIUM | 5 |
Martin Hartig | DE | Speyer | 2015-04-16 / 20150106140 - ZERO DOWNTIME MAINTENANCE WITH MAXIMUM BUSINESS FUNCTIONALITY | 12 |
Mareke Hartig | DE | Ingelheim | 2010-10-28 / 20100272638 - RADIOLABELLED MICROPARTICLES, PROCESSES FOR THE PREPARATION THEREOF AND THE USE THEREOF | 2 |
Jens Hartig | DE | Ludwigshafen | 2013-10-24 / 20130281636 - PROCESS FOR PREPARING POLYURETHANE-POLYACRYLATE HYBRID DISPERSIONS | 4 |
Oliver Hartig | DE | Leonberg | 2009-08-27 / 20090211360 - Ultrasonic Sensor | 1 |
Thomas Hartig | DE | Heilbronn | 2015-01-29 / 20150031977 - PERFUSION CANNULA WITH INTEGRATED SENSOR TECHNOLOGY | 1 |
Martin Hartig | DE | Walldorf | 2016-03-17 / 20160078067 - EXTENSIBILITY OF EMBEDDED DATABASE PROCEDURES | 3 |
William Hartig | US | Alexandria | 2013-07-18 / 20130180267 - ICE SUPPLY SYSTEM | 1 |
Klaus Hartig | US | Avoca | 2016-04-21 / 20160111624 - THERMOELECTRIC DEVICE TECHNOLOGY | 16 |
Michael J. Hartig | US | Niskayuna | 2014-03-13 / 20140070414 - Semiconductor plural gate lengths | 1 |
Klaus Hartig | US | Avoca | 2016-04-21 / 20160111624 - THERMOELECTRIC DEVICE TECHNOLOGY | 16 |
Julia Verena Hartig | US | Durham | 2015-02-19 / 20150052636 - Regulatory Nucleic Acid Molecules for Reliable Gene Expression in Plants | 2 |
Steffen Hartig | DE | Nussloch | 2010-06-03 / 20100138257 - ARCHITECTURAL DESIGN FOR SELLING STANDARDIZED SERVICES APPLICATION SOFTWARE | 4 |
Rainer Hartig | DE | Buxtehude | 2015-12-03 / 20150344117 - DRIVE CASCADE SYSTEM FOR A WATERCRAFT | 11 |
David Hartig | US | Oakland | 2016-05-19 / 20160142534 - SYSTEMS, METHODS, AND MEDIA FOR DETERMINING FRAUD PATTERNS AND CREATING FRAUD BEHAVIORAL MODELS | 7 |
Charles Hartig | US | Loxachatchee | 2015-11-19 / 20150334271 - Crane Boom Camera Device | 1 |
Guenther Hartig | GB | Lambourn | 2008-08-21 / 20080197627 - ANNULAR CLAMP | 1 |
Klaus H.w. Hartig | US | Avoca | 2014-10-23 / 20140314972 - High Quality Emission Control Coatings, Emission Control Glazings, and Production Methods | 6 |
Rainer Hartig | DE | Buxtehude | 2015-12-03 / 20150344117 - DRIVE CASCADE SYSTEM FOR A WATERCRAFT | 11 |
Gregory K. Hartig | US | Cross Plains | 2009-04-09 / 20090093754 - Device for Treatment of Venous Congestion | 1 |
Carsten Hartig | DE | Meerane | 2015-08-27 / 20150243568 - INLINE RESIDUAL LAYER DETECTION AND CHARACTERIZATION POST VIA POST ETCH USING CD-SEM | 7 |
Thorsten Hartig | DE | Puschendorf | 2015-03-05 / 20150060005 - SALT-BASED CORES, METHOD FOR THE PRODUCTION THEREOF AND USE THEREOF | 1 |
Paul B. Hartigan | US | Longmont | 2016-01-07 / 20160002700 - Filter and Blower Geometry for Particle Sampler | 4 |
William M. Hartigan | US | Fremont | 2009-07-30 / 20090188889 - EXPANDABLE STENTS AND METHOD FOR MAKING SAME | 1 |
Gavin Hartigan | US | Portland | 2011-05-19 / 20110114665 - GAS DELIVERY FOR BEAM PROCESSING SYSTEMS | 1 |
John Paul Hartigan | IE | Limerick | 2012-04-19 / 20120094788 - TACKLE PRACTICE APPARATUS AND A METHOD FOR PRACTISING TACKLING IN A CONTACT SPORT | 1 |
Collin Hartigan | US | Los Gatos | 2014-11-06 / 20140330906 - SYSTEMS AND METHODS OF NETWORK PROCESSING/CREATION INVOLVING ENGAGEMENT TOOLS, POLLING, MESSAGING, FEEDBACK AND/OR OTHER FEATURES | 1 |
Timothy R. Hartigan | US | Evanston | 2009-05-07 / 20090113591 - Limb Protector | 1 |
Brian J. Hartigan | US | Glenview | 2010-03-18 / 20100069966 - Bone Plate System for Hand Fractures and Other Small Bones | 1 |
Dennis J. Hartigan-O'Connor | US | S Lake Tahoe | 2011-02-10 / 20110033926 - Production Of Viral Vectors | 1 |
Jesper Hartig Larsen | DK | Kastrup | 2009-08-20 / 20090209217 - Electronic Device, System, Chip and Method Enabling a Radio Signal Reception | 1 |
Robert F. Hart, Iii | US | Winchester | 2011-12-01 / 20110289836 - USE OF CHLORATE, SULFUR OR OZONE TO REDUCE TOBACCO SPECIFIC NITROSAMINES | 1 |
James V. Hart, Iii | US | Washington | 2013-05-30 / 20130137233 - HYDROGEN BARRIER LINER FOR FERRO-ELECTRIC RANDOM ACCESS MEMORY (FRAM) CHIP | 2 |
John J. Hart, Iii | US | Mashpee | 2012-07-19 / 20120185948 - SYSTEMS AND METHODS FOR THE PREVENTION OF UNAUTHORIZED USE AND MANIPULATION OF DIGITAL CONTENT | 6 |
Juha Hartikainen | FI | Oulu | 2010-12-23 / 20100322264 - METHOD AND APPARATUS FOR MESSAGE ROUTING TO SERVICES | 1 |
Auvo Hartikainen | HU | Budapest | 2015-03-19 / 20150079973 - RECOVERY TECHNIQUES IN MOBILE NETWORKS | 6 |
Jennifer Hartikainen | CA | Vancouver | 2010-07-01 / 20100166781 - HAT ACETYLATION PROMOTERS AND USES OF COMPOSITIONS THEREOF IN PROMOTING IMMUNOGENICITY | 1 |
Juha Petri Hartikainen | FI | Oulu | 2010-12-23 / 20100325260 - METHOD AND APPARATUS FOR MESSAGE ROUTING OPTIMIZATION | 2 |
Helinä Hartikainen | FI | Helsinki | 2013-05-02 / 20130108373 - METHOD FOR TREATING SOIL MATERIAL | 1 |
Vesa Tapio Hartikainen | FI | Lepsämä | 2016-04-28 / 20160119793 - SHARED USE OF LICENSED FREQUENCY SPECTRUM IN A MOBILE COMMUNICATIONS NETWORK | 1 |
Auvo Hartikainen | FI | Tampere | 2013-10-24 / 20130281087 - MANAGING SUBSCRIBER INFORMATION | 1 |
Erik Hartikainen | SE | Norrkoping | 2012-11-22 / 20120294181 - DATA TRANSFER PATH EVALUATION USING FILTERING AND CHANGE DETECTION | 2 |
Yrjo Hartikka | FI | Pennala | 2012-11-29 / 20120299494 - LED TUBULAR LAMP AND LIGHTING FIXTURE ARRANGEMENT | 2 |
Sakari Hartikka | FI | Tikkakoski | 2013-03-28 / 20130074630 - METHOD FOR CONTROLLING LUBRICATION OF A GEAR UNIT AND A GEAR UNIT | 1 |
Jukka Hartikka | US | La Mesa | 2013-08-08 / 20130202630 - COMPOSITIONS AND METHODS FOR ENHANCING IMMUNE RESPONSES TO VACCINES | 4 |
Yrjö Hartikka | FI | Pennala | 2012-11-29 / 20120299494 - LED TUBULAR LAMP AND LIGHTING FIXTURE ARRANGEMENT | 3 |
Yrjö Hartikka | FI | Pennala | 2012-11-29 / 20120299494 - LED TUBULAR LAMP AND LIGHTING FIXTURE ARRANGEMENT | 3 |
Shivanand Hartimath | IN | Karnataka | 2009-07-30 / 20090188309 - PROCEDURE FOR DIAGNOSING AN EXHAUST GAS TREATMENT DEVICE AND DEVICE FOR IMPLEMENTING THE PROCEDURE | 1 |
Olin Lee Hartin | US | Scottsdale | 2016-03-03 / 20160064792 - RADIO FREQUENCY COUPLING STRUCTURE AND A METHOD OF MANUFACTURING THEREOF | 1 |
Thomas Hartin | US | Austin | 2009-05-21 / 20090129695 - METHOD AND SYSTEM FOR NOISE MANAGEMENT FOR SPATIAL PROCESSING IN DIGITAL IMAGE/VIDEO CAPTURE SYSTEMS | 1 |
Olin L. Hartin | US | Chandler | 2010-03-18 / 20100065968 - ELECTRONIC APPARATUS INTERCONNECT ROUTING | 1 |
Thomas A. Hartin | US | Austin | 2011-06-23 / 20110153990 - SYSTEM, APPARATUS, AND METHOD FOR SUPPORTING CONDITION CODES | 1 |
Olin Hartin | US | Phoenix | 2015-10-15 / 20150295075 - High Speed Gallium Nitride Transistor Devices | 2 |
Olin L. Hartin | US | Phoenix | 2014-10-23 / 20140312457 - INTEGRATED CIRCUIT CHIP WITH DISCONTINUOUS GUARD RING | 9 |
Justin W. Hartin | US | Bellevue | 2009-06-11 / 20090150810 - Rule-Based Multi-Pane Toolbar Display | 2 |
Jeffrey W. Hartin | US | Carnation | 2013-06-06 / 20130141324 - USER INTERFACE CONTROL BASED ON HEAD ORIENTATION | 1 |
Charles B. Hartin | US | Ft. Worth | 2012-11-15 / 20120290153 - FLIGHT CONTROL SYSTEM WITH ALTERNATE CONTROL PATH | 1 |
Olin L. Hartin | US | Phoenix | 2014-10-23 / 20140312457 - INTEGRATED CIRCUIT CHIP WITH DISCONTINUOUS GUARD RING | 9 |
Amanda Anne Hartin | US | Duvall | 2009-12-31 / 20090327091 - LICENSE MANAGEMENT FOR SOFTWARE PRODUCTS | 1 |
Ryan P. Hartin | US | New Brighton | 2014-01-16 / 20140014196 - SELF-ALIGNING PRODUCT DELIVERY SYSTEM | 1 |
Jeffery W. Hartin | US | Carnation | 2013-03-28 / 20130080976 - MOTION CONTROLLED LIST SCROLLING | 1 |
Bruce Hartin | US | Dallas | 2015-07-09 / 20150192233 - RESTRAINT SYSTEM AND METHOD FOR HIGH PRESSURE PIPELINE | 2 |
David G. Harting | US | Needham | 2011-09-08 / 20110214337 - ANIMAL TRAP HAVING TIMED RELEASE DOOR | 2 |
Margit Harting | ZA | Mowbray | 2012-01-26 / 20120018551 - Method of Producing Stable Oxygen Terminated Semiconducting Nanoparticles | 3 |
Eric Kelsey Harting | US | Rockville | 2014-10-02 / 20140290183 - SELECTIVE ACCESS TO CRYOPRESERVED SAMPLES | 2 |
John Lynn Harting | US | San Jose | 2014-10-09 / 20140301034 - STORAGE CARTRIDGE DOCK SYSTEM | 2 |
David Harting | US | Needham | 2015-10-01 / 20150272084 - LIQUID BIRD FEEDER | 6 |
Thomas E. Harting | US | Fort Wayne | 2012-12-27 / 20120327672 - MIRROR ASSEMBLY | 2 |
Margit Harting | ZA | Cape Town | 2015-01-22 / 20150023393 - Large Area Temperature Sensor | 6 |
Hendrik Harting | DE | Hameln | 2011-07-14 / 20110168481 - Sound Damper for Compressed Air Systems of Vehicles | 1 |
Hendrick Harting | DE | Hameln | 2008-11-27 / 20080289900 - Noise Damper for a Compressed Air Device | 1 |
Christoph Harting | DE | Eppstein | 2015-08-20 / 20150236379 - ADDITIVES FOR GALVANIC CELLS | 1 |
Michael Carl Harting | US | Jesup | 2009-03-05 / 20090058044 - DRAWBAR PIN ADAPTER | 1 |
Michael C. Harting | US | Laport City | 2010-05-06 / 20100109288 - ADAPTABLE DRAWBAR SUPPORT ASSEMBLY | 2 |
Doris Hartinger | AT | Wien | 2011-08-04 / 20110189755 - METHOD FOR THE PRODUCTION OF AN ADDITIVE FOR THE ENZYMATIC DECOMPOSITION OF MYCOTOXINS, ADDITIVE, AND USE THEREOF | 1 |
Chip Hartinger | US | Farmington Hills | 2009-06-04 / 20090143182 - Transfer Case for a Motor Vehicle Powertrain | 1 |
Peter Hartinger | DE | Bodenwohr | 2009-07-02 / 20090167289 - Monitoring a Protective Device Arranged Upstream of a Switching Device | 1 |
Benedikt Hartinger | DE | Nürnberg | 2010-01-14 / 20100010337 - Combined PET/MRI device, component and local coil | 1 |
Andreas Hartinger | DE | Roeslau | 2014-02-06 / 20140036955 - Device And Method For The Photothermic Investigation Of A Sample | 1 |
Benedikt Hartinger | DE | Nürnberg | 2010-01-14 / 20100010337 - Combined PET/MRI device, component and local coil | 1 |
Dan G. Hartinger | US | Hudson | 2015-09-17 / 20150259471 - POLYCARBONATES | 1 |
Ronald Hartinger | DE | Leutenbach | 2008-11-06 / 20080272268 - INTERNAL COMBUSTION ENGINE HAVING A CABLE RETAINER AND A CABLE RETAINER FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Danny G. Hartinger | US | Hudson | 2015-07-02 / 20150184019 - Coating Composition Including an Unsaturated Polymer | 2 |
Chip Hartinger | US | Brighton | 2010-06-17 / 20100151981 - Control of the Flow Rate in a Transmission Oil Cooler | 1 |
Josef Hartinger | DE | Wernberg-Koblitz | 2012-11-22 / 20120296290 - MANUFACTURING METHOD A STRUCTURAL PART WITH A CANNULA, A CANNULA, A STRUCTURAL PART, AN INSERTION HEAD AND AN INSERTION DEVICE WITH THE CANNULA | 1 |
Gerhard Hartinger | DE | Geretsried | 2015-11-26 / 20150337929 - 3D PUSH PULL CHAIN | 1 |
Dan Hartinger | US | Hudson | 2016-03-31 / 20160090510 - Urethane Coating Composition For Metal Substrate | 5 |
Thomas Francis Harting Glade | CL | Santiago | 2013-10-10 / 20130267600 - Concentrate of Omega 3 | 2 |
Timothy John Hartingh | US | Blue Bell | 2014-10-16 / 20140309227 - QUINOLINE CARBOXAMIDE AND QUINOLINE CARBONITRILE DERIVATIVES AS mGluR2-NEGATIVE ALLOSTERIC MODULATORS, COMPOSITIONS, AND THEIR USE | 2 |
Joachim Hartjes | DE | Aalen | 2016-04-21 / 20160109679 - Optical Element Unit And Method Of Supporting An Optical Element | 21 |
Timothy P. Hartjes | US | Kimberly | 2010-06-17 / 20100147938 - METHOD FOR IN-DIE LAMINATION OF PLURAL LAYERS OF MATERIAL AND PAPER-CONTAINING PRODUCT MADE THEREBY | 2 |
Glenn G. Hart, Jr. | US | Suffield | 2013-07-18 / 20130180337 - SIGNAL PROCESSING OF LAMB WAVE DATA FOR PIPE INSPECTION | 1 |
Howard R. Hart, Jr. | US | Schenectady | 2011-06-16 / 20110139394 - COLD WALLED INDUCTION GUIDE TUBE | 1 |
G. Evan Hart, Jr. | US | Bristow | 2016-02-18 / 20160049000 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PERFORMING OBJECT-SPACE SHADING | 2 |
J. Scot Hart, Jr. | US | Menlo Park | 2013-11-28 / 20130317519 - LOW FRICTION INSTRUMENT DRIVER INTERFACE FOR ROBOTIC SYSTEMS | 1 |
Edward C. Hart, Jr. | US | Redmond | 2015-09-10 / 20150254211 - INTERACTIVE DATA MANIPULATION USING EXAMPLES AND NATURAL LANGUAGE | 1 |
Willian G. Hart, Jr. | US | Sandy Hook | 2010-07-01 / 20100169138 - DOCUMENT LIFECYCLE TRACKING SYSTEM AND METHOD FOR USE WITH A DOCUMENT PRODUCTION PROCESS | 1 |
Perry Clifton Hart, Jr. | US | Coto De Caza | 2009-05-14 / 20090125416 - System and method of managing a ticket order | 2 |
Samuel E. Hart, Jr. | US | Travelers Rest | 2015-10-15 / 20150292984 - METHOD AND APPARATUS FOR DISTRIBUTING PARTICULATE MATERIAL ALONG A TIRE FOOTPRINT DURING TIRE TEST | 3 |
Travis L. Hart, Jr. | US | Austin | 2009-04-30 / 20090113143 - SYSTEMS AND METHODS FOR MANAGING LOCAL AND REMOTE MEMORY ACCESS | 2 |
John Felix Hart, Jr. | US | Granite Falls | 2014-09-18 / 20140267734 - System and Method for Monitoring Vehicle Traffic and Controlling Traffic Signals | 1 |
Samuel E. Hart, Jr. | US | 2015-10-15 / 20150292984 - METHOD AND APPARATUS FOR DISTRIBUTING PARTICULATE MATERIAL ALONG A TIRE FOOTPRINT DURING TIRE TEST | 1 | |
Robert L. Hart, Jr. | US | Olympia | 2013-02-28 / 20130054430 - System and Method for Managing Utility Resources Based on Utility Service Points | 1 |
Dale C. Hartke | US | Evansville | 2014-05-01 / 20140116477 - SELECTABLE SPRAY WASHING SYSTEM | 1 |
Robert J. Hartke | US | Beecher | 2014-11-27 / 20140347179 - Wireless Warning Light Programming | 1 |
Dennis Hartke | US | Sidney | 2012-09-20 / 20120234993 - Device For Attaching A Handle To An Appliance Door | 1 |
Rene Hartke | DE | Stuttgart | 2014-08-07 / 20140216383 - LASER SPARK PLUG AND COOLER FOR A LASER SPARK PLUG | 7 |
Jeffrey Hartke | US | Los Angeles | 2009-01-15 / 20090017890 - BOX OFFICE GAME | 1 |
Louis C. Hartke | US | Otley | 2014-07-17 / 20140196818 - STUMP CUTTER DISC WITH RECESSED TOOTH POCKETS | 5 |
David H. Hartke | US | Durango | 2010-12-30 / 20100325882 - System And Method For Processor Power Delivery And Thermal Management | 1 |
Rune Hartkopf | DK | Herning | 2011-08-04 / 20110188945 - SUPPORT STRUCTURE FOR SUPPORTING AN OFFSHORE WIND TURBINE | 1 |
Albert William Hartkorn | US | Miami Beach | 2015-10-15 / 20150289715 - Oven Toaster | 1 |
Klaus Hartkorn | US | Painted Post | 2016-05-05 / 20160120408 - NON-CYLINDRICAL HYPOTUBES | 10 |
Klaus Hartkorn | DE | Munchen | 2010-06-17 / 20100150501 - Direct-Connect Optical Splitter Module | 3 |
Klaus Hartkorn | US | Painted Post | 2016-05-05 / 20160120408 - NON-CYLINDRICAL HYPOTUBES | 10 |
Klaus Hartkorn | DE | Munich | 2010-09-30 / 20100247038 - Coupling Device for Coupling Optical Waveguides | 1 |
Derek Hartl | US | Royal Oak | 2016-03-03 / 20160059703 - VEHICLE SYSTEM AND METHOD FOR ADJUSTING DECELERATION RATE | 29 |
Helmut Hartl | AT | Osterreich | 2012-03-22 / 20120067240 - RING-SHAPED OR PLATE-LIKE ELEMENT AND METHOD FOR PRODUCING SAME | 2 |
Mike Hartl | DE | Ratingen | 2012-04-12 / 20120088492 - CONTROL OF APPLICATIONS WHICH CAN BE CARRIED OUT BY MOBILE TERMINALS THAT CAN BE OPERATED IN A MOBILE RADIO NETWORK | 2 |
Arnulf Hartl | AT | Anif | 2010-09-09 / 20100226933 - HYPOALLERGENIC PROTEINS | 1 |
Mario Hartl | AT | Trofuimely | 2011-12-22 / 20110308673 - Manganese steel strip having an increased phosphorous content and process for producing the same | 1 |
Helmut Hartl | AT | Wien | 2016-05-12 / 20160133888 - COMPONENT WITH COMPONENT REINFORCEMENT AND FEEDTHROUGH | 17 |
Ingmar Hartl | DE | Hamburg | 2016-04-07 / 20160097963 - FREQUENCY COMB SOURCE WITH LARGE COMB SPACING | 14 |
Angelika Hartl | DE | Emertsham | 2012-02-16 / 20120041105 - Dispersing Agent Containing Copolymer Mixture | 5 |
Eduard Hartl | DE | Besigheim | 2008-08-21 / 20080196789 - Device for Feeding Sealing Liquid into a Tire | 1 |
Michael Hartl | DE | Unterhaching | 2015-12-24 / 20150369235 - AIR SUPPLY SYSTEM WITH ELECTRONIC CONVERTER | 11 |
Helmut Hartl | DE | Branchweig | 2014-08-21 / 20140234604 - Laminates with Bonded Webs | 1 |
Michael Hartl | DE | Raubling | 2015-11-05 / 20150314935 - SECONDARY PACKAGING COMPRISING A PLURALITY OF ARTICLES OR CONTAINERS AND METHOD FOR PRODUCING SUCH A PACKAGE | 8 |
Michael Hartl | DE | Unterhaching | 2015-12-24 / 20150369235 - AIR SUPPLY SYSTEM WITH ELECTRONIC CONVERTER | 11 |
Heinrich Hartl | AT | St. Peter Am Wimberg | 2014-04-10 / 20140097196 - CIGARETTE PAPER PACK | 1 |
Helmut Hartl | DE | Braunschweig | 2015-11-12 / 20150322605 - Extensible Nonwoven Fabric | 8 |
Martin Hartl | DE | Horb A. Neckar | 2011-09-29 / 20110232217 - SUPPORT PLATE AND METHOD FOR PRODUCING SUCH A SUPPORT PLATE | 1 |
Helmut Hartl | DE | Braunschweig | 2015-11-12 / 20150322605 - Extensible Nonwoven Fabric | 8 |
Helmut Hartl | AT | Wien | 2016-05-12 / 20160133888 - COMPONENT WITH COMPONENT REINFORCEMENT AND FEEDTHROUGH | 17 |
Klaus Hartl | DE | Tacherting | 2015-03-19 / 20150080500 - POLYCONDENSATION PRODUCT BASED ON AROMATIC COMPOUNDS, METHOD FOR THE PREPARATION AND USE THEREOF | 1 |
Manuel Hartl | AT | St. Johann Am Wimberg | 2016-02-11 / 20160044826 - ELECTRONICS PROTECTION HOUSING FOR ACCOMMODATING ELECTRONICS | 1 |
Erich Hartl | AT | Laakirchen | 2015-08-27 / 20150240428 - METHOD AND DEVICE FOR FORCE-DEPENDENT CONTROLLING IN THE MACHINING OF RAILS | 2 |
Nicholas A. Hartl | US | San Jose | 2015-03-19 / 20150076834 - ROBOTIC SAFETY STOP FOR AUTOMATED STORAGE LIBRARY | 3 |
F. Ulrich Hartl | DE | Munich | 2008-11-06 / 20080274128 - HEAT SHOCK PROTEIN-BASED VACCINES AND IMMUNOTHERAPIES | 1 |
Ingmar Hartl | US | Ann Arbor | 2014-08-21 / 20140233089 - PULSED LASER SOURCES | 32 |
Stefan Hartl | AT | Au/donau | 2013-04-25 / 20130099038 - JAW CRUSHER | 1 |
Barbara Gene Veit Hartl | US | Rancho Palos Verdes | 2013-07-11 / 20130177542 - Osteoporosis Treatment Means and Method | 1 |
Fabian Hartl | AT | Bregenz | 2011-07-07 / 20110163647 - MOVABLE DRAWER WITH RAILING ADJUSTMENT | 1 |
Josh Hartl | US | Edgewater | 2012-10-18 / 20120263516 - Product | 1 |
Robert Hartl | DE | Ismaning | 2013-03-07 / 20130061104 - IMPROVEMENTS IN BACKWARD ANALYSIS FOR DETERMINING FAULT MASKING FACTORS | 1 |
Josh Hartl | US | Bloomfield | 2013-05-23 / 20130130193 - ORAL ORTHOSIS HANDLE | 3 |
Ingmar Hartl | DE | Hamburg | 2016-04-07 / 20160097963 - FREQUENCY COMB SOURCE WITH LARGE COMB SPACING | 14 |
Roger Hartl | US | New York | 2015-08-27 / 20150238657 - COMPOSITE TISSUE-ENGINEERED INTERVERTEBRAL DISC WITH SELF-ASSEMBLED ANNULAR ALIGNMENT | 2 |
Michael Hartl | DE | Raubling | 2015-11-05 / 20150314935 - SECONDARY PACKAGING COMPRISING A PLURALITY OF ARTICLES OR CONTAINERS AND METHOD FOR PRODUCING SUCH A PACKAGE | 8 |
Marcella Johanna Hartl | AT | Drobollach | 2014-02-06 / 20140038413 - Method of Manufacturing a Semiconductor Device including a Dielectric Structure | 1 |
Franz Hartl | AT | Linz | 2016-02-11 / 20160044826 - ELECTRONICS PROTECTION HOUSING FOR ACCOMMODATING ELECTRONICS | 2 |
Klaus Hartl | DE | Berlin | 2010-04-01 / 20100083157 - METHODS, APPARATUSES, AND COMPUTER PROGRAM PRODUCTS FOR PROVIDING ACTIVITY COORDINATION INFORMATION | 4 |
Derek Hartl | US | Royal Oak | 2016-03-03 / 20160059703 - VEHICLE SYSTEM AND METHOD FOR ADJUSTING DECELERATION RATE | 29 |
Gerhard Hartl | DE | Göppingen | 2016-05-12 / 20160129725 - Apparatus and Method For Inserting A Circular-Blank Core Into A Circular-Blank Ring | 1 |
Ulrich F. Hartl | DE | Kottgeisering | 2010-02-04 / 20100029773 - Systematic identification of new anti-prion drugs by high-throughput screening based on scanning for intensely fluorescent targets (sift) | 1 |
Daniel Hartl | DE | Nittenau | 2015-03-05 / 20150059600 - CONTAINER TREATMENT MACHINE FOR PRINTING ON CONTAINERS | 1 |
Johannes Hartl | DE | Irchenrieth | 2010-01-21 / 20100012265 - DISCHARGE DEVICE | 1 |
Florian Hartl | DE | Walldorf | 2013-10-24 / 20130282361 - OBTAINING DATA FROM ELECTRONIC DOCUMENTS | 1 |
Christoph Hartl | DE | Paderborn | 2009-12-31 / 20090320543 - DEVICE FOR INTERNAL HIGH PRESSURE FORMING | 1 |
James Hartl | US | Snoho Mish | 2012-04-26 / 20120099117 - Single laser beam measurment system | 1 |
Hajnalka Hartl | US | Lowell | 2009-05-21 / 20090131444 - Aminopiperidine Quinolines and Their Azaisosteric Analogues with Antibacterial Activity | 1 |
Heribert Hartlage | DE | Munchen | 2008-11-20 / 20080289015 - Resetting of Security Mechanisms | 1 |
Daniel Hartlage | US | San Antonio | 2016-05-12 / 20160132741 - Negotiable Instrument with Dual-Sided Variable Printing | 1 |
James Hartlage | US | Chicago | 2008-11-06 / 20080275222 - Method for Reducing the Allergenic Protein Content of Natural Rubber Latex Articles | 1 |
Ryan Michael Hartlage | US | Crestwood | 2014-06-19 / 20140166153 - METHODS FOR MONITORING SENSORS OF REFRIGERATOR APPLIANCES | 1 |
S. Ann Hartlage | US | Chicago | 2008-11-06 / 20080275222 - Method for Reducing the Allergenic Protein Content of Natural Rubber Latex Articles | 1 |
Martin Hartland | US | Houston | 2013-10-24 / 20130277444 - FLUID EJECTOR DEVICE FOR VESSELS | 2 |
Martin John Hartland | US | Houston | 2015-12-31 / 20150377852 - APPARATUS AND METHOD FOR SURVEYING | 1 |
Jonathan Hartland | GB | Whitley | 2014-08-21 / 20140234188 - APPARATUS AND METHOD FOR FILTERING ENGINE EXHAUST GASES | 1 |
David Julian Hartland | GB | Taunton | 2008-09-11 / 20080217299 - Conductor rails | 1 |
Derk Hartland | US | Lake Forest | 2009-10-22 / 20090260906 - Automotive Vehicle Engine Apparatus | 1 |
Robert Hamilton Hartland | CA | Maple | 2013-01-24 / 20130019533 - OVERHEAD DOOR ASSEMBLY WITH COUNTER BALANCE SYSTEM | 1 |
Jerome T. Hartlaub | US | New Brighton | 2010-06-10 / 20100145271 - METHOD TO SENSE TEMPERATURE IN AN IMPLANTABLE PUMP | 1 |
Silvio Hartlaub | DE | Koln | 2010-06-17 / 20100148544 - ACTUATING MEANS FOR A CRASH-ACTIVE HEAD RESTRAINT | 2 |
Kenneth M. Hartlaub | US | Powell | 2011-10-06 / 20110241370 - VEHICLE WINDOW/GARNISH ASSEMBLY AND SEAL DESIGN THEREFOR | 4 |
Thaddeus J. Hartlaub | US | Cherry Valley | 2011-07-07 / 20110164733 - ADJUSTABLE DENTAL X-RAY IMAGE MEDIA HOLDER | 2 |
Charles Hartlaub | US | Glendale Heights | 2009-07-30 / 20090191788 - CASTER MOUNTING ARRANGEMENT FOR RIDE-ON TOY | 1 |
Silvio Hartlaub | DE | Pulheim | 2016-03-17 / 20160075262 - VEHICLE SEAT | 4 |
Achim Hartlaub | DE | Grosswallstadt | 2014-08-07 / 20140217711 - STEERING WHEEL ASSEMBLY FOR A STEERING WHEEL OF A MOTOR VEHICLE | 3 |
Jon Hartlaub | US | Mountain View | 2016-05-12 / 20160132934 - Effective Mobile Marketing | 2 |
Silvio Hartlaub | DE | Pulheim - Sinnersdorf | 2011-10-06 / 20110241392 - VEHICLE SEAT | 1 |
Donald R. Hartle | CA | Garibaldi Highlands | 2012-04-12 / 20120085650 - Apparatus and Method for Water and Wastewater Treatment Using Electrocoagulation | 1 |
Kelly Hartle | CA | Winnipeg | 2014-06-12 / 20140161748 - METHODS FOR TREATING SUN-EXPOSED SKIN | 1 |
Jason A. Hartle | US | Gibsonia | 2011-09-29 / 20110235769 - CONTROL ROD TRANSFER DEVICE | 1 |
Jeffrey E. Hartle | US | Bonney Lake | 2016-03-24 / 20160081336 - MEDIUM WITH A PLANT NON-METABOLIZABLE SUGAR FOR IMPROVING SEED GERMINATION | 8 |
Jeffrey E. Hartle | US | Bonney Lake | 2016-03-24 / 20160081336 - MEDIUM WITH A PLANT NON-METABOLIZABLE SUGAR FOR IMPROVING SEED GERMINATION | 8 |
Stefan Hartle | DE | Jesenwang | 2014-05-01 / 20140120101 - Anti-IL12Rbeta1 Antibodies And Their Use In Treating Autoimmune And Inflammatory Disorders | 3 |
Jennifer Hartle | US | Harrisville | 2013-08-15 / 20130209577 - Buffered Upper GI Absorption Promoter | 1 |
Steven David Hartle | US | Eden Prairie | 2011-11-03 / 20110270269 - IMPLANTABLE MEDICAL LEAD INCLUDING A PLURALITY OF TINE ELEMENTS | 1 |
Stefan Hartle | DE | Martinsried/planegg | 2015-09-03 / 20150246969 - TREATMENT FOR RHEUMATOID ARTHRITIS | 1 |
Jeffrey E. Hartle | US | Tacoma | 2011-03-31 / 20110072872 - NUTRITIVE MEDIA FOR USE IN MANUFACTURED SEEDS | 4 |
Matthew Ambert Hartle | US | Kalispell | 2011-01-06 / 20110004898 - Attracting Viewer Attention to Advertisements Embedded in Media | 1 |
Jennifer W. Hartle | US | Harrisville | 2009-03-19 / 20090071232 - METHOD FOR QUANTITATIVELY DETERMINING UNBOUND METAL IN FORMULATIONS CONTAINING CHELATES | 1 |
Allen Hartle | US | Bellevue | 2009-01-29 / 20090030537 - Interactive radio system and method | 1 |
Kevin John Hartle | GB | West Midlands | 2008-09-04 / 20080210308 - Method and Structure for Retaining a Tube | 1 |
Bill Hartle | US | Solon | 2015-08-20 / 20150233173 - Spacer for Insulating Glazing Unit | 1 |
Mirko Hartleb | DE | Katlenburg-Lindau | 2009-08-13 / 20090202938 - METHOD OF IMPROVING SURFACE ABRASION RESISTANCE OF IMAGEABLE ELEMENTS | 1 |
Stephanie Hartleb | DE | Weidhausen | 2012-11-08 / 20120283063 - ADJUSTMENT FITTING | 6 |
Holger Hartleb | DE | Waldbuttelbrunn | 2013-01-10 / 20130009524 - Multiple-Door Switchgear Cabinet | 1 |
Karsten Hartleb | DE | Weitramsdorf | 2015-11-05 / 20150314705 - LONGITUDINAL GUIDE FOR A MOTOR VEHICLE SEAT | 3 |
Matthew James Hartleip | US | Hudson | 2014-06-12 / 20140162786 - ONLINE COMMODITY COMPETITION | 1 |
Larry G. Hartleip | US | Brighton | 2013-06-13 / 20130145882 - Vibration Isolated Manual Transmission Shifter With Precise Shifting Feel | 3 |
Michael Hartlep | DE | Braunschweig | 2010-05-06 / 20100113975 - SAMPLE TAKING DEVICE, AND SAMPLE TAKING METHODS | 2 |
Andreas Hartlep | DE | Weyarn | 2011-03-17 / 20110066133 - INTRACRANIAL CATHETER | 1 |
Tianyan Xie Hartlep | US | Appleton | 2008-10-09 / 20080248948 - Security paper authentication system with dual instant color | 1 |
Andreas Hartlep | DE | Holzleinrchen | 2014-06-26 / 20140180239 - CATHETER WITH PRESSURE SENSOR SYSTEM | 1 |
Andreas Hartlep | DE | Weyam | 2008-09-18 / 20080228140 - CATHETER WITH PORTIONS THAT CAN CHANGE IN VOLUME | 1 |
Andreas Hartlep | DE | Holzwirchen | 2008-09-18 / 20080228168 - CATHETER WITH CHANGING MATERIAL PROPERTIES | 1 |
Andreas Hartlep | DE | Naring | 2014-06-12 / 20140163514 - METHOD AND DEVICE FOR PLANNING A DIRECT INFUSION INTO HEPATIC TISSUE | 2 |
Andreas Hartlep | DE | Holzkirchen | 2016-01-28 / 20160022987 - DEVICE AND METHOD FOR THE APPLICATION OF A TRANSCUTANEOUS ELECTRICAL STIMULATION STIMULUS | 10 |
Andreas Hartlep | DE | Holzkirchen | 2016-01-28 / 20160022987 - DEVICE AND METHOD FOR THE APPLICATION OF A TRANSCUTANEOUS ELECTRICAL STIMULATION STIMULUS | 10 |
Kevin Alan Hartler | US | Naperville | 2008-10-30 / 20080270280 - COMPREHENSIVE, INTEGRATED SYSTEM AND METHOD FOR CALCULATING AND DEMONSTRATING COSTS SAVINGS VALUES | 1 |
Lewis C. Hartless | US | Madison Heights | 2015-12-17 / 20150364222 - NUCLEAR REACTOR SUPPORT AND SEISMIC RESTRAINT | 1 |
Mac L. Hartless | US | Forest | 2015-03-05 / 20150063512 - METHOD FOR SYMBOL SAMPLING IN A HIGH TIME DELAY SPREAD INTERFERENCE ENVIRONMENT | 2 |
Mac Lamar Hartless | US | Lynchburg | 2010-06-10 / 20100144382 - ESTIMATING TIME DELAYS IN A SIMULCAST COMMUNICATION SYSTEM | 1 |
Mac Lamar Hartless | US | Forest | 2013-02-07 / 20130033980 - COMMUNICATIONS SYSTEM PROVIDING ENHANCED CHANNEL SWITCHING FEATURES BASED UPON MODULATION FIDELITY AND RELATED METHODS | 1 |
Kelly A. Hartley | US | Dallas | 2013-02-28 / 20130054410 - System and Method for Providing Requested Information to Thin Clients | 1 |
Jesse W. Hartley | US | Lake Elmo | 2014-08-07 / 20140221853 - IMPLANTABLE CARDIAC DEVICE WITH DYSPNEA MEASUREMENT | 5 |
James Hartley | US | Frederick | 2015-08-13 / 20150225729 - METHODS AND COMPOSITIONS FOR SYNTHESIS OF NUCLEIC ACID MOLECULES USING MULTIPLERECOGNITION SITES | 6 |
Joseph P. Hartley | GB | Cumnor | 2009-06-18 / 20090156441 - Cycloalkyl phenylenediamines as deposit control agents for lubricants | 1 |
Joseph P. Hartley | GB | Oxford | 2015-02-05 / 20150034034 - LUBRICATING OIL COMPOSITION | 10 |
Frank Thomas Hartley | US | Arcadia | 2013-03-28 / 20130075614 - Apparatus and Method for Detecting and Quantifying Analytes in Solution | 1 |
Christopher Albert Hartley | US | San Francisco | 2014-03-27 / 20140085543 - SYSTEM AND METHOD FOR COMPILING AND PLAYING A MULTI-CHANNEL VIDEO | 3 |
Robert John Hartley | GB | Fenton | 2013-05-02 / 20130105344 - STORAGE RECEPTACLE FOR MEDICAL INSTRUMENTS | 1 |
David Ernest Hartley | AU | Wannanup | 2016-05-19 / 20160135970 - STENT WITH A CRUSH-RESISTANT ZONE | 47 |
John G. Hartley | US | Clifton Park | 2014-07-10 / 20140191375 - METHODS FOR FABRICATING THREE-DIMENSIONAL NANO-SCALE STRUCTURES AND DEVICES | 2 |
Paul Alan Hartley | US | New Canaan | 2014-02-06 / 20140039905 - Patient/Member Reconciled Billing and Explanation of Benefit Statements with Provider Prompt Pay | 1 |
Rollin Thomas Hartley | US | Glendale | 2012-04-26 / 20120100928 - Performance Putter Systems | 1 |
Jesse W. Hartley | US | Lino Lakes | 2010-03-04 / 20100056884 - THORACIC OR INTRACARDIAC IMPEDANCE DETECTION WITH AUTOMATIC VECTOR SELECTION | 8 |
Christopher Hartley | GB | West Midlands | 2008-09-11 / 20080220066 - Anti-Viral Topical Gel Formulations Containing a Diuretic Such as Furosemide and/or a Cardiac Glycoside Such as Digoxin | 1 |
Marcus Lee Hartley | GB | Reading | 2014-06-05 / 20140150177 - DEVELOPMENTS RELATING TO WASHING/DRYING STATIONS IN WASHROOMS | 1 |
Amanda Hartley | CA | Caledon | 2014-07-24 / 20140206987 - Medical apparatus for fluid communication | 5 |
David Emest Hartley | AU | Wannanup | 2011-08-04 / 20110190868 - RADIOPAQUE REINFORCING MEMBER | 1 |
Bruce Selwood Hartley | US | Blaine | 2015-07-16 / 20150199883 - Hand Sanitation Dispensing and Tracking Systems and Methods | 1 |
Kaylin Wayne Hartley | US | Blaine | 2015-07-16 / 20150199883 - Hand Sanitation Dispensing and Tracking Systems and Methods | 1 |
Matthew Hartley | CA | Ottawa | 2014-08-21 / 20140233946 - Replacing an Existing Network Communications Path with a New Path Using Some Exclusive Physical Resources of the Existing Path | 2 |
David Hartley | AU | Wannanup | 2014-09-18 / 20140277369 - ENDOVASCULAR STENT GRAFT WITH SELF-CLOSING PERFUSION BRANCH | 1 |
Nicholas Scott Hartley | US | Chesterfield | 2008-09-18 / 20080225455 - OVERCURRENT DETECTION LATCH CIRCUIT | 1 |
David M. Hartley | US | Rockville | 2013-09-12 / 20130238356 - SYSTEM AND METHOD FOR DETECTING, COLLECTING, ANALYZING, AND COMMUNICATING EMERGING EVENT- RELATED INFORMATION | 1 |
Howard A. Hartley | US | Brookville | 2012-10-25 / 20120266731 - FOOD PRODUCT SLICER WITH REMOVABLE SLIDE ROD | 1 |
David John Hartley | US | Bellevue | 2014-07-24 / 20140208124 - HIGH PRIVACY OF FILE SYNCHRONIZATION WITH SHARING FUNCTIONALITY | 2 |
Jason Hartley | US | Tukwila | 2015-02-26 / 20150053782 - ELECTRONIC THERMOSTAT | 1 |
Paul Hartley | GB | North Yorkshire | 2010-05-06 / 20100108149 - TEMPERATURE COMPENSATED I/P CONVERTER | 1 |
Jo Ann Hartley | US | Burlingame | 2011-08-25 / 20110208562 - BUSINESS INTELLIGENCE DASHBOARDS FOR PERFORMANCE ANALYSIS | 2 |
Phillip Randolph Hartley | US | Brooklyn | 2012-11-08 / 20120279981 - PROCESS FOR STORING AND RETRIEVING ROLLED FLEXIBLE BAGS FROM A DISPENSERPROCESS FOR STORING AND RETRIEVING ROLLED FLEXIBLE BAGS FROM A DISPENSER | 1 |
Gary Lynn Hartley | US | Plano | 2012-08-23 / 20120215683 - Transaction Processing And Backup | 1 |
Michael W. Hartley | US | Elmhurst | 2015-10-08 / 20150285495 - IGNITION SWITCH ASSEMBLY | 2 |
Oliver Hartley | CH | Geneva | 2013-12-12 / 20130330305 - CYTOKINE DERIVATIVES | 1 |
Jill C. Hartley | US | Charlotte | 2012-09-06 / 20120226610 - CONTACTLESS AUTOMATED TELLER MACHINE | 1 |
Michael John Hartley | GB | Sunderland | 2014-12-11 / 20140365016 - Controlling the Heating of Rooms | 1 |
Tyler Hartley | US | Charlottesville | 2014-02-13 / 20140046048 - MOLECULAR STANDARDS FOR MICROBIAL PATHOGENS | 1 |
Simona Michele Hartley | GB | Kingswood | 2011-03-31 / 20110073695 - Roll with Visual Cue | 2 |
Michael Hartley | US | Mercer Island | 2011-03-17 / 20110064527 - CELLULAR SHEET PILE RETAINING SYSTEMS WITH UNCONNECTED TAIL WALLS, AND ASSOCIATED METHODS OF USE | 1 |
Cynthia Hartley | US | Ventura | 2011-09-22 / 20110229472 - Peptides and Related Compounds Having Thrombopoietic Activity | 2 |
Matthew W. Hartley | US | Boynton Beach | 2009-01-08 / 20090012795 - METHOD AND SYSTEM FOR DYNAMIC CONDITIONAL INTERACTION IN A VOICEXML RUN-TIME SIMULATION ENVIRONMENT | 1 |
Paul K. Hartley | US | Emmaus | 2012-12-27 / 20120326745 - Current-Mode Logic Buffer with Enhanced Output Swing | 2 |
Peter Hartley | US | Chamblee | 2011-04-07 / 20110079959 - USING REAL PLAYING CARDS FOR ONLINE GAMING | 1 |
Joel Hartley | US | Solana Beach | 2011-04-21 / 20110092159 - DISABLING OF SERVICES ON A COMMUNICATION DEVICE | 1 |
Harry Hartley | US | Brandenton | 2008-12-18 / 20080313681 - System and Method for Failsoft Headend Operation | 1 |
Joel S. Hartley | US | Solana Beach | 2008-08-21 / 20080198018 - EXPANDABLE, MODULAR COMMUNICATIONS APPARATUS WITH WIRELESS INTERCHANGEABLE DEVICE AUTHENTICATION | 1 |
John C. Hartley | US | Round Rock | 2008-12-11 / 20080306778 - Accessibility Insurance Coverage Management | 1 |
Philippe Hartley | US | La Canada | 2011-04-28 / 20110094569 - SOLAR POWER STRUCTURE AND KIT FOR MAKING THE SAME | 1 |
James T. Hartley | US | Tustin | 2008-11-13 / 20080280488 - Modular electrical connector for a circuit board | 1 |
Lee F. Hartley | US | Valencia | 2015-12-31 / 20150374989 - MAGNET INSTALLATION SYSTEMS AND METHODS FOR USE WITH COCHLEAR IMPLANTS | 10 |
Thomas M. Hartley | US | Warsaw | 2009-12-10 / 20090302132 - SPRAY ENVELOPMENT SYSTEM | 1 |
Tronster Maxwell Hartley | US | Cockeysville | 2009-06-25 / 20090162829 - EXTENSIBLE EXAM LANGUAGE (XXL) PROTOCOL FOR COMPUTER BASED TESTING | 3 |
Ivan J. Hartley | US | Victoria | 2013-05-16 / 20130123440 - METHOD OF CONTROLLING A POLYMERIZATION REACTOR | 3 |
Robert Hartley | GB | N/a | 2016-03-31 / 20160089002 - A GAS AND LIQUID SUPPLY SYSTEM FOR AN ENDOSCOPE | 1 |
John P. Hartley | US | Blaine | 2010-11-25 / 20100293932 - APPARATUS AND METHOD FOR PRESSURE RELIEF IN AN EXHAUST BRAKE | 1 |
Robert S. Hartley | US | Idaho Falls | 2011-03-03 / 20110054689 - ROBOTS, SYSTEMS, AND METHODS FOR HAZARD EVALUATION AND VISUALIZATION | 1 |
Dean Stephen Hartley | GB | Wakefield | 2008-12-18 / 20080308705 - Clamping Assemblies | 1 |
Paul Hartley | GB | Bristol | 2016-05-12 / 20160128778 - POUCH FOR MEDICAL INSTRUMENTS AND DEVICES | 2 |
James A. Hartley | GB | Tetbury | 2012-06-14 / 20120150354 - MACHINE TOOL CALIBRATION METHOD | 1 |
Dwight Hartley | US | Bay City | 2015-09-10 / 20150251108 - PORTABLE DRILLING WASTE TREATMENT | 3 |
Thomas Brian Hartley | US | Johnson City | 2015-09-03 / 20150248506 - MULTIPLE PROGRAMMABLE LOGIC CONTROLLER SIMULATOR | 1 |
Jeffrey Alan Hartley | US | Howell | 2011-10-13 / 20110247194 - VEHICLE MOUNTING AND ALIGNMENT BRACKET | 1 |
Richard Charles Hartley | GB | Glasgow | 2013-10-10 / 20130267586 - Compound | 1 |
Brian G. Hartley | US | Daytona Beach | 2011-05-19 / 20110114235 - EXERCISE TOWEL/EQUIPMENT COVER | 1 |
David Hartley | US | Washington | 2015-08-20 / 20150235138 - SYSTEM, METHOD, AND STORAGE MEDIUM FOR GENERATING HYPOTHESES IN DATA SETS | 1 |
Michael Scott Hartley | US | Atlanta | 2012-07-05 / 20120173518 - ADVANCED DATA INTEGRITY | 2 |
David E. Hartley | AU | Wannanup | 2015-11-12 / 20150320578 - FLEXIBLE STENT GRAFT | 8 |
Jennifer Lynan Hartley | US | Lolo | 2014-03-13 / 20140068867 - Swing Cradle | 1 |
Steven Hartley | CA | Pointe-Claire | 2015-09-03 / 20150249859 - ADAPTIVE TRANSCODING BYPASS SYSTEM | 2 |
Joseph Hartley | GB | Crewe | 2016-02-11 / 20160043651 - CONTROLLING PARALLEL CONVERTER SYSTEMS FOR WIND TURBINES | 1 |
Joseph P. Hartley | GB | Oxford | 2015-02-05 / 20150034034 - LUBRICATING OIL COMPOSITION | 10 |
Brian S. Hartley | GB | London | 2011-01-27 / 20110020890 - Increased ethanol production by bacterial cells | 1 |
David Ernest Hartley | AU | Subiaco | 2011-11-03 / 20110270377 - DEVICE FOR TREATING AORTIC DISSECTION | 4 |
David H. Hartley | AU | Seaview Downs | 2015-04-09 / 20150100792 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 7 |
Tyler L. Hartley | US | Seattle | 2015-04-16 / 20150104773 - DETECTING INSERTION OF NEEDLE INTO SIMULATED VESSEL USING A CONDUCTIVE FLUID | 1 |
Lee F. Hartley | US | 2015-12-31 / 20150374989 - MAGNET INSTALLATION SYSTEMS AND METHODS FOR USE WITH COCHLEAR IMPLANTS | 1 | |
Jay Hartley | US | Dublin | 2016-02-04 / 20160034550 - System and method for enterprise data management | 2 |
Jesse W. Hartley | US | White Bear Lake | 2013-11-07 / 20130296663 - ENHANCEMENTS TO THE DETECTION OF PULMONARY EDEMA WHEN USING TRANSTHORACIC IMPEDANCE | 18 |
Kevin Hartley | US | Waterbury | 2014-11-13 / 20140335239 - METHOD AND APPARATUS FOR CARTRIDGE-BASED CARBONATION OF BEVERAGES | 3 |
David Ernest Hartley | AU | Western Australia | 2012-05-17 / 20120123523 - REINFORCING RING | 4 |
Toby Hartley | AU | Carlton South, Victoria | 2016-05-05 / 20160120762 - INFANT FEEDING TEAT | 1 |
Lee F. Hartley | US | Valencia | 2015-12-31 / 20150374989 - MAGNET INSTALLATION SYSTEMS AND METHODS FOR USE WITH COCHLEAR IMPLANTS | 10 |
John B. Hartley | AU | North Fitzroy | 2010-10-21 / 20100266113 - TELEPHONE CALL MAINTENANCE WITH SINGLE PARTY FOR SUBSEQUENT RECONNECTION | 3 |
Daniel Joseph Hartley | US | Boston | 2015-08-13 / 20150227998 - SYSTEM FOR BUYING AND SELLING POSITIONS IN LINE | 1 |
Toby James Hartley | AU | Ferntree Gully | 2015-10-08 / 20150283334 - METHOD OF TUNING A VIBRATING MEDICAL DEVICE AND A CONNECTOR FOR THE SAME | 1 |
Howard J. Hartley | US | Tomball | 2015-12-17 / 20150361769 - METHODS FOR RETRIEVAL AND REPLACEMENT OF SUBSEA PRODUCTION AND PROCESSING EQUIPMENT | 3 |
Richard N. Hartley | US | North Myrtle Beach | 2016-04-14 / 20160100550 - Scratching Device | 1 |
Charles David Hartley | GB | Hertfordshire | 2010-10-21 / 20100267755 - PYRIMIDINE DERIVATIVES | 4 |
Briane Lee Hartley | US | Imperial | 2012-01-26 / 20120020727 - LOCKING PLATE SYSTEM | 1 |
Christopher Edward Hartley | GB | Birmingham | 2010-06-03 / 20100137232 - COMPOSITION COMPRISING A DIURETIC AND A CARDIAC GLYCOSIDE FOR THE TREATMENT OF DNA VIRAL INFECTIONS OF THE EYE | 1 |
Scott Huntington Hartley | US | Columbia | 2016-03-17 / 20160075547 - Rigid Outer Container for Releasably Accommodating a Stand-Up Pouch | 1 |
Christopher Hartley | GB | Tyne And Wear | 2009-07-02 / 20090171280 - Catheter | 1 |
Scott Hartley | US | Wilsonville | 2015-08-27 / 20150241170 - LASER SIGHT FOR ROCKET LAUNCHER | 2 |
David Hartley | GB | South Yorkshire | 2015-09-10 / 20150252635 - TUBING HANGER RUNNING TOOL SYSTEM AND METHOD | 1 |
Robert Hartley | US | Savannah | 2016-02-18 / 20160046364 - SYSTEMS FOR INCEPTOR CONTROL IN FLY-BY-WIRE AIRCRAFT SYSTEMS | 1 |
Julian Perry Hartley | US | Fairhope | 2016-04-07 / 20160095797 - Medication Dispensing Assembly | 1 |
William Hartley | US | San Francisco | 2016-02-04 / 20160029849 - COMBINATION FOOD CONTAINER | 1 |
Daniel Hartley | US | Peachtree City | 2016-04-07 / 20160096970 - COATING COMPOSITION, A METHOD OF MAKING A COATING COMPOSITION, A COATED ARTICLE, AND A METHOD OF MAKING A COATED ARTICLE | 1 |
David H. Hartley | AU | Seacombe Heights | 2010-08-05 / 20100199077 - AUTHENTICATED DEBUG ACCESS FOR FIELD RETURNS | 1 |
Christopher Hartley | GB | West Midland | 2009-03-19 / 20090074845 - TRANSDERMAL ACTIVE PRINCIPLE DELIVERY MEANS | 1 |
David E. Hartley | AU | Wannanup Western Australia | 2015-03-12 / 20150073534 - PROSTHESIS HAVING PIVOTING FENESTRATION | 5 |
Christopher Jeffrey Hartley | US | San Francisco | 2014-07-10 / 20140193317 - Methods of Recovering Scandium from Titanium Residue Streams | 1 |
R. Scott Hartley | US | Idaho Falls | 2015-10-08 / 20150285646 - VEHICLE MANAGEMENT SYSTEM | 2 |
Dean E. Hartley | US | Burlington | 2014-08-07 / 20140215863 - SNOWBLOWER ADJUSTABLE DEFLECTOR CONTROL DEVICES, SYSTEMS, AND METHODS | 1 |
Timothy G. Hartley | US | Salem | 2014-07-17 / 20140197981 - TRAFFIC INFORMATION SERVICES-BROADCAST (TIS-B) TRAFFIC SNOOPING | 2 |
Thomas Hartley | US | Warsaw | 2009-12-10 / 20090302129 - THREE-DIMENSIONAL SPRAY ENVELOPMENT SYSTEM | 1 |
Michael Ian Hartley | AU | Floreat | 2016-02-25 / 20160054465 - SEISMIC DATA SPECTRUM RESTORING AND BROADENING | 1 |
Carol J. Hartley | AU | Rivett | 2010-08-05 / 20100199363 - ENZYMES FOR DEGRADING HERBICIDES | 1 |
Jeffrey P. Hartley | US | Dublin | 2015-04-30 / 20150120913 - DYNAMIC CLONING OF APPLICATION INFRASTRUCTURES | 1 |
Kevin D. Hartley | US | Clarklake | 2009-12-24 / 20090315207 - SIZER FOR FORMING SHAPED POLYMERIC ARTICLES AND METHOD OF SIZING POLYMERIC ARTICLES | 1 |
John Bryan Hartley | AU | Victoria | 2010-05-06 / 20100111276 - TRUSTED ENVIRONMENT FOR COMMUNICATION BETWEEN PARTIES | 1 |
Margaret Gillian Hartley | GB | Salisbury | 2009-04-02 / 20090087456 - ADJUVANTED VACCINE | 1 |
Lee F. Hartley | US | Carlsbad | 2015-11-26 / 20150335889 - COCHLEAR IMPLANT SYSTEM INCLUDING AN IMPLANTABLE BATTERY | 3 |
James L. Hartley | US | Frederick | 2013-03-07 / 20130059342 - COMPOSITIONS AND METHODS FOR USE IN RECOMBINATIONAL CLONING OF NUCELIC ACIDS | 18 |
John Hartley | AU | North Fitzroy | 2010-01-07 / 20100005028 - METHOD AND APPARATUS FOR INTERCONNECTING A PLURALITY OF VIRTUAL WORLD ENVIRONMENTS | 1 |
Marcus Lee Hartley | GB | Wiltshire | 2008-12-25 / 20080313919 - Drying Apparatus | 1 |
Michael Dean Hartley | US | Beatrice | 2011-12-29 / 20110315689 - Bulk Packaging Container | 3 |
Michael W. Hartley | US | Glenview | 2009-12-31 / 20090321231 - DUAL FUNCTION SWITCH ASSEMBLY | 1 |
Thomas T. Hartley | US | Mogadore | 2012-05-10 / 20120112685 - BATTERY PACK MANAGER UNIT AND METHOD FOR USING SAME TO EXTEND THE LIFE OF A BATTERY PACK | 1 |
David E. Hartley | AU | Western Australia | 2011-10-20 / 20110257731 - THORACIC AORTA STENT GRAFT WITH ACCESS REGION | 2 |
Kathy Hartley | US | Brooklyn Park | 2010-01-28 / 20100019029 - TWO-SECTION RELOADABLE FINANCIAL TRANSACTION PRODUCT | 1 |
Oliver Hartley | CH | Carouge | 2015-10-15 / 20150291682 - MIMETIC PEPTIDES | 3 |
Jesse Hartley | US | Lino Lakes | 2010-07-08 / 20100174335 - Therapy Triggered by Predication of Disordered Breathing | 2 |
Meredith Hartley | US | Portland | 2016-03-24 / 20160081955 - SOBETIROME IN THE TREATMENT OF MYELINATION DISEASES | 1 |
Joseph Peter Hartley | GB | Oxfordshire | 2012-02-16 / 20120037117 - MARINE ENGINE LUBRICATION | 4 |
D. Kent Hartley | US | Butler | 2011-12-22 / 20110308381 - ARMOR | 1 |
David Ernest Hartley | AU | Wannanup, Western Australia | 2016-03-31 / 20160089230 - SIDE BRANCH STENT GRAFT | 2 |
Ruiling F. Hartley | US | North Brunswick | 2010-10-14 / 20100261768 - Bioavailable Compositions of Amorphous Alpha-(N-Sulfonamido)Acetamide Compound | 1 |
Robert A. Hartley | CA | Ontario | 2014-06-05 / 20140155304 - ANTICORROSIVE COMPOSITION | 6 |
Olivier Hartley | CH | Geneve | 2009-12-24 / 20090317363 - Cytokine Derivatives | 1 |
Mark Hartley | CA | Toronto | 2011-03-24 / 20110067898 - CURABLE COMPOSITION FOR MEDIUM AND HIGH VOLTAGE POWER CABLES | 2 |
Rolfe J. Hartley | US | Rockaway | 2009-11-26 / 20090291871 - Lubricating Oil Compositions | 2 |
Frank Hartley | US | Arcadia | 2009-10-01 / 20090242771 - Non-invasive qualitative measurement of chemistry of blood and bodily fluids | 1 |
Jesse Hartley | US | White Bear Lake | 2011-12-15 / 20110306850 - Sleep quality data collection and evaluation | 3 |
David E. Hartley | AU | Wannanup | 2015-11-12 / 20150320578 - FLEXIBLE STENT GRAFT | 8 |
Scott Hartley | US | Portland | 2009-07-09 / 20090173455 - Combination cord-stop and light device | 1 |
James Hartley | US | Federick | 2014-10-02 / 20140296093 - USE OF MULTIPLE RECOMBINATION SITES WITH UNIQUE SPECIFICITY IN COMBINATIONAL CLONING | 1 |
Gary Wayne Hartley | US | Kingsport | 2013-06-06 / 20130143052 - ENCAPSULATION OF ELECTRICALLY ENERGIZED ARTICLES | 2 |
David Holmes Hartley | AU | Seaview Downs | 2009-07-30 / 20090193527 - METHOD FOR MONOTONICALLY COUNTING AND A DEVICE HAVING MONOTONIC COUNTING CAPABILITIES | 1 |
Richard Hartley | AU | Red Hill | 2013-06-06 / 20130141594 - ENHANCING IMAGE DATA | 2 |
Ryan Hartley | US | Providence | 2009-02-19 / 20090047649 - Secure remote testing system and method | 1 |
Lynne Hartley | AU | Victoria | 2008-10-16 / 20080255044 - Method of treatment | 1 |
Joseph W. Hartley, Iii | US | Kula | 2011-09-08 / 20110216989 - SANDBAG FOR MECHANICAL FILING USING EARTH LOADER EQUIPMENT | 2 |
Michael Hartlieb | DE | Schweinfurt | 2011-07-28 / 20110180027 - Valve Train for Gas Exchange Valves of an Internal Combustion Engine Having a Double-Supported Cam Carriers | 1 |
Bettina Hartlieb | AT | Vienna | 2015-09-03 / 20150246947 - PEPTIDES AND METHODS OF USE | 1 |
Heimo Hartlieb | AT | Graz | 2015-02-19 / 20150048958 - SIGNAL CONVERTER AND METHOD FOR OPERATING A SIGNAL CONVERTER | 11 |
Erik Hartlieb | DE | Donauworth | 2012-08-02 / 20120193471 - MODULAR ADAPTER PLATE SYSTEM | 1 |
Heimo Hartlieb | AT | Graz | 2015-02-19 / 20150048958 - SIGNAL CONVERTER AND METHOD FOR OPERATING A SIGNAL CONVERTER | 11 |
Jason D. Hartline | US | Seattle | 2013-01-03 / 20130006800 - SYSTEMS AND METHODS FOR PRICING AND SELLING DIGITAL GOODS | 1 |
Barbara Anne Hartline | US | Plymouth | 2010-04-08 / 20100087293 - CONTROL OF SEQUENTIAL DOWNSHIFTS IN A TRANSMISSION | 1 |
Stephen D. Hartline | US | Shrewsbury | 2010-03-25 / 20100071328 - METHOD FOR MAKING A SIC BASED CERAMIC POROUS BODY | 1 |
Michael F. Hartline | US | Saltillo | 2011-04-14 / 20110083265 - MECHANISM FOR MOUNTING A FOLDABLE-BED UNIT IN AN ARTICLE OF FURNITURE | 1 |
Steve D. Hartline | US | Shrewsbury | 2008-10-23 / 20080259236 - ELECTROSTATIC DISSIPATIVE STAGE AND EFFECTORS FOR USE IN FORMING LCD PRODUCTS | 1 |
John M. Hartline | US | Sandy | 2011-08-18 / 20110197421 - Method of Forming Mesh Golf Tee | 2 |
Jason D. Hartline | US | Chicago | 2010-03-18 / 20100070420 - ONLINE PRICING AND BUYBACK | 2 |
Jason Daniel Hartline | US | Evanston | 2009-12-10 / 20090307073 - SOCIAL MARKETING | 1 |
Cassie A. Hartline | US | Houston | 2012-12-20 / 20120321666 - T CELL THERAPY FOR B CELL LYMPHOMA | 1 |
Jeffrey Dean Hartline | US | Petersburg | 2013-12-19 / 20130334008 - METHODS OF TRANSPORTING PRODUCTS AND PACKAGES OF PRODUCTS MADE THEREFROM | 3 |
Otmar Hartling | DE | Schonungen | 2015-10-29 / 20150308508 - BEARING | 2 |
Ingmar Hartl, Jr. | DE | Hamburg | 2013-12-12 / 20130329279 - METHOD AND APPARATUS FOR CONTROLLING AND PROTECTING PULSED HIGH POWER FIBER AMPLIFIER SYSTEMS | 1 |
Greg W. Hartlmeier | US | Whitefish Bay | 2009-07-02 / 20090169291 - QUICK ACTION TURNBUCKLE | 1 |
Rudi Hartlohner | DE | Tuchenbach | 2014-03-06 / 20140064864 - TANGENTIAL INDEXABLE CUTTING INSERT | 3 |
Jason T. Hartlove | US | Saratoga | 2015-02-05 / 20150035755 - OPTICAL NAVIGATION CHIP USED IN AN OPTICAL MOUSE | 4 |
Jason Hartlove | US | Los Altos | 2015-04-23 / 20150109814 - LIGHT EMITTING DIODE (LED) DEVICES | 3 |
Jason T. Hartlove | US | Los Altos | 2008-11-20 / 20080283730 - CMOS IMAGE SENSOR USING GRADIENT INDEX CHIP SCALE LENSES | 1 |
David Ernest Hartly | AU | Wannanup | 2012-08-30 / 20120221091 - ROTATIONAL CONTROLLED DEPLOYMENT DEVICE | 1 |
Peter J. Hartmaier | US | Woodinville | 2008-11-27 / 20080293393 - System and Method for Providing Commercial Broadcast Content Information to Mobile Subscribers | 1 |
Ryan Hartmaier | US | Houston | 2009-10-29 / 20090269753 - GENOTYPING FOR SRC-1 PREDICTS FOR BONE LOSS | 1 |
Peter Hartmaier | US | Woodinville | 2014-04-17 / 20140108253 - System and Method for Prepaid Account Replenishment | 4 |
Andrew Hartman | US | Boulder | 2013-07-25 / 20130191506 - ENABLING USER ACTION | 18 |
Jonathan Hartman | US | 2014-09-18 / 20140277057 - SHAPE-MEMORY POLYMER FOAM DEVICE FOR TREATING ANEURYSMS | 1 | |
Ryan Lee Hartman | US | Tuscaloosa | 2015-01-08 / 20150008367 - METHODS AND APPARATUSES FOR PRODUCING CLATHRATE HYDRATES | 1 |
Randolph G. Hartman | US | Plymouth | 2012-03-08 / 20120059575 - TARGET LOCATOR DEVICE AND METHODS | 12 |
Scott R. Hartman | US | Oak Forest | 2015-11-19 / 20150332808 - ALIEN CROSSTALK SUPPRESSION WITH ENHANCED PATCH CORD | 20 |
David L. Hartman | US | Laguna Hills | 2011-11-10 / 20110274151 - Upstream Adaptive Modulation in a Communications System | 4 |
Chris Hartman | US | San Jose | 2014-08-07 / 20140221029 - METHODS AND APPARATUS FOR WIRELESS COEXISTENCE BASED ON TRANSCEIVER CHAIN EMPHASIS | 2 |
Curtis G. Hartman | US | Redmond | 2015-03-12 / 20150068995 - HOLDER ASSEMBLY FOR TUBULAR CONTAINERS | 1 |
Zachary C. Hartman | US | Durham | 2014-12-25 / 20140377261 - VACCINES AGAINST ANTIGENS INVOLVED IN THERAPY RESISTANCE AND METHODS OF USING SAME | 1 |
Katherine Hartman | US | Kansas City | / - | 1 |
Anthony Francis Hartman | US | Mckinney | 2014-09-18 / 20140261795 - PRESSURE REGULATORS WITH FILTER CONDITION DETECTORS | 1 |
Michael Steven Hartman | US | Millbrae | 2015-01-15 / 20150017247 - COMPOSITIONS FOR PULMONARY DELIVERY OF LONG-ACTING B2 ADRENERGIC RECEPTOR AGONISTS AND ASSOCIATED METHODS AND SYSTEMS | 10 |
David Stephen Hartman | US | Santa Clara | 2015-03-26 / 20150089504 - CONFIGURING A VIRTUAL MACHINE | 3 |
Robert Arthur Hartman | US | Cooper City | 2014-12-18 / 20140373115 - METHOD AND SYSTEM FOR ALLOWING ANY LANGUAGE TO BE USED AS PASSWORD | 1 |
Michael James Hartman | US | Clifton Park | 2016-04-21 / 20160113092 - LUMINAIRE ASSOCIATE | 37 |
Galen W. Hartman | US | Kemp | 2013-09-19 / 20130244046 - POLYMERIC CONTACT MEDIA | 2 |
Steven Hartman | US | Hermosa Beach | 2011-05-19 / 20110119128 - Advertising Exchange System to Share Online Audiences | 5 |
Steven Hartman | US | Commack | 2013-05-09 / 20130113936 - METHOD AND SYSTEM FOR MANAGING A PARKING LOT BASED ON INTELLIGENT IMAGING | 3 |
Steven Hartman | CA | Brampton | / - | 1 |
Steven Hartman | US | Burbank | 2010-04-22 / 20100100415 - COMMON TAG FORMAT FOR AD SERVING AND INFORMATION TRACKING IN INTERNET ADVERTISING | 3 |
Raymond A. Hartman | US | Carlsbad | 2015-06-25 / 20150174161 - COMPOSITIONS AND METHODS FOR TREATING OR PREVENTING DISEASES ASSOCIATED WITH OXIDATIVE STRESS | 6 |
Justin R. Hartman | US | Twinsburg | 2016-01-28 / 20160029102 - SYSTEMS AND METHODS FOR AUTONOMOUS BROADCASTING | 1 |
Christopher Michael Hartman | US | Royal Oak | 2014-09-11 / 20140256482 - SECOND CUT ARROW SHAFT EXTENSION | 1 |
George D. Hartman | US | Radnor | 2014-06-26 / 20140179665 - HEPATITIS B ANTIVIRAL AGENTS | 2 |
David Hartman | US | Irvine | 2010-07-29 / 20100191525 - Gateway With Voice | 1 |
Todd J. Hartman | US | Hummelstown | 2014-08-07 / 20140217079 - SYSTEM FOR SNOW AND ICE REMOVAL | 1 |
Scott Michael Hartman | US | Loveland | 2015-10-15 / 20150292018 - METHOD OF MAKING SKIN CARE COMPOSITIONS | 3 |
Richard Lee Hartman | US | Warren | 2013-07-04 / 20130173434 - COMPUTERIZED VALUATION OF ELECTRONIC EQUIPMENT | 1 |
Cody L. Hartman | US | Flagstaff | 2015-12-24 / 20150366663 - TRUNCATED LEAFLET FOR PROSTHETIC HEART VALVES | 33 |
James Hartman | US | San Diego | 2012-06-07 / 20120138902 - Edge-Contacted Vertical Carbon Nanotube Transistor | 2 |
Keith W. Hartman | US | Redwood City | 2015-09-03 / 20150245767 - DUAL IRIS AND COLOR CAMERA IN A MOBILE COMPUTING DEVICE | 4 |
James J. Hartman | US | San Francisco | 2009-01-22 / 20090023169 - High throughput sarcomeric assay | 1 |
Ryan Hartman | US | Duxbury | 2014-12-04 / 20140357946 - TISSUE SPREADER FOR ACCESSING PAPILLA, AND RELATED METHODS OF USE | 1 |
Ronen Hartman | IL | Haifa | 2015-04-09 / 20150100236 - NAVIGATION SYSTEM | 1 |
David Ray Hartman | US | Brighton | 2015-04-09 / 20150096404 - COLLAPSIBLE STEERING COLUMN ASSEMBLY | 1 |
Eric Jon Hartman | US | Austin | 2014-05-08 / 20140129491 - EMPIRICAL MODELING WITH GLOBALLY ENFORCED GENERAL CONSTRAINTS | 1 |
Gary R. Hartman | US | Hollister | 2012-11-01 / 20120278956 - SOIL FREE PLANTING COMPOSITION | 2 |
Mark Hartman | US | Dunlap | 2012-06-28 / 20120161725 - Switched Reluctance Generator Initial Rotor Position Estimation | 2 |
Brooke Hartman | US | Parker | 2011-05-19 / 20110119120 - Loyalty reward program for reducing the balance of a loan obligation | 1 |
Brian Jay Hartman | US | Bellevue | 2014-02-20 / 20140052739 - DISTRIBUTED FEATURE SELECTION IN SOCIAL NETWORKS | 1 |
Laura Hartman | US | San Francisco | 2008-10-30 / 20080269370 - Strain-hardened interpenetrating polymer network hydrogel | 1 |
Adam Hartman | US | Denton | 2014-06-05 / 20140155865 - INFRARED REFLECTIVE AIR-IN-LINE SENSOR SYSTEM | 2 |
Aharon Hartman | US | Lakewood | 2013-07-04 / 20130167693 - Installation Tool | 1 |
Laura Hartman | US | Chicago | 2009-04-02 / 20090087828 - SYSTEM, METHOD, AND TOOL FOR COMPUTER-BASED LEARNING | 1 |
Chad Hartman | US | Erie | 2009-04-02 / 20090089070 - System and Method for Validating and Processing Customer Entered Addresses | 1 |
Brian A. Hartman | US | Valparaiso | 2015-12-10 / 20150354699 - SYSTEM AND METHOD OF CONTROLLING SHIFTS OF AN ELECTRONICALLY CONTROLLED MECHANICAL TRANSMISSION OF A VEHICLE | 4 |
Monte Hartman | US | Erie | 2013-06-27 / 20130166311 - System and Method for Provisioning and Deploying a Virtual Appliance to Implement Enterprise Solutions | 1 |
Michael Hartman | US | Des Moines | 2014-11-27 / 20140348620 - METHOD OF HANDLING CLAMSHELL CONTAINERS CONTAINING A PARTICULATE ALIQUOT | 1 |
Thomas G. Hartman | US | Staten Island | 2008-10-02 / 20080241282 - Sorghum Extract Compositions | 1 |
Ben Hartman | US | New York | 2011-06-16 / 20110140524 - MULTIPLE BI-DIRECTIONAL INPUT/OUTPUT POWER CONTROL SYSTEM | 2 |
Kimberly W. Hartman | US | New York | / - | 1 |
Katherine Hartman | US | Schenectady | 2009-07-23 / 20090184382 - METHOD TO REDUCE DISLOCATION DENSITY IN SILICON | 1 |
Benjamin Hartman | US | New York | 2010-07-29 / 20100187370 - Accessible control panel for overhead electrical apparatus in a suspended ceiling system | 1 |
Michael Hartman | US | Clifton Park | 2010-11-04 / 20100280955 - SYSTEMS AND METHODS FOR VERIFYING IDENTITY | 2 |
John Hartman | US | Apalachin | 2015-08-13 / 20150224545 - Facility Wide Mixed Mail Sorting and/or Sequencing System and Components and Methods Thereof | 3 |
Michael Steven Hartman | US | Millbrae | 2015-01-15 / 20150017247 - COMPOSITIONS FOR PULMONARY DELIVERY OF LONG-ACTING B2 ADRENERGIC RECEPTOR AGONISTS AND ASSOCIATED METHODS AND SYSTEMS | 10 |
Joseph Hartman | US | North Canton | 2016-04-21 / 20160110967 - SELF-SERVICE FINANCIAL TRANSACTION TERMINAL | 2 |
Jacob Hartman | US | Holon | 2013-04-04 / 20130084273 - Variant Form of Urate Oxidase and Use Thereof | 1 |
David P. Hartman | US | Ashland | 2013-05-02 / 20130105094 - Process And System For Manufacturing A Roller Blind | 2 |
Daniel L. Hartman | US | Covington | 2013-10-24 / 20130280976 - STRUCTURAL SUBSTITUTES MADE FROM POLYMER FIBERS | 1 |
Randolph G. Hartman | US | Blue Eye | 2013-12-26 / 20130345968 - CORRELATION POSITION DETERMINATION | 1 |
Jim Hartman | US | Indianapolis | 2014-02-27 / 20140053946 - SYSTEM AND METHOD FOR DISPENSING A MEASURED AMOUNT OF A LOOSE MATERIAL | 1 |
Robert Charles Hartman | US | Aurora | 2015-05-28 / 20150149538 - Managed Services Platform | 2 |
John Hartman | US | San Diego | 2009-12-17 / 20090313055 - COMPUTER-BASED SYSTEM AND METHOD FOR FACILITATING TRAVEL PLANNING FOR A PROSPECTIVE TRAVELER | 1 |
John L. Hartman | US | Minneapolis | 2014-11-20 / 20140344427 - DEVICE AND METHOD FOR AUTOMATIC SWITCHING OF COMMUNICATION PROTOCOL OF NETWORK DEVICES BASED ON USER ACTION | 1 |
William G. Hartman | US | North Royalton | 2015-08-20 / 20150232241 - MULTI-LAYER ONE-WAY VALVE FOR PACKAGING | 3 |
Nicholas Hartman | US | Huntington Beach | 2014-05-15 / 20140136089 - ANIMATION AND VISUALIZATION OF TRAFFIC DATA ANALYTICS IN A DASHBOARD PRESENTATION OF A ROADWAY PERFORMANCE MEASUREMENT SYSTEM | 1 |
Michael Hartman | IE | Kilcolgan | 2011-01-20 / 20110013765 - Management of Call Handling Treatments | 1 |
Michael Hartman | IE | Galway | 2014-04-03 / 20140093065 - INCREASING CONTACT CENTER EFFICIENCY VIA MULTI-CAST AND MULTI-ITEM PRESENTATION | 4 |
Andreas Hartman | DE | Stadtberger | 2010-10-28 / 20100272519 - METHOD AND DEVICE FOR CONVEYING PARTICULATE MATERIAL DURING THE LAYER-WISE PRODUCTION OF PATTERNS | 1 |
Christiaan A. Hartman | US | Cupertino | 2015-12-03 / 20150350334 - METHOD AND APPARATUS FOR INITIATING A HIGH-POWER CONNECTION VIA A LOW-POWER INTERFACE | 3 |
Andrew Hartman | US | Belmont | 2016-04-21 / 20160106740 - Treating Pain In Patients With Hepatic Impairment | 6 |
Dadna Hartman | AU | Victoria | 2010-10-07 / 20100255037 - NOVEL SEQUENCES OF HAEMONCHUS CONTORTUS, IMMUNOGENIC COMPOSITIONS, METHODS FOR PREPARATION AND USE THEREOF | 1 |
Andreas Hartman | DE | Stadtbergen | 2010-08-26 / 20100212584 - DEVICE FOR THE LAYER-WISE PRODUCTION OF PATTERNS | 1 |
Alan Hartman | IN | Bengaluru | 2011-05-05 / 20110107295 - Automatically Generating Artifacts for Service Delivery | 1 |
Peter Hartman | GB | London | 2012-07-19 / 20120185686 - Method, Apparatus and Computer Program for Loading Files During a Boot-Up Process | 2 |
Brian Hartman | NO | Drobak | 2010-03-04 / 20100054846 - Writing Instrument | 1 |
Michael Hartman | DE | Bermatingen | 2010-06-10 / 20100143109 - Water pump for pumping coolant in a low temperature and in a high temperature circuit | 1 |
Reinoud Jacob Hartman | CA | Nanaimo | 2015-06-11 / 20150163850 - REMOTE SENSING DEVICE AND SYSTEM FOR AGRICULTURAL AND OTHER APPLICATIONS | 3 |
Steven David Hartman | CA | Caledon | 2009-06-11 / 20090145065 - THERMOPLASTIC SIDING INSULATION | 1 |
Jean-Pierre Hartman | BE | Rhode-St-Genese | 2011-02-17 / 20110038755 - CONTAINERS COMPRISING PEELABLE SEALS | 1 |
Juergen Hartman | DE | Willich | 2011-01-06 / 20110002565 - BEARING BUSH | 1 |
Peter Hartman | DE | Loerrach | 2010-10-21 / 20100267722 - NOVEL PROLINE DERIVATIVES | 1 |
Bill Hartman | AU | Victoria | 2010-08-26 / 20100212972 - VEHICLE FOR WEIGHING AN ITEM | 1 |
Patrick Hartman | DE | Dossenheim | 2010-03-04 / 20100058339 - METHOD AND SYSTEM FOR PROVIDING A HOMOGENEOUS VIEW OF A DISTRIBUTED COMMON INFORMATION MODEL (CIM) WITHIN A HETEROGENEOUS VIRTUAL SYSTEM ENVIRONMENT | 1 |
Jonathan Hartman | US | Sacramento | 2015-11-05 / 20150313606 - STENT WITH EXPANDABLE FOAM | 6 |
Gregory A. Hartman | CA | Drayton Valley | 2015-11-26 / 20150336496 - BELLY DUMP TRAILER | 8 |
Rudolf Hartman | CH | Gelterkinden | 2008-08-21 / 20080199943 - Material Solubiliser Reactor For Hydrolysis and/or Wet Fermentation and Waste Treatment Plant With Such a Solubiliser and Reactor | 1 |
John T. Hartman | US | Churchville | 2013-01-03 / 20130003087 - DEPOSITING TEXTURE FOR JOB ON RECEIVER | 3 |
Taymar E. Hartman | US | Redwood City | 2015-10-01 / 20150274832 - DAC HYP COMPOSITIONS AND METHODS | 5 |
Thomas Hartman | DE | Bayern | 2011-05-26 / 20110126179 - Method and System for Dynamic Patching Software Using Source Code | 1 |
Thomas W. Hartman | US | Pittsburgh | 2014-07-31 / 20140208916 - MANDOLINE SLICER | 1 |
George D. Hartman | US | Doylestown | 2015-09-17 / 20150259324 - HEPATITIS B ANTIVIRAL AGENTS | 2 |
Keith Hartman | US | Hamilton | 2011-06-02 / 20110127270 - WATER SOLUBLE LAUNDRY BAG AND RECEPTACLE THEREFOR | 2 |
James Paul Hartman | US | Canton | 2014-11-06 / 20140330955 - Monitoring the Health of a Home Area Network | 1 |
Scott Alvin Hartman | US | Stafford Springs | 2011-06-02 / 20110126691 - TUNABLE MOUTHPIECE FOR A BRASS INSTRUMENT | 1 |
Ryan L. Hartman | US | Northport | 2011-06-02 / 20110126914 - SYSTEMS AND METHODS FOR HANDLING SOLIDS IN MICROFLUIDIC SYSTEMS | 1 |
Werner Hartman | DE | Gerbrunn | 2012-05-31 / 20120131882 - METHOD FOR PRODUCING A LAMINATED VACUUM-TIGHT CONNECTION BETWEEN A GLASS PANE AND A METAL FRAME, AND LAMINATED GLASS PANE CONNECTION | 1 |
Joshua Hartman | US | Conshohocken | 2015-06-25 / 20150178873 - METHOD AND APPARATUS FOR GENERATING A CLINICAL TRIAL BUDGET | 3 |
Kevin M. Hartman | US | Somerville | 2014-10-30 / 20140323713 - BIO-DEGRADABLE MATERIAL AND METHOD | 1 |
Robert Joseph Hartman | US | San Diego | 2009-10-22 / 20090260166 - "Clean Glide" Portable Disc Golf Cleaning Device | 1 |
Jennifer Ann Hartman | US | Los Feliz | 2011-06-09 / 20110133528 - Buckles away | 1 |
Charles Fredric Hartman | US | Boron | 2013-06-20 / 20130152855 - Pneumatic repair mortar gun | 1 |
Mark Allen Hartman | US | Inyokern | 2013-06-20 / 20130152855 - Pneumatic repair mortar gun | 1 |
David Hartman | US | Austin | 2015-09-10 / 20150256882 - MULTI-PLATFORM DIGITAL TELEVISION | 2 |
Brian A. Hartman | US | Willowbrook | 2012-11-15 / 20120288377 - ENGINE COOLING FAN SPEED CONTROL SYSTEM | 2 |
Anthony Hartman | US | Los Angeles | 2015-10-22 / 20150304605 - INTERACTIVE VIDEO SYSTEM | 2 |
George D. Hartman | US | Landsdale | 2016-01-07 / 20160000812 - AZEPANE DERIVATIVES AND METHODS OF TREATING HEPATITIS B INFECTIONS | 4 |
Jim Hartman | US | San Francisco | 2009-01-29 / 20090029345 - MODULATING SKELETAL MUSCLE | 1 |
Erwin Hartman | DE | Bergrheinfeld | 2011-06-23 / 20110148182 - STEERING KNUCKLE WITH PRE-SEALING | 1 |
Pat Hartman | US | Canton | 2011-06-23 / 20110153156 - Method for Correction of Dynamic Output Signals of Inertial Sensors Having Mounting Offsets | 1 |
Andrew Hartman | US | Boulder | 2013-07-25 / 20130191506 - ENABLING USER ACTION | 18 |
Rammy Hartman | IL | Maalot | 2011-06-30 / 20110158282 - METHOD FOR DETECTING CHANGES IN A VACUUM STATE IN A DETECTOR OF A THERMAL CAMERA | 1 |
Theresa Hartman | US | Houston | 2015-12-03 / 20150348309 - CROSS SECTION CREATION AND MODIFICATION | 1 |
Albert Hartman | US | Palo Alto | 2015-10-08 / 20150288319 - PORTABLE, SOLAR ENERGY GENERATION ASSEMBLY | 1 |
Andreas Hartman | US | 2012-05-03 / 20120110009 - USER INTERFACES FOR SEARCH SYSTEMS USING IN-LINE CONTEXTUAL QUERIES | 1 | |
Ryan Hartman | US | Northport | 2014-03-13 / 20140069645 - METHODS TO DELIVER FLUIDS ON A WELL SITE WITH VARIABLE SOLIDS CONCENTRATION FROM SOLID SLURRIES | 5 |
Allen Hartman | US | Escondido | 2015-10-08 / 20150288152 - METHODS FOR GRAFFITI ABATEMENT ON PAD-MOUNTED STRUCTURES | 1 |
Ryan Hartman | US | Arlington | 2011-11-03 / 20110265985 - SYSTEM AND METHOD FOR LOW DAMAGE GRAVEL PACKING | 6 |
Corey D. Hartman | US | Hutto | 2014-08-28 / 20140240924 - MODULAR CAM SYSTEM | 7 |
Allan R. Hartman | US | Cuero | 2010-12-02 / 20100300693 - Enzyme Surfactant Fluids Used in Non-Gel Hydraulic Fracturing of Oil Wells | 2 |
Thomas B. Hartman | US | Georgetown | 2010-08-05 / 20100198409 - SEQUENCING OF VARIABLE SPEED COMPRESSORS IN A CHILLED LIQUID COOLING SYSTEM FOR IMPROVED ENERGY EFFICIENCY | 1 |
David B. Hartman | US | Austin | 2009-10-29 / 20090271683 - System and Method of Processing Video Data | 1 |
Dennis C. Hartman | US | Austin | 2009-10-22 / 20090263143 - OPTICAL COMMUNICATION INTEGRATION | 1 |
Steven P. Hartman | US | Round Rock | 2015-01-29 / 20150032977 - MEMORY MANAGEMENT SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT | 4 |
Ryan Hartman | US | Cambridge | / - | 1 |
Steven Paul Hartman | US | Round Rock | 2010-05-20 / 20100125436 - Identifying Deterministic Performance Boost Capability of a Computer System | 2 |
Mark Hartman | US | Atlanta | 2011-07-07 / 20110167018 - Prioritizing and Tracking Investments | 1 |
David Bruce Hartman | US | Austin | 2015-05-14 / 20150135210 - SYSTEM AND METHOD TO PROVIDE A UNIFIED VIDEO SIGNAL FOR DIVERSE RECEIVING PLATFORMS | 2 |
Alexandra E. Hartman | US | Tolleson | 2015-12-10 / 20150353753 - NICKEL INKS AND OXIDATION RESISTANT AND CONDUCTIVE COATINGS | 3 |
Allan R. Hartman | US | Houston | 2009-10-29 / 20090266547 - Enzyme enhanced oil recovery (EEOR) for near wellbore treatment of oil and gas with greater than 50% barrel of oil equivalent (BOE) gas production | 2 |
Jackie J. Hartman | US | Georgetown | 2009-04-09 / 20090094270 - METHOD OF BUILDING A VALIDATION DATABASE | 1 |
Eric Hartman | US | Austin | 2015-07-02 / 20150185717 - PARAMETRIC UNIVERSAL NONLINEAR DYNAMICS APPROXIMATOR AND USE | 3 |
Wayne E. Hartman | US | Elkhart | 2014-06-12 / 20140159339 - SLIDING HITCH ASSEMBLY CAPTURE PLATE | 1 |
Nicholas Graham Hartman | US | Leland | 2014-05-15 / 20140133109 - FIELD REPLACEABLE AUXILIARY SWITCH AND CONTROL CIRCUIT ASSEMBLY FOR AN ELECTRICAL CONTACTOR | 1 |
Philip Thomas Hartman | US | Goodlettsville | 2014-05-15 / 20140136501 - DATABASE USAGE TRENDS BASED ON DATABASE LOCK REQUESTS | 1 |
David Andrew Hartman | US | Minneapolis | 2014-07-03 / 20140183074 - TRANSACTION PRODUCT ASSEMBLY WITH SEPARABLE PARTS FOR REASSEMBLY | 1 |
Christiaan A. Hartman | US | San Jose | 2015-12-24 / 20150373642 - WLAN System with Opportunistic Transitioning to a Low Power State for Power Management | 11 |
William M. Hartman | US | Des Plaines | 2015-03-05 / 20150060034 - HEAT TRANSFER UNIT FOR PROCESS FLUIDS | 3 |
Jacob Hartman | IL | Holon | 2015-07-16 / 20150197732 - VARIANT FORMS OF URATE OXIDASE AND USE THEREOF | 9 |
Jed E. Hartman | US | Mountain View | 2014-04-17 / 20140109007 - Method And System For Defining Relationships Among Labels | 1 |
Kerry Titus Hartman | US | San Diego | 2014-01-23 / 20140023197 - SCALABLE DOWNMIX DESIGN FOR OBJECT-BASED SURROUND CODEC WITH CLUSTER ANALYSIS BY SYNTHESIS | 1 |
Timm E. Hartman | US | Phoenix | 2014-10-09 / 20140302960 - THREADED FASTENER POSITIVE ANTI-ROTATION LOCKING DEVICE | 1 |
Dwight Hartman | US | Peachtree City | 2016-05-19 / 20160140824 - SYSTEMS AND METHODS FOR NOTIFYING USERS OF VEHICLE CONDITIONS | 1 |
Jehuda Hartman | IL | Rehovot | 2011-07-21 / 20110178963 - SYSTEM FOR THE DETECTION OF RARE DATA SITUATIONS IN PROCESSES | 1 |
Charles Andrew Hartman | US | Ft Collins | 2011-07-21 / 20110179212 - Bus arbitration for sideband signals | 1 |
John Hartman | US | Cuyahoga Falls | 2012-12-13 / 20120313334 - TORQUE TRANSMITTING APPARATUS FOR A WHEEL | 1 |
Ryan Hartman | US | Houston | 2008-12-25 / 20080314594 - Method of Heterogeneous Etching of Sandstone Formations | 1 |
Chad Hartman | US | Sour Lake | 2015-11-26 / 20150336259 - ADJUSTABLE TOOL EXTENDER | 1 |
Gregory A. Hartman | CA | Drayton Valley | 2015-11-26 / 20150336496 - BELLY DUMP TRAILER | 8 |
Dennis Hartman | US | North Ridgeville | 2012-06-21 / 20120152921 - DUAL WIRE WELDING SYSTEM AND METHOD | 2 |
Mark Hartman | US | Peoria | 2015-05-07 / 20150127207 - Switched Reluctance Generator Integrated Controls | 5 |
Nicholas Hartman | US | 2012-12-20 / 20120318187 - UNDERWATER ROBOTIC VENTING AND INSPECTION SYSTEM | 1 | |
Richard Leon Hartman | US | Huntsville | 2016-02-04 / 20160037132 - CONTACTLESS FINGERPRINT ACQUISITION AND PROCESSING | 4 |
Carl Hartman | SE | Uppsala | 2012-04-12 / 20120086410 - CAPACITOR CHARGER SYSTEM AND DIGITAL CONTROL MODULE AND ISOLATED ACQUISITION MODULE FOR SUCH A CAPACITOR CHARGER SYSTEM | 1 |
Racquel Hartman | US | Glendale | 2012-04-12 / 20120085245 - BAKING PAN FOR TILTED CAKES | 1 |
Michael Hartman | US | Millbrae | 2014-10-09 / 20140302147 - RESPIRABLE AGGLOMERATES OF POROUS CARRIER PARTICLES AND MICRONIZED DRUG | 1 |
Jonathan Hartman | US | Cheshire | 2014-10-23 / 20140316608 - SUSTAINED OVER-THE-HORIZON VERTICAL TAKEOFF AND LANDING SENSING SYSTEM | 2 |
Paul Harvey Hartman | US | Chardon | 2010-09-09 / 20100226775 - Mass produced composite wind turbine blades | 2 |
Justin R. Hartman | US | Solon | 2011-04-14 / 20110087702 - DISTRIBUTED HISTORIAN ARCHITECTURE | 2 |
James Hartman | US | Franklin | 2012-08-16 / 20120208683 - Exercise Grip Device | 4 |
David R. Hartman | US | Granville | 2009-08-13 / 20090202716 - Coated Facer | 4 |
Frederick Anthony Hartman | US | Cincinnati | 2009-01-01 / 20090005285 - Composition For Treating Or Cleaning Fabrics | 1 |
Mark E. Hartman | US | Peoria | 2010-07-01 / 20100164735 - System And Method For Testing Power Transistors | 2 |
Terrence L. Hartman | US | Londonderry | 2011-05-12 / 20110111234 - Adhesives With Thermal Conductivity Enhanced By Mixed Silver Fillers | 1 |
Jay H. Hartman | US | New Hartford | 2011-04-14 / 20110087343 - ARCHITECTURE USING INTEGRATED BACKUP CONTROL AND PROTECTION HARDWARE | 2 |
Leo Hartman | US | Fern Park | 2009-12-31 / 20090324799 - MAXIMIZING UTILIZATION OF MUNICIPAL SEWAGE TREATMENT EFFLUENTS TO PRODUCE A BIOFUEL, FERTILIZER AND/OR ANIMAL FEED FOR ENVIRONMENTALLY SUSTAINABLE MINDED COMMUNITIES | 1 |
Carrol O. Hartman | US | Bowling Green | 2014-10-23 / 20140311053 - FOLDING SHED | 2 |
David Hartman | US | Framincham | 2009-01-15 / 20090014115 - Nonwoven fabric and method and apparatus for manufacturing same | 1 |
Eric E. Hartman | US | Ridgefield | 2016-03-31 / 20160090205 - Sterilizing Apparatus and Related Method | 6 |
Daniel A. Hartman | US | Fairhope | 2012-01-26 / 20120022683 - LATERAL POSITION DETECTION AND CONTROL FOR FRICTION STIR SYSTEMS | 2 |
Michael E, Hartman | US | Des Moines | 2015-02-05 / 20150034638 - Buckling Clamshell Container For Automated Aliquot and Dispersal Processes | 5 |
Mark T. Hartman | US | Lafayette | 2014-01-09 / 20140007708 - AEROSOL SAMPLING DEVICE | 1 |
James X. Hartman | US | Boca Raton | 2011-02-17 / 20110039354 - Method of Characterizing and Quantifying Calcifying Nanoparticles | 2 |
Philip Hartman | US | Loveland | 2013-02-14 / 20130036570 - Surface Wiper System | 1 |
Jonathan Hartman | US | Kihei | 2011-02-03 / 20110027101 - CONVERTIBLE PADDLE SYSTEM | 1 |
Terry Hartman | US | Tacoma | 2011-01-20 / 20110011415 - SELF-LIGHTING CIGARETTE | 1 |
William F. Hartman | US | Albuquerque | 2012-05-17 / 20120118071 - METHODS AND APPARATUS FOR DETECTING CROSS-LINKING IN A POLYMER | 2 |
Randy Gene Hartman | US | Plymouth | 2010-11-11 / 20100287500 - METHOD AND SYSTEM FOR DISPLAYING CONFORMAL SYMBOLOGY ON A SEE-THROUGH DISPLAY | 1 |
Thomas B. Hartman | US | Murfreesboro | 2011-05-12 / 20110110731 - CUTTING TOOL SYSTEM, CUTTING INSERT, AND TOOL HOLDER | 3 |
Alvin Chester Hartman | US | Baltimore | 2010-10-21 / 20100264201 - TWO-PIECE INSULATED CUP | 1 |
Daniel Hartman | US | Santa Fe | 2010-09-16 / 20100229751 - Structurally Sound Reactive Materials | 1 |
Barrett Hartman | US | Moore | 2010-08-19 / 20100209198 - MODULAR HOT STAB WITH IMPROVED CONNECTION FLANGE | 1 |
Stuart J. Hartman | US | Livingston | 2010-08-12 / 20100204417 - EPOXY SEALER/HEALER FOR SEALING AND STRENGTHENING CRACKED CONCRETE | 1 |
Sam Hartman | US | Cambridge | 2010-07-22 / 20100185855 - Data Repository and Method for Promoting Network Storage of Data | 1 |
Jason Hartman | US | Woodinville | 2013-05-02 / 20130106853 - LEVERAGING GRAPHICS PROCESSORS TO OPTIMIZE RENDERING 2-D OBJECTS | 2 |
James Alan Hartman | US | Broomfield | 2016-03-24 / 20160085162 - PHOTOCONDUCTOR OVERCOAT HAVING A RADICAL POLYMERIZABLE CHARGE TRANSPORT MOLECULE CONTAINING TWO ETHYL ACRYLATE FUNCTIONAL GROUPS AND URETHANE ACRYLATE RESINS CONTAINING SIX RADICAL POLYMERIZABLE FUNCTIONAL GROUPS | 6 |
Richard L. Hartman | US | Spokane | 2016-04-07 / 20160097393 - WAKEBOAT WITH DYNAMIC WAVE CONTROL | 5 |
Mary M. Hartman | US | Spokane | 2012-08-02 / 20120197974 - RESUME STORAGE AND RETRIEVAL SYSTEM | 2 |
Daniel A. Hartman | US | Santa Fe | 2010-06-10 / 20100140324 - LATERAL POSITION DETECTION FOR FRICTION STIR SYSTEMS | 2 |
Robert Hartman | US | San Marcos | 2015-01-15 / 20150019257 - SYSTEM AND METHOD FOR PREDICTIVE CARE MANAGEMENT | 5 |
Jason H. Hartman | US | Woodinville | 2010-06-03 / 20100134510 - Methods and Systems for Providing Texture Tiling and Mirroring | 1 |
Ryan L. Hartman | US | Arlington | 2010-05-20 / 20100122899 - SMALL-SCALE METHOD AND APPARATUS FOR SEPARATING MIXTURES | 1 |
Susan M. Hartman | US | Lake Orion | 2011-08-25 / 20110208783 - Integration of User Identifiers | 1 |
Daniel Karl Hartman | US | Littleton | 2014-03-27 / 20140084976 - Delay-Locked Loop with Dynamically Biased Charge Pump | 4 |
Carl C. Hartman | US | Denver | 2010-04-01 / 20100078346 - PACKAGING INCORPORATING SERRATED CUTTING EDGE AND METHOD OF FORMING SAME | 1 |
Dennis K. Hartman | US | North Ridgeville | 2014-09-04 / 20140246532 - ENDLESS WIRE CONTAINER AND METHOD OF USING SAME | 2 |
Glen L. Hartman | US | Urbana | 2013-09-26 / 20130254945 - SOYBEAN APHID RESISTANCE GENE RAG2 | 4 |
David P. Hartman | US | Framingham | 2015-06-25 / 20150176328 - APPARATUS AND METHOD FOR MAKING A WINDOW COVERING HAVING OPERABLE VANES | 6 |
Dennis Hartman | US | South Bend | 2011-06-16 / 20110139733 - RACK SYSTEM | 2 |
Susan Hartman | US | Hillsboro | 2010-01-28 / 20100020733 - Synchronous messaging for mobile station | 2 |
Erick V. Hartman | US | Kansas City | 2010-01-14 / 20100006460 - MODULAR OPTICAL DISC MEDIA STORAGE SYSTEM | 1 |
Peter G. Hartman | US | West Bloomfield | 2013-11-14 / 20130304352 - ON-BOARD DIAGNOSTIC METHOD AND SYSTEM FOR DETECTING MALFUNCTION CONDITIONS IN MULTIAIR ENGINE HYDRAULIC VALVE TRAIN | 4 |
Robert Michael Hartman | US | Ponte Vedra Beach | 2009-12-31 / 20090324799 - MAXIMIZING UTILIZATION OF MUNICIPAL SEWAGE TREATMENT EFFLUENTS TO PRODUCE A BIOFUEL, FERTILIZER AND/OR ANIMAL FEED FOR ENVIRONMENTALLY SUSTAINABLE MINDED COMMUNITIES | 1 |
Brian J. Hartman | US | Bellevue | 2011-06-30 / 20110161464 - COORDINATING MULTIPLE ASYNCHRONOUS POSTBACKS | 3 |
Richard R. Hartman | US | Memphis | 2014-10-09 / 20140300663 - RECORDING SHEET WITH IMPROVED IMAGE DRY TIME | 2 |
William Michael Hartman | US | Pleasant Ridge | 2009-10-08 / 20090254464 - TIME AND ATTENDANCE SYSTEM AND METHOD | 1 |
Glenn Hartman | US | Salem | 2015-11-05 / 20150315814 - CYLINDER LOCK WITH INTERNAL SLIDER AND KEY THEREFORE | 7 |
Katherin Hartman | US | New Hudson | 2009-08-13 / 20090204303 - Method for Determining Trailer Braking Output | 1 |
Brian Hartman | US | Bellevue | 2013-03-14 / 20130067316 - INPUT CONTROL PROCESSING | 1 |
Mark Edward Hartman | US | Peoria | 2013-01-03 / 20130002027 - UNINTERRUPTIBLE POWER SUPPLY | 3 |
William Hartman | US | Warsaw | 2014-04-17 / 20140107654 - Methods For Patient-Specific Shoulder Arthroplasty | 4 |
Thomas A. Hartman | US | St. Louis | 2015-12-31 / 20150377366 - Ball Valve and Method of Operating the Same | 5 |
Nile Hartman | US | Duluth | 2009-04-30 / 20090109441 - Method and apparatus for enhancing waveguide sensor signal | 1 |
Zak Hartman | US | Coral Springs | 2009-03-19 / 20090071342 - THERMAL CARAFE ENCLOSURE TO CAPTURE STEAM AND INCREASE COFFEE TEMPERATURE | 1 |
Matthew Hartman | US | Richmond | 2014-08-21 / 20140236071 - LIGHT-ENABLED DRUG DELIVERY | 1 |
Shane A. Hartman | US | Boston | 2012-03-22 / 20120070249 - Chrome-Plated Fastener With Organic Coating | 1 |
Michael W. Hartman | US | Reno | 2009-03-19 / 20090075713 - E-INK BINGO DISPLAY | 1 |
Morris L. Hartman | US | Hayes Center | 2016-05-19 / 20160138258 - FOLDING SHED | 3 |
Heather Jean Hartman | US | Newark | 2009-01-15 / 20090019144 - Method and Apparatus for Scaling a User Interface Adaptively to an Object Discovery/Display System with Policy Driven Filtering | 2 |
Daniel Hartman | US | Brighton | 2008-11-27 / 20080293633 - Pharmaceutical Formulations, Methods, and Dosing Regimens for the Treatment and Prevention of Acute Coronary Syndromes | 1 |
David Hartman | US | Framingham | 2012-05-24 / 20120125540 - NONWOVEN FABRIC AND METHOD AND APPARATUS FOR MANUFACTURING SAME | 2 |
David C. Hartman | US | Howard City | 2008-11-06 / 20080273346 - Vehicular light assembly and associated method for repairing the same | 1 |
Richard I. Hartman | US | Peoria | 2013-05-02 / 20130110677 - E-COMMERCE BASED METHOD AND SYSTEM FOR MANUFACTURER HOSTING OF VIRTUAL DEALER STORES AND METHOD FOR PROVIDING A SYSTEMIZATION OF MACHINE PARTS | 2 |
Peter Hartman | US | 2008-09-25 / 20080231908 - Methods and systems for classifying and prioritizing incoming facsimiles | 1 | |
Joel Hartman | US | Auburn Hills | 2008-09-18 / 20080223261 - TABLE ASSEMBLY | 1 |
Heather Jean Hartman | US | Arnold | 2008-08-28 / 20080208884 - Process for Distributed Production and Peer-To-Peer Consolidation of Subjective Ratings Across Ad-Hoc Networks | 1 |
Rosemarie F. Hartman | US | Tempe | 2010-09-02 / 20100222380 - Modified Chalcone Compounds as Antimitotic Agents | 2 |
Andrew F. Hartman | US | Capac | 2012-03-22 / 20120068520 - LOCKING TONGUE FOR A SAFETY BELT | 1 |
Anthony L. Hartman | US | Tucson | 2015-04-23 / 20150111202 - AUTOMATED STAINING SYSTEM AND REACTION CHAMBER | 5 |
Brian T. Hartman | US | Mesa | 2015-12-31 / 20150377366 - Ball Valve and Method of Operating the Same | 5 |
Cody L. Hartman | US | Flagstaff | 2015-12-24 / 20150366663 - TRUNCATED LEAFLET FOR PROSTHETIC HEART VALVES | 33 |
Kevin R. Hartman | US | Tucson | 2010-02-04 / 20100024280 - Termite Control System and Method | 1 |
Stephanie L. Hartman | US | Tucson | 2009-01-15 / 20090018886 - WEB-BASED SYSTEM AND APPLICATION FOR COLLABORATIVE PLANNING OF A NETWORKED PROGRAM SCHEDULE | 1 |
George D. Hartman | US | Lansdale | 2015-10-01 / 20150274652 - PIPERIDINE DERIVATIVES AND METHODS OF TREATING HEPATITIS B INFECTIONS | 15 |
Alexandra Elyse Hartman | US | Tolleson | 2014-06-05 / 20140151607 - Ultraviolet-Curable Conductive Ink and Dielectric Ink Compositions Having a Common Binding Medium, with Manufactures and Fabrication Methods | 2 |
Mark Christopher Hartman | US | Amelia | 2016-04-28 / 20160116921 - Determining Energy Consumption in a Structure | 5 |
Tzvika Hartman | IL | Mazkeret Batya | 2013-05-09 / 20130117466 - SPLITTING A NETWORK TRAFFIC FLOW | 1 |
Wayne Hartman | US | San Antonio | 2014-09-11 / 20140257862 - MOBILE APPLICATION FOR RISK MANAGEMENT | 4 |
Ryan D. Hartman | US | Huntersville | 2016-04-28 / 20160115719 - WINDSTORM DAMPER DEVICE | 4 |
Steven Hartman | US | Marietta | 2014-07-31 / 20140210217 - Gas Turbine Under Frequency Response Improvement System and Method | 5 |
Johannes Bastiaan Hartman | NL | Delft | 2013-04-04 / 20130085531 - PHYSIOTHERAPY APPARATUS | 1 |
Peri Hartman | US | Seattle | 2014-07-03 / 20140188946 - METHODS AND SYSTEMS FOR ORGANIZING INFORMATION | 2 |
Keith Bennett Hartman | US | Mclean | 2013-05-23 / 20130130295 - CARBON NANOTUBE BASED IMAGING AGENTS | 1 |
John David Hartman | US | Chicago | 2015-12-03 / 20150342715 - DEVICE FOR APPLYING A FORMULATION TO SKIN | 4 |
John Hartman | US | Oakland | 2015-10-29 / 20150312716 - SYSTEMS AND METHODS FOR MANAGING A CONTENT FEED AT A WIRELESS NETWORK ACCESS POINT | 4 |
Mark Hartman | US | Santa Clara | 2013-07-04 / 20130169262 - Methodology for Controlling A Switching Regulator Based on Hardware Performance Monitoring | 2 |
Craig W. Hartman | US | Corte Madera | 2012-11-29 / 20120301331 - TIDAL RESPONSIVE BARRIER | 3 |
David Hartman | US | Laguna Hills | 2014-03-06 / 20140064267 - Modem With Voice Processing Capability | 6 |
Corey Dean Hartman | US | Hutto | 2016-03-10 / 20160073554 - STRUCTURAL SUBASSEMBLY FOR USE IN AN INFORMATION HANDLING SYSTEM CHASSIS | 6 |
Michael Hartman | US | Carson | 2016-02-18 / 20160047104 - Stake Driver Apparatus | 1 |
Helen B. Hartman | US | Havertown | 2008-12-04 / 20080299118 - FXR Agonists for the Treatment of Malignancies | 1 |
Helen Bayes Hartman | US | Havertown | 2009-05-28 / 20090137516 - COMPOSITIONS AND METHODS OF TREATING DYSLIPIDEMIA | 1 |
Michael G. Hartman | US | Lansdale | 2015-08-27 / 20150239302 - SYSTEM INCLUDING PRESSURIZED LOAD CELLS | 3 |
Kevin T. Hartman | US | York | 2010-08-12 / 20100200605 - Blow Molded Plastic Container Assembly With Improved Lid Sealing | 1 |
Michael S. Hartman | US | Sinking Spring | 2015-10-22 / 20150300608 - Lighting Element For Illuminated Hardscape | 6 |
George D. Hartman | US | Lansdale | 2015-10-01 / 20150274652 - PIPERIDINE DERIVATIVES AND METHODS OF TREATING HEPATITIS B INFECTIONS | 15 |
Dorothy M. Hartman | US | Philadelphia | 2010-01-14 / 20100005967 - METHOD TO SCRUB GREENHOUSE GASES FROM THE ATMOSPHERE | 1 |
Andrew J. L. Hartman | US | Wooster | 2014-09-18 / 20140259986 - SLIDING LOCKING ACTUATOR FOR AUTOMATIC TILT MECHANISM | 1 |
Paul H. Hartman | US | Avon Lake | 2014-05-15 / 20140130426 - Integrated solar, daylight and night cooling system | 1 |
Scott James Siebert Hartman | US | Houston | 2013-04-25 / 20130102817 - PROCESS TO PREPARE JET FUELS AND ITS PRODUCTS | 1 |
Richard Shane Hartman | US | Walden | 2015-01-29 / 20150028558 - WHEELCHAIR BOWLING KIT | 1 |
Zoe Hartman | US | Washington | 2014-09-18 / 20140279102 - FRAUD DETECTION | 1 |
Richard L. Hartman | US | Twin Lakes | 2015-01-22 / 20150025719 - Wakeboat Hull Control Systems and Methods | 1 |
Christiaan A. Hartman | US | San Jose | 2015-12-24 / 20150373642 - WLAN System with Opportunistic Transitioning to a Low Power State for Power Management | 11 |
Robert A. Hartman | US | Brigeville | 2014-07-03 / 20140188499 - HUMAN ACTION MONITOR | 1 |
Troy Hartman | US | Concord | 2012-02-23 / 20120042831 - SYSTEM AND RELATED METHOD FOR FEEDING BULK FOOD ITEMS TO DEER OR THE LIKE | 1 |
Ralph Hartman | SA | Dhahran | 2016-03-03 / 20160065622 - OIL FIELD PROCESS CONTROL SYSTEM | 2 |
Nicholas Hartman | US | Fort Wayne | 2014-09-18 / 20140262133 - SPACE CONDITIONING CONTROL AND MONITORING METHOD AND SYSTEM | 1 |
Jeffrey D. Hartman | US | Severn | 2014-09-18 / 20140264273 - SUPERLATTICE CRENELATED GATE FIELD EFFECT TRANSISTOR | 1 |
Catherine A. Hartman | US | Hingham | 2012-08-30 / 20120221345 - HELPING PEOPLE WITH THEIR HEALTH | 1 |
Joona Hartman | FI | Espoo | 2015-04-02 / 20150095044 - DECISION SUPPORT TOOL FOR CHOOSING TREATMENT PLANS | 5 |
Rodney P. Hartman | US | Lanark | 2013-08-29 / 20130219728 - Ammunition Measurement Tool | 1 |
Larry Hartman | US | Kalamazoo | 2014-12-11 / 20140361535 - CONNECTION ASSEMBLY | 2 |
Ira Hartman | IL | Hashmonaim | / - | 1 |
Jehuda Hartman | IL | Rechovot | 2009-10-22 / 20090265295 - APPARATUS AND METHOD FOR THE ANALYSIS OF A PROCESS HAVING PARAMETER-BASED FAULTS | 1 |
Alan Hartman | IL | Haifa | 2015-08-20 / 20150235049 - Maintaining Data Privacy in a Shared Data Storage System | 7 |
Jacob Hartman | IL | Holon | 2015-07-16 / 20150197732 - VARIANT FORMS OF URATE OXIDASE AND USE THEREOF | 9 |
Thomas Bennett Hartman | US | Georgetown | 2015-08-20 / 20150233597 - SYSTEMS AND METHODS FOR FAULT DETECTION USING SMART VALVES | 1 |
Patrick Hartman | US | Gastonia | 2013-07-25 / 20130187315 - Lockable Gas Spring Arrangement | 1 |
William Hartman | US | Jamestown | 2015-06-11 / 20150159622 - SYSTEM FOR WAVE ENERGY HARVESTING EMPLOYING TRANSPORT OF STORED ENERGY | 2 |
Pat Hartman | US | Arroyo Grande | 2012-10-25 / 20120268661 - TELEVISION TOGGLER REMOTE CONTROL | 1 |
Fred Hartman | US | Arroyo Grande | 2012-10-25 / 20120268661 - TELEVISION TOGGLER REMOTE CONTROL | 1 |
Richard Hartman | NL | Haogezand | 2014-09-18 / 20140277430 - APPARATUS, SYSTEM, AND METHOD FOR PROVIDING AN IMPLANTABLE RING FOR ALTERING A SHAPE OF THE CORNEA | 1 |
Donn A. Hartman | US | Antioch | 2015-07-16 / 20150197352 - POLYMER PACKAGING SYSTEMS AND METHODS | 2 |
Donn Daniel Hartman | US | Hawthorn Woods | 2015-07-16 / 20150197352 - POLYMER PACKAGING SYSTEMS AND METHODS | 2 |
John J. Hartman, Jr. | US | Millersville | 2015-10-29 / 20150306611 - SYSTEM FOR APPLYING A COATING TO A WORKPIECE | 3 |
Cecil Leon Hartman, Jr. | US | Jenks | 2010-12-09 / 20100307097 - STRUCTURAL FLANGE CONNECTION SYSTEM AND METHOD | 1 |
Robert C. Hartman, Jr. | US | San Jose | 2009-06-18 / 20090157711 - Method and System for Managing Volumes Within a Compilation of Content | 1 |
David L. Hartman, Jr. | US | Laguna Hills | 2014-04-24 / 20140112335 - NETWORK TELEPHONY SYSTEM | 2 |
David L. Hartman, Jr. | US | Irvine | 2011-12-01 / 20110292829 - ADAPTIVE MODULATION FOR FIXED WIRELESS LINK IN CABLE TRANSMISSION SYSTEM | 2 |
George Wayne Hartman, Jr. | US | Wickliffe | 2014-06-12 / 20140157849 - LINE THREADING DEVICE AND METHOD | 1 |
Benoit Hartmann | FR | Foy Les Lyon | 2009-07-30 / 20090192172 - 2-Alkyl-Cycloalk(en)yl-Carboxamides | 1 |
Jens Hartmann | DE | Floersheim | 2014-12-18 / 20140367985 - FRAME STRUCTURE FOR A MOTOR VEHICLE | 6 |
Benoit Hartmann | FR | Ste Foy Les Lyon | 2011-03-03 / 20110053770 - SUBSTITUTED AMINOTHIAZOLES AND THEIR USE AS FUNGICIDES | 1 |
Benoit Hartmann | DE | Langenfeld | 2011-05-26 / 20110124698 - Iodopyrazolyl Carboxanilides | 4 |
Bolette Hartmann | DK | Hellerup | 2010-04-01 / 20100081622 - Reduction of parathyroid hormone levels | 1 |
Bernhard Hartmann | DE | Friedberg | 2010-02-18 / 20100037690 - Rotational Speed Sensor Having A Coupling Bar | 3 |
Heinz Hartmann | DE | Pfullingen | 2011-05-12 / 20110108576 - TUBE DISPENSING DEVICE | 1 |
Henry Hartmann | DE | Gilching | / - | 1 |
Christian Hartmann | DE | Lorsch | 2010-11-18 / 20100291590 - METHODS FOR THE DIAGNOSIS AND THE PROGNOSIS OF A BRAIN TUMOR | 1 |
Christian Hartmann | DE | Nemsdorf | 2013-11-14 / 20130303319 - TRACTION-MECHANISM DRIVE HAVING A TENSIONER AND A CLAMPING ELEMENT | 6 |
Christoph Hartmann | DE | Postdam | 2009-08-27 / 20090217306 - DYNAMIC RESOLUTION OF DEPENDENT COMPONENTS | 1 |
Detlef Hartmann | DE | Bamberg | 2014-10-30 / 20140320010 - SPARK PLUG | 9 |
Robert Hartmann | DE | Grobenzell | 2011-12-01 / 20110293068 - Electronic X-Ray Camera with Spectral Resolution | 1 |
Dieter Hartmann | DE | Mutlangen | 2015-01-29 / 20150027601 - COOLING ELEMENT WITH SPACER | 5 |
Andrew Hartmann | US | Grand Rapids | 2015-08-13 / 20150224903 - MOVABLE STANCHION FOR TRANSIT BUSES | 1 |
Dietmar Hartmann | DE | Deckenpfronn | 2008-12-04 / 20080300749 - Air Supply Mechanism for a Vehicle Seat, and Method for the Operation Thereof | 1 |
Andreas Hartmann | CH | Schinznach-Dorf | 2010-11-11 / 20100281688 - PROCESS FOR PRODUCING A ROTOR | 1 |
Jens Hartmann | DE | Florsheim | 2012-12-20 / 20120319433 - FRAME STRUCTURE FOR A MOTOR VEHICLE | 3 |
Dietmar Hartmann | DE | Jettingen | 2009-01-29 / 20090028534 - Device for Heating an Air Stream in a Motor Vehicle | 2 |
Harald Hartmann | DE | Dornbirn | 2009-04-23 / 20090102181 - PLUG-IN CONNECTION ON PIPES AND HOSES WITH A PIPE DETENT RING | 1 |
Gunther Hartmann | DE | Alfter | 2012-02-23 / 20120045461 - Compositions and Methods for Inducing an Immune Response in a Mammal and Methods of Avoiding an Immune Response to Oligonucleotide Agents Such as Short Interfering RNAs | 2 |
Gunther Hartmann | DE | Bonn | 2016-03-10 / 20160068560 - COMPOSITIONS AND METHODS FOR ALTERING SECOND MESSENGER SIGNALING | 9 |
Gunther Hartmann | DE | Alsfeld | 2013-07-18 / 20130183119 - SCREW HAVING AN UNDERHEAD BEARING SURFACE INCLUDING LUBRICANT POCKETS | 3 |
Andreas Hartmann | AU | Australian Capital Territory | 2010-03-18 / 20100069785 - APPARATUS FOR PRESSURE SENSING | 1 |
Rudolf Hartmann | CH | Gelterkinden | 2009-12-31 / 20090321317 - METHOD AND DEVICE FOR PROCESSING PLASTIC-CONTAINING WASTE | 1 |
Guido Hartmann | DE | Leverkusen | 2010-02-04 / 20100030375 - CONTROL METHOD FOR A COMPLEX CONSISTING OF A PLURALITY OF MULTIAXIAL HANDLING DEVICES ARRANGED IN SUCCESSION AND/OR SIDE BY SIDE, AND ALSO DATA STORAGE MEDIUM, CONTROL SYSTEM AND COMPLEX | 2 |
Robert Hartmann | DE | Tamm | 2012-01-26 / 20120020386 - Temperature Sensor | 1 |
Gerhard Hartmann | DE | Biberbach | 2010-11-18 / 20100291310 - ROTARY CONNECTION COUPLING | 2 |
Gerhard Hartmann | DE | Ebhausen-Rotfelden | 2008-09-04 / 20080210070 - PLATE-DIVIDING SYSTEM FOR DIVIDING PLATE-SHAPED WORKPIECES, AND METHOD FOR THE OPERATION THEREOF | 1 |
Andreas Hartmann | US | San Francisco | 2014-10-30 / 20140324814 - USER INTERFACES FOR SEARCH SYSTEMS USING IN-LINE CONTEXTUAL QUERIES | 3 |
Gerd Hartmann | DE | Weingarten | 2009-04-16 / 20090094962 - METHOD AND DEVICE FOR REGENERATING AN EMISSION CONTROL SYSTEM | 1 |
Andreas Hartmann | DE | Niedersachsen | 2009-11-12 / 20090277686 - Analyzing Resistivity Images for Determining Downhole Events and Removing Image Artifacts | 1 |
Erwin Hartmann | DE | Bergrheinfeld | 2012-08-16 / 20120207417 - NON-CONTACT SEALING RING AND SEALING ARRANGEMENT | 5 |
Frank Hartmann | DE | Steinfurt | 2015-02-19 / 20150048016 - FILTERING DEVICE FOR THE LARGE-AREA FILTRATION OF FLUIDS | 5 |
Jensö Hartmann | DE | Flörsheim | 2009-11-26 / 20090289476 - FRAME STRUCTURE FOR A MOTOR VEHICLE | 1 |
Jürgen Hartmann | DE | Oberhausen | 2015-04-23 / 20150111980 - POLYISOCYANATE ADDUCTS AS ADDITIVES FOR RADIATION-CURABLE COATING MATERIAL COMPOSITIONS | 2 |
Martin Hartmann | AT | Dombirn | 2013-08-01 / 20130193849 - Modular LED-Lighting System | 1 |
Uri Hartmann | IL | Tel Aviv | 2013-02-14 / 20130036781 - STATUS DETECTOR AND COMMUNICATION UNIT AND SYSTEM FOR REMOTE TRACKING OF PADLOCKS | 2 |
Markus Hartmann | DE | Sendenhorst | 2013-10-31 / 20130287981 - COATED METALLIC ARTICLE | 6 |
Benedikt Hartmann | DE | Schwabisch Gmund | 2015-10-08 / 20150286111 - Device for Adjusting a Camera Lens | 1 |
Jürgen Hartmann | DE | Oberhausen | 2010-08-19 / 20100206761 - WATER STORAGE TANK | 1 |
Rolf Hartmann | DE | Saarbrücken | 2010-08-12 / 20100204234 - 17Beta-Hydroxysteroid Dehydrogenase Type 1 Inhibitors for the Treatment of Hormone-Related Diseases | 1 |
Sören Hartmann | DE | Baesweiler | 2013-08-22 / 20130217168 - ORGANIC ELECTRONIC DEVICE WITH ENCAPSULATION | 8 |
Avi Hartmann | IL | Binyamina | 2013-02-14 / 20130036781 - STATUS DETECTOR AND COMMUNICATION UNIT AND SYSTEM FOR REMOTE TRACKING OF PADLOCKS | 2 |
Claudia Hartmann | DE | Kornwestheim | 2012-03-15 / 20120061359 - Method for producing coarse surface structures | 1 |
Jochen Hartmann | DE | Mering | 2014-03-13 / 20140070936 - Method for Checking Tire Pressure in Real Time | 3 |
Jensö Hartmann | DE | Flörsheim | 2009-11-26 / 20090289476 - FRAME STRUCTURE FOR A MOTOR VEHICLE | 1 |
Valeri Hartmann | DE | Erftstadt | 2015-03-26 / 20150082850 - TOOL AND METHOD FOR MAKING A BRAKE DISK | 1 |
Jean-Michel Hartmann | FR | Le Versoud | 2015-02-12 / 20150044841 - METHOD FOR FORMING DOPED AREAS UNDER TRANSISTOR SPACERS | 3 |
Peter E. Hartmann | AU | Gooseberry Hill | 2014-07-10 / 20140193555 - Treatment of human milk | 4 |
Benoît Hartmann | FR | Saint Foy-Les-Lyon | 2009-08-06 / 20090197918 - PESTICIDE BENZYLOXY- AND PHENETYL-SUBSTITUTED PHENYL-AMIDINE DERIVATIVES | 1 |
Christian Hartmann | DE | Kuenzell | 2016-03-24 / 20160083875 - METHOD FOR PRODUCING A WOVEN FABRIC | 1 |
Andreas Hartmann | DE | Celle | 2014-07-31 / 20140214325 - SYSTEM AND METHOD FOR CHARACTERIZATION OF DOWNHOLE MEASUREMENT DATA FOR BOREHOLE STABILITY PREDICTION | 8 |
Karin Hartmann | DE | Ellerstadt | 2010-06-24 / 20100159484 - Chemotaxis diagnostic | 1 |
Thomas W. Hartmann | US | Loveland | 2008-10-16 / 20080256186 - Collaboration system | 1 |
Sylvia Hartmann | DE | Schiffweiler | 2012-08-02 / 20120195785 - Cast Steel Alloy and Cast Component | 1 |
Christoph Hartmann | CH | Epalinges | 2012-02-23 / 20120046641 - METHODS OF DIAGNOSING AND TREATING DYSPHAGIA | 1 |
David Young Hartmann | US | Bristol | 2008-10-02 / 20080240886 - DECK BOARD FASTENER WITH CONCAVE PRONGS | 1 |
Gerhard Hartmann | DE | Kempten | 2012-02-23 / 20120043329 - RECLOSABLE PACKAGING WITH A FILM AND A LID COMPRISING A PLUG SECTION | 1 |
Steve Hartmann | US | Superior | 2012-11-22 / 20120296203 - Automatic Identification Of Tracked Surgical Devices Using An Electromagnetic Localization System | 2 |
Rolf Hartmann | SE | Boras | 2012-05-03 / 20120109131 - DEVICE FOR IN SITU MILLING OF JOINT SURFACES | 1 |
Samuel Hartmann | CH | Staufen | 2016-01-28 / 20160027762 - Power Semiconductor Module | 6 |
Reiner Hartmann | DE | Wettenberg | 2013-05-23 / 20130130608 - AIR GUIDANCE UNIT | 6 |
Belinda Maree Hartmann | AU | Auchenflower | 2010-10-28 / 20100273983 - METHOD OF PURIFYING PEPTIDES BY SELECTIVE PRECIPITATION | 1 |
Jüergen Hartmann | DE | Oberhausen | 2014-10-02 / 20140296417 - USE OF MODIFIED POLYSILOXANES IN COATING MATERIAL COMPOSITIONS AND MOLDING MATERIALS | 1 |
Bernd Hartmann | DE | Bad Homburg | 2015-12-31 / 20150375753 - METHOD AND BEAM SENSOR MODULE FOR PREDICTIVELY DETERMINING THE CONDITION OF THE ROAD IN A VEHICLE | 8 |
Detlef Hartmann | DE | Bamberg | 2014-10-30 / 20140320010 - SPARK PLUG | 9 |
Frank Hartmann | DE | Minden | 2012-08-16 / 20120208393 - Spring-Loaded Connection and Conductor Connection Unit | 3 |
Frank Hartmann | IE | Ardagh | 2010-11-11 / 20100282334 - A FLOW CONTROL DEVICE | 1 |
Jane Hartmann | DE | Frankenthal | 2010-06-10 / 20100145930 - VIRTUAL PAIR ALGORITHM FOR OUTER JOIN RESOLUTION | 1 |
Andreas Hartmann | US | 2012-11-15 / 20120290206 - SYSTEM AND METHOD FOR REAL-TIME QUALITY CONTROL FOR DOWNHOLE LOGGING DEVICES | 1 | |
Samuel Hartmann | CH | Wohlenschwil | 2011-01-20 / 20110011620 - Electrical Conductor | 2 |
Klaus Hartmann | DE | Wilnsdorf | 2013-01-31 / 20130027216 - COMMUNICATION SYSTEM FOR TRANSMITTING INFORMATION VIA DRILLING RODS | 1 |
Robert Hartmann | US | 2014-06-26 / 20140181951 - Method for Remotely Servicing a Field Device of Automation Technology | 1 | |
Stephen S. Hartmann | US | Lowell | 2015-10-08 / 20150287251 - BODY TRACKING AND IDENTIFICATION SYSTEM AND METHOD | 1 |
David Hartmann | DE | Erlangen | 2015-12-31 / 20150380168 - THIN-LAYER CAPACITORS WITH LARGE SCALE INTEGRATION | 13 |
Dirk Hartmann | DE | Hamburg | 2013-01-24 / 20130020735 - Method for Milling Long Fiber Reinforced Composite Plastic | 1 |
Stefan Hartmann | DE | Berlin | 2013-10-17 / 20130273636 - DEPLETION OF HOST CELL COMPONENTS FROM LIVE VECTOR VACCINES | 1 |
Thomas Hartmann | DE | Ravensburg | 2012-07-19 / 20120182119 - APPARATUS FOR SERVICING A FIELD DEVICE FROM A REMOTE TERMINAL | 1 |
Bernd Hartmann | DE | Weisendorf | 2015-08-20 / 20150233287 - BELT PULLEY ARRANGEMENT FOR A BELT DRIVE FOR DRIVING AUXILIARY UNITS OF A MOTOR VEHICLE AND METHOD FOR DRIVING AN AUXILIARY UNIT OF A MOTOR VEHICLE CONNECTED VIA A BELT PULLEY ARRANGEMENT | 24 |
Marc Hartmann | AU | New South Wales | 2015-01-22 / 20150020705 - APPARATUS FOR RELEASING FLUID TO THE ATMOSPHERE | 2 |
Marcus Hartmann | DE | Schweinfurt | 2013-03-14 / 20130062265 - MEDICAL TREATMENT ARRANGEMENT | 1 |
Werner Hartmann | DE | Weisandorf | 2014-01-30 / 20140027257 - TAP CHANGER WITH VACUUM INTERRUPTERS | 1 |
Karsten Hartmann | DE | Angelbachtal | 2011-03-31 / 20110078606 - Managing Customizing Settings in a Business Structured Interface | 2 |
Michael Hartmann | US | Pasadena | 2009-04-02 / 20090084943 - Method and apparatus for ambient light detection | 1 |
Werner Hartmann | DE | Weisendorf | 2016-04-21 / 20160107197 - METHOD AND DEVICE FOR SEPARATING PRIMARY ORE CONTAINING RARE EARTHS | 39 |
Michael Hartmann | AT | Wien | 2015-01-29 / 20150029771 - RECTIFIER CIRCUIT WITH CURRENT INJECTION | 1 |
Daniel M. Hartmann | US | East Lansing | 2009-10-29 / 20090268548 - MICROFLUIDIC SYSTEMS, DEVICES AND METHODS FOR REDUCING DIFFUSION AND COMPLIANCE EFFECTS AT A FLUID MIXING REGION | 7 |
Mark H. Hartmann | US | Superior | 2013-10-17 / 20130273365 - Cellulosic Fibers Having Enhanced Reversible Thermal Properties and Methods of Forming Thereof | 1 |
Warren Hartmann | US | Ventura | 2013-03-14 / 20130061383 - HIGH FLOW WATER RETURN FITTING FOR SWIMMING POOLS AND SPAS | 1 |
Manfred Hartmann | DE | Konstanz | 2011-07-14 / 20110171303 - DOSAGE FORM CONTAINING (S)-PANTOPRAZOLE AS ACTIVE INGREDIENT | 2 |
Christa Hartmann | CH | Zurich | 2013-11-28 / 20130316018 - Manufacture process for the preparation of an iron containing phosphate adsorbent | 3 |
John F. Hartmann | US | Princeton | 2009-01-15 / 20090018266 - Preparation of hydrophilic nanoparticles by copolymerization of mono and divinyl monomers in micellar solution | 1 |
Sören Hartmann | DE | Baesweiler | 2016-05-19 / 20160143112 - ELECTRONIC CONTROL OF OLEDS WITH DISTRIBUTED ELECTRODES | 9 |
Horst Hartmann | DE | Bohl-Iggelheim | 2011-06-16 / 20110139604 - Dividing wall column for fractionation of a multicomponent mixture | 1 |
Herman Hartmann | NL | Veldhoven | 2011-05-12 / 20110113274 - ELECTRONIC DEVICE, A METHOD OF CONTROLLING AN ELECTRONIC DEVICE, AND SYSTEM ON-CHIP | 2 |
Richard O. Hartmann | US | Portland | 2014-10-23 / 20140311200 - FLUID IONIZED COMPOSITIONS, METHODS OF PREPARATION AND USES THEREOF | 1 |
Benoît Hartmann | FR | Sainte Foy-Les-Lyon | 2009-12-24 / 20090318290 - N-(4-PYRIDIN-2-YLBUTYL) BENZAMIDE DERIVATIVES AND THEIR USE AS FUNGICIDES | 1 |
Manfred Hartmann | DE | Ziegelberg | / - | 1 |
Mark Hartmann | US | Midland | 2009-08-27 / 20090215959 - FLUOROPLASTIC SILICONE VULCANIZATES | 1 |
Manfred Hartmann | DE | Wilnsdorf | 2009-11-12 / 20090277879 - Guiding and shaping system | 1 |
Marcus Hartmann | DE | Munster | 2013-05-02 / 20130109593 - EXPRESSION OF MONOCLONAL ANTIBODIES IN CILIATE HOST CELLS | 4 |
Marie Hartmann | US | San Francisco | 2012-06-14 / 20120150634 - ELECTRONIC PERIODICAL ADVERTISEMENT | 1 |
Marcus Hartmann | DE | Muenster | 2011-08-11 / 20110195443 - Screening Method for Identifying Protease Secretion-Deficient Mutants of Microorganisms | 3 |
Richard Hartmann | US | Holland | 2008-09-04 / 20080212330 - Light fixture | 1 |
Mark Hartmann | DE | Kempten | 2012-08-02 / 20120195786 - PRODUCTION OF SPHEROIDAL METAL PARTICLES | 2 |
Andrew Hartmann | US | Muskegon | 2011-03-10 / 20110057410 - ADJUSTABLE FENDER | 1 |
Michael P. Hartmann | US | Ypsilanti | 2008-11-20 / 20080284224 - VEHICLE SEAT | 1 |
Markus Hartmann | DE | Radolfzell | 2011-01-06 / 20110003040 - CULINARY CAPSULE | 1 |
Tore Hartmann | DE | Oelde | 2015-06-04 / 20150152518 - Method for Reprocessing an Emulsion Formed During Hydrometallurgical Recovery of a Metal | 3 |
Thomas Hartmann | DE | Berlin | 2014-01-30 / 20140028183 - High-pressure discharge lamp | 1 |
Michael Hartmann | DE | Salem-Mimmenhausen | 2011-12-08 / 20110297129 - Internal combustion engine and charge fluid cooler | 1 |
Markus Hartmann | DE | Mauerstetten | 2015-03-05 / 20150060099 - MACHINE TOOL | 16 |
Günther Hartmann | DE | Albstadt | 2011-09-15 / 20110222978 - ADVANCEMENT GUIDE UNIT FOR ENSURING THE STRAIGHT-LINE MOTION OF A MANUALLY GUIDED MACHINE TOOL | 1 |
Andreas Hartmann | DE | Allmendingen | 2013-10-17 / 20130272825 - APPARATUS AND METHOD FOR DETERMINING THE LOCATION OF PLATE ELEMENTS OF A WAFER BOAT | 1 |
Rolf Hartmann | DE | Saarbrucken | 2015-10-29 / 20150306091 - ALDOSTERONE SYNTHASE INHIBITORS | 8 |
Dominik Hartmann | CH | Baar | 2013-01-10 / 20130008599 - Method And Apparatus For Mounting Semiconductor Chips | 1 |
Sven Hartmann | DE | Stuttgart | 2013-04-11 / 20130088011 - SWITCHING DEVICE, STARTING DEVICE, AND METHOD FOR AN ELECTROMAGNETIC SWITCHING DEVICE | 18 |
Volker Hartmann | DE | Castell | 2011-06-23 / 20110151715 - HIGH VOLTAGE PLUG-CONNECTOR FOR MOTOR VEHICLES | 2 |
Thomas Hartmann | DE | Filderstadt-Bernhausen | 2013-05-16 / 20130118252 - MEASUREMENT DEVICE | 2 |
Greg Hartmann | US | Kirkwood | 2010-11-25 / 20100298599 - PROCESSES FOR PRODUCING AND RECOVERING SHIKIMIC ACID | 1 |
Michael P. Hartmann | US | San Antonio | 2016-04-07 / 20160096994 - Feedstock Conversion To Fuel On High Pressure Circulating Fluidized Bed | 1 |
Rolf Hartmann | DE | Saarbrucken | 2015-10-29 / 20150306091 - ALDOSTERONE SYNTHASE INHIBITORS | 8 |
Eyal Hartmann | IL | Haifa | 2012-08-23 / 20120213026 - MEMORY DEVICE AND METHOD FOR SENSING A CONTENT OF A MEMORY CELL | 1 |
Thomas A. Hartmann | US | Wytheville | 2013-12-12 / 20130328652 - THREE-STEP CORE FOR A NON-LINEAR TRANSFORMER | 6 |
Thorsten Hartmann | DE | Berlin | 2011-06-30 / 20110159102 - Apparatus and Method for Continuous Production of Spherical Powder Agglomerates | 1 |
Thomas Hartmann | DE | Wiesen | 2012-06-07 / 20120141718 - DATA DISC, METHOD AND SYSTEM OF FORMING THE DISC | 1 |
Markus Hartmann | DE | Beindersheim | 2012-07-05 / 20120173589 - In-Memory Database For Multi-Tenancy | 1 |
Corey S. Hartmann | US | Litchfield | 2015-12-10 / 20150356987 - DISK DRIVE SUSPENSION ASSEMBLY HAVING A PARTIALLY FLANGELESS LOAD POINT DIMPLE | 2 |
Markus Hartmann | DE | Mauerstetten | 2015-03-05 / 20150060099 - MACHINE TOOL | 16 |
Juergen Hartmann | DE | Immendingen-Hattingen | 2011-11-17 / 20110282352 - Trocar | 1 |
Uwe Hartmann | DE | St. Ingbert | 2009-12-31 / 20090325257 - Method for Influencing Living Cells Through Cell-Surface Interaction | 1 |
Lynn Hartmann | US | Rochester | 2012-06-07 / 20120141604 - NOVEL COMPOSITIONS AND METHODS FOR THE IDENTIFICATION, ASSESSMENT, PREVENTION AND THERAPY OF HUMAN CANCERS | 4 |
Joshua Hartmann | US | Brooklyn | 2011-03-03 / 20110055008 - METHOD AND SYSTEM FOR ELECTRONIC ADVERTISING | 4 |
Richard G. Hartmann | US | Endicott | 2008-12-11 / 20080307129 - Character interactive input/output | 1 |
Thomas A. Hartmann | US | Forest | 2015-01-15 / 20150016060 - Apparatus And Method For Cooling A Transformer Having A Non-Linear Core | 1 |
Andreas Hartmann | GI | Gibraltar | 2014-09-18 / 20140274258 - GAME ALLOCATION SYSTEM FOR PROTECTING PLAYERS IN SKILL-BASED ONLINE AND MOBILE NETWORKED GAMES | 4 |
Markus Hartmann | DE | Neustadt | 2016-05-12 / 20160130531 - AQUEOUS SOLUTIONS CONTAINING A COMPLEXING AGENT IN HIGH CONCENTRATION | 9 |
Samuel Hartmann | CH | Dottikon | 2012-10-18 / 20120262218 - SYSTEM AND METHOD FOR CONTROLLING AT LEAST TWO POWER SEMICONDUCTORS CONNECTED IN PARALLEL | 2 |
Andreas Hartmann | DE | Schwalbach | 2011-09-01 / 20110209350 - CUTTING HEAD FOR AN ELECTRIC RAZOR | 2 |
Anders Ørnsholt Hartmann | DK | Copenhagen K | 2012-08-09 / 20120201960 - THREE-DIMENSIONAL PRINTER | 1 |
Soeren Hartmann | DE | Baesweiler | 2011-09-15 / 20110220957 - SHORTS PREVENTION IN ORGANIC LIGHT-EMITTING DIODES | 1 |
Jane Hartmann | US | 2011-07-14 / 20110173237 - Virtual Pair Algorithm For Outer Join Resolution | 1 | |
Andreas Hartmann | DE | Assmannshardt | 2014-10-09 / 20140303845 - LIMITING THE ACTIVATION OF AN EMERGENCY STEER ASSISTANT | 3 |
James V. Hartmann | US | Huntsville | 2015-09-03 / 20150246842 - LITHIUM CONTAINING GLASS WITH HIGH AND LOW OXIDIZED IRON CONTENT, METHOD OF MAKING SAME AND PRODUCTS USING SAME | 2 |
Rolf Hartmann | DE | Stuttgart | 2016-01-28 / 20160023552 - CENTER CONSOLE ARRANGEMENT FOR A MOTOR VEHICLE | 4 |
Martin Hartmann | DE | Burgthann | 2009-12-10 / 20090306831 - Method for the highly precise regulation of load-variable heat sources or heat sinks, and device for controlling the temperature of a dynamic heat source, especially pump diodes for solid-state lasers | 1 |
Frederick Hartmann | US | Chicago | 2013-11-14 / 20130298492 - Center-supported wall panel | 3 |
Benoit Hartmann | FR | Saint Foy-Les-Lyon | 2009-10-08 / 20090253755 - N-[(PYRIDIN-2-YL) METHOXY] BENZAMIDE DERIVATIVES AND RELATED COMPOUNDS AS FUNGICIDES | 2 |
Markus Hubert Hartmann | DE | Radolfzell | 2013-08-15 / 20130209632 - METHOD OF SINTERING A COMPOSITION | 1 |
Dirk Hartmann | DE | Paderborn | 2012-12-06 / 20120311386 - CONFIGURATION DEVICE FOR THE GRAPHICAL CREATION OF A TEST SEQUENCE | 1 |
Eric Hartmann | DE | Kempen | 2012-12-06 / 20120306213 - Circuit Arrangement of Electronic Circuit Breakers of a Power Generation Device | 1 |
Mathias Hartmann | DE | Bad Durkheim | 2010-06-24 / 20100155543 - Train Wheel Bearing Temperature Detection | 1 |
Olaf Hartmann | DE | Jena | 2010-12-30 / 20100331711 - Method and device for deriving and evaluating cardiovascular information from curves of the cardiac current, in particular for applications in telemedicine | 1 |
Dirck T. Hartmann | US | 2009-02-12 / 20090039613 - Ellipticycle with torque amplifying pedal beams and a fully enclosed multiple speed dual rear wheel drive | 1 | |
Elke Hartmann | DE | Wuppertal | 2016-05-12 / 20160129004 - SUBSTITUTED 5-FLUORO-1H-PYRAZOLOPYRIDINES AND THEIR USE | 6 |
Keith Bennett Hartmann | US | Houston | 2009-05-28 / 20090136987 - Carbon Nanotube Based Imaging Agents | 1 |
Oliver Hartmann | DE | Potsdam | 2013-04-25 / 20130098677 - DISTRIBUTION CABINET FOR OPTICAL FIBRE CABLES | 5 |
Daniel M. Hartmann | US | Middleboro | 2016-05-19 / 20160139094 - MULTI-POSITION, MICRO-FLUIDIC VALVE ASSEMBLY WITH MULTIPLE RADIAL GROOVES TO ENABLE INDIVIDUAL OR COMBINED FLOWS | 1 |
Gregory A. Hartmann | US | Kirkwood | 2014-08-21 / 20140234196 - RECOVERY OF PHOSPHORUS VALUES AND SALT IMPURITIES FROM AQUEOUS WASTE STREAMS | 2 |
Ralf Hartmann | DE | Melle | / - | 1 |
Laura Hartmann | DE | Berlin | 2014-12-04 / 20140357559 - Interpenetrating Polymer Network Hydrogel | 7 |
Juergen Hartmann | DE | Gutenstetten (pahres) | 2015-10-15 / 20150292253 - METHOD FOR ACTUATING A DRIVE MEANS OF A WINDOW POSITIONING DEVICE FOR POSITIONING A WINDOW PANE OF A VEHICLE, AND CONTROL DEVICE SUITABLE THEREFOR | 3 |
Lukas F. Hartmann | DE | Berlin | / - | 1 |
Philip Hartmann | DE | Berlin | 2016-03-10 / 20160068983 - ELECTROPLATING BATH AND METHOD FOR PRODUCING DARK CHROMIUM LAYERS | 9 |
Benoit Hartmann | FR | Sainte-Foy-Les-Lyon | 2014-06-19 / 20140171474 - FUNGICIDE N-CYCLOALKYL-N-BIPHENYLMETHYL-CARBOXAMIDE DERIVATIVES | 31 |
Christian Hartmann | DE | Munich | 2015-08-27 / 20150243270 - METHOD AND APPARATUS FOR DOWN-MIXING OF A MULTI-CHANNEL AUDIO SIGNAL | 2 |
Christian Hartmann | DE | Munchen | 2014-01-02 / 20140003796 - Method and Assembly for Improved Audio Signal Presentation of Sounds During a Video Recording | 1 |
Ulrich Hartmann | DE | Berlin | 2015-11-05 / 20150318741 - DYNAMO-ELECTRICAL MACHINE WITH SEGMENTED STATOR STRUCTURE AND/OR ROTOR STRUCTURE | 7 |
Martin Hartmann | AT | Dornbirn | 2013-08-08 / 20130200707 - Modular LED Lighting Having an Emergency Light Function | 4 |
Thomas Hartmann | DE | Hamburg | 2013-05-09 / 20130117161 - METHOD FOR SELECTING AND PROVIDING CONTENT OF INTEREST | 1 |
Christian Hartmann | DE | Falkendorf | 2015-08-13 / 20150226345 - OVERPRESSURE VALVE IN THE FORM OF A CHECK VALVE | 2 |
Christa Hartmann | CH | Basel | 2012-05-24 / 20120126440 - Manufacture Process | 1 |
Andreas Dominik Hartmann | DE | Stadtbergen | 2016-04-28 / 20160114533 - DEVICE FOR PRODUCING THREE-DIMENSIONAL MODELS | 17 |
Sascha Hartmann | GB | Braintree | 2009-09-24 / 20090239905 - PIPERIDINES AND RELATED COMPOUNDS FOR THE TREATMENT OF DEMENTIA | 1 |
Guido Hartmann | DE | Loerrach | 2013-08-01 / 20130196965 - CYCLIC AMIDES | 11 |
Rudolf Hartmann | AT | Vienna | 2008-09-04 / 20080214462 - FIX-Mutant Proteins for Hemophilia B Treatment | 2 |
Christian Hartmann | DE | Konigsbrunn | 2014-07-10 / 20140195051 - Configurable Security Monitoring For A Robot Assembly | 1 |
Oliver Hartmann | DE | Berlin | 2013-11-14 / 20130302841 - PROGNOSIS AND RISK ASSESSMENT OF PATIENTS WITH NON-SPECIFIC COMPLAINTS | 8 |
Didier Hartmann | FR | Cannes La Bocca | 2014-02-27 / 20140053855 - SMOKING DEVICE INCORPORATING A BREAKABLE CAPSULE, BREAKABLE CAPSULE AND PROCESS FOR MANUFACTURING SAID CAPSULE | 3 |
Christian Hartmann | DE | Altusried | 2015-05-07 / 20150125657 - ROAD SURFACE COVERING ELEMENTS FOR A CHASSIS DYNAMOMETER | 2 |
Mark Hartmann | US | Boulder | 2015-01-15 / 20150018480 - Polymeric composites having enhanced reversible thermal properties and methods of forming thereof | 15 |
Sören Hartmann | DE | Baesweiler | 2013-08-22 / 20130217168 - ORGANIC ELECTRONIC DEVICE WITH ENCAPSULATION | 8 |
Martin Hartmann | DE | Bochum | 2011-11-17 / 20110280721 - Gas turbine | 2 |
Thomas Hartmann | US | Menomonee Falls | 2016-02-25 / 20160053473 - FOOD WASTE DISPOSER SYSTEM AND STOPPER FOR FOOD WASTE DISPOSER SYSTEM | 1 |
Christian Hartmann | DE | Krautheim | 2015-03-12 / 20150069860 - Electrodynamic Actuator | 2 |
David Hartmann | US | Beaverton | 2014-09-18 / 20140278647 - ENTITY MONITORING | 1 |
Benoit Hartmann | FR | Lyon | 2013-04-25 / 20130102790 - FUNGICIDE N-CYCLOALKYL-N-BICYCLIMETHYLENE-CARBOXAMIDE DERIVATIVES | 1 |
Andreas Hartmann | FR | Paris | 2011-03-10 / 20110059184 - MEDICAMENT FOR TREATING PARKINSON'S DISEASE | 2 |
Holger Hartmann | DE | Mulfingen | 2012-12-13 / 20120313462 - STATOR FOR AN EC-MOTOR AND EC-MOTOR WITH SUCH A STATOR | 1 |
William J. Hartmann | US | Houston | 2011-05-26 / 20110120791 - Seat panel and gunner's turret panel for transporting miscellaneous equipment for use in military vehicles | 1 |
Laura Hartmann | US | San Francisco | 2012-09-13 / 20120232657 - Hydrogel Arthroplasty Device | 2 |
Juergen Hartmann | DE | Willich | 2011-10-27 / 20110262064 - VIBRATION-DAMPING PLAIN BEARING COMPOSITE AND PLAIN BEARING BUSHING AND PLAIN BEARING ASSEMBLY | 2 |
Felix Hartmann | DE | Versmold | 2015-08-27 / 20150243007 - METHOD FOR INSPECTING AT LEAST ONE COPY OF A PRINTED PRODUCT | 3 |
Andrew B. Hartmann | US | Muskegon | 2014-10-09 / 20140298658 - Method For Manufacturing A Multi-Layered Support Structure | 4 |
Jean-Michel Hartmann | FR | Meylan | 2014-09-25 / 20140284769 - METHOD OF FORMING A STRAINED SILICON LAYER | 2 |
Matthias Hartmann | DE | Bruchsal | 2015-12-17 / 20150365028 - Method for Determining the Rotor Position of a Synchronous Machine Operated in Field-Oriented Manner | 2 |
Jean-Michel Hartmann | FR | Montbonnot Saint-Martin | 2016-05-05 / 20160126095 - METHOD FOR DETERMINING PREFERENTIAL DEPOSITION PARAMETERS FOR A THIN LAYER OF III-V MATERIAL | 1 |
Andreas Dominik Hartmann | DE | Stadtbergen | 2016-04-28 / 20160114533 - DEVICE FOR PRODUCING THREE-DIMENSIONAL MODELS | 17 |
Bjoern Hartmann | US | San Francisco | 2015-08-20 / 20150235475 - GENERATING INFORMATIVE VIEWPOINTS BASED ON EDITING HISTORY | 3 |
H. Michael Hartmann | US | River Forest | 2016-04-21 / 20160110688 - CASE MANAGEMENT SYSTEM | 1 |
Harald Hartmann | DE | Kindenheim | 2010-09-02 / 20100221127 - Main Coolant Pump | 1 |
Gunther Hartmann | US | Cambridge | 2011-07-28 / 20110184045 - SILENCNG AND RIG-I ACTIVATION BY DUAL FUNCTION OLIGONUCLEOTIDES | 1 |
Lucas Hartmann | BR | Joao Pessoa | 2015-02-26 / 20150055384 - Five-Level Four-Switch DC-AC Converter | 1 |
Carol A. Hartmann | US | East Hampton | 2011-09-01 / 20110213626 - SYSTEM AND METHOD FOR EFFICIENT CLAIM ASSIGNMENT | 1 |
Horst Hartmann | DE | Dresden | 2015-04-09 / 20150097146 - Oxocarbon-, Pseudooxocarbon- and Radialene Compounds and Their Use | 16 |
Ralf Hartmann | DE | Kriftel | 2016-02-18 / 20160046265 - INTERFACE FOR INTERCHANGING DATA BETWEEN REDUNDANT PROGRAMS FOR CONTROLLING A MOTOR VEHICLE | 9 |
Michael Hartmann | DE | Waldachtal | 2012-10-11 / 20120256544 - POWER-DRIVEN VEHICLE HAVING AT LEAST ONE CAMERA AND AT LEAST ONE LAMP | 1 |
Rudolf Hartmann | AT | Bisamberg | 2015-09-03 / 20150246947 - PEPTIDES AND METHODS OF USE | 5 |
Juergen Hartmann | DE | Rinchnach | 2011-06-02 / 20110130939 - Cruise Control System and Method for Vehicles | 1 |
Torsten Hartmann | DE | Muenchen | 2011-06-02 / 20110130939 - Cruise Control System and Method for Vehicles | 1 |
Roland Hartmann | DE | Grettstadt | 2009-08-06 / 20090196539 - WIDE-WIDTH GUIDE CARRIAGE | 1 |
Susanne Hartmann | DE | Munchen | 2012-03-08 / 20120058122 - Antibodies Directed to HER-3 and Uses Thereof | 2 |
Roland Hartmann | DE | Vaihingen | 2010-07-08 / 20100170842 - Exchangeable Filter Insert | 1 |
Rolf Hartmann | DE | Boras | 2010-05-13 / 20100121356 - Apparatus for Minimum Invasive Fasciectomy | 1 |
David J. Hartmann | US | Glen Rock | 2015-03-26 / 20150088588 - SYSTEMS AND METHODS OF SUPPLIER QUALITY MANAGEMENT | 1 |
Thomas Hartmann | DE | Uffing Am Staffelsee | 2011-03-03 / 20110049397 - Process and apparatus for reading out and erasing X-Ray information stored in storage phosphor layers | 3 |
Courtney J. Hartmann | US | Houston | 2011-11-10 / 20110271470 - Brush Assembly with Non-Rotating Stabilizer and Brushes | 1 |
Thomas Hartmann | DE | Altenstadt | 2015-06-11 / 20150158126 - NICKEL-BASED BRAZING FOIL, METHOD FOR PRODUCING A BRAZING FOIL, OBJECT WITH A BRAZING SEAM AND BRAZING METHOD | 10 |
Daniel Patrick Hartmann | US | South Haven | 2016-04-28 / 20160120082 - 'A132-926' blueberry plant | 1 |
Rolf W. Hartmann | DE | Saarbrücken | 2011-05-19 / 20110118241 - 6-Pyridin-3-YL-3,4-Dihydro-1H-Quinolin-2-One Derivatives and Related Compounds as Inhibitors of the Human Aldosterone Synthase CYP11B2 | 3 |
Horst Hartmann | DE | Witzhave | 2015-02-12 / 20150045126 - Steering Column Arrangement with Torsion Damper Element, and Assembly Method | 2 |
BjÖrn Hartmann | US | San Francisco | 2015-12-24 / 20150370926 - GENERATING TUBES WITHIN THREE-DIMENSIONAL MODELS | 1 |
Rolf Wolfgang Hartmann | DE | Saarbrucken | 2009-05-28 / 20090137541 - Novel Series of Imidazolyl Substituted Steroidal and Indan-1-One Derivatives | 1 |
Thomas Hartmann | DE | Vaihingen/enz | 2010-01-21 / 20100013462 - INSTRUMENT FOR AUTOMATION WITH SINGLE-HANDED OPERATION | 1 |
Thomas Hartmann | DE | Mering | 2009-05-21 / 20090126590 - Method and Device for Producing a Permanent as Well as Erasable Image on a Printing Plate | 2 |
Sebastian Hartmann | DE | Gaggenau | 2009-08-13 / 20090199795 - Camshaft and method for manufacturing a camshaft | 1 |
Siegfried Hartmann | DE | Ibbenbüren | / - | 1 |
John F. Hartmann | US | Princeton Junction | 2009-07-16 / 20090180966 - Cancer cell diagnosis by targeting delivery of nanodevices | 1 |
Brian Hartmann | US | Simi Valley | 2015-08-06 / 20150220520 - GENERATING UNIQUE DOCUMENT PAGE IDENTIFIERS FROM CONTENT WITHIN A SELECTED PAGE REGION | 4 |
Dirck Ten Broeck Hartmann | US | Huntington Beach | 2009-10-15 / 20090255356 - Eight speed chainless drive for a pedal powered utility tricycle | 1 |
Susan J. Hartmann | US | Kirkwood | 2011-06-09 / 20110136765 - Pyrrolo[2,3-D]Pyrimidine Compounds | 2 |
Dirck T. Hartmann | US | Huntington Beach | 2009-11-12 / 20090278330 - Multiple speed chainless drive for a utility tricycle with either torque amplifying pedal beams or a conventional bicycle seat and pedals | 1 |
Lucas Hartmann | US | Chicago | 2012-06-28 / 20120164870 - HIGH STRENGTH ELECTRICAL CONNECTOR | 1 |
Scott D. Hartmann | US | Tolland | 2009-11-19 / 20090285684 - Turbine blade internal cooling configuration | 1 |
Philip Hartmann | DE | Berlin | 2016-03-10 / 20160068983 - ELECTROPLATING BATH AND METHOD FOR PRODUCING DARK CHROMIUM LAYERS | 9 |
Mitra J. Hartmann | US | Evanston | 2013-06-27 / 20130166484 - SYSTEMS, METHODS, AND APPARATUS FOR 3-D SURFACE MAPPING, COMPLIANCE MAPPING, AND SPATIAL REGISTRATION WITH AN ARRAY OF CANTILEVERED TACTILE HAIR OR WHISKER SENSORS | 5 |
Klaus Hartmann | DE | Heidelberg | 2013-09-26 / 20130251783 - LIPOSOMES CONTAINING PERMEATION ENHANCERS FOR ORAL DRUG DELIVERY | 1 |
Paul Hartmann | AT | Weiz | 2012-03-22 / 20120068600 - Integrated LED and sensor device | 5 |
Michael Hartmann | DE | Schopfloch | 2013-05-16 / 20130122517 - METHOD OF PERFORMING AN ASSAY | 1 |
Thomas Hartmann | DE | Altdorf | 2009-03-05 / 20090063006 - Driving Authorisation System Comprising An Electronic Immobiliser Function | 1 |
Thomas Hartmann | DE | Neubulach | 2009-02-12 / 20090038394 - Apparatus for Measuring the Filling Level of a Liquid in a Container | 1 |
Thomas Hartmann | US | Huntington Station | 2013-01-17 / 20130014883 - CORRUGATED FLUID TREATMENT PACKS AND METHODS OF MAKING THEM | 2 |
Oliver Hartmann | DE | Berlin | 2013-11-14 / 20130302841 - PROGNOSIS AND RISK ASSESSMENT OF PATIENTS WITH NON-SPECIFIC COMPLAINTS | 8 |
Markus Hartmann | DE | Neustadt | 2016-05-12 / 20160130531 - AQUEOUS SOLUTIONS CONTAINING A COMPLEXING AGENT IN HIGH CONCENTRATION | 9 |
Stephan Hartmann | DE | Freudenberg | 2012-05-31 / 20120132014 - SAMPLING CONTAINER AND USE THEREOF AS WELL AS A METHOD FOR TAKING SAMPLES | 1 |
David Hartmann | DE | Erlangen | 2015-12-31 / 20150380168 - THIN-LAYER CAPACITORS WITH LARGE SCALE INTEGRATION | 13 |
Christoph Hartmann | DE | Freudenstadt | 2012-05-31 / 20120132236 - DEVICE FOR CLEANING SUBSTRATES ON A CARRIER | 1 |
Ralf M. Hartmann | US | Richfield | 2010-02-25 / 20100043711 - Thin-film deposition evaporator | 1 |
Michael Hartmann | DE | Melsungen | 2011-12-08 / 20110301549 - DEVICE FOR INJECTING LIQUIDS | 2 |
Peter Hartmann | DE | Aschaffenburg | 2011-12-29 / 20110315299 - PIPE ARRANGEMENT | 1 |
Michael George Hartmann | US | Topsfield | 2011-10-06 / 20110245650 - Method and System for Plaque Lesion Characterization | 1 |
Steven L. Hartmann | US | Superior | 2016-05-05 / 20160120609 - Navigating A Surgical Instrument | 37 |
Werner Hartmann | DE | Weisendorf | 2016-04-21 / 20160107197 - METHOD AND DEVICE FOR SEPARATING PRIMARY ORE CONTAINING RARE EARTHS | 39 |
Bernd Hartmann | DE | Bad Homburg | 2015-12-31 / 20150375753 - METHOD AND BEAM SENSOR MODULE FOR PREDICTIVELY DETERMINING THE CONDITION OF THE ROAD IN A VEHICLE | 8 |
Michael Hartmann | DE | Hoechstaedt | 2010-03-18 / 20100065091 - Dishwasher with a Dissolving Chamber | 5 |
Peter Edwin Hartmann | AU | Gooseberry Hill | 2008-10-02 / 20080243060 - Breastpump | 1 |
Lynn C. Hartmann | US | Rochester | 2016-05-12 / 20160131638 - IMMUNITY TO FOLATE RECEPTORS | 6 |
Dirk Hartmann | DE | Stuttgart | 2010-08-05 / 20100198482 - Method and device for operating an internal combustion engine having cylinder shutdown | 13 |
Robert C. Hartmann | US | Apopka | 2014-04-17 / 20140106511 - FLIP-CHIP PACKAGING TECHNIQUES AND CONFIGURATIONS | 2 |
Andrew J. Hartmann | US | Pittsford | 2015-10-01 / 20150272516 - EXTREMITY IMAGING APPARATUS FOR CONE BEAM COMPUTED TOMOGRAPHY | 1 |
Andreas Hartmann | DE | Holtinghausen | 2009-05-21 / 20090127017 - MOTOR VEHICLE | 1 |
Siegfried Hartmann | DE | Ibbenbüren | / - | 1 |
Ralf Hartmann | DE | Kriftel | 2016-02-18 / 20160046265 - INTERFACE FOR INTERCHANGING DATA BETWEEN REDUNDANT PROGRAMS FOR CONTROLLING A MOTOR VEHICLE | 9 |
Mark H. Hartmann | US | Boulder | 2010-11-25 / 20100294980 - Cellulosic Fibers Having Enhanced Reversible Thermal Properties and Methods of Forming Thereof | 2 |
Jens Hartmann | AT | Furth | 2015-11-19 / 20150328387 - EXTRACORPOREAL PERFUSION APPARATUS | 7 |
Robert Francis Hartmann | US | Westford | 2010-03-04 / 20100052931 - GESTURE CONTROL KEY FOB | 1 |
Michael Hartmann | DE | Neudenau | 2009-12-03 / 20090298349 - Jack connector assembly having circuitry components integrated for providing POE-functionality | 3 |
Michael Hartmann | US | Bothell | 2009-06-11 / 20090148817 - Management and Delivery of Embedded IDE Learning Content | 1 |
Dirk Hartmann | DE | Emmering | 2012-06-28 / 20120166162 - DEVICE AND METHOD FOR GENERATING A TARGETED REALISTIC MOTION OF PARTICLES ALONG SHORTEST PATHS WITH RESPECT TO ARBITRARY DISTANCE WEIGHTINGS FOR SIMULATIONS OF FLOWS OF PEOPLE AND OBJECTS | 1 |
Lynne A. Hartmann | US | Melbourne Beach | 2010-03-18 / 20100069180 - TENNIS-GAME SCOREKEEPING SYSTEM AND METHOD | 1 |
Andreas Hartmann | DE | Seevetal | 2010-04-15 / 20100090429 - FASTENING DEVICE FOR FASTENING A MOVABLE ELEMENT | 1 |
Mathias Hartmann | DE | Berlin | 2011-10-20 / 20110255563 - PULSE SHAPER AND LASER WITH PULSE SHAPER | 1 |
Jonathan Hartmann | US | San Diego | 2014-12-04 / 20140357997 - INTRALUMINAL LEAD EXTRACTION WITH IMAGING | 1 |
Miks Hartmann | DE | Erlangen | 2012-02-16 / 20120039018 - Device for Discharging an Explosive Gas | 1 |
Axel Hartmann | DE | Neuhausen | 2010-06-03 / 20100132968 - ELECTRIC TOOL | 1 |
Julia F. Hartmann | CH | Lachen | 2013-12-12 / 20130330527 - MULTILAYER COATING FOR PAPER BASED SUBSTRATE | 2 |
Susanne Hartmann | DE | Berlin | 2015-08-06 / 20150216932 - PROBIOTIC BACTERIA AS CARRIER FOR A HELMINTH-DERIVED IMMUNOMODULATOR FOR THE TREATMENT OF INFLAMMATORY DISORDERS | 2 |
Andreas Hartmann | DE | Stadtbergen | 2015-02-12 / 20150042018 - METHOD AND DEVICE FOR PRODUCING THREE-DIMENSIONAL MODELS | 3 |
Udo Hartmann | DE | Amberg | 2013-03-07 / 20130055650 - Modular Integrated Underground Utilities Enclosure and Distribution System | 1 |
Beniot Hartmann | FR | Sainte Foy-Les-Lyon | 2014-05-29 / 20140148411 - FUNGICIDE PYRAZOLE CARBOXAMIDES DERIVATIVES | 1 |
Bjorn U. Hartmann | US | San Mateo | 2013-09-05 / 20130229353 - Using Physical Objects in Conjunction with an Interactive Surface | 2 |
Winfred Hartmann | DE | Rummelsheim | 2009-03-12 / 20090065545 - METHOD AND DEVICE FOR PRODUCING GLASS PANES OF ANY DESIRED CONTOUR FROM SHEET GLASS | 1 |
Andreas Hartmann | DE | Schemmerhofen-Assmannshardt | 2012-10-18 / 20120265418 - Emergency Brake Assistance System for Assisting a Driver of a Vehicle when Setting the Vehicle in Motion | 1 |
Thomas Hartmann | DE | Altenstadt | 2015-06-11 / 20150158126 - NICKEL-BASED BRAZING FOIL, METHOD FOR PRODUCING A BRAZING FOIL, OBJECT WITH A BRAZING SEAM AND BRAZING METHOD | 10 |
Thomas Hartmann | US | Rural Retreat | 2011-10-13 / 20110248808 - OUTDOOR DRY-TYPE TRANSFORMER | 1 |
Rolf W. Hartmann | DE | Saarbrücken | 2009-09-03 / 20090221591 - Selective Inhibitors of Human Corticosteroid Synthases | 1 |
Andreas Hartmann | DE | Celle | 2014-07-31 / 20140214325 - SYSTEM AND METHOD FOR CHARACTERIZATION OF DOWNHOLE MEASUREMENT DATA FOR BOREHOLE STABILITY PREDICTION | 8 |
Matthew W. Hartmann | US | Evansville | 2015-07-16 / 20150197417 - SELF-FILLING REFRIGERATOR WATER PITCHER | 1 |
Werner Hartmann | DE | Vaihingen/enz | 2015-02-05 / 20150035398 - WINDSCREEN WIPER MOTOR AND CARBON HOLDER PLATE FOR A WINDSCREEN WIPER MOTOR | 6 |
Siegfried Hartmann | DE | Kranzberg | 2013-07-18 / 20130185554 - METHOD FOR ANALYZING CODED DATA STREAMS SIMULTANEOUSLY TRANSMITTED IN IP NETWORKS | 3 |
Peter Hartmann | US | Omaha | 2013-01-31 / 20130025776 - LABEL DISPENSING DEVICE AND METHOD | 1 |
Sven Hartmann | DE | Stuttgart | 2013-04-11 / 20130088011 - SWITCHING DEVICE, STARTING DEVICE, AND METHOD FOR AN ELECTROMAGNETIC SWITCHING DEVICE | 18 |
Alfred C. Hartmann | US | Round Rock | 2016-02-04 / 20160036722 - MONITORING COMPUTER PROCESS RESOURCE USAGE | 1 |
William J. Hartmann | US | College Station | 2010-04-08 / 20100084907 - Soldier Support System in a Vehicle | 1 |
Dan-Paul Hartmann | US | Bethesda | 2015-07-16 / 20150196530 - Use of Artemisinin for Treating Tumors Induced by Oncogenic Viruses and for Treating Viral Infections | 4 |
Jonathan T. Hartmann | US | Southborough | 2010-06-17 / 20100152812 - SYSTEMS AND METHODS FOR PROMOTING NERVE RECOGNITION | 1 |
Patrik Hartmann | DE | Buettelborn | 2012-01-12 / 20120010317 - (METH)ACRYLATE POLYMERS AND THE USE THEREOF AS POLYMER-BOUND UV INITIATORS OR ADDITIVE TO UV-CURABLE RESINS | 1 |
James Joseph Hartmann | US | Indianapolis | 2013-10-10 / 20130265682 - Electrical Protection Circuitry For A Docking Station Base Of A Hand Held Meter And Method Thereof | 1 |
James X. Hartmann | US | Boca Raton | 2013-10-17 / 20130273578 - METHOD OF DETECTING CALCIFYING NANOPARTICLES AND SUSCEPTIBILITY TO CALCIFYING NANOPARTICLE FORMATION | 1 |
Udo Hartmann | DE | Neuried | 2009-03-26 / 20090079450 - SEMICONDUCTOR TEST DEVICE | 3 |
Harald Hartmann | AT | Dornbirn | 2015-04-16 / 20150101173 - PLUG CONNECTION FOR CONNECTING LINES FOR PRESSURIZED LIQUIDS OR GASES | 7 |
Jordan Clifford Welch Hartmann | US | Maple Grove | 2014-05-15 / 20140135602 - Reactance sensing for improved sensor palcement | 1 |
Phillip Hartmann | DE | Ermengerst | 2009-12-10 / 20090301035 - THERMOFOMABLE PACKAGING MATERIAL WITH SHRINK PROPERTIES | 1 |
James Richard Hartmann | US | Park Ridge | 2011-08-25 / 20110203121 - Line of Sight Depth of Cut Scale | 1 |
Michael Hartmann | DE | Hochstadt | 2012-06-07 / 20120138108 - DISHWASHER, IN PARTICULAR DOMESTIC DISHWASHER, HAVING A DOOR LOCK, AND ASSOCIATED DOOR LOCK | 1 |
Ulrich Hartmann | DE | Donaueschingen | 2008-08-21 / 20080197700 - Method For the Attachment of Ribbon Cable Systems | 1 |
Uwe Hartmann | DE | Horn-Bad-Meinberg | 2011-05-12 / 20110111236 - Method for Treating and Sticking Work Pieces Made of Metal or a Metal Alloy Comprising a Hydrated Oxide and/or Hydroxide Layer | 2 |
Oliver Hartmann | US | Raleigh | 2011-10-20 / 20110255202 - METHOD AND SAFETY DEVICE FOR MONITORING A BUS BAR OF AN ELECTRICAL ENERGY SUPPLY GRID | 1 |
Edwin Hartmann | DE | Weiler Zum Stein | 2011-01-13 / 20110005490 - CYLINDER HEAD COVER | 2 |
Clinton S. Hartmann | US | Dallas | 2010-09-09 / 20100225449 - Radio-Frequency Surface-Acoustic-Wave Identification Tag and System | 2 |
Andreas Hartmann | DE | Mondhagen | 2009-07-09 / 20090177404 - SYSTEM AND METHOD FOR REAL-TIME QUALITY CONTROL FOR DOWNHOLE LOGGING DEVICES | 1 |
Volker Hartmann | DE | Thedinghausen | 2009-09-24 / 20090236562 - METHOD FOR TREATING AQUEOUS SLUDGE, MATERIAL SO PRODUCED AND THE USE THEREOF | 1 |
Thierry Hartmann | FR | Les Molieres | 2008-11-20 / 20080287745 - Alarm Device for Preventing Cot Death | 1 |
Gunther Hartmann | DE | Bonn | 2016-03-10 / 20160068560 - COMPOSITIONS AND METHODS FOR ALTERING SECOND MESSENGER SIGNALING | 9 |
Kathy Hartmann-Bax | DE | Nuthe-Urstromtal | 2014-10-23 / 20140316498 - Releasable Contact Connection Arrangement for Electrodes on an Electromedical Device | 1 |
Kathy Hartmann-Bax | DE | Berlin | 2013-07-18 / 20130183863 - ELECTRICAL CONNECTING ELEMENT AND COMBINATION COMPRISING AN ELECRICAL CONNECTING ELEMENT AND COMPONENT | 1 |
Claire Hartmann-Thompson | US | Midland | 2015-10-22 / 20150298421 - COMPOSITE MATERIALS AND STRUCTURES | 8 |
Claire Hartmann-Thompson | US | Midland | 2015-10-22 / 20150298421 - COMPOSITE MATERIALS AND STRUCTURES | 8 |
Marius Franziskus Hartmer | DE | Mainz | 2014-02-13 / 20140046099 - Process for producing vanillin from vanillin-comprising compositions | 1 |
Ralf Hartmer | DE | Hamburg | 2015-07-30 / 20150214020 - ACQUISITION OF FRAGMENT ION MASS SPECTRA OF BIOPOLYMERS IN MIXTURES | 9 |
Ralf Hartmer | DE | Hamburg | 2015-07-30 / 20150214020 - ACQUISITION OF FRAGMENT ION MASS SPECTRA OF BIOPOLYMERS IN MIXTURES | 9 |
Michael J Hartmeyer | US | West Bloomfield | 2016-04-07 / 20160096472 - LIGHT PROPAGATION AND EVEN DISTRIBUTION USING AIR CHANNELS | 3 |
Julie A. Hartmus | US | Roanoke | 2013-04-18 / 20130094923 - Self-Aligning Telescoping Internally Threaded Fastener | 1 |
Claus Hartmut | DE | Grünstadt | 2010-03-04 / 20100054934 - EXHAUST GAS TURBOCHARGER | 1 |
Bracht Hartmut | DE | Muenster | 2010-03-18 / 20100068505 - CONDENSED MATERIALS | 1 |
Claus Hartmut | DE | Grünstadt | 2010-03-04 / 20100054934 - EXHAUST GAS TURBOCHARGER | 1 |
Kathleen L. Hartnack | US | Cupertino | 2012-09-20 / 20120239490 - SYSTEMS AND METHODS FOR ADAPTIVE SCHEDULING OF REFERENCES TO DOCUMENTS | 2 |
Matthias Hartnagel | DE | Einhausen | 2014-05-08 / 20140123753 - METHOD AND DEVICE FOR DETERMINING THE STATIC UNBALANCE | 1 |
Thomas J. Hartnagel | US | Taylor | 2015-09-10 / 20150257236 - Integrated Lighting System and Method | 9 |
Rolf Hartnagel | DE | Esslingen | 2013-12-05 / 20130323088 - Compressed Air Maintenance Unit and Consumer Control Device Equipped with the Same | 1 |
Uwe Hartnagel | DE | Lorsch | 2013-03-28 / 20130078297 - Aqueous Active Ingredient Composition | 2 |
Kristine Hartnagel | DE | Lorsch | 2015-10-29 / 20150305338 - Agroformulation comprising copolymer of vinyllactam, N-alkyl acrylamide, and alkyl (meth)acrylate | 3 |
Thomas J. Hartnagel | US | Taylor | 2015-09-10 / 20150257236 - Integrated Lighting System and Method | 9 |
Eric G. Hartnagle | US | Troy | 2015-12-31 / 20150375334 - ELIMINATION OF TOOL ADHESION IN AN ULTRASONIC WELDING PROCESS | 1 |
Paul Hartnell | GB | Aberdare | 2011-09-08 / 20110215173 - DEVICE FOR CLEANING VEHICLE SURFACES | 2 |
Edwin Geoffrey Hartnell | US | Malpitas | 2015-10-22 / 20150302442 - SYSTEM AND METHOD FOR VISUALLY BUILDING A MARKET SIMULATION | 1 |
Edwin Geoffrey Hartnell | US | Milpitas | 2010-08-26 / 20100217650 - SYSTEM AND METHOD FOR PROVIDING MARKET SIMULATION/OPTIMIZATION | 1 |
Gary F. Hartnell | US | St. Peters | 2014-08-07 / 20140220175 - METHODS OF FEEDING PIGS AND PRODUCTS COMPRISING BENEFICIAL FATTY ACIDS | 7 |
Graham Hartnell | GB | Nr. Uxbridge | 2015-02-19 / 20150047155 - Buckle Assembly with Resetting Arrangement | 1 |
Jillian Hartnell | US | Granite Bay | 2014-04-03 / 20140095338 - MULTI-SOURCE CONFIGURATOR CONTENT PROCESSING FOR TERMS AND CONDITIONS DOCUMENT TO CONTRACT CREATION | 2 |
Graham Hartnell | GB | Middlesex | / - | 1 |
Brian Hartner | US | New York | 2012-07-12 / 20120174428 - PRE-PRINTED NEWSPRINT SHEETS FOR DRYING SHOES | 1 |
Franz Hartner | AT | Kundl | 2016-02-11 / 20160039911 - TEMPERATURE SHIFT FOR HIGH YIELD EXPRESSION OF POLYPEPTIDES IN YEAST AND OTHER TRANSFORMED CELLS | 2 |
Walter Hartner | DE | Bad Abbach | 2016-02-11 / 20160043455 - Microwave Chip Package Device | 6 |
Walter Hartner | DE | Bad Abach | 2015-06-25 / 20150177373 - WIRELESS COMMUNICATION SYSTEM, A RADAR SYSTEM AND A METHOD FOR DETERMINING A POSITION INFORMATION OF AN OBJECT | 1 |
Damian Hartner | US | New York | 2012-07-12 / 20120174428 - PRE-PRINTED NEWSPRINT SHEETS FOR DRYING SHOES | 1 |
Helmut Hartner | DE | Dirmstein | 2016-02-18 / 20160046036 - COMPOSITION OF A TIMBER FORMULATION COMPRISING Cu SALTS AND ORGANIC CYCLIC INGREDIENTS FOR THE PRESERVATION OF TIMBER FOR DECKS | 1 |
Frederick W. Hartner | US | Somerville | 2009-04-23 / 20090105479 - 4-Oxo-1-3-Substituted Phenyl-1,4-Dihydro-1,8-Napthyridene-3-Carboxamide Phosphodiesterase-4 Inhibitor and a Method of Preparing Same | 2 |
Frederick Hartner | US | Branchburg | 2009-08-13 / 20090203922 - Process for Synthesizing 2-Phenyl-1H-Phenanthro[9,10-d]Imidazole Derivative | 1 |
Rene Hartner | US | San Jose | 2014-09-18 / 20140272576 - METHODS AND APPARATUS FOR HIGH CAPACITY ANODES FOR LITHIUM BATTERIES | 1 |
Renee Hartner | US | San Jose | 2014-09-18 / 20140272577 - METHODS AND APPARATUS FOR HIGH CAPACITY ANODES FOR LITHIUM BATTERIES | 1 |
Johannes Hartner | AT | Laakirchen | 2009-10-15 / 20090257905 - Compacting Tool | 1 |
Gerhard Hartner | AT | Bad Wimsbach | 2014-07-10 / 20140190783 - METHOD FOR PRODUCING A FRICTION ELEMENT | 4 |
Franz Hartner | AT | Graz | 2010-08-05 / 20100196913 - Mutant AOX1 Promoters | 1 |
Walter Hartner | DE | Bad Abbach-Peising | 2014-05-22 / 20140141608 - SEMICONDUCTOR COMPONENT AND METHODS FOR PRODUCING A SEMICONDUCTOR COMPONENT | 3 |
Randy Steven Hartness | US | China Grove | 2015-04-09 / 20150097094 - GUN HOLDER WITH BRUSH | 1 |
Mark Dane Hartness | US | Monticello | 2015-06-25 / 20150174685 - Integrated Multi-Task Metal Working System | 1 |
Daniel P. Hartness | US | Lawrence | 2014-12-11 / 20140360056 - Railcar Ballast Distributing Apparatus | 1 |
Thomas P. Hartness | US | Greenville | 2011-06-23 / 20110147258 - HEAT-SHRINKABLE HOLDER FOR ARTICLES, HEAT-SHRINKABLE PACKAGE OF ARTICLES, AND METHODS AND APPARATUS FOR MAKING HOLDERS AND PACKAGING ARTICLES | 4 |
Amanda Hartnett | US | Utica | 2014-07-10 / 20140193658 - LOW VOID SOLDER JOINT FOR MULTIPLE REFLOW APPLICATIONS | 1 |
James Robert Hartnett | US | Fitchburg | 2013-06-27 / 20130164837 - VECTORS FOR DIRECTIONAL CLONING | 1 |
Thomas Hartnett | US | Saint Paul | 2015-02-12 / 20150046403 - Processes and Methods For Client-Side Fingerprint Caching To Improve Deduplication System Backup Performance | 3 |
Jonh C. Hartnett | US | Philadelphia | 2012-01-05 / 20120004222 - CB2 RECEPTOR LIGANDS FOR THE TREATMENT OF PAIN | 1 |
Jeff Hartnett | US | Fairfax | 2013-04-11 / 20130087186 - Photovoltaic module carrier | 2 |
John Hartnett | US | Conshohocken | 2009-01-29 / 20090030043 - Potassium Channel Inhibitors | 1 |
David J. Hartnett | US | Tewksbury | 2012-03-15 / 20120064705 - VAPORIZER | 1 |
Thomas M. Hartnett | US | Nashua | 2014-08-21 / 20140231727 - SOLID SOLUTION-BASED NANOCOMPOSITE OPTICAL CERAMIC MATERIALS | 3 |
Amanda M. Hartnett | US | 2012-12-06 / 20120305632 - LOW VOID SOLDER JOINT FOR MULTIPLE REFLOW APPLICATIONS | 1 | |
Jeff Hartnett | US | West Roxbury | 2013-08-08 / 20130199639 - Fluid Transfer Device | 3 |
John C. Hartnett | US | Philadelphia | 2012-08-02 / 20120196894 - NOVEL TRPA1 ANTAGONISTS | 3 |
Scott Hartnett | US | Miami | 2013-12-12 / 20130332374 - FRAUD PREVENTION FOR REAL ESTATE TRANSACTIONS | 1 |
John C. Hartnett | US | Conshohocken | 2008-11-13 / 20080280899 - Inhibitors of Akt Activity | 1 |
James Robert Hartnett | US | Madison | 2014-07-03 / 20140186959 - Vectors for directional cloning | 4 |
Tom Hartnett | US | Saint Paul | 2008-10-02 / 20080243953 - IMPLEMENTING READ/WRITE, MULTI-VERSIONED FILE SYSTEM ON TOP OF BACKUP DATA | 1 |
Andrew G. Hartnett | US | Las Vegas | 2016-03-17 / 20160073706 - ILLUMINATED GARMENT SYSTEM AND METHOD OF USING THE SAME | 1 |
Emily K. Hartnett | US | Las Vegas | 2016-03-17 / 20160073706 - ILLUMINATED GARMENT SYSTEM AND METHOD OF USING THE SAME | 1 |
John C. Hartnett | US | West Point | 2010-09-09 / 20100227845 - SUBSTITUTED 1,2,4-OXADIAZOLES AND ANALOGS THEREOF AS CB2 RECEPTOR MODULATORS, USEFUL IN THE TREATMENT OF PAIN, RESPIRATORY AND NON-RESPIRATORY DISEASES | 1 |
Michael J. Hartnett | US | Middlebury | 2016-03-31 / 20160091072 - SELF LUBRICATING CAM FOLLOWER ASSEMBLY | 1 |
Taryn Hartnett | US | Wellington | 2014-09-18 / 20140279504 - SYSTEM AND METHOD FOR GENERATING A SINGLE-USE TIME-LIMITED PURCHASE CODE FOR COMPLETING TRANSACTIONS WITH A PORTABLE COMPUTING DEVICE | 1 |
Donna Hartnett | US | Belle Mead | 2010-11-04 / 20100279909 - Skin Cleansing Formulations With Silica Particle Sensory Indicator | 1 |
David Hartnett | US | Carol Stream | 2008-08-21 / 20080197221 - PLASTIC CENTER SHREDDER DISC | 1 |
Kevin Hartnett | US | Chatham | 2011-03-17 / 20110065562 - CUSTOMIZED TAB MACHINE | 3 |
Amanda M. Hartnett | US | Utica | 2011-08-04 / 20110186617 - SOLDER PREFORM | 1 |
Paula Hartnett | US | East Weymouth | 2014-09-18 / 20140269679 - ENRICHING TRAFFIC DATA IN A TELEPHONE NETWORK | 1 |
John M. Hartney | US | Arvada | 2015-02-19 / 20150051259 - PRO-INFLAMMATORY MEDIATORS IN DIAGNOSIS AND TREATMENT OF PULMONARY DISEASE | 1 |
John Hartney | US | Arvada | 2013-10-31 / 20130289121 - PULMONARY DISEASE TREATMENT AND DIAGNOSIS BASED ON ARHGEF1 | 1 |
James Chase Hartney | US | St. Petersburg | 2013-08-15 / 20130205843 - SYSTEM TO DETECT PRIMING OF A BULK DISPENSE SYSTEM FOR AN APPLIANCE | 1 |
Joseph Hartney | CA | Wallaceburg | 2016-04-21 / 20160107843 - Floating Conveyor Belt Cleaner Assembly | 2 |
Robert Scott Hartog | US | Windemere | 2014-01-23 / 20140022263 - METHOD FOR URGENCY-BASED PREEMPTION OF A PROCESS | 15 |
Aloysius F. Hartog | NL | Haarlem | 2008-10-09 / 20080248532 - Enzymatic Method for Producing Dihydroxyacetone Phosphate | 1 |
Bryan D. Den Hartog | US | Rapid City | 2016-02-25 / 20160051299 - CONTOURED CALCANEAL PLATE AND A PERCUTANEOUS DRILL GUIDE FOR USE THEREWITH | 12 |
Arthur Hartog | GB | Winchester | 2016-05-12 / 20160131520 - Fiber Optic Distributed Vibration Sensing With Directional Sensitivity | 7 |
Arthur H. Hartog | CA | Hampshire | 2010-07-01 / 20100165327 - MEASURING BRILLOUIN BACKSCATTER FROM AN OPTICAL FIBRE USING CHANNELISATION | 1 |
Arthur Hartog | GB | Martyr Worthy | 2009-06-18 / 20090151423 - PRESSURE MEASURING DEVICE AND METHOD | 1 |
Robert Scott Hartog | US | Windemere | 2014-01-23 / 20140022263 - METHOD FOR URGENCY-BASED PREEMPTION OF A PROCESS | 15 |
Arthur H. Hartog | GB | Hampshire | 2014-09-18 / 20140268110 - MEASURING BRILLOUIN BACKSCATTER FROM AN OPTICAL FIBRE USING DIGITISATION | 2 |
Jos Den Hartog | NL | Se Capelle A/d Ljssel | 2012-05-17 / 20120124222 - METHOD AND SYSTEM FOR TRANSFER OF CALL CONTROL | 2 |
Ronald Lewis Hartog | US | Union Pier | 2015-04-23 / 20150107205 - RAMPED FILTER VESSEL | 1 |
Arthur H. Hartog | GB | Windchester | 2010-02-04 / 20100025032 - METHODS AND SYSTEMS TO ACTIVATE DOWNHOLE TOOLS WITH LIGHT | 1 |
Den Edwin Hartog | NL | Almere | 2014-10-23 / 20140315396 - CONNECTOR WITH AIR EXTRACTION | 1 |
Robert S. Hartog | US | Windermere | 2010-06-10 / 20100146211 - Shader Complex with Distributed Level One Cache System and Centralized Level Two Cache | 3 |
Arthur H. Hartog | GB | Martyr Worthy | 2013-12-26 / 20130341008 - THERMAL OPTICAL FLUID COMPOSITION DETECTION | 5 |
Benno Hartog | NL | Amsterdam | 2015-10-01 / 20150273427 - PRESSURE CONTROLLER | 1 |
Karin Hartog | US | Piedmont | 2012-10-25 / 20120269840 - EXPRESSION OF HIV POLYPEPTIDES AND PRODUCTION OF VIRUS-LIKE PARTICLES | 5 |
Arthur H. Hartog | GB | Hants | 2010-05-13 / 20100117830 - FAULT-TOLERANT DISTRIBUTED FIBER OPTIC INTRUSION DETECTION | 2 |
Arthur H. Hartog | GB | Winchester | 2015-03-19 / 20150076334 - SEISMIC ACQUISITION SYSTEM INCLUDING A DISTRIBUTED SENSOR HAVING AN OPTICAL FIBER | 25 |
Merijn Hartog | NL | Amsterdam | 2013-09-19 / 20130240547 - Lip-operable spill-proof container | 1 |
Robert Scott Hartog | US | Windermere | 2013-05-30 / 20130135327 - Saving and Restoring Non-Shader State Using a Command Processor | 4 |
Aloysius Franciscus Hartog | NL | Haarlem | 2015-07-09 / 20150191606 - USE OF VANADIUM-CONTAINING PARTICLES AS A BIOCIDE | 1 |
Maarten Antoon Nicolaas Den Hartog | NL | Arnhem | 2015-12-31 / 20150374220 - METHOD FOR CLEANING A MIRROR WITH HANDLE FOR MEDICAL OR DENTAL EXAMINATION DURING USE BY MEANS OF A COMPRESSED AIR FLOW AND FOR THIS METHOD CUSTOMIZED MIRROR WITH HANDLE | 1 |
Tim Hartog | NL | The Hague | 2015-11-05 / 20150319610 - SYSTEM TO PROTECT A MOBILE NETWORK | 2 |
Bryan D. Den Hartog | US | Rapid City | 2016-02-25 / 20160051299 - CONTOURED CALCANEAL PLATE AND A PERCUTANEOUS DRILL GUIDE FOR USE THEREWITH | 12 |
Anita Hartog | NL | Angerlo | 2010-08-26 / 20100215631 - ANTI-INFLAMMATORY COMPOSITION COMPRISING GLYCINE AND LACTOFERRIN AND THE USE THEREOF | 1 |
Onur Hartoka | TR | Istanbul | 2012-07-05 / 20120167404 - LAUNDRY DRYER THE DRYING EFFECTIVENESS OF WHICH IS INCREASED BY USING DIFFERENT HEAT SOURCES | 1 |
Shane Edward Harton | US | Port Washington | 2015-09-03 / 20150246818 - RAPIDLY DISSOLVABLE NANOPARTICLES | 9 |
Christopher E. Harton | US | Allen | 2015-07-23 / 20150202424 - INJECTION PORT WITH ARTICULATED STOPCOCK | 2 |
Jonathan A. Harton | US | Tampa | 2009-11-26 / 20090291450 - CATERPILLER GENE FAMILY | 1 |
Rami Hartonen | FI | Turku | 2011-07-28 / 20110184197 - EFFECTIVE HYDROGENATION PROCESS | 1 |
Allan J. Hartong | US | Fairborn | 2009-03-05 / 20090060749 - Molded Plug For A Compressor | 1 |
Bradley H. Hartong | US | Decatur | 2013-01-17 / 20130017744 - GRAFT COPOLYMER AND REPELLENT COMPOSITION | 2 |
Jasper Hartong | NL | Delft | 2015-04-16 / 20150106495 - Computer Network for Services Retrieval, Method for Managing Such Network and a Computer System for Such Network | 1 |
John S. Hartono | US | Oakland | 2011-12-15 / 20110302923 - LNG TRANSPORT VESSEL AND METHOD FOR STORING AND MANAGING EXCESS BOIL OFF GAS THEREON | 3 |
Haryono Hartono | SG | Singapore | 2010-02-04 / 20100025653 - TUNABLE WAVELENGTH LIGHT EMITTING DIODE | 2 |
Soegi Hartono | CA | Coquitlam | 2012-11-29 / 20120302388 - DYNAMIC RATIO SPEED INCREASER FOR WINDMILLS AND SIMILAR APPLICATIONS | 3 |
Albert Hartono | US | Santa Clara | 2016-05-19 / 20160139897 - LOOP VECTORIZATION METHODS AND APPARATUS | 14 |
Hendrik Hartono | US | San Jose | 2010-03-04 / 20100052771 - CIRCUIT FOR DRIVING MULTIPLE CHARGE PUMPS | 1 |
Albert Hartono | US | Santa Clara | 2016-05-19 / 20160139897 - LOOP VECTORIZATION METHODS AND APPARATUS | 14 |
John Surjono Hartono | US | San Ramon | 2014-05-01 / 20140116062 - METHOD AND SYSTEM FOR COMBUSTING BOIL-OFF GAS AND GENERATING ELECTRICITY AT AN OFFSHORE LNG MARINE TERMINAL | 1 |
Julia Hartono | US | Greenville | 2013-12-19 / 20130338622 - Printed Absborbent Article Components For A Uniform Appearance | 3 |
John S. Hartono | US | Alameda | 2012-02-16 / 20120037240 - PROCESS, APPARATUS AND VESSEL FOR TRANSFERRING FLUIDS BETWEEN TWO STRUCTURES | 2 |
Elias Hartoumbekis | US | New Haven | 2015-08-13 / 20150223834 - SINGLE PORT DEVICE WITH MULTI-LUMEN CAP | 16 |
Elias Hartoumbekis | US | New Haven | 2015-08-13 / 20150223834 - SINGLE PORT DEVICE WITH MULTI-LUMEN CAP | 16 |
Alexander Hartov | US | Enfield | 2015-10-01 / 20150276902 - System And Apparatus For Combined Magnetic Resonance Imaging With Magnetic Spectroscopy of Brownian Motion And/Or Magnetic Nanoparticle Imaging | 2 |
Alex Hartov | US | Hanover | 2014-12-11 / 20140362186 - METHOD AND APPARATUS FOR CALIBRATION OF STEREO-OPTICAL THREE-DIMENSIONAL SURFACE-MAPPING SYSTEM | 1 |
Alex Hartov | US | Enfield | 2014-12-18 / 20140369584 - Method And Apparatus For Determining Tumor Shift During Surgery Using A Stereo-Optical Three-Dimensional Surface-Mapping System | 5 |
Thomas P. Hartranft | US | Clearwater | 2013-12-05 / 20130324900 - SYSTEM AND METHOD FOR ACTIVE BYPASS DIALYSIS ACCESS DISCONNECTION DETECTION | 5 |
Bruce W. Hartranft | US | St. Charles | 2009-03-19 / 20090071013 - Handheld cable cutter with stabilizing base | 2 |
Evan Hartranft | US | Columbia | 2014-09-18 / 20140263742 - Cup-shaped Fluidic Circuit with Alignment Tabs, Nozzle Assembly and Method | 1 |
Evan Hartranft | US | Baltimore | 2016-01-07 / 20160001330 - Integrated automotive system, nozzle assembly and remote control method for cleaning an image sensor's exterior or objective lens surface | 3 |
Evan Hartranft | US | Bowie | 2016-02-04 / 20160030954 - Owl, Double-bowl and slot-bump fluidic oscillator circuits, improved fluidic nozzle assemblies and methods for generating sprays with enhanced cold performance | 2 |
Gregory D. Hartrell | US | Sammamish | 2015-09-24 / 20150269537 - PROTECTING TRANSACTIONS | 7 |
Timothy W. Hartrick | US | Boulder | 2015-11-26 / 20150341431 - CONTROL MESSAGE ROUTING WITHIN ANYCAST RELIANT PLATFORMS | 5 |
Simon John Hartropp | CA | Pointe Claire | / - | 1 |
Douglas Scott Harts | US | Sterling | 2014-05-15 / 20140137208 - MOBILE COMPUTING DEVICE-BASED SECURE BRIEFING SYSTEM | 1 |
Ryan D. Hartschuh | US | Copley | 2008-10-30 / 20080266557 - High Contrast Tip-Enhanced Raman Spectroscopy | 1 |
Joshua A. Hartsel | US | San Diego | 2016-01-07 / 20160002133 - NOVEL PROCESS FOR GENERATING HEMP OIL WITH A HIGH CANNABIDIOL (CBD) CONTENT | 1 |
Brian Hartsell | US | Aurora | 2015-11-05 / 20150319547 - MULTIPLE BARRIER TEST FIXTURE AND METHOD OF TESTING USING THE SAME | 1 |
Jacob Hartsell | US | Raleigh | 2008-12-11 / 20080305007 - HYDROGEL COMPOSITIONS | 2 |
Scott Hartsell | US | Troy | / - | 1 |
Debra Lynn Hartsell | US | Cincinnati | 2008-10-30 / 20080269710 - Hygiene article having a wipe and powdered substrate combination | 4 |
James Hartsell | US | Charlotte | 2015-10-29 / 20150310474 - DATA TRANSLATOR | 1 |
Brian D. Hartsell | US | Aurora | 2011-07-21 / 20110178560 - SYSTEMS AND METHODS FOR SPINAL ROD INSERTION AND REDUCTION | 14 |
Scott L. Hartsell | US | Troy | 2010-10-28 / 20100272908 - EPOXY-PASTE ADHESIVES RESISTANT TO WASH-OFF | 1 |
Larry Hartselle | US | Huntsville | 2014-08-28 / 20140242716 - Specimen Cup and Method | 2 |
William A. Hartselle | US | Norcross | 2014-07-24 / 20140207887 - Method, System, and Apparatus for Providing Self-Destructing Electronic Mail Messages | 7 |
Larry Hartselle | US | Virginia Beach | 2013-10-31 / 20130287650 - SPECIMEN COLLECTION AND ASSAY CONTAINER | 2 |
David K. Hartsfield | US | Poway | 2015-07-16 / 20150198938 - SYSTEMS, DEVICES, METHODS AND GRAPHICAL USER INTERFACE FOR CONFIGURING A BUILDING AUTOMATION SYSTEM | 8 |
Trent Hartsfield | US | Riverview | 2014-04-24 / 20140114815 - System And Method For Managing And Using A Third Party Subsidy Account | 1 |
David K. Hartsfield | US | Poway | 2015-07-16 / 20150198938 - SYSTEMS, DEVICES, METHODS AND GRAPHICAL USER INTERFACE FOR CONFIGURING A BUILDING AUTOMATION SYSTEM | 8 |
Christin Hartsfield | US | Atlanta | 2009-07-02 / 20090165303 - DUAL-ACTION HAIR TRIMMER | 3 |
Barry Hartsfield | US | San Gabriel | 2008-10-02 / 20080236007 - Electronic Display Panels for Automobiles | 1 |
Kenneth Wayne Hartsfield | US | Pinson | 2009-04-30 / 20090109865 - Methods of Providing Digital Data Services Including Comparing Predicted and Measured Levels of Performance and Related Systems and Computer Program Products | 1 |
Scott Hartshorn | US | Everett | 2010-06-17 / 20100147996 - FAIL SAFE EXTENDED TORQUE BOX STRUT-TO-WING MOUNT | 1 |
Jason P. Hartshorn | US | East Bridgewater | 2014-10-02 / 20140290346 - MEASUREMENT INSTRUMENT HAVING TOUCHSCREEN USER INTERFACE AND METHOD FOR MEASURING VISCOSITY | 1 |
Cristina Hartshorn | US | Needham | 2013-08-15 / 20130210656 - LATE-PCR | 8 |
Cristina Hartshorn | US | West Roxbury | 2014-07-24 / 20140206564 - REAGENTS FOR IMPROVING PCR ACCURACY | 1 |
Richard Andrew Hartshorn | GB | London | 2014-09-11 / 20140253872 - SEALING SYSTEM FOR USE IN VARIABLE FOCUS LENSES | 1 |
Richard Timothy Hartshorn | US | Lawrenceburg | 2016-02-04 / 20160032220 - TREATMENT COMPOSITIONS | 10 |
Richard A. Hartshorn | GB | London | 2015-08-06 / 20150216543 - PATELLA CLAMP AND DRILL GUIDE SURGICAL INSTRUMENT AND METHOD OF USE | 4 |
Matthew B. Hartshorn | US | Pasadena | 2012-04-19 / 20120092491 - CALIBRATION AND TRACKING CONTROL OF HELIOSTATS IN A CENTRAL TOWER RECEIVER SOLAR POWER PLANT | 2 |
Cristina Hartshorn | US | Needham | 2013-08-15 / 20130210656 - LATE-PCR | 8 |
Ian Martin Hartshorn | CA | Toronto | 2009-10-29 / 20090271711 - AUTOMATED RELATIONSHIP MANAGEMENT FOR DARWIN INFORMATION TYPING ARCHITECTURE | 1 |
Richard Hartshorn | GB | London | 2011-03-03 / 20110051968 - LOUDSPEAKER ENCLOSURES FOR MOUNTING IN AN APERTURE IN A CAVITY WALL | 1 |
Steven Jon Hartshorn | US | Henderson | 2014-01-16 / 20140017919 - Distribution Tap Security Cover | 1 |
Stephen Richard Hartshorn | US | St. Paul | 2011-03-31 / 20110077358 - Functional Polymer With Pendant Color Changing Indicator | 1 |
Joe L. Hartshorn | GB | Portsmouth | 2015-03-26 / 20150089356 - Text Selection | 1 |
Richard Timothy Hartshorn | US | Lawrenceburg | 2016-02-04 / 20160032220 - TREATMENT COMPOSITIONS | 10 |
Scott D. Hartshorn | US | Everett | 2009-04-02 / 20090089019 - APPARATUS AND METHODS FOR GENERATING A POINT REPRESENTATION OF A STRUCTURE | 1 |
Robert Seth Hartshorne | GB | Cambridgeshire | 2015-03-12 / 20150072905 - VISCOELASTIC COMPOSITIONS | 1 |
Robert Seth Hartshorne | GB | Cambridge | 2015-09-10 / 20150253442 - SOLID MARINE SEISMIC STREAMERS | 4 |
Robert Seth Hartshorne | GB | Suffolk | 2011-12-15 / 20110303408 - CONCENTRATION OF MINOR CONSTITUENT OF WELLBORE FLUID | 6 |
Robert Seth Hartshorne | GB | Burwell | 2014-09-18 / 20140269172 - ANTI-FOULING SEISMIC STREAMER | 5 |
Bryn Hartshorne | US | Novi | 2010-02-04 / 20100024522 - Device for removing air dispersed contaminants | 1 |
Martin Hartshorne | CA | Toronto | 2014-04-17 / 20140108507 - SYSTEM, APPARATUS, AND METHOD FOR PROVIDING WORKFORCE MANAGEMENT | 1 |
John Hartshorne | US | Newmarket | 2011-07-14 / 20110170987 - Cover Applier and Method of Aligning a Book Image to a Cover Image | 1 |
Beau R. Hartshorne | US | Palo Alto | 2013-03-21 / 20130069980 - Dynamically Cropping Images | 1 |
Robert Seth Hartshorne | GB | Newmarket | 2013-07-04 / 20130170322 - SYSTEMS AND METHODS FOR MARINE ANTI-FOULING | 4 |
Simon David Hart-Short | GB | Welshpool | 2014-11-06 / 20140326442 - METHOD AND SYSTEM FOR COOLING A DEVICE | 1 |
William T. Hartsig | US | Pittsfield | 2008-11-27 / 20080289137 - AERODYNAMIC WINDSHIELD WIPER ARM | 1 |
Timothy Hartsock | US | Edon | 2014-10-16 / 20140306070 - IV Line Organizer | 1 |
David L. Hartsock | US | Bartlesville | 2015-04-02 / 20150094424 - Cold Flow Resistant Compositions Containing Rubber and a Block Copolymer | 2 |
Brian Hartsock | US | Corona | 2015-10-29 / 20150306785 - ROLLED BASEBALL BAT | 2 |
Wendy J. Hartsock | US | Aurora | 2012-01-12 / 20120009212 - CONJUGATES UTILIZING PLATFORM TECHNOLOGY FOR STIMULATING IMMUNE RESPONSE | 1 |
Wendy Hartsock | US | Aurora | 2012-01-19 / 20120014972 - INFLUENZA VIRUS COMPOSITIONS AND METHODS FOR UNIVERSAL VACCINES | 1 |
Jason Hartsock | US | Bethalto | 2015-10-29 / 20150312099 - NETWORK REVISION EVALUATOR | 1 |
Kevin Hartsock | US | Santa Barbara | 2011-01-13 / 20110010708 - SYSTEM AND METHOD FOR TRANSPORTING CONFIGURATION PARAMETERS | 1 |
Wendy Jeanne Hartsock | US | Aurora | 2014-06-05 / 20140154282 - CONJUGATES UTILIZING PLATFORM TECHNOLOGY FOR STIMULATING IMMUNE RESPONSE | 1 |
Jeffrey W. Hartsook | US | Madrid | 2015-04-16 / 20150101243 - Needleless Inoculation | 4 |
Larry Dowd Hartsough | US | Berkeley | 2011-08-18 / 20110199097 - Sensor system with reduced sensitivity to sample placement | 2 |
Neal Hartsough | US | Fullerton | 2010-12-16 / 20100316184 - SILICON PHOTOMULTIPLIER DETECTOR FOR COMPUTED TOMOGRAPHY | 1 |
Dan Hartsough | US | Princeton | 2009-06-11 / 20090148377 - Process For Producing Electrode Active Material For Lithium Ion Cell | 1 |
Christopher Hartsough | US | Mount Laurel | 2015-04-23 / 20150111472 - GRINDING MOTOR AND METHOD OF OPERATING THE SAME FOR RAIL APPLICATIONS | 1 |
Allan M. Hartstein | US | Yorktown Heights | 2011-09-15 / 20110225401 - PREFETCHING BRANCH PREDICTION MECHANISMS | 1 |
Blake Hartstein | US | Leesburg | 2014-12-25 / 20140380482 - SYSTEMS AND METHODS FOR MALWARE DETECTION AND SCANNING | 2 |
Philip C. Hartstein | US | Menlo Park | 2012-12-27 / 20120330115 - ANALYTE MONITORING SYSTEMS AND METHODS | 2 |
Allan M. Hartstein | US | Chappaqua | 2015-04-30 / 20150121052 - THREE-DIMENSIONAL PROCESSING SYSTEM HAVING INDEPENDENT CALIBRATION AND STATISTICAL COLLECTION LAYER | 13 |
Phillip C. Hartstein | US | Menlo Park | 2010-09-30 / 20100249547 - VITRO DETERMINATION OF ANALYTE LEVELS WITHIN BODY FLUIDS | 1 |
Eugene F. Hartstein | US | Newark | 2009-09-10 / 20090226353 - Process for treating acid gas in staged furnaces with inter-stage heat recovery | 2 |
Philip C. Hartstein | US | Cupertino | 2011-12-08 / 20110300619 - IN VITRO DETERMINATION OF ANALYTE LEVELS WITHIN BODY FLUIDS | 2 |
Allan Mark Hartstein | US | Chappaqua | 2009-08-06 / 20090198970 - METHOD AND STRUCTURE FOR ASYNCHRONOUS SKIP-AHEAD IN SYNCHRONOUS PIPELINES | 3 |
Philip C. Hartstein | US | Palo Alto | 2008-10-30 / 20080268486 - DUAL MEASUREMENT ANALYTE DETECTION SYSTEM | 1 |
Philp C. Hartstein | US | Palo Alto | 2008-09-04 / 20080212071 - METHOD OF DETERMINING ANALYTE CONCENTRATION IN A SAMPLE USING INFRARED TRANSMISSION DATA | 1 |
Allan M. Hartstein | US | Chappaqua | 2015-04-30 / 20150121052 - THREE-DIMENSIONAL PROCESSING SYSTEM HAVING INDEPENDENT CALIBRATION AND STATISTICAL COLLECTION LAYER | 13 |
Uwe Hartstock | DE | Nettetal | 2013-10-03 / 20130255573 - DEVICE FOR ADJUSTING COMPONENTS OF FOLDING-BOX GLUING MACHINES FOR SAFE OPERATION | 2 |
Karl Hartstock | DE | Ansbach | 2014-10-23 / 20140314466 - COSMETIC UNIT WITH SWIVELING CLOSURE | 5 |
Karl Hartstock | US | Jersey City | 2011-03-10 / 20110058889 - COSMETIC UNIT WITH SWIVELING CLOSURE | 2 |
Gloria Hartston | US | Ontario | 2014-06-12 / 20140163325 - MOUTHPIECE | 1 |
Johannes Antoon Hartsuiker | NL | Eindhoven | 2014-10-30 / 20140322456 - PCVD METHOD FOR MANUFACTURING A PRIMARY PREFORM FOR OPTICAL FIBERS | 24 |
Perry G. Hartswick | US | Millbrook | 2013-04-25 / 20130103336 - MULTI-MODAL DATA ANALYSIS FOR DEFECT IDENTIFICATION | 4 |
Larry Hartter | US | Rochester Hills | 2013-05-09 / 20130115112 - VARIABLE DISPLACEMENT HYDRAULIC PUMP/MOTOR WITH HYDROSTATIC VALVE PLATE | 1 |
Dennis R. Hartter | US | Sabetha | 2016-01-28 / 20160021926 - CUT EXTRUDATE DISPERSAL HOOD | 4 |
David L. Hartter | US | Pittsfield | 2010-05-27 / 20100128467 - Backlit Devices with Multiwall Sheets and Methods of Making the Same | 1 |
Herbert Harttig | DE | Neustadt | 2016-01-28 / 20160022363 - PROTECTIVE COVERINGS FOR HAND-HELD MEDICAL DEVICES | 45 |
Brigitte Harttmann | DE | Niedemhausen | 2010-03-11 / 20100063565 - Skin Treatment Device | 1 |
Brigitte Harttmann | DE | Niedernhausen | 2009-12-31 / 20090326437 - DEVICE FOR TREATING THE SKIN | 7 |
Alexandru Hartular | US | San Jose | 2014-07-03 / 20140184164 - POWER MANAGEMENT SYSTEMS WITH CHARGE PUMPS | 7 |
James A. Hartung | US | Canoga Park | 2010-12-09 / 20100307389 - REACTOR SYSTEM AND SOLID FUEL COMPOSITE THEREFOR | 1 |
Kerry B. Hartung | US | Minoa | 2010-11-11 / 20100286089 - Process for the Preparation of Entecavir and Novel Intermediates Thereof Via Carbon-Silicon Oxidation | 2 |
Hans-Peter Hartung | DE | Dusseldorf | 2015-08-20 / 20150232536 - IgG STIMULATED REMYELINATION OF PERIPHERAL NERVES | 3 |
Michael Hartung | DE | Geseke | 2009-10-15 / 20090258981 - ELECTROPHORETIC PAINT CONTAINING BISMUTH COMPONENETS | 1 |
John Hartung | US | Mableton | 2011-12-01 / 20110292996 - Efficient Compression and Transport of Video over a Network | 3 |
Raik Hartung | DE | Dresden | 2015-03-19 / 20150081672 - AUTOMATIC CONVERSION OF UNITS OF MEASURE DURING DATA STREAM PROCESSING | 2 |
Ryan E. Hartung | US | Bridgewater | 2010-03-25 / 20100075994 - SUBSTITUTED DIHYDRO AND TETRAHYDRO OXAZOLOPYRIMIDINONES, PREPARATION AND USE THEREOF | 1 |
Klaus Hartung | US | Salzkotten | 2009-11-19 / 20090287801 - Multicomputer System and Method for the Configuration of a Multicomputer System | 1 |
Robert Michael Hartung | DE | Blaubeuren | 2015-12-24 / 20150368789 - METHOD AND ARRANGEMENT FOR PROVIDING CHALCOGENS | 12 |
Andreas Hartung | DE | Muenchen | 2014-11-27 / 20140348657 - TURBOMACHINE BLADE | 4 |
Michael H. Hartung | US | Tucson | 2009-01-01 / 20090006904 - APPARATUS AND METHOD TO CHECK DATA INTEGRITY WHEN HANDLING DATA | 1 |
Georg Hartung | DE | Regenstauf | 2015-04-30 / 20150115305 - OPTOELECTRONIC COMPONENT | 1 |
Michael Howard Hartung | US | Tucson | 2011-07-14 / 20110173493 - CLUSTER AVAILABILITY MANAGEMENT | 2 |
Christian Hartung | DE | Essen | 2016-03-24 / 20160081907 - FORMULATION COMPRISING LIQUID ESTER QUATS AND/OR IMIDAZOLINIUM SALTS AND POLYMER THICKENERS | 22 |
Steffen Hartung | DE | Kissing | 2015-08-06 / 20150218741 - COMPENSATING DEVICE FOR FLUCTUATING CONVEYING SPEEDS OF A FIBROUS NONWOVEN | 2 |
Hans-Jürgen Hartung | DE | Wolfenbuttel | 2010-02-04 / 20100027984 - MIRROR DRIVE FOR A CAMERA | 1 |
Karl-Heinz Hartung | DE | Bad Honnef | 2015-10-01 / 20150280427 - SYSTEM AND METHOD OF CONTROLLING CURRENT-LIMITERS IN RING SYSTEMS | 1 |
John Hartung | US | New York | 2011-12-22 / 20110312904 - COMPOUNDS, COMPOSITIONS AND METHODS FOR REDUCING TOXICITY AND TREATING OR PREVENTING DISEASES | 1 |
Frederick Hartung | US | St. Petersburg | 2015-04-30 / 20150120373 - SYSTEMS AND METHODS FOR RISK PROCESSING AND VISUALIZATION OF SUPPLY CHAIN MANAGEMENT SYSTEM DATA | 1 |
Karl-Heinz Hartung | DE | Attendorn | 2009-10-15 / 20090256097 - SHUT-OFF VALVE FOR PLUMBING APPLICATIONS | 1 |
Andre Hartung | DE | Schellhorn | 2011-03-03 / 20110055584 - METHOD AND APPARATUS FOR ACCESS CONTROL TO INSTALLATION CONTROL SYSTEMS OF WIND ENERGY INSTALLATIONS | 1 |
Ryan Hartung | US | Bridgewater | 2011-10-20 / 20110257213 - SUBSTITUTED PIPERIDINE SPIRO PYRROLIDINONE AND PIPERIDINONE, PREPARATION AND THERAPEUTIC USE THEREOF | 2 |
Christian Hartung | DE | Essen | 2016-03-24 / 20160081907 - FORMULATION COMPRISING LIQUID ESTER QUATS AND/OR IMIDAZOLINIUM SALTS AND POLYMER THICKENERS | 22 |
Sharon I. Hartung | CA | Burnaby | 2016-05-12 / 20160132828 - REAL-TIME CONTINUOUS REALIGNMENT OF A LARGE-SCALE DISTRIBUTED PROJECT | 1 |
David B. Hartung | US | Belmont | 2010-05-13 / 20100117449 - SYSTEM FOR HIGH RELIABILITY POWER DISTRIBUTION WITHIN AN ELECTRONICS EQUIPMENT CABINET | 1 |
Paul D. Hartung | US | Acton | 2015-04-30 / 20150118163 - Methods of Preparing Ophthalmic Formulations and Uses of Same | 5 |
Frank Hartung | DE | Herzogenrath | 2015-01-29 / 20150032857 - MIXER FOR PROVIDING MEDIA STREAMS TOWARDS A PLURALITY OF ENDPOINTS WHEREBY THE MEDIA STREAMS ORIGINATING FROM ONE OR MORE MEDIA SOURCE AND METHOD THEREFORE | 21 |
Paul D. Hartung | US | Action | 2011-05-19 / 20110116041 - Ocular Imaging | 1 |
Frank Hartung | DE | Herzogenrath | 2015-01-29 / 20150032857 - MIXER FOR PROVIDING MEDIA STREAMS TOWARDS A PLURALITY OF ENDPOINTS WHEREBY THE MEDIA STREAMS ORIGINATING FROM ONE OR MORE MEDIA SOURCE AND METHOD THEREFORE | 21 |
Georg Hartung | DE | Nesselwang | 2016-01-07 / 20160005930 - OPTOELECTRONIC SEMICONDUCTOR CHIP ENCAPSULATED WITH AN ALD LAYER AND CORRESPONDING METHOD OF PRODUCTION | 2 |
Joshua John Hartung | US | Moscow | 2015-11-19 / 20150331422 - Autonomous Vehicle Interface System | 1 |
Hans-Georg Hartung | DE | Pulheim | 2016-04-14 / 20160102916 - DEVICE AND METHOD FOR CONTROLLING AND/OR REGULATING AN ANNEALING OR HEAT TREATMENT FURNACE OF A PRODUCTION LINE PROCESSING METAL MATERIAL | 7 |
Alexander Hartung | DE | Wasserburg | 2013-08-29 / 20130225539 - METHOD FOR PRODUCING CRYSTALLINE 5-AMINOSALICYLIC ACID | 1 |
Christian Hartung | US | 2016-02-18 / 20160045424 - COSMETIC FORMULATION CONTAINING COPOLYMER AND SULFOSUCCINATE AND/OR BIOSURFACTANT | 1 | |
Frank Hartung | JP | Herzogenrath | 2014-08-07 / 20140218464 - USER INTERFACE CONTROL IN A MULTIMEDIA CONFERENCE SYSTEM | 1 |
Klaus Hartung | US | Framingham | 2012-09-06 / 20120224729 - Directional Electroacoustical Transducing | 1 |
Ingo Hartung | DE | Berlin | 2016-05-05 / 20160122341 - 3-ARYL-SUBSTITUTED IMIDAZO[1,2-A]PYRIDINES AND THEIR USE | 17 |
Joerg Hartung | CA | Vancouver | 2015-01-29 / 20150032936 - Techniques for Identifying Read/Write Access Collisions for a Storage Medium | 3 |
John Hartung | US | Pasadena | 2016-04-14 / 20160101414 - HIGHLY Z-SELECTIVE AND ENANTIOSELECTIVE RING OPENING/CROSS METATHESIS CATALYZED BY A RESOLVED STEREOGENIC-AT-RU COMPLEX | 1 |
Ulrich Hartung | DE | Langensendelbach | 2010-12-16 / 20100316278 - HIGH-RESOLUTION THREE-DIMENSIONAL MEDICAL IMAGING WITH DYNAMIC REAL-TIME INFORMATION | 2 |
Martin Hartung | DE | Gilching | 2011-09-29 / 20110236851 - DENTAL LIGHT DEVICE | 1 |
Dieter Hartung | DE | Dresden | 2013-01-31 / 20130027139 - RING OSCILLATOR BASED VOLTAGE CONTROL OSCILLATOR HAVING LOW-JITTER AND WIDE BANDWIDTH | 1 |
Andreas Hartung | DE | Munich | 2016-05-19 / 20160138401 - BLADE OR VANE ARRANGEMENT FOR A TURBOMACHINE | 1 |
Ingo Hartung | DE | Erkrath | 2011-09-29 / 20110237592 - SUBSTITUTED AMIDO PHENOXYBENZAMIDES | 4 |
Simone Hartung | DE | Allensbach | 2015-03-19 / 20150080400 - Novel EP2 Receptor Agonists | 1 |
Ingo Hartung | DE | Berlin | 2016-05-05 / 20160122341 - 3-ARYL-SUBSTITUTED IMIDAZO[1,2-A]PYRIDINES AND THEIR USE | 17 |
Domenic Hartung | DE | Molsdorf | 2015-02-05 / 20150033961 - COMPACTING DEVICE FOR COMPACTING CONTAINER | 1 |
Thomas Hartung | DE | Loerrach | 2016-02-04 / 20160031878 - RADIOLABELED COMPOUNDS | 2 |
Marianne Hartung | DE | Garching | 2014-12-25 / 20140373893 - PREDICTION OF SOLAR OBSCURATION EVENTS BASED ON DETECTION OF SPECTRAL DISTRIBUTION SHIFTS CAUSED BY APPROACHING CLOUDS | 2 |
Andreas Hartung | DE | Munchen | 2016-01-28 / 20160024945 - TURBOMACHINE BLADE | 3 |
Robert Hartung | DE | Braunschweig, Ni | 2016-02-25 / 20160055287 - METHOD FOR DECOMPOSING A HARDWARE MODEL AND FOR ACCELERATING FORMAL VERIFICATION OF THE HARDWARE MODEL | 1 |
Hans Hartung | DE | Warstein | 2011-05-19 / 20110115068 - Power Semiconductor Module and Method for Operating a Power Semiconductor Module | 2 |
Ruediger Hartung | DE | Bad Honnef | 2009-02-12 / 20090038718 - PROCESS FOR THE MANUFACTURE OF A CONTAINMENT DEVICE AND A CONTAINMENT DEVICE MANUFACTURED THEREBY | 1 |
Frank Hartung | DE | Steinweg | 2009-03-05 / 20090064341 - Technique for registering a device with a rights issuer system | 1 |
Markus Hartung | DE | Hunfeld | 2012-06-07 / 20120138368 - BOTTOM PREVENTER FOR USE IN A DRILLING SYSTEM | 2 |
Hans-Jürgen Hartung | DE | Wolfenbuttel | 2010-02-04 / 20100027984 - MIRROR DRIVE FOR A CAMERA | 1 |
Florian Hartung | DE | Erlangen | 2015-11-05 / 20150313775 - DIAPER CLOSING STRIP AND METHOD AND DEVICE FOR THE PRODUCTION THEREOF | 1 |
Andreas Hartung | DE | Redwitz | 2016-03-03 / 20160061082 - CATALYST MODULE WITH CATALYST ELEMENTS | 1 |
Martin G. Hartung | DE | Gilching | 2015-10-22 / 20150297325 - MIXER FOR MIXING A DENTAL COMPOSITION | 4 |
Thomas Hartung | DE | Augsburg | 2011-01-06 / 20110001774 - Ink-Saving Ink Supply of Printing Machines | 1 |
Gregor Hartung | AT | Steyr | 2015-12-24 / 20150367534 - MELTING AND INJECTION DEVICE FOR PLASTIC MATERIALS | 1 |
Andreas Hartung | DE | Hammelburg | 2015-03-12 / 20150069688 - VIBRATION DAMPER FOR A MOTOR VEHICLE | 1 |
Thomas Hartung | US | Baltimore | 2013-01-03 / 20130005588 - METHOD FOR DETERMINING SUBSTANCE NON-TOXICITY | 1 |
Klaus Hartung | US | Hopkinton | 2015-07-30 / 20150215689 - MICROPHONE HOUSING ARRANGEMENT FOR AN AUDIO CONFERENCE SYSTEM | 14 |
Ryan Hartung | US | Tucson | 2013-03-14 / 20130065919 - SUBSTITUTED N-ALKYL AND N-ACYL TETRAHYDRO-ISOQUINOLINE DERIVATIVES, PREPARATION AND THERAPEUTIC USE THEREOF | 4 |
Daniel Hartung | NO | Gjovik | 2012-11-22 / 20120297464 - AUTHENTICATED TRANSMISSION OF DATA | 1 |
Anush Hartunian | US | Belmont | 2014-10-02 / 20140297278 - METHODS AND APPARATUS FOR LINKING EXTRACTED CLINICAL FACTS TO TEXT | 2 |
Michael H. Hartuno | US | Tucson | 2009-03-19 / 20090077414 - APPARATUS AND PROGRAM STORAGE DEVICE FOR PROVIDING TRIAD COPY OF STORAGE DATA | 1 |
Roee Hartuv | IL | Haifa | 2013-05-30 / 20130138275 - SYSTEM FOR GUIDING AN AIRCRAFT TO A REFERENCE POINT IN LOW VISIBILITY CONDITIONS | 1 |
Adri Hartveld | GB | Newcastle-U-L | 2013-08-15 / 20130205619 - Dynamic Footwear that Aligns the body and Absorbs the impact | 1 |
Daniel J Hartveld | GB | London | 2015-11-19 / 20150334174 - APPLICATION COORDINATION | 1 |
Adri Hartveld | GB | Newcastle-Under-Lyme | 2009-05-14 / 20090119951 - Footwear With Sole Force Distribution and Sense Enhancement | 1 |
Joseph J. Hartvigsen | US | Salt Lake City | 2009-05-21 / 20090131733 - Blasting system and blasting method | 1 |
Joseph J. Hartvigsen | US | Kaysville | 2015-06-25 / 20150174548 - Catalytic Microchannel Reformer | 18 |
Joseph J. Hartvigsen | US | Kaysville | 2015-06-25 / 20150174548 - Catalytic Microchannel Reformer | 18 |
Aaron J. Hartvigsen | US | Bow | 2015-02-05 / 20150034492 - WATER ELECTROLYSIS SYSTEMS AND METHODS | 1 |
Joseph J. Hartvigsen | US | Raysville | 2010-01-07 / 20100003556 - PLASMA-CATALYZED FUEL REFORMER | 1 |
Joseph Hartvigsen | US | Kaysville | 2015-11-12 / 20150321143 - TREATMENT OF INCINERATOR OFF GAS | 1 |
Andrew J. Hartvigsen | US | Provo | 2015-02-05 / 20150034492 - WATER ELECTROLYSIS SYSTEMS AND METHODS | 1 |
Jeremy L. Hartvigsen | US | Provo | 2015-02-05 / 20150034492 - WATER ELECTROLYSIS SYSTEMS AND METHODS | 1 |
Karsten Hartvigsen | DK | Copenhagen | 2013-12-26 / 20130345117 - PEPTIDE-PHOSPHOLIPID CONJUGATES | 1 |
Michael David Hartway | US | Sammamish | 2010-05-27 / 20100131391 - SYSTEM AND METHOD FOR DISPLAYING WOOD PRODUCTS FOR PURCHASE | 1 |
Jeff Hartweg | US | Bloomington | 2013-03-14 / 20130067335 - METHOD AND APPARATUS FOR CREATING, MANIPULATING, AND COMMUNICATING STRATEGIC BUSINESS INITIATIVES | 1 |
Martin Hartweg | DE | Erbach | 2014-12-11 / 20140363629 - THERMALLY COATED COMPONENT WITH A FRICTIONALLY OPTIMIZED RACEWAY SURFACE | 3 |
Robert O. Hartweg | US | Waxhaw | 2015-11-05 / 20150317887 - MERCHANDISE SECURITY DEVICE INCLUDING STRAIN RELIEF BLOCK | 3 |
Travis Hartwell | US | Austin | 2011-09-15 / 20110225158 - Method and System for Abstracting Information for Use in Link Analysis | 1 |
Garrett Hartwell | US | Portland | 2015-04-23 / 20150107633 - EXERCISE MAT CLEANING SYSTEMS AND DEVICES | 1 |
Haywood Hartwell | US | Bristol | 2016-05-19 / 20160137270 - AUTOMATED CABLE BREAKOUT ASSEMBLY | 1 |
Stephen Hartwell | GB | Cambridge | 2015-03-05 / 20150062577 - BACKGROUND CORRECTION IN EMISSION SPECTRA | 3 |
Thomas Hartwell | US | San Diego | 2015-04-16 / 20150106169 - Ballot Builder | 1 |
Peter G. Hartwell | US | Sunnyvale | 2014-02-13 / 20140042869 - OUT-OF-PLANE TRAVEL RESTRICTION STRUCTURES | 3 |
Eric Hartwell | CA | Waterloo | 2015-03-12 / 20150073808 - REMOTE CONTROL AND PAYMENT TRANSACTIONING SYSTEM USING NATURAL LANGUAGE, VEHICLE INFORMATION, AND SPATIO-TEMPORAL CUES | 2 |
Edward Hartwell | GB | Heslington | 2013-06-13 / 20130150813 - SYSTEMS AND METHODS FOR CONTROLLING OPERATION OF NEGATIVE PRESSURE WOUND THERAPY APPARATUS | 1 |
Edward Yerbury Hartwell | GB | Brough | 2015-09-24 / 20150265754 - DRESSING AND APPARATUS FOR CLEANSING THE WOUNDS | 8 |
Edward Y. Hartwell | GB | Hull | 2009-12-17 / 20090312723 - Wound treatment apparatus and method | 3 |
Edward Yerbury Hartwell | GB | East Yorkshire | 2010-11-25 / 20100298792 - SUSTAINED VARIABLE NEGATIVE PRESSURE WOUND TREATMENT AND METHOD OF CONTROLLING SAME | 1 |
Edward Yerbury Hartwell | GB | York | 2016-03-24 / 20160081859 - WOUND DRESSING | 11 |
Edward Yerby Hartwell | GB | Hull | 2015-07-09 / 20150190288 - NEGATIVE PRESSURE WOUND CLOSURE DEVICE | 1 |
Bradford Hughes Hartwell | US | Carlsbad | 2012-11-22 / 20120295733 - INTERCHANGEABLE SHAFT SYSTEM | 9 |
Peter George Hartwell | US | Sunnyvale | 2014-02-06 / 20140034820 - LIGHT-DETECTION SYSTEMS | 9 |
Eric Gordon Hartwell | CA | Waterloo | 2012-02-09 / 20120036441 - INTERFACE FOR MOBILE DEVICE AND COMPUTING DEVICE | 1 |
Joshua Hartwell | US | Santa Monica | 2016-03-10 / 20160071300 - SYSTEMS AND METHODS FOR MEDIA STREAMING AND PRESENTATION IN AN APPLICATION ENVIRONMENT | 5 |
Bradford Hughes Hartwell | US | Encinitas | 2015-02-05 / 20150038250 - INTERCHANGEABLE SHAFT SYSTEM | 12 |
Peter Hartwell | US | Sunnyvale | 2010-05-06 / 20100109579 - RESONATOR HAVING A STATOR COUPLED TO THREE STATOR VOLTAGES | 1 |
Dustin Michael Hartwell | US | Saginaw | 2014-02-13 / 20140046815 - Economies of scope data compatibility process | 1 |
Mark S. Hartwell | US | Milford | 2015-11-26 / 20150335969 - GOLF BAG DRAPE | 2 |
Chris Hartwell | US | Plano | 2008-09-25 / 20080231228 - BI-DIRECTIONAL CHARGING/INTEGRATED POWER MANAGEMENT UNIT | 1 |
Warren R. Hartwell | US | Fall River | 2009-05-21 / 20090126221 - DRYING TRAY AND METHOD OF USE | 1 |
David W. Hartwell | US | Bolton | / - | 1 |
Chester Talbot Hartwell | US | Saugerties | 2010-01-21 / 20100013607 - METHOD AND APPARATUS FOR PROVIDING A COMMUNICATION LINK | 1 |
Chris Hartwell | US | Suwanee | 2010-02-11 / 20100031578 - ADA COMPLIANT COLLAPSIBLE THRESHOLD FOR USE WITH A SLIDING DOOR ASSEMBLY | 1 |
Aaron Hartwell | US | Duvall | 2015-08-20 / 20150235178 - OUT OF OFFICE MESSAGE IMPROVEMENTS | 8 |
Edward Yerbury Hartwell | GB | Huli | 2011-09-01 / 20110213319 - WOUND TREATMENT APPARATUS AND METHOD | 1 |
Wesley R. Hartwell | US | Sykesville | 2015-12-31 / 20150381591 - Secure Transmission Over Satellite Phone Network | 3 |
Edward Yerbury Hartwell | GB | Heslington | 2016-05-05 / 20160120706 - WOUND DRESSING SEALANT AND USE THEREOF | 3 |
Aaron Jady Hartwell | US | Duvall | 2014-06-12 / 20140164886 - MODIFICATION OF FILE GRAPHIC APPEARANCE WITHIN A COLLECTION CANVAS | 1 |
Mark David Hartwell | CA | Sylvan Lake | 2010-01-21 / 20100012872 - Valve Apparatus | 2 |
Ryan Hartwell | CA | Shanty Bay | 2010-09-16 / 20100234784 - INTERPENETRATING NETWORK OF PVA HYDROGEL COOL-COMPRESSION BANDAGE | 2 |
Rae Hartwell | CA | Surrey | 2008-11-06 / 20080271311 - DEVICE FOR ASSEMBLING A BANDED FUEL CELL STACK | 1 |
Edward Hartwell | UK | Hull | 2011-04-14 / 20110087179 - WOUND CLEANSING APPARATUS IN-SITU | 1 |
Edward Hartwell | UK | Brough, Hull, East Rding Of Yorkshire | 2011-04-14 / 20110087176 - APPARATUS FOR ASPIRATING, IRRIGATING AND CLEANSING WOUNDS | 1 |
Edward Yerbury Hartwell | GB | Hull | 2016-04-21 / 20160106892 - FLUIDIC CONNECTOR FOR IRRIGATION AND ASPIRATION OF WOUNDS | 43 |
Joshua Hartwell | US | 2015-10-01 / 20150281307 - SYSTEMS AND METHODS FOR MEDIA STREAMING AND PRESENTATION IN AN APPLICATION ENVIRONMENT | 1 | |
Paul Hartwell | US | Olathe | 2014-09-18 / 20140278519 - Expression of Clinical Logic with Positive and Negative Explainability | 1 |
David Bell Hartwell | US | Minneapolis | 2011-09-29 / 20110232850 - MODULAR PANEL ASSEMBLY | 1 |
Edward Hartwell | GB | York | 2015-12-03 / 20150343122 - WOUND TREATMENT APPARATUS WITH EXUDATE VOLUME REDUCTION BY HEAT | 19 |
Peter George Hartwell | US | Sunnyvale | 2014-02-06 / 20140034820 - LIGHT-DETECTION SYSTEMS | 9 |
Edward Yerbury Hartwell | GB | York | 2016-03-24 / 20160081859 - WOUND DRESSING | 11 |
Aaron Hartwell | US | Duvall | 2015-08-20 / 20150235178 - OUT OF OFFICE MESSAGE IMPROVEMENTS | 8 |
Peter D. Hartwell | US | Hinckley | 2014-06-05 / 20140155513 - Methods of Forming Compositions and Articles | 1 |
M'Lynn Hartwell | US | Traverse City | 2013-08-15 / 20130207423 - BICYCLE SEAT COVER WITH INTEGRATED STORAGE DEVICE | 1 |
Edward Yerbury Hartwell | GB | Heslington, York, Yorkshire | 2016-04-14 / 20160100987 - MOISTURE INDICATING SYSTEM | 1 |
Michael A. Hartwell | US | Englewood | 2015-08-13 / 20150224542 - MOBILE TURF INFILL RECLAMATION SYSTEM | 2 |
Samantha Dawn Hartwell | GB | Hull | 2014-11-27 / 20140350494 - TISSUE HEALING | 1 |
Bradford Hughes Hartwell | US | Carlsbad | 2012-11-22 / 20120295733 - INTERCHANGEABLE SHAFT SYSTEM | 9 |
Ryan Hartwell | CA | Vancouver | 2016-05-05 / 20160120857 - Anti-Fibrogenic Compounds, Methods and Uses Thereof | 1 |
Edward Hartwell | GB | Hull | 2014-05-15 / 20140135718 - MODULAR WOUND TREATMENT APPARATUS WITH RELEASABLE CLIP CONNECTION | 2 |
David B. Hartwell | US | Minneapolis | 2014-07-10 / 20140190464 - Cutting Method for Stone Laminate Panels | 2 |
Douglas A Hartwell | US | Vergennes | 2014-03-27 / 20140084037 - Convertible Child Carrier | 1 |
Bradford Hughes Hartwell | US | Encinitas | 2015-02-05 / 20150038250 - INTERCHANGEABLE SHAFT SYSTEM | 12 |
Kimberly Hartwell | US | Brookline | 2013-12-19 / 20130338092 - COMPOUNDS AND METHODS FOR TARGETING LEUKEMIC STEM CELLS | 1 |
Edward Yerbury Hartwell | GB | Brough | 2015-09-24 / 20150265754 - DRESSING AND APPARATUS FOR CLEANSING THE WOUNDS | 8 |
Florian Hartwich | DE | Reutlingen | 2015-11-26 / 20150339254 - Data Transmission Using A Protocol Exception State | 47 |
Gerhard Hartwich | DE | Munchen | 2014-09-25 / 20140288461 - SWAB HAVING A LUER CONNECTION | 3 |
Christa Hartwich | DE | Elmshorn | 2015-10-01 / 20150272865 - HAIR CARE PRODUCTS WITH ANTI-DANDRUFF AGENTS AND SELECTED SILICONES CONTAINING SUGAR STRUCTURES | 8 |
Anika Hartwich | DE | Coburg | 2016-02-04 / 20160032918 - DEVELOPMENT OF A HIGHER-LEVEL MODEL | 2 |
Jessica Hartwich | DE | Neubiberg | 2009-07-23 / 20090184355 - INTEGRATED CIRCUIT ARRANGEMENT WITH CAPACITOR AND FABRICATION METHOD | 1 |
Stanley K. Hartwich | US | Onaga | 2011-12-22 / 20110311309 - Blade attachment for motor grader | 1 |
Jessica Hartwich | DE | Dresden | 2010-04-01 / 20100078711 - METHOD OF MANUFACTURING INTEGRATED CIRCUITS INCLUDING A FET WITH A GATE SPACER | 7 |
Jessica Hartwich | DE | Sauerlach | 2013-03-21 / 20130067723 - DEVICE FOR FORMING A REDUCED CHAMBER SPACE, AND METHOD FOR POSITIONING MULTILAYER BODIES | 2 |
Reinhold Hartwich | DE | Pleystein | 2012-08-23 / 20120213338 - C-ARM X-RAY MACHINE WITH COUNTERBALANCE | 3 |
Christa Hartwich | DE | Elmshorn | 2015-10-01 / 20150272865 - HAIR CARE PRODUCTS WITH ANTI-DANDRUFF AGENTS AND SELECTED SILICONES CONTAINING SUGAR STRUCTURES | 8 |
Ty Hartwick | US | Pella | 2015-11-12 / 20150322634 - SYSTEMS AND METHODS FOR SENSING WEAR OF REDUCING ELEMENTS OF A MATERIAL REDUCING MACHINE | 11 |
Ty Hartwick | US | 2015-11-12 / 20150322634 - SYSTEMS AND METHODS FOR SENSING WEAR OF REDUCING ELEMENTS OF A MATERIAL REDUCING MACHINE | 1 | |
Thomas Hartwick | US | Snohomish | 2014-12-18 / 20140368121 - SYSTEM AND APPARATUS FOR APPLYING AN ELECTRIC FIELD TO A COMBUSTION VOLUME | 1 |
Darrell James Hartwick | US | Newton | 2010-10-28 / 20100274088 - Flexible Medical Instrument | 1 |
Thomas S. Hartwick | US | Snohomish | 2016-02-11 / 20160040946 - METHOD AND APPARATUS FOR ELECTRICAL CONTROL OF HEAT TRANSFER | 7 |
Michael Douglas Hartwick | US | Bedford | 2013-04-25 / 20130102441 - Exercise machine that simulates surfing with an integrated paddling apparatus and instability board | 1 |
Patrick W. Hartwick | US | Sugar Land | 2015-11-26 / 20150337781 - Diesel Gaseous Fuel Supplementation System and Method | 1 |
David Joseph Hartwick | US | Aliquippa | 2015-09-10 / 20150254546 - MULTIPLE LAYER CARD CIRCUIT BOARDS | 2 |
John Hartwick | US | Wauwatosa | 2016-04-07 / 20160096754 - Wastewater Treatment System | 1 |
Darrell Hartwick | US | Newton | 2014-02-27 / 20140054356 - Circumferential Full Thickness Resectioning Device | 1 |
Darrell J. Hartwick | US | Newton | 2014-09-18 / 20140265078 - Methods and Mechanisms for the Adjustment of Stiffness in Various Equipment and Devices | 2 |
David J. Hartwick | US | Aliquippa | 2011-11-24 / 20110284640 - SYSTEMS AND METHODS FOR CARDS AND DEVICES OPERABLE TO COMMUNICATE VIA LIGHT PULSING | 2 |
Erika Hartwieg | US | Belmont | 2010-04-15 / 20100093022 - METHODS AND APPARATUS FOR PROVIDING AND PROCESSING SLICED THIN TISSUE | 1 |
Jürgen Hartwig | DE | Leichilingen | 2010-02-18 / 20100041659 - Compositions for the control of plant pests | 1 |
Jordan Hartwig | DE | Bergisch Gladbach | 2014-08-07 / 20140221691 - METHOD FOR PRODUCING RING-HALOGENATED N,N-DIALKYLBENZYLAMINES | 1 |
Gunthar Hartwig | US | Berkeley | 2014-08-21 / 20140237098 - ORGANIZING SOCIAL ACTIVITY INFORMATION ON A SITE | 4 |
Richard G. Hartwig | US | Menlo Park | 2010-09-30 / 20100250986 - Method and Device for Improving Battery Life of a Mobile Computing Device | 1 |
Wolfgang Hartwig | DE | Delmenhorst | 2011-04-14 / 20110084173 - METHOD AND DEVICE FOR PROVIDING AUTOMATIC LOAD ALLEVIATION TO A HIGH LIFT SURFACE SYSTEM, IN PARTICULAR TO A LANDING FLAP SYSTEM, OF AN AIRCRAFT | 2 |
Arne Hartwig | DE | Hamburg | 2012-03-08 / 20120056037 - FUSELAGE CELL STRUCTURE FOR AN AIRCRAFT IN HYBRID DESIGN | 3 |
Erik Hartwig | SE | Stockholm | 2016-03-03 / 20160066004 - SYSTEMS AND METHODS FOR TEMPORARY ACCESS TO MEDIA CONTENT | 3 |
Jürgen Hartwig | DE | Leichlingen | 2010-08-19 / 20100210691 - Compositions for the Control of Plant Pests | 1 |
Markus Hartwig | GB | Milton Keynes | 2012-11-01 / 20120278895 - METHODS AND APPARATUS FOR DEALING WITH MALWARE | 2 |
John F. Hartwig | US | Champaign | 2013-08-29 / 20130225815 - Fluoroalkylation Methods And Reagents | 4 |
John H. Hartwig | US | Jamaica Plain | 2013-03-07 / 20130059287 - Prolonging Survival of Platelets Using CMP-Sialic Acid, UDP-Galactose or Both | 3 |
Karl-Heinz Hartwig | DE | Hohenstein-Ernstthal | 2012-11-15 / 20120285412 - IN-LINE INTERNAL COMBUSTION ENGINE HAVING A MULTI-JOINT CRANK DRIVE AND A SINGLE BALANCE SHAFT FOR DAMPING SECOND-ORDER INERTIA FORCES | 1 |
Kerry Hartwig | US | Iowa Falls | 2015-03-19 / 20150075263 - Moisture Sensing Device For Grain Handling | 1 |
Rainer Hartwig | DE | Hambuhren | 2011-11-24 / 20110284295 - CONTAINER SUPPORT DEVICE | 1 |
Ulrich Hartwig | DE | Berliin | 2015-06-04 / 20150153636 - Light Module for a Projection Device, DLP Projector and Method for Producing a Dichroic Mirror | 1 |
Ulrich Hartwig | DE | Berlin | 2015-09-24 / 20150270682 - LIGHT SOURCE ARRANGEMENT | 28 |
Rod Hartwig | US | Davie | 2010-12-09 / 20100310610 - COMPOSITIONS AND METHODS FOR CONTROLLING DRUG LOSS AND DELIVERY IN TRANSDERMAL DRUG DELIVERY SYSTEMS | 1 |
Judith Hartwig | DE | Grosselfingen | 2013-01-03 / 20130001192 - METHOD OF REDUCING RIGIDITY OF ANGIOPLASTY BALLOON SECTIONS | 4 |
Gunthar Hartwig | US | San Jose | 2009-01-22 / 20090024927 - Embedded Video Playlists | 2 |
Andreas Hartwig | DE | Ritterhude | 2014-03-06 / 20140061055 - REMOVABLE ANODISING AGENT, IN PARTICULAR FOR LOCAL ANODIC OXIDATION OF METAL SURFACES | 4 |
Ralf Hartwig | DE | Berlin | 2012-02-16 / 20120041688 - METHOD FOR GAS ANALYSIS OF ON-LOAD TAP CHANGERS | 1 |
Stephan Hartwig | DE | Essen | 2011-06-16 / 20110143661 - METHOD, DEVICE AND SYSTEM FOR FIRMWARE UPDATE BY NEAR-FIELD COMMUNICATION | 3 |
Klaus Hartwig | FR | Nancy | 2016-05-12 / 20160129623 - APPARATUS AND METHOD FOR FABRICATING CONTAINERS | 15 |
Ruben Hartwig | DE | Esslingen | 2010-03-18 / 20100065159 - Method for producing and assembling superheater tubes of steam generators | 3 |
Cindy Liao Hartwig | US | Sammamish | 2015-09-17 / 20150261411 - DISPLAYING COLLABORATION ICONS FOR COLLABORATION STATES | 1 |
John Hartwig | US | Berkeley | 2015-11-26 / 20150336926 - FLUORINATION OF ARYL COMPOUNDS | 4 |
Ulrich Hartwig | DE | Berlin | 2015-09-24 / 20150270682 - LIGHT SOURCE ARRANGEMENT | 28 |
Sverkre Hartwig | SE | Taby | 2009-03-12 / 20090065230 - Impulse generator and impulse tool with impulse generator | 1 |
Jürgen Hartwig | DE | Leichilingen | 2010-02-18 / 20100041659 - Compositions for the control of plant pests | 1 |
Matthew Hartwig | US | Lansdale | 2011-06-30 / 20110159974 - Playing Surface for a Gaming Table and Method of Producing Same | 1 |
John F. Hartwig | US | Berkeley | 2016-02-25 / 20160052854 - METAL-CATALYZED COUPLING OF ARYL AND VINYL HALIDES WITH ALPHA, ALPHA-DIFLUOROCARBONYL COMPOUNDS | 4 |
Benedikt Hartwig | DE | Darmstadt | 2016-05-19 / 20160135759 - PERSONALIZED DETECTION SYSTEM FOR DETECTING MAGNETIC OBJECTS IN THE HUMAN ORGANISM | 5 |
Falk Hartwig | DE | Munich | 2016-03-31 / 20160089015 - METHOD FOR CORRECTING AN OCT IMAGE AND COMBINATION MICROSCOPE | 1 |
Hermann Hartwig | DE | Munich | 2015-12-03 / 20150350931 - RADIO COMMUNICATIONS SYSTEM | 1 |
Robert Hartwig | DE | Dahlwitz-Hoppegarten | 2011-12-22 / 20110308691 - METHOD AND DEVICE FOR FORMING A PACKET-LIKE BACK-TO-BACK WAFER BATCH | 1 |
Karl T. Hartwig | US | College Station | 2013-10-24 / 20130276501 - Apparatus for Deformation of Solid Sections | 2 |
Bernd Hartwig | DE | Erlangen | 2012-02-23 / 20120043817 - DRIVE SYSTEM FOR A FACILITY HAVING AN ALTERNATING-CURRENT ISOLATED NETWORK | 1 |
Timothy Robert Hartwig | US | Dekalb | 2012-09-20 / 20120234505 - GARAGE DOOR STORAGE SPACE UTILIZER | 1 |
Edward James Hartwig | US | Sharon | 2012-09-20 / 20120234505 - GARAGE DOOR STORAGE SPACE UTILIZER | 1 |
Klaus Hartwig | DE | Nancy | 2009-10-29 / 20090270564 - METHOD FOR THE DIRECT PRODUCTION OF POLYESTER ARTICLES FOR PACKAGING PURPOSES AND ARTICLES OBTAINED THEREFROM | 1 |
John R. Hartwig | US | Sunnyvale | 2014-09-11 / 20140253575 - TRANSPOSE OF IMAGE DATA BETWEEN A LINEAR AND A Y-TILED STORAGE FORMAT | 1 |
Sverker Hartwig | SE | Taby | 2015-10-01 / 20150275663 - Drilling Rig And Method Of Manoeuvring A Drilling Rig | 5 |
Jürgen Hartwig | DE | Leichlingen | 2010-08-19 / 20100210691 - Compositions for the Control of Plant Pests | 1 |
Thomas Hartwig | DE | Baiersdorf | 2008-09-04 / 20080215523 - METHOD FOR ASSOCIATION CHECKING OF STRUCTURED DATA SETS FROM WHICH PATIENT IDENTIFICATION DATA CAN BE DETERMINED IN A PATIENT ADMINISTRATION SYSTEM WITH ELECTRONIC PATIENT RECORDS | 1 |
Klaus Hartwig | FR | Nancy | 2016-05-12 / 20160129623 - APPARATUS AND METHOD FOR FABRICATING CONTAINERS | 15 |
Johannes Hartwig | DE | Seeheim-Jugenheim | 2013-09-19 / 20130240074 - INTERCHANGEABLE VALVE FOR A VALVE BLOCK USED WITH A GLASS MACHINE | 2 |
Judith Hartwig | DE | Dotternhausen | 2012-06-14 / 20120150108 - CATHETER | 1 |
Cody Hartwig | US | Sammamish | 2014-12-18 / 20140372786 - Virtual Per-Processor Timers for Multiprocessor Systems | 1 |
Rod Hartwig | US | Miami | 2011-10-27 / 20110263705 - TRANSDERMAL DELIVERY OF KETOPROFEN POLAR DERIVATES | 2 |
Sven Hartwig | DE | Hambuhren | 2013-04-25 / 20130102719 - DISPERSION OF CARBONACEOUS NANOPARTICLES AND METHOD OF MAKING THE SAME | 2 |
Hugh Hartwig | US | Madison | 2009-02-19 / 20090048540 - Wearable Health Monitoring Device and Methods for Fall Detection | 1 |
Rod Hartwig | US | Cooper City | 2014-08-28 / 20140243764 - COMPOSITIONS AND METHODS FOR CONTROLLING DRUG LOSS AND DELIVERY IN TRANSDERMAL DRUG DELIVERY SYSTEMS | 3 |
Sergej Hartwig-Biglau | DE | Lohne | 2016-03-10 / 20160067913 - SETTING WELDING DEVICE, MODULAR COMPONENTS THEREOF AND A CONTINUOUS CONNECTING METHOD THAT CAN BE CARRIED OUT WITH SUCH A DEVICE | 3 |
Michael Harty | GB | Flintshire | 2013-09-19 / 20130246184 - METHOD AND SYSTEM FOR DISPLAYING A CONTEXTUAL ADVERTISEMENT ON A WEBPAGE | 1 |
Michael Harty | US | Canton | 2014-09-18 / 20140263620 - PICKER FOR USE WITH AN AUTOMATED BANKING MACHINE | 1 |
Richard F. Harty | US | Oklahoma City | 2013-04-18 / 20130096093 - COMPOSITIONS AND METHODS OF TREATMENT FOR INFLAMMATORY DISEASES | 7 |
Talbot Harty | US | Sutter Creek | 2015-04-09 / 20150101031 - VERIFICATION THAT AN AUTHENTICATED USER IS IN PHYSICAL POSSESSION OF A CLIENT DEVICE | 5 |
Ryan Harty | US | Long Beach | 2014-09-11 / 20140251495 - METHOD AND SYSTEM FOR TANK REFILLING | 3 |
Nanette C. Harty | US | Holly Hill | 2014-08-28 / 20140238805 - CORD DISPENSING APPARATUS | 2 |
James Harty | IE | Cork | 2015-05-07 / 20150127002 - INTRAMEDULLARY NAILS FOR LONG BONE FRACTURE SETTING | 2 |
David Harty | IE | Douglas | 2013-10-17 / 20130271155 - IMPEDANCE MEASUREMENT DEVICE AND METHOD | 1 |
Talbot Harty | US | San Francisco | 2014-12-04 / 20140359736 - DYNAMIC VOICEPRINT AUTHENTICATION | 1 |
John P. Harty | US | Bellevue | 2008-11-27 / 20080292998 - PULSE DETONATION CLEANING APPARATUS | 2 |
Robert D. Harty | US | Mokena | 2012-08-23 / 20120210490 - PROTECTIVE TEMPERATURE HELMET, PROTECTIVE TEMPERATURE HELMET LINER | 3 |
Kevin Michael Harty | CA | Edmonton | 2011-11-24 / 20110285156 - SUPPORT BECKET FOR RIG OPERATIONS | 2 |
Michael J. Harty | US | Canton | 2016-05-05 / 20160125375 - BANKING SYSTEM CONTROLLED RESPONSIVE TO DATA BEARING RECORDS | 4 |
John Harty | IE | Causeway | 2013-05-09 / 20130112142 - MILKING APPARATUS AND A METHOD FOR PRESENTING A TEAT CUP FOR ATTACHING TO AN ANIMAL | 1 |
Kevin Harty | CA | Calgary | 2014-01-30 / 20140031135 - SAFETY GUARD FOR A ROTATABLE MEMBER | 1 |
Patricia A. Harty | US | West Islip | 2011-02-10 / 20110030119 - RAPID INTERVENTION RESCUE HARNESS | 1 |
Edmond Patrick Harty | IE | Ballyheigue | 2016-05-19 / 20160135433 - A METHOD, A DEVICE AND A SYSTEM FOR DETERMINING A STATE OF AN ANIMAL | 2 |
Michael Harty | US | North Canton | 2009-10-01 / 20090242625 - Automated transaction machine system | 2 |
Damian Harty | GB | Coventry | 2012-02-23 / 20120046828 - Ride Height Control System and Method for Controlling Load Distribution at Target Ride Height in a Vehicle Suspension System | 2 |
Michael J. Harty | US | North Canton | 2010-11-18 / 20100288831 - Automated banking machine currency presenter arrangement | 1 |
Ryan Douglas Roy Harty | US | Long Beach | 2013-08-08 / 20130199660 - COMMUNICATION DEVICE ACTIVATED BY FUEL DOOR | 4 |
Michael P. Harty | US | West Islip | 2012-11-01 / 20120272451 - RAPID INTERVENTION RESCUE DEVICE | 3 |
John Harty | IE | County Ireland | 2010-05-13 / 20100116220 - BAIL ELEMENT FOR ANIMAL BAIL APPARATUS, AN ANIMAL BAIL APPARATUS COMPRISING A PLURALITY OF THE BAIL ELEMEMTS, AND A METHOD FOR PROVIDING ANIMAL BAIL APPARATUS | 1 |
Richard Harty | US | Oklahoma City | 2012-05-10 / 20120111756 - ENEMA FORMULATIONS | 2 |
Kevin Michael Harty | CA | Calgary | 2013-03-14 / 20130062048 - PULLING CLAMP FOR CONTINUOUS ROD OR COILED TUBING STRINGS | 1 |
Edmond Patrick Harty, Jr. | IE | Ballyheigue | 2013-08-08 / 20130199450 - ANIMAL FEED DISPENSING APPARATUS AND A SYSTEM FOR DISPENSING ANIMAL FEED | 1 |
Edmond Patrick Harty, Jr. | IE | Causeway | 2013-05-09 / 20130112142 - MILKING APPARATUS AND A METHOD FOR PRESENTING A TEAT CUP FOR ATTACHING TO AN ANIMAL | 1 |
Edmond Patrick Harty, Jr. | IE | County Kerry | 2010-05-27 / 20100126420 - MILKING SYSTEM AND A METHOD AND APPARATUS FOR MINIMISING POWER REQUIREMENT OF A VACUUM OPERATED MILKING SYSTEM | 2 |
Edmond Patrick Harty, Sr. | IE | Causeway | 2013-08-08 / 20130199450 - ANIMAL FEED DISPENSING APPARATUS AND A SYSTEM FOR DISPENSING ANIMAL FEED | 3 |
Helge Hartz | DE | Kurort Volkersdorf | 2010-02-04 / 20100024724 - APPARATUS AND METHOD FOR REMOVING BUBBLES FROM A PROCESS LIQUID | 1 |
Nikolai F. Hartz | DE | Munich | 2010-01-28 / 20100023371 - Marketplace in Ideas | 1 |
Hauke Hartz | DE | Kiel | 2016-04-28 / 20160118643 - METHOD FOR MANUFACTURING AN ELECTRODE FOR LITHIUM ION BATTERIES | 2 |
Christopher L. Hartz | US | Slatington | 2015-12-03 / 20150345037 - Divided Electrochemical Cell and Low Cost High Purity Hydride Gas Production Process | 4 |
Adrian Hartz | US | Woodbury | 2016-03-10 / 20160067660 - APPARATUS, METHOD AND SYSTEM FOR PROVIDING AN AUXILIARY FLUSH TO A CENTRAL CHEMICAL DISPENSING SYSTEM | 2 |
Theodore A. Hartz | US | Mohnton | 2009-06-04 / 20090139459 - Canine certification method | 1 |
George Hartz | US | Salem | 2009-01-15 / 20090019436 - Augmenting a Virtual Machine Hosting Environment from within a Virtual Machine | 1 |
Oliver Hartz | DE | Limburgerhof | 2015-08-27 / 20150239222 - USE OF AQUEOUS POLYURETHANE DISPERSIONS FOR LAMINATING MOLDED ARTICLES | 8 |
Adrian Eugene Hartz | US | Woodbury | 2011-10-06 / 20110240887 - Handheld Fluorometer and Method of Use | 1 |
Eric W. Hartz | US | Atlanta | 2010-05-13 / 20100121747 - METHOD OF PROCESSING APARTMENT TENANT STATUS INFORMATION | 2 |
Phillip Hartz | US | Naperville | 2013-08-22 / 20130214521 - Fender Assembly For Off-Highway Machine | 1 |
George E. Hartz | US | Salem | 2016-04-14 / 20160105497 - CONTEXTUALLY INTERACTING WITH APPLICATIONS | 2 |
James F. Hartz | US | Indianapolis | 2016-03-17 / 20160076648 - CONNECT-DISCONNECT APPARATUS FOR A VEHICLE DRIVETRAIN | 3 |
Adrian E. Hartz | US | Woodbury | 2013-03-07 / 20130056039 - Use of Recycled Wash and Rinse Water for the Pre-Rinse Operation of Dishes | 4 |
Andrew J. Hartz | US | Vancouver | 2009-10-15 / 20090255937 - FOOD STORAGE AND TRANSPORT DEVICE | 1 |
George Hartz | US | Andover | 2011-03-03 / 20110055912 - METHODS AND APPARATUS FOR ENABLING CONTEXT SHARING | 1 |
Richard A. Hartz | US | Middletown | 2015-10-15 / 20150291655 - TRITERPENOIDS WITH HIV MATURATION INHIBITORY ACTIVITY | 5 |
Bobbi L. Hartz | US | Vancouver | 2009-10-15 / 20090255937 - FOOD STORAGE AND TRANSPORT DEVICE | 1 |
Oliver Hartz | DE | Limburgerhof | 2015-08-27 / 20150239222 - USE OF AQUEOUS POLYURETHANE DISPERSIONS FOR LAMINATING MOLDED ARTICLES | 8 |
Sarah M. Hartz | US | Champaign | 2009-01-01 / 20090004638 - LATENT PROPERTY DIAGNOSING PROCEDURE | 1 |
David Charles Hartze | US | Everett | 2013-01-03 / 20130005336 - PASSENGER MOBILE STATION REGISTRATION WITH A VEHICLE COMMUNICATIONS SYSTEM USING PASSENGER INFORMATION | 1 |
William Hartzel | US | Cherry Hill | 2008-10-23 / 20080261050 - Multilayer Fluoropolymer Films | 1 |
Ronald D. Hartzel | US | Butler | 2011-06-23 / 20110149482 - METHOD AND APPARATUS TO MOVE AN ARCING FAULT TO A DIFFERENT LOCATION IN AN ELECTRICAL ENCLOSURE | 2 |
William J. Hartzel | US | Cherry Hill | 2014-11-13 / 20140333002 - MELT PROCESSABLE COMPOSITION FROM RECYCLED MULTI-LAYER ARTICLES CONTAINING A FLUOROPOLYMER LAYER | 4 |
Bruce Hartzell | US | Mickleton | 2011-08-18 / 20110198426 - SYSTEM, METHOD AND APPARATUS FOR PROCESSING FIBER MATERIALS | 1 |
Dale Hartzell | US | Boulder | 2015-09-24 / 20150271635 - SYSTEMS AND METHODS FOR USING SPATIAL AND TEMPORAL ANALYSIS TO ASSOCIATE DATA SOURCES WITH MOBILE DEVICES | 2 |
Raymond P. Hartzell | US | Perrysburg | 2011-05-19 / 20110115168 - Seal With Snap-In Back-Up Ring | 1 |
John W. Hartzell | US | Camas | 2014-07-17 / 20140198072 - In-Pixel Ultrasonic Touch Sensor for Display Applications | 8 |
Bruce A. Hartzell | US | Mickleton | 2014-01-09 / 20140010039 - SYSTEM, METHOD AND APPARATUS FOR PROCESSING FIBER MATERIALS | 1 |
Bret E. Hartzell | US | Canal Fulton | 2014-09-18 / 20140277191 - ARTHRODESIS DEVICE AND METHOD OF USE | 1 |
Kristin E. Hartzell | US | Massillon | 2012-05-24 / 20120129950 - ANTIMICROBIAL COMPOSITIONS | 2 |
Bret E. Hartzell | US | Massillon | 2015-02-12 / 20150045886 - APPARATUS AND METHOD FOR SEQUENTIALLY ANCHORING MULTIPLE GRAFT LIGAMENTS IN A BONE TUNNEL | 4 |
John Hartzell | US | North Little Rock | 2013-12-05 / 20130319224 - FLOATING OPTICAL SENSOR MOUNT | 1 |
Troy Hartzell | US | Seattle | 2015-04-23 / 20150111559 - SYSTEM, METHOD AND ARTICLE FOR MANAGING MOBILE DEVICES | 1 |
Troy Hartzell | US | Twin Falls | 2011-10-27 / 20110259805 - SYSTEM FOR REMOVING PARTICULATE MATTER FROM WASTEWATER | 1 |
Andrew K. Hartzell | US | Hudson | 2015-12-10 / 20150352667 - MATERIAL PROCESSING SYSTEM WITH LOW-INERTIA LASER SCANNING AND END EFFECTOR MANIPULATION | 10 |
Dan E. Hartzell | US | Fort Worth | 2014-05-22 / 20140138413 - Annular adhesive bead application | 1 |
Andrew K. Hartzell | US | Hudson | 2015-12-10 / 20150352667 - MATERIAL PROCESSING SYSTEM WITH LOW-INERTIA LASER SCANNING AND END EFFECTOR MANIPULATION | 10 |
John W. Hartzell | US | Camas | 2014-07-17 / 20140198072 - In-Pixel Ultrasonic Touch Sensor for Display Applications | 8 |
Rex Hartzell | US | Topeka | 2009-05-14 / 20090124920 - BIOFEEDBACK DEVICES, SYSTEMS AND METHOD | 1 |
Scott Hartzell | US | Pelham | 2015-08-20 / 20150235315 - METHODS AND SYSTEMS FOR COMBINING SECURITIES AND CARBON CREDITS | 3 |
Robert Hartzell | US | Emerald Hills | 2009-10-01 / 20090247010 - Method and Apparatus to Provide Electromagnetic Interference Shielding of Optical-Electrical Module | 1 |
Jeremiah Hartzell | US | Irvine | 2014-08-28 / 20140243153 - SYSTEMS FOR SIMULTANEOUSLY CONTRACTING BODY CORE MUSCLES AND A COMPUTERISED INSTRUCTIONAL UNIT FOR FACILITATING SAME | 4 |
Ray C. Hartzell | US | Defiance | 2009-07-16 / 20090178638 - MULTIPLE AXLE TAPPET LIFTER ASSEMBLY | 1 |
Matthew Jon Hartzler | US | Chanhassen | 2013-07-25 / 20130191462 - PRIORITIZING AND PROVIDING INFORMATION ABOUT USER CONTACTS | 1 |
Chad A. Hartzler | US | Story City | 2012-09-13 / 20120227859 - QUALITY CONTROL PROCESS FOR REPACKAGING AGRICULTURAL CHEMICAL SHUTTLE TANKS | 1 |
Jeffrey Hartzler | US | Minnetonka | 2015-07-16 / 20150198347 - WIRELESS CONTROLLER WITH GATEWAY | 2 |
Matthew Hartzler | US | Kirkland | 2012-08-30 / 20120221596 - Method and System for Automated Search for, and Retrieval and Distribution of, Information | 5 |
Aaron Lee Hartzler | US | Lafayette | 2015-03-05 / 20150059905 - HYBRID MARMAN CLAMP DESIGN | 1 |
Mark A. Hartzler | US | Marshallville | 2009-04-02 / 20090084876 - Chipper feed mechanism and throat opening sensor for use therewith | 1 |
Matt Hartzler | US | Chanhassen | 2013-03-14 / 20130067039 - SYSTEM AND METHOD OF SUGGESTING SUPPLEMENTAL CONTACT DATA TO A COMPUTING DEVICE | 1 |
Andrea L. Hartzler | US | Burien | 2014-09-18 / 20140278455 - Providing Feedback Pertaining to Communication Style | 1 |
Aaron L. Hartzler | US | Lafayette | 2013-10-31 / 20130287520 - Coupling arrangement for providing controlled loading | 1 |
Jeffrey S. Hartzler | US | Minnetonka | 2010-07-01 / 20100168924 - WIRELESS CONTROLLER WITH GATEWAY | 1 |
Chad Hartzog | US | Kokomo | 2014-04-10 / 20140097797 - ENERGY STORAGE SYSTEM | 9 |
Chad A. Hartzog | US | Kokomo | 2010-06-10 / 20100141268 - SYSTEM AND METHOD FOR MEASURING SERIES-CONNECTED CELL VOLTAGES USING A FLYING CAPACITOR WITH SELF CALIBRATION | 1 |
Chad Hartzog | US | Kokomo | 2014-04-10 / 20140097797 - ENERGY STORAGE SYSTEM | 9 |
Basil Hartzoulakis | GB | London | 2012-10-25 / 20120269864 - Arginine Derivatives with NP-I Antagonistic Activity | 3 |
Basil Hartzoulakis | GB | Pampisford | 2014-12-18 / 20140371203 - Thieno- and furo - pyrimidines and pyridines, useful as potassium channel inhibitors | 2 |
Basil Hartzoulakis | GB | Cambridge | 2015-09-17 / 20150259282 - Potassium Channel Blockers | 6 |
Minoru Haru | JP | Osaka | 2014-07-10 / 20140191703 - DRIVE CONTROL DEVICE, ELECTRICAL APPARATUS AND DRIVE CONTROL METHOD | 1 |
Kazuhiko Haru | JP | Tokyo | 2008-09-04 / 20080215714 - REDUNDANCY SWITCHING METHOD | 1 |
Yoshitaka Haru | JP | Kanagawa | 2009-05-28 / 20090137278 - PORTABLE COMMUNICATIONS TERMINAL | 1 |
Katsuaki Harubayashi | JP | Sodegaura-Shi | 2011-01-27 / 20110022014 - EXTENSIBLE NONWOVEN FABRIC AND COMPOSITE NONWOVEN FABRIC COMPRISING SAME | 1 |
Takashi Haruguchi | JP | Fukuoka-Shi | 2010-12-16 / 20100315936 - OBJECTIVE LENS, OPTICAL PICK-UP DEVICE, AND OPTICAL DISK DEVICE | 2 |
Daiki Haruguchi | JP | Osaka | 2015-12-24 / 20150368655 - TRANSFORMED EUGLENA AND PROCESS FOR PRODUCING SAME | 1 |
Yoshiko Haruguchi | JP | Yokohama | 2015-10-22 / 20150302943 - SOLIDIFIED BODY OF RADIOACTIVE WASTE AND PRODUCTION METHOD THEREOF | 1 |
Takashi Haruguchi | JP | Fukuoka | 2013-11-14 / 20130305268 - OPTICAL PICKUP DEVICE | 3 |
Hideyo Haruhana | JP | Hamamatsu-Shi | 2012-12-13 / 20120313610 - Power Supply Control Circuit | 1 |
Yutaka Haruki | JP | Kyoto | 2012-06-07 / 20120142434 - STORAGE MEDIUM STORING INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND INFORMATION PROCESSING SYSTEM | 1 |
Satoshi Haruki | JP | Kanagawa | 2014-11-13 / 20140334046 - SEMICONDUCTOR CIRCUIT | 3 |
Masayoshi Haruki | JP | Nagaokakyo-Shi | 2015-01-15 / 20150016071 - ELECTRONIC COMPONENT THICKNESS MEASUREMENT METHOD, METHOD FOR MANUFACTURING A SERIES OF ELECTRONIC COMPONENTS USING THE MEASUREMENT METHOD, A SERIES OF ELECTRONIC COMPONENTS MANUFACTURED BY THE MANUFACTURING METHOD, AND ELECTRONIC COMPONENT INSPECTION APPARATUS | 3 |
Masashi Haruki | JP | Hiroshima | 2014-02-06 / 20140038424 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hiroyoshi Haruki | JP | Kawasaki-Shi | 2014-08-28 / 20140245039 - INFORMATION PROCESSING APPARATUS, DEVICE CONTROL METHOD, AND COMPUTER PROGRAM PRODUCT | 3 |
Hiroyoshi Haruki | JP | Kawasaki | 2015-12-31 / 20150379290 - CODE PROCESSING APPARATUS AND COMPUTER PROGRAM PRODUCT | 8 |
Kosuke Haruki | JP | Ome-Shi | 2009-07-02 / 20090172405 - AUDIO DATA PROCESSING APPARATUS AND AUDIO DATA PROCESSING METHOD | 8 |
Kana Haruki | JP | Aki-Gun, Hiroshima | 2016-05-05 / 20160122561 - MULTILAYER COATING FILM AND COATED ARTICLE | 1 |
Kosuke Haruki | JP | Ome-Shi | 2009-07-02 / 20090172405 - AUDIO DATA PROCESSING APPARATUS AND AUDIO DATA PROCESSING METHOD | 8 |
Kosuke Haruki | JP | Tokyo | 2014-12-25 / 20140375895 - ELECTRONIC DEVICE, TELEVISION DEVICE, AND GUI INFORMATION COMMUNICATION METHOD | 2 |
Kosuke Haruki | JP | Tachikawa Tokyo | 2016-05-12 / 20160131905 - ELECTRONIC APPARATUS, METHOD AND STORAGE MEDIUM | 3 |
Hiroyoshi Haruki | JP | Tokyo | 2013-04-11 / 20130091372 - CONTROL DEVICE AND COMPUTER PROGRAM PRODUCT | 1 |
Hiroyoshi Haruki | JP | Kawasaki | 2015-12-31 / 20150379290 - CODE PROCESSING APPARATUS AND COMPUTER PROGRAM PRODUCT | 8 |
Satoshi Haruki | JP | Yokosuka Kanagawa | 2015-07-30 / 20150214732 - SEMICONDUCTOR CIRCUIT | 1 |
Yuichi Haruki | JP | Fukuoka | 2015-03-19 / 20150081047 - CONTROL DEVICE, SECURITY MANAGEMENT SYSTEM, AND SECURITY MANAGEMENT METHOD | 1 |
Hidehito Haruki | JP | Tokyo | 2016-03-10 / 20160070188 - TONER FOR DEVELOPING ELECTROSTATIC LATENT IMAGE AND PROCESS FOR PRODUCING THE SAME | 7 |
Hiroyoshi Haruki | JP | Kanagawa-Ken | 2014-03-13 / 20140075227 - CONTROL DEVICE, DATA PROCESSING DEVICE, CONTROLLER, METHOD OF CONTROLLING THEREOF AND COMPUTER-READABLE MEDIUM | 3 |
Shinichi Haruki | JP | Hitachinaka-Shi | 2011-01-27 / 20110021332 - CENTRIFUGE AND CONTROL METHOD THEREOF | 1 |
Hiroshi Haruki | JP | Kanagawa | 2013-09-26 / 20130254773 - CONTROL APPARATUS, CONTROL METHOD, COMPUTER PROGRAM PRODUCT, AND SEMICONDUCTOR DEVICE | 4 |
Kosuke Haruki | JP | Tachikawa-Shi | 2014-11-13 / 20140333585 - ELECTRONIC APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 7 |
Tohru Haruki | JP | Hyogo | 2009-07-02 / 20090170323 - CHEMICAL MECHANICAL POLISHING METHOD AND CHEMICAL MECHANICAL POLISHING DEVICE | 1 |
Hiroyoshi Haruki | JP | Kanagawa | 2016-03-10 / 20160070333 - CONTROL DEVICE, SYSTEM, AND COMPUTER PROGRAM PRODUCT | 19 |
Shinichi Haruki | JP | Hitachinaka | 2013-07-18 / 20130184140 - CENTRIFUGE | 1 |
Minoshima Haruki | JP | Tokyo | 2011-08-25 / 20110207848 - METHOD OF MANUFACTURING PUNCTURE-SEALING AGENT | 1 |
Shinichi Haruki | JP | Ibaraki | 2014-11-27 / 20140349826 - CENTRIFUGE | 2 |
Hiroyoshi Haruki | JP | Kanagawa | 2016-03-10 / 20160070333 - CONTROL DEVICE, SYSTEM, AND COMPUTER PROGRAM PRODUCT | 19 |
Tatsuro Harumashi | JP | Hyogo | 2011-04-28 / 20110098410 - ROOM TEMPERATURE-CURABLE COMPOSITION AND CURED PRODUCT THEREOF | 1 |
Fujio Harumi | JP | Hirakata-Shi | 2008-12-18 / 20080309322 - Compact wheel speed detector capable of saving space and improving workability | 1 |
Katsumi Harumoto | JP | Saitama-Shi | 2009-02-05 / 20090035010 - TONER CONTAINER AND TONER FILLING METHOD | 1 |
Masahiko Harumoto | JP | Shimogyo-Ku | 2008-08-28 / 20080203058 - SUBSTRATE DEVELOPING METHOD AND DEVELOPING APPARATUS | 1 |
Makoto Harumoto | JP | Ashigarakami-Gun | 2015-09-10 / 20150250724 - LONG-LASTING, CONTROLLED-RELEASE LOCAL ANESTHETIC LIPOSOME PREPARATION | 1 |
Yoshiyuki Harumoto | JP | Osaka-Shi | 2015-10-08 / 20150287742 - THIN FILM TRANSISTOR SUBSTRATE AND METHOD FOR PRODUCING SAME | 19 |
Yoshiyuki Harumoto | JP | Osaka-Shiu | 2013-05-30 / 20130134411 - SEMICONDUCTOR DEVICE, PROCESS FOR PRODUCTION OF SEMICONDUCTOR DEVICE, AND DISPLAY DEVICE | 1 |
Masahiko Harumoto | JP | Kyoto | 2015-04-16 / 20150104747 - DEVELOPING APPARATUS | 3 |
Hideaki Harumoto | JP | Yokohama-Shi | 2010-01-28 / 20100020088 - GRAPHICS RENDERING DEVICE AND GRAPHICS RENDERING METHOD | 1 |
Hideaki Harumoto | JP | Kanagawa | 2015-12-03 / 20150347121 - COMMUNICATION APPARATUS, ELECTRONIC DEVICE, COMMUNICATION METHOD, AND KEY FOR VEHICLE | 1 |
Koki Harumoto | JP | Tokyo | 2012-02-09 / 20120033181 - FUNDUS OBSERVATION APPARATUS | 1 |
Akiko Harumoto | JP | Kamigyo-Ku | 2010-04-01 / 20100081097 - SUBSTRATE PROCESSING APPARATUS | 1 |
Masahiko Harumoto | JP | Kyoto-Shi | 2014-01-23 / 20140022521 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 3 |
Satoshi Harumoto | JP | Kobe | 2012-02-16 / 20120038685 - DISPLAY DEVICE AND DISPLAY CONTROL DEVICE | 3 |
Michiko Harumoto | JP | Yokohama-Shi | 2015-10-08 / 20150286016 - OPTICAL CONNECTION STRUCTURE | 4 |
Kosuke Harumoto | JP | Hyogo | 2015-06-25 / 20150173975 - NONWOVEN, SHEET FOR ABSORBENT ARTICLE, AND ABSORBENT ARTICLE USING THE SAME | 1 |
Satoshi Harumoto | JP | Kobe-Shi | 2013-10-03 / 20130261941 - VEHICLE CONTROLLER | 15 |
Satoshi Harumoto | JP | Hyogo | 2011-08-11 / 20110196601 - TRAVEL ROUTE EVALUATION SYSTEM AND TRAVEL ROUTE EVALUATION PROGRAM | 8 |
Satoshi Harumoto | JP | Kobe-Shi | 2013-10-03 / 20130261941 - VEHICLE CONTROLLER | 15 |
Yoshiyuki Harumoto | JP | Osaka-Shi | 2015-10-08 / 20150287742 - THIN FILM TRANSISTOR SUBSTRATE AND METHOD FOR PRODUCING SAME | 19 |
Satoshi Harumoto | JP | Hyogo | 2011-08-11 / 20110196601 - TRAVEL ROUTE EVALUATION SYSTEM AND TRAVEL ROUTE EVALUATION PROGRAM | 8 |
Yoshiyuki Harumoto | JP | Osaka | 2013-05-02 / 20130105802 - THIN FILM TRANSISTOR, DISPLAY DEVICE, AND MANUFACTURING METHOD FOR THIN FILM TRANSISTOR AND DISPLAY DEVICE | 2 |
Ab. Aziz Harun | MY | Kajang | 2014-09-18 / 20140269339 - SYSTEM FOR ANALYSING NETWORK TRAFFIC AND A METHOD THEREOF | 1 |
Khalid Harun | US | 2009-07-02 / 20090171866 - System and method for learning associations between logical objects and determining relevance based upon user activity | 1 | |
Fuaida Harun | MY | Sha Alam | 2009-02-26 / 20090051017 - Lead Frame with Non-Conductive Connective Bar | 1 |
Toufique Harun | US | Mineola | 2015-12-31 / 20150379212 - SYSTEM AND METHODS FOR ENHANCED MANAGEMENT OF PATIENT CARE AND COMMUNICATION | 1 |
Rashed Harun | US | 2009-07-02 / 20090171866 - System and method for learning associations between logical objects and determining relevance based upon user activity | 1 | |
Toufique Harun | US | 2009-07-02 / 20090171866 - System and method for learning associations between logical objects and determining relevance based upon user activity | 1 | |
Shuhaida Harun | MY | Senawang | 2015-05-07 / 20150125907 - METHODS FOR INCREASING SUGAR YIELD WITH SIZE-ADJUSTED LIGNOCELLULOSIC BIOMASS PARTICLES | 1 |
Khalid Harun | US | Pittsburgh | 2016-01-21 / 20160019422 - Computationally Efficient Feature Extraction and Matching Iris Recognition | 3 |
Rosliah Harun | GB | Sheffield | 2008-09-04 / 20080213387 - Cytotrophoblast Stem Cell | 1 |
Mohamad Nizam Harun | JP | Yokohama-Shi | 2014-04-17 / 20140103203 - Imaging Systems and Image Fiber Bundles for Downhole Measurement | 1 |
Jun Haruna | JP | Mishima-Shi | 2013-12-12 / 20130328987 - IMAGE FORMING APPARATUS | 1 |
Tetsuya Haruna | JP | Yokohama-City | 2010-10-07 / 20100254658 - FIBER RIBBON AND FIBER RIBBON ATTACHED TO CONNECTOR FOR WIRING IN EQUIPMENT | 1 |
Nobuyuki Haruna | JP | Chiyoda-Ku | 2013-12-12 / 20130328506 - DRIFT TUBE LINEAR ACCELERATOR | 3 |
Toru Haruna | JP | Saitama | 2014-05-08 / 20140128520 - TRANSPARENTIZATION AGENT COMPOSITION CONTAINING SORBITOL COMPOUND AND METHOD FOR PRODUCING POLYPROPYLENE RESIN COMPOSITION USING THIS SORBITOL COMPOUND | 2 |
Hirofumi Haruna | JP | Yokosuka-Shi | 2011-03-10 / 20110059221 - HARD FAT | 2 |
Adamu Haruna | GH | Accra North | 2013-04-18 / 20130094503 - PAGE-MODE MESSAGING | 2 |
Yusuke Haruna | JP | Kanagawa | 2011-03-03 / 20110048097 - METHOD OF MANUFACTURING OUTWARDLY FLANGED METAL MEMBER | 1 |
Hirofumi Haruna | JP | Yokosuka | 2011-01-13 / 20110008499 - OIL COMPOSITION FOR COATING | 1 |
Tetsuya Haruna | JP | Yokohama-Shi | 2016-05-12 / 20160131832 - OPTICAL FIBER | 27 |
Hirofumi Haruna | JP | Kanagawa | 2013-03-28 / 20130078354 - OLEAGINOUS COMPOSITION AND OIL-IN-WATER TYPE EMULSIFIER CONTAINING OLEAGINOUS COMPOSITION | 4 |
Hirofumi Haruna | JP | Yokohama-Shi | 2009-03-26 / 20090081352 - OIL/ FAT COMPOSITION | 1 |
Hirohumi Haruna | JP | Kyoto | 2009-02-12 / 20090042498 - COIN RECEIVING AND DISPENSING MACHINE | 1 |
Takaaki Haruna | JP | Tokyo | 2015-11-19 / 20150331916 - COMPUTER, DATA ACCESS MANAGEMENT METHOD AND RECORDING MEDIUM | 3 |
Takao Haruna | JP | Aichi-Ken | 2008-09-11 / 20080218072 - Semiconductor light-emitting device and method for manufacturing semiconductor light-emitting device | 1 |
Kaoru Haruna | JP | Shizuoka | 2009-07-23 / 20090184537 - ALL TERRAIN VEHICLE WITH FRONT AND REAR SEATS | 2 |
Kazuo Haruna | JP | Osaka | 2012-10-11 / 20120255445 - DOUBLE VACUUM PUMP APPARATUS, GAS PURIFICATION SYSTEM PROVIDED WITH DOUBLE VACUUM PUMP APPARATUS, AND EXHAUST GAS VIBRATION SUPPRESSING DEVICE IN DOUBLE VACUUM PUMP APPARATUS | 1 |
Yusuke Haruna | JP | Higashiosaka-Shi | 2015-09-03 / 20150250080 - SHIELD FILM AND SHIELD PRINTED WIRING BOARD | 1 |
Yosuke Haruna | JP | Kobe-Shi | 2013-09-26 / 20130249156 - CLAMP APPARATUS | 3 |
Kenichi Haruna | JP | Osaka | 2012-09-20 / 20120238519 - OPHTHALMIC COMPOSITION CONTAINING ALGINIC ACID OR SALT THEREOF | 3 |
Takaaki Haruna | JP | Kawasaki | 2013-03-14 / 20130067045 - INTERSYSTEM COORDINATION APPARATUS IN DISTRIBUTION SYSTEM | 1 |
Katsutoshi Haruna | JP | Anjo-Shi | 2011-06-30 / 20110160954 - Apparatus for informing destination apparatus of malfunctions occurring in communication with source apparatus and system incorporating the same therein | 1 |
Daiji Haruna | JP | Kyoto-Shi | 2016-04-28 / 20160116701 - SUBSTRATE FOR MOUNTING IMAGING ELEMENT, AND IMAGING DEVICE | 1 |
Hiroshi Haruna | JP | Fukaya | 2009-10-22 / 20090263721 - LITHIUM SECONDARY BATTERY AND PRODUCTION METHOD OF THE SAME | 1 |
Kazuyuki Haruna | JP | Sakai-Shi | 2011-09-29 / 20110232386 - ULTRASONIC FLAW DETECTING APPARATUS AND ULTRASONIC FLAW DETECTING METHOD | 1 |
Yusuke Haruna | JP | Kizugawa-Shi, Kyoto | 2015-12-24 / 20150373835 - METHOD FOR MANUFACTURING SHIELD PRINTED WIRING BOARD, AND SHIELD FILM AND SHIELD PRINTED WIRING BOARD | 1 |
Tsuneomi Haruna | JP | Tokyo | 2012-01-26 / 20120020375 - SCTP COMMUNICATION METHOD | 1 |
Shunji Haruna | JP | Osaka | 2015-08-13 / 20150224516 - AIR PURIFICATION DEVICE | 6 |
Adamu Haruna | FI | Tampere | 2012-04-05 / 20120084668 - MESSAGING | 7 |
Tetsuya Haruna | JP | Yokohama | 2009-05-28 / 20090133445 - Method for manufacturing glass body and method for manufacturing optical fiber | 1 |
Fumio Haruna | JP | Fujisawa | 2013-08-15 / 20130207950 - IMAGE DISPLAY APPARATUS | 3 |
Tetsuya Haruna | JP | Kanagawa | 2010-03-25 / 20100071420 - Optical Fiber Preform Fabricating Method, Optical Fiber Fabricating Method and Optical Fiber | 5 |
Fumio Haruna | JP | Tokyo | 2015-06-11 / 20150161926 - LASER PROJECTION/DISPLAY APPARATUS | 2 |
Junko Haruna | JP | Kobe-Shi | 2013-06-13 / 20130151037 - REMOTE STARTER | 1 |
Kazuo Haruna | JP | Osaka-Shi | 2010-11-25 / 20100294130 - METHOD AND APPARATUS FOR SEPARATING HYDROGEN GAS | 1 |
Takao Haruna | JP | Tokyo | 2014-06-19 / 20140167853 - POWER AMPLIFIER | 4 |
Fumio Haruna | JP | Yokohama | 2015-01-22 / 20150022568 - IMAGE DISPLAY DEVICE | 4 |
Nobuyuki Haruna | JP | Tokyo | 2012-08-30 / 20120217903 - CIRCULAR ACCELERATOR AND OPERATING METHOD THEREFOR | 1 |
Tetsuya Haruna | JP | Yokohama-Shi | 2016-05-12 / 20160131832 - OPTICAL FIBER | 27 |
Yosuke Haruna | JP | Hyogo | 2009-12-24 / 20090315239 - Clamp Device and Clamping System Using Such Device | 1 |
Rumi Haruna | JP | Hyogo-Ken | 2010-12-30 / 20100326466 - METHOD FOR REGENERATING GAS TURBINE BLADE AND GAS TURBINE BLADE REGENERATING APPARATUS | 1 |
Hiroshi Haruna | JP | Tokyo | 2016-02-25 / 20160056436 - NEGATIVE ELECTRODE FOR LITHIUM-ION SECONDARY BATTERY, LITHIUM-ION SECONDARY BATTERY, AND METHOD FOR MANUFACTURING SAID NEGATIVE ELECTRODE AND LITHIUM-ION SECONDARY BATTERY | 4 |
Tomoyuki Haruna | JP | Okayama-Shi | 2009-10-29 / 20090269981 - CONNECTOR | 1 |
Katsuhito Haruno | JP | Kanagawa | 2013-08-22 / 20130216260 - IMAGE FORMING APPARATUS | 1 |
Katsuhito Haruno | JP | Sagamihara City | 2010-04-01 / 20100080625 - IMAGE FORMING APPARATUS WITH IMPROVED HOUSING PANEL STRUCTURAL STRENGTH | 2 |
Katsuhito Haruno | JP | Sagamihara-Shi | 2014-09-18 / 20140270863 - TRANSFER UNIT AND IMAGE FORMING APPARATUS EMPLOYING THE TRANSFER UNIT | 4 |
Kentaro Haruno | JP | Aichi-Ken | 2009-10-15 / 20090258755 - POWER OUTPUT APPARATUS, CONTROL METHOD OF POWER OUTPUT APPARATUS, AND VEHICLE EQUIPPED WITH POWER OUTPUT APPARATUS | 4 |
Akihiro Haruno | JP | Saitama | 2008-10-16 / 20080255253 - ANIMAL MODEL FOR PROSTATIC STROMAL HYPERPLASIA | 1 |
Katsuhito Haruno | JP | Tokyo | 2011-03-10 / 20110058859 - Transfer device and image forming apparatus including same | 1 |
Katsuhito Haruno | JP | Kanagawa-Ken | 2011-09-01 / 20110211855 - IMAGE FORMING APPARATUS | 1 |
Kentaro Haruno | JP | Toyota-Shi | 2014-08-07 / 20140217858 - DYNAMO-ELECTRIC MACHINE | 7 |
Kiyokazu Haruno | JP | Anjo-Shi | 2014-09-25 / 20140285040 - ELECTROMAGNETIC SWITCH | 17 |
Shlomo Harush | IL | Nes-Ziona | 2013-12-19 / 20130336666 - PRINTING | 12 |
Avri Harush | IL | Herzlia | 2015-05-07 / 20150128011 - METHODS, CIRCUITS, SYSTEMS AND COMPUTER EXECUTABLE INSTRUCTION SETS FOR PROVIDING ERROR CORRECTION OF STORED DATA AND DATA STORAGE DEVICES UTILIZING SAME | 1 |
Avri Harush | IL | Kyriat Bialik | 2012-03-15 / 20120063238 - PRE-CHARGE SENSING SCHEME FOR NON-VOLATILE MEMORY (NVM) | 3 |
Yosi Harush | IL | Kiryat Mozkin | 2015-01-29 / 20150030399 - COUPLING MECHANISM FOR CUTTING TOOL | 2 |
Shlomo Harush | IL | Nes-Ziyona | 2008-10-09 / 20080246978 - Image forming devices, hard imaging methods, and methods of determining a transfer function | 1 |
Avraham Harush | IL | Tel Aviv | 2012-02-09 / 20120030908 - Apparatus for holding tefillin (Jewish Phylactery) straps | 1 |
Shlomo Harush | US | Palo Alto | 2008-10-30 / 20080267524 - AUTOMATIC IMAGE ENHANCEMENT | 1 |
Shlomo Harush | IL | Nes-Ziona | 2013-12-19 / 20130336666 - PRINTING | 12 |
Elad Harush | IL | Tel Aviv | 2015-10-08 / 20150289108 - Sharing Location Information Among Devices | 3 |
Shachar Harussi | IL | Kfar Oranim | 2009-12-10 / 20090307187 - Tree automata based methods for obtaining answers to queries of semi-structured data stored in a database environment | 1 |
Kotaro Haruta | JP | Gifu-Shi | 2013-05-30 / 20130136511 - FUSER UNIT | 1 |
Shingo Haruta | JP | Kyoto | 2012-07-26 / 20120188487 - DRIVE CIRCUIT FOR LIGHT-EMITTING DIODE, AND LIGHT-EMITTING DEVICE AND ELECTRONIC DEVICE USING THE SAME | 2 |
Hiromoto Haruta | JP | Ibaraki-Shi | 2015-10-15 / 20150290908 - LAMINATE | 9 |
Hiromoto Haruta | JP | Osaka | 2015-03-05 / 20150064460 - CARRIER FILM FOR TRANSPARENT CONDUCTIVE FILMS AND LAMINATE | 7 |
Hideki Haruta | JP | Minato-Ku | 2012-07-19 / 20120180490 - FUEL NOZZLE, GAS TURBINE COMBUSTOR WITH THE SAME, AND GAS TURBINE WITH THE SAME | 1 |
Koujiro Haruta | JP | Gunma | 2016-02-11 / 20160039024 - CUTTING TOOL FOR PROCESSING NUT OF SLIDING SCREW DEVICE AND METHOD FOR PROCESSING NUT OF SLIDING SCREW DEVICE | 1 |
Masayuki Haruta | JP | Tsuruga-Shi | 2015-08-06 / 20150218308 - HEAT-SHRINKABLE POLYESTER FILM | 5 |
Keisuke Haruta | JP | Kariya-Shi | 2014-05-22 / 20140137477 - VEHICLE DOOR OPENING AND CLOSING APPARATUS | 1 |
Shunji Haruta | JP | Kagoshima-Shi | 2015-01-15 / 20150017212 - Preparation for Transnasal Application | 8 |
Kenichirou Haruta | JP | Yokohama-Shi | 2015-08-06 / 20150220034 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 3 |
Hiromoto Haruta | JP | Ibaraki-Shi | 2015-10-15 / 20150290908 - LAMINATE | 9 |
Yoshinari Haruta | JP | Nagaokakyo-Shi | 2013-08-29 / 20130225548 - Pyridine Derivative and Medicinal Agent | 1 |
Tsutomu Haruta | JP | Kanagawa | 2016-05-19 / 20160141319 - SOLID STATE IMAGING DEVICE | 13 |
Makoto Haruta | JP | Shioya-Gun | 2015-10-29 / 20150308361 - ENGINE CONTROL SYSTEM | 1 |
Yasuchika Haruta | JP | Tokyo | 2009-09-03 / 20090222423 - Text diplay apparatus, text display method and program storage medium | 2 |
Akira Haruta | JP | Hiroshima-Shi | 2015-02-12 / 20150042361 - PROTECTOR WITH SENSOR | 1 |
Kotaro Haruta | JP | Yokkaichi-Shi | 2015-12-03 / 20150347885 - IMAGE FORMING APPARATUS, METHOD TO CONVEY A SHEET, AND COMPUTER READABLE MEDIUM FOR THE IMAGE FORMING APPARATUS | 3 |
Hideki Haruta | JP | Takasago | 2011-08-04 / 20110191004 - GAS TURBINE CONTROL METHOD AND DEVICE | 1 |
Sumie Haruta | JP | Saitama | 2009-01-01 / 20090005384 - Method for Producing Adsorptive Porous Body | 1 |
Naoaki Haruta | JP | Aichi | 2009-01-15 / 20090018158 - CRYSTAL FORMS OF AN IMIDAZOLE DERIVATIVE | 1 |
Naoya Haruta | JP | Hiratsuka-Shi | 2011-06-09 / 20110135936 - WATER-BASED PRIMER COMPOSITION AND COATING METHOD USING THE SAME | 2 |
Junpei Haruta | JP | Hyogo | 2014-04-10 / 20140100226 - OXAZOLE COMPOUND AND PHARMACEUTICAL COMPOSITION | 2 |
Hiromoto Haruta | JP | Kanagawa | 2009-11-26 / 20090290100 - Cellulose Acylate Film, Method of Producing the Same, Cellulose Derivative Film, Optically Compensatory Film Using the Same, Optically-Compensatory Film Incorporating Polarizing Plate, Polarizing Plate and Liquid Crystal Display Device | 6 |
Tomoaki Haruta | JP | Chuo-Ku | 2009-12-10 / 20090304960 - METHOD OF CUTTING MULTILAYER BODY, METHOD OF FORMING MULTILAYER CONTAINER, AND MULTILAYER FORMED PRODUCT | 1 |
Kenichirou Haruta | JP | Kawasaki-Shi | 2010-01-21 / 20100014116 - IMAGE FORMING SYSTEM, IMAGE FORMING APPARATUS, IMAGE PROCESSING APPARATUS, AND IMAGE FORMING METHOD | 2 |
Junpei Haruta | JP | Ako-Shi | 2010-04-15 / 20100094002 - Thiazole Compound and Use Thereof | 1 |
Yuko Haruta | JP | Kawagoe-Shi | 2013-09-26 / 20130252923 - SKIN-BEAUTIFYING AGENT | 5 |
Masayuki Haruta | JP | Tsuruga-Shi, Fukui | 2016-05-19 / 20160137833 - HEAT-SHRINKABLE POLYESTER FILM AND PACKAGES | 3 |
Shunji Haruta | JP | Kagoshima-Ken | 2010-07-15 / 20100178331 - PREPARATION FOR TRANSNASAL APPLICATION | 1 |
Yuko Haruta | JP | Saitama | 2012-03-29 / 20120077780 - Fat Accumulation Inhibitor and Method of Use Thereof | 7 |
Kenichirou Haruta | JP | Kashiwa-Shi | 2016-02-25 / 20160057312 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Masato Haruta | JP | Saitama | 2010-09-09 / 20100225301 - DEVICE, METHOD, PROGRAM, AND RECORDING MEDIUM FOR ERROR FACTOR DETERMINATION, AND OUTPUT CORRECTION DEVICE AND REFLECTION COEFFICIENT MEASUREMENT DEVICE PROVIDED WITH THE DEVICE | 2 |
Shunji Haruta | JP | Kagoshima | 2013-10-31 / 20130287852 - COMPOSITIONS FOR NASAL ADMINISTRATION OF PHARMACEUTICALS | 2 |
Masayuki Haruta | JP | Inuyama-Shi | 2011-01-13 / 20110008607 - HEAT-SHRINKABLE WHITE POLYESTER FILM, PROCESS FOR PRODUCING HEAT-SHRINKABLE WHITE POLYESTER FILM, LABEL, AND PACKAGE | 6 |
Shunji Haruta | JP | Kagoshima-Shi | 2015-01-15 / 20150017212 - Preparation for Transnasal Application | 8 |
Kazumasa Haruta | JP | Nagaokakyo-Shi | 2015-04-16 / 20150102911 - SENSOR TAG AND SENSOR NETWORK SYSTEM | 4 |
Masayuki Haruta | JP | Fukui | 2012-04-26 / 20120100363 - VOID-CONTAINING HEAT-SHRINKABLE POLYESTER FILM AND PROCESS FOR PRODUCTION THEREOF | 2 |
Kaichiro Haruta | JP | Ichihara-Shi | 2013-07-04 / 20130171514 - CYCLIC SULFATE COMPOUND, NON-AQUEOUS ELECTROLYTE SOLUTION CONTAINING SAME, AND LITHIUM SECONDARY BATTERY | 1 |
Yuki Haruta | JP | Yokkaichi-Shi | 2014-04-17 / 20140103391 - NITRIDE LIGHT-EMITTING DIODE ELEMENT AND METHOD OF MANUFACTURING SAME | 2 |
Yasuyuki Haruta | JP | Kanagawa | 2013-11-07 / 20130297238 - DETECTION APPARATUS, POWER SUPPLY APPARATUS, POWER RECEPTION APPARATUS, POWER SUPPLY SYSTEM, AND PROGRAM | 1 |
Tokio Haruta | JP | Nagoya-City | 2013-10-24 / 20130281868 - BLOOD PRESSURE MEASUREMENT DEVICE | 1 |
Koichi Haruta | JP | Mishima City | 2013-12-12 / 20130328339 - VEHICLE OUTER MIRROR DEVICE | 1 |
Hideaki Haruta | JP | Osaka | 2016-01-07 / 20160005069 - Advertising Medium Determination Device and Method Therefor | 2 |
Kieran Bing-Fei Haruta | US | Hyde Park | 2015-04-30 / 20150118661 - COMPUTING TECHNOLOGIES FOR DIAGNOSIS AND THERAPY OF LANGUAGE-RELATED DISORDERS | 1 |
Charisse Si-Fei Haruta | US | Hyde Park | 2015-04-30 / 20150118661 - COMPUTING TECHNOLOGIES FOR DIAGNOSIS AND THERAPY OF LANGUAGE-RELATED DISORDERS | 1 |
Pau-San Haruta | US | Hyde Park | 2015-04-30 / 20150118661 - COMPUTING TECHNOLOGIES FOR DIAGNOSIS AND THERAPY OF LANGUAGE-RELATED DISORDERS | 1 |
Masatake Haruta | JP | Hachioji-Shi | 2015-06-04 / 20150151279 - GOLD CLUSTER CATALYST AND METHOD FOR PRODUCING SAME | 1 |
Masatake Haruta | JP | Tokyo | 2010-09-16 / 20100234623 - METHOD FOR PRODUCING PROPYLENE OXIDE | 1 |
Hideaki Haruta | JP | Tokyo | 2012-04-19 / 20120095832 - Advertising Medium Determination Device and Method Therefor | 5 |
Kazuhiko Haruta | JP | Tokyo | 2016-02-18 / 20160046944 - APTAMER TO IL-17 AND USE THEREOF | 2 |
Tomotake Haruta | JP | Tokyo | 2011-05-05 / 20110101608 - PUZZLE PLANE GENERATION SYSTEM AND METHOD FOR GENERATING PUZZLE PLANE | 3 |
Masaki Haruta | JP | Tokyo | 2010-01-21 / 20100012341 - PNEUMATIC SCREW DRIVER AND STOP CONTROL METHOD FOR AIR MOTOR IN PNEUMATIC SCREW DRIVER | 1 |
Akiko Haruta | JP | Yokohama-Shi | 2011-12-22 / 20110313816 - PROGRESS MANAGEMENT FOR PROJECTS | 1 |
Kazumi Haruta | JP | Obu-Shi | 2011-12-29 / 20110315241 - FUEL CUTOFF VALVES | 1 |
Shingo Haruta | JP | Ukyo-Ku | 2014-08-07 / 20140218657 - CONTROL CIRCUIT FOR LIGHT EMITTING APPARATUS | 2 |
Iosif Harutyunov | US | Cupertino | 2016-01-28 / 20160026516 - PACKET PROCESSING ON A MULTI-CORE PROCESSOR | 2 |
Gurgen Harutyunyan | DE | Hannover | 2013-12-05 / 20130322827 - CONNECTOR WITH ENCLOSURE FOR ELECTRICAL CONTACTING MEANS OF THE CONNECTOR | 2 |
Avetik R. Harutyunyan | US | Columbus | 2016-01-28 / 20160023906 - Synthesis Of High Quality Carbon Single-Walled Nanotubes | 9 |
Avetik R. Harutyunyan | US | Upper Arlington | 2013-10-03 / 20130259795 - LOW TEMPERATURE SINGLE-WALL CARBON NANOTUBE SYNTHESIS | 2 |
Avetik Harutyunyan | US | Columbus | 2014-09-18 / 20140272137 - Method for Growth of Vertically Aligned Carbon Nanotubes on Diamond Substrates | 10 |
Gurgen Harutyunyan | AM | Yerevan | 2013-06-06 / 20130145119 - Determining A Desirable Number Of Segments For A Multi-Segment Single Error Correcting Coding Scheme | 1 |
Ashot Nshan Harutyunyan | AM | Yerevan | 2015-12-31 / 20150379110 - AUTOMATED METHODS AND SYSTEMS FOR CALCULATING HARD THRESHOLDS | 3 |
Avetik R. Harutyunyan | US | Columbus | 2016-01-28 / 20160023906 - Synthesis Of High Quality Carbon Single-Walled Nanotubes | 9 |
Syuzanna Harutyunyan | NL | Groningen | 2015-06-04 / 20150152098 - PROCESS FOR PREPARING AN INTERMEDIATE OF THE MACROCYCLIC PROTEASE INHIBITOR TMC 435 | 2 |
Gurgen Harutyunyan | AM | Abovyan | 2014-12-25 / 20140380107 - TESTING ELECTRONIC MEMORIES BASED ON FAULT AND TEST ALGORITHM PERIODICITY | 4 |
Ashot Harutyunyan | AM | Yerevan | 2014-02-20 / 20140053025 - METHODS AND SYSTEMS FOR ABNORMALITY ANALYSIS OF STREAMED LOG DATA | 4 |
Ashot N. Harutyunyan | AM | Yerevan | 2013-04-18 / 20130097125 - AUTOMATED ANALYSIS OF UNSTRUCTURED DATA | 1 |
Kaoru Haruyama | JP | Fujisawa-Shi | 2013-06-27 / 20130162051 - POWER TRANSMISSION APPARATUS, POWER RECEPTION APPARATUS AND POWER TRANSFER SYSTEM | 1 |
Hideaki Haruyama | JP | Fujisawa Kanagawa | 2015-09-03 / 20150249340 - POWER RELAY STAND | 1 |
Hoshihide Haruyama | JP | Kyoto | 2010-02-04 / 20100027366 - SEMICONDUCTOR MEMORY DEVICE | 3 |
Syunji Haruyama | JP | Tokyo | 2008-10-16 / 20080252777 - Camera incorporating method and mobile electronic equipment with camera | 1 |
Akihide Haruyama | JP | Chino | 2008-11-13 / 20080278668 - LIQUID CRYSTAL DEVICE AND PROJECTION DISPLAY DEVICE | 1 |
Hiroteru Haruyama | JP | Niigata | 2014-01-23 / 20140022645 - HEAD-UP DISPLAY DEVICE | 1 |
Hiroshi Haruyama | JP | Kyoto | 2012-10-18 / 20120264002 - LID FOR STORAGE BATTERY, INJECTION MOLDING METHOD OF THE SAME LID, STORAGE BATTERY WITH THE SAME LID, AND TERMINAL SECTION FOR STORAGE BATTERY | 2 |
Eiji Haruyama | JP | Osaka | 2014-10-02 / 20140290844 - ADHESIVE FILM LAMINATE FOR WHEEL PROTECTION | 1 |
Akihide Haruyama | JP | Suwa-Shi | 2014-05-08 / 20140126035 - ELECTRO-OPTIC DEVICE AND ELECTRONIC APPARATUS | 8 |
Taizou Haruyama | JP | Himeji-Shi | 2015-10-29 / 20150311533 - SLURRY CONTAINING DISPERSED ACETYLENE BLACK, AND LITHIUM-ION SECONDARY BATTERY | 1 |
Mikita Haruyama | JP | Yokohoma-Shi | 2009-06-11 / 20090145811 - IMAGE FORMING METHOD AND IMAGE FORMING APPARATUS | 1 |
Hiroshi Haruyama | JP | Yokohama-Shi | 2014-09-11 / 20140250679 - OPTICAL INSPECTION APPARATUS AND OPTICAL INSPECTION SYSTEM | 1 |
Hideaki Haruyama | JP | Fujisawa-Shi | 2015-04-02 / 20150092124 - EYEGLASSES APPARATUS | 3 |
Yuichiro Haruyama | JP | Tokyo | 2016-02-04 / 20160037150 - Stereoscopic Video And Audio Recording Method, Stereoscopic Video And Audio Reproducing Method, Stereoscopic Video And Audio Recording Apparatus, Stereoscopic Video And Audio Reproducing Apparatus, And Stereoscopic Video And Audio Recording Medium | 4 |
Hiroshi Haruyama | JP | Hitachi | 2012-12-06 / 20120308772 - STEAM TURBINE MEMBER | 6 |
Nobuyuki Haruyama | JP | Saitama | 2010-02-18 / 20100042298 - Travelling Control Apparatus of Compaction Vehicle | 1 |
Daisuke Haruyama | JP | Kanagawa | 2016-03-24 / 20160085164 - ELECTRON TRANSPORT MATERIAL, ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 11 |
Tomohiko Haruyama | JP | Kariya-Shi | 2013-02-21 / 20130045827 - SWING INTERNAL CONTACT TYPE PLANETARY GEAR DEVICE AND ROTATION DRIVE DEVICE | 1 |
Masahiro Haruyama | JP | Kanagawa | 2012-03-22 / 20120070758 - FUEL GAS SUPPLY DEVICE OF FUEL CELL SYSTEM | 1 |
Akihide Haruyama | JP | Suwa-Shi | 2014-05-08 / 20140126035 - ELECTRO-OPTIC DEVICE AND ELECTRONIC APPARATUS | 8 |
Shinichiro Haruyama | JP | Kanagawa | 2015-01-15 / 20150016825 - VISIBLE LIGHT COMMUNICATION DEVICE, LIGHTING FIXTURE INCLUDING THE SAME, AND LIGHTING SYSTEM | 6 |
Tetsuya Haruyama | JP | Takaoka-Shi | 2012-11-15 / 20120289700 - CYCLIC AMINE COMPOUND AND ACARICIDE | 1 |
Shigeyuki Haruyama | JP | Fukuoka | 2011-09-22 / 20110226574 - Impact absorber device | 1 |
Kenji Haruyama | JP | Kanagawa | 2015-12-03 / 20150345809 - AIR CONDITIIONER | 4 |
Masahiro Haruyama | JP | Tokyo | 2015-08-27 / 20150241832 - MEDIUM TRANSPORTATION APPARATUS AND IMAGE FORMING APPARATUS | 9 |
Tomiyoshi Haruyama | JP | Ibaraki | 2010-04-22 / 20100099976 - GAMMA- RAY DETECTOR AND PET APPARATUS USING THE SAME | 1 |
Daisuke Haruyama | JP | Minamiashigara-Shi | 2014-05-08 / 20140126927 - DEVELOPER, IMAGE-FORMING APPARATUS, AND METHOD FOR FORMING IMAGE | 1 |
Masahiro Haruyama | JP | Tokyo | 2015-08-27 / 20150241832 - MEDIUM TRANSPORTATION APPARATUS AND IMAGE FORMING APPARATUS | 9 |
Daisuke Haruyama | JP | Kanagawa | 2016-03-24 / 20160085164 - ELECTRON TRANSPORT MATERIAL, ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 11 |
Takeshi Haruyama | JP | Kariya-City | 2011-06-30 / 20110160957 - Vehicular input device and method for controlling the same | 7 |
Shinichiro Haruyama | JP | Tokyo | 2010-05-06 / 20100111538 - ILLUMINATING LIGHT COMMUNICATION DEVICE | 1 |
Hiroaki Haruyama | JP | Fukuoka | 2009-04-09 / 20090094700 - INFORMATION PROCESSING APPARATUS | 1 |
Don Harvan | US | Durham | 2010-08-05 / 20100197626 - Biomarkers for Depression and Methods Using the Same | 2 |
Yves Didier Guy Harvard | FR | La Chapelle Sur Erdre | 2013-12-19 / 20130333584 - Method for storing printing plates successively removed from a plate cylinder of a rotary press and storage device | 1 |
Alexei Harvard | US | Pasadena | 2015-11-05 / 20150319326 - SYSTEMS, METHODS, APPARATUSES, AND COMPUTER-READABLE STORAGE MEDIA FOR COLLECTING COLOR INFORMATION ABOUT AN OBJECT UNDERGOING A 3D SCAN | 7 |
Qawi I. Harvard | US | Santa Clara | 2011-10-27 / 20110261637 - INCREASED DRAM-ARRAY THROUGHPUT USING INACTIVE BITLINES | 1 |
Qawi Harvard | US | San Jose | 2015-11-12 / 20150323569 - HIGH FREQUENCY VOLTAGE SUPPLY MONITOR | 1 |
Jennifer M. Harvard | US | Framingham | 2015-07-02 / 20150184220 - Methods, Peptides, and Biosensors Useful for Detecting a Broad Spectrum of Bacteria | 2 |
Pat Harvath | US | Neenah | 2014-09-18 / 20140259834 - TEMPORARILY REMOVABLE REVERSE-PRINTED LABEL ASSEMBLY | 2 |
Paul Vincent Harvath | US | Lake Orion | 2014-08-21 / 20140230769 - POLYMERIC ENGINE COMPONENT HAVING INTEGRATED OIL ADDITIVE | 1 |
Juhani Harvela | FI | Vtt | 2012-09-20 / 20120239173 - PHYSICAL ACTIVITY-BASED DEVICE CONTROL | 1 |
Juhani Harvela | FI | Kempele | 2014-11-20 / 20140344497 - ENABLING ARRANGEMENT FOR AN ELECTRONIC DEVICE WITH HOUSING-INTEGRATED FUNCTIONALITIES AND METHOD THEREFOR | 1 |
James S. Harveland | US | Byron | 2009-03-05 / 20090063894 - Autonomic PCI Express Hardware Detection and Failover Mechanism | 1 |
Bradley B. Harvell | US | Chandler | 2014-10-02 / 20140297870 - SCALED DOMAIN NAME SERVICE | 11 |
Leslie Harvell | US | Newark | 2011-07-21 / 20110179522 - DEFENSIN POLYNUCLEOTIDES AND METHODS OF USE | 7 |
Leslie T. Harvell | US | Newcastle | 2009-02-26 / 20090055967 - POLYNUCLEOTIDES AND POLYPEPTIDES INVOLVED IN POST-TRANSCRIPTIONAL GENE SILENCING | 1 |
John Harvell | US | Kamby | 2010-03-18 / 20100064656 - ENGINES AND METHODS OF OPERATING THE SAME | 1 |
Bradley B. Harvell | US | Chandler | 2014-10-02 / 20140297870 - SCALED DOMAIN NAME SERVICE | 11 |
Sean Harvell | US | Bastrop | 2015-03-05 / 20150066916 - METHODS AND SYSTEMS OF AGGREGATING INFORMATION OF GEOGRAPHICAL RELATION NETWORKS BASED ON GEOGRAPHICAL LOCATIONS OF SOCIAL NETWORK COMMUNICATIONS VIA A NETWORK | 2 |
Brad B. Harvell | US | Chandler | 2013-11-07 / 20130297826 - DOMAIN NAME SERVICE RESOLVER | 8 |
Brad B. Harvell | US | Chandler | 2013-11-07 / 20130297826 - DOMAIN NAME SERVICE RESOLVER | 8 |
Christopher D. Harvell | US | Carlsbad | 2015-02-12 / 20150045141 - GOLF CLUB HEAD WITH MULTI-MATERIAL FACE | 5 |
Daniel Thomas Harvell | US | Cornelius | 2014-12-04 / 20140352727 - DRY STEAM CLEANING A SURFACE | 2 |
Leslie T. Harvell | US | Newark | 2009-10-01 / 20090249517 - Alteration of Oil Traits in Plants | 2 |
Christopher D. Harvell | US | San Marcos | 2016-03-10 / 20160067571 - GOLF CLUB HEAD WITH SOUND TUNING ELEMENT | 1 |
Bradley B. Harvell | US | Gilbert | 2014-09-11 / 20140258440 - CONTENT DELIVERY NETWORK CACHE GROUPING | 4 |
Christopher D. Harvell | US | Escondido | 2016-03-10 / 20160067569 - METAL WOOD CLUB | 36 |
Brad Harvell | US | Chandler | 2016-03-31 / 20160094585 - SECURE POLICY PORTAL FOR REMOTE STORAGE NETWORKS | 1 |
Michael Harverson | GB | Potton | 2010-06-17 / 20100148860 - Multiport amplifier adjustment | 1 |
Michael Harverson | GB | Bedfordshire | 2010-10-28 / 20100271121 - MULTIPORT AMPLIFIERS IN COMMUNICATIONS SATELLITES | 2 |
Michael Harverson | GB | Stevenage | 2013-05-23 / 20130130619 - DUAL N-PORT MPA | 1 |
Gavin Harvett | US | Mountain View | 2008-09-11 / 20080222510 - METHOD AND SYSTEM FOR GENERATING A HISTORY LOG ASSOCIATED WITH A SPREADSHEET | 3 |
Nathan Harvey | US | Pahrump | 2015-03-05 / 20150065232 - PROGRESSIVE POOL MANAGEMENT | 1 |
Neil William Harvey | GB | Derby | 2010-12-30 / 20100329848 - SHROUDLESS BLADE | 2 |
John Harvey | GB | Perton Wolverhampton | 2010-09-16 / 20100229528 - ACTUATION SYSTEM FOR A TRANSLATING VARIABLE AREA FAN NOZZLE | 1 |
Raymond Harvey | GB | Newcastle-Upon-Tyne | 2010-08-26 / 20100212749 - MOTOR CONTROLLED SPEED PIPELINE APPARATUS AND METHOD | 2 |
Paul Harvey | GB | Hertfordshire | 2010-08-19 / 20100209196 - MANHOLE REPAIRS | 1 |
Neal Harvey | GB | York | 2010-07-01 / 20100163436 - INSERT FOR BEVERAGE CONTAINER | 1 |
Oliver T. Harvey | GB | Cambridge | 2014-06-19 / 20140171872 - Auto-Injector Apparatus | 3 |
John Herbert Harvey | GB | Wolverhampton | 2013-03-14 / 20130062152 - Disconnect Assembly | 9 |
Gordon Spencer Harvey | GB | Banchory | 2010-02-18 / 20100040417 - Pipelaying Vessel | 1 |
Andrew Harvey | GB | Lothian | 2010-01-14 / 20100008597 - ARTIFACT REMOVAL FROM PHASE ENCODED IMAGES | 1 |
Andrew Harvey | GB | Glasgow | 2009-10-22 / 20090262221 - COMPACT OPTICAL ZOOM | 1 |
Ian Harvey | GB | Bristol | 2009-10-15 / 20090255346 - Encoder-type register for an automatic water meter reader | 1 |
Simon Harvey | GB | Royal Leamington Spa | 2009-10-15 / 20090257635 - SYSTEM FOR DEFINING VOLUMES OF INTEREST WITH REFERENCE TO ANATOMICAL FEATURES | 1 |
Stephen James Harvey | GB | Hertfordshire | 2009-06-04 / 20090139516 - COUNTER FOR USE WITH A MEDICAMENT DISPENSER | 3 |
Raymond J. Harvey | GB | Cheshire | 2009-05-28 / 20090137744 - Polymerisation Of Vinyl Chloride Monomer | 1 |
Robert John Harvey | GB | Yeovil | 2013-12-26 / 20130343919 - JET PUMP APPARATUS | 3 |
John Wilson Harvey | GB | Kent | 2009-02-05 / 20090035313 - Compounds | 1 |
Colin Harvey | GB | Hampshire | 2009-01-29 / 20090028331 - Scrambled Digital Data Item | 1 |
Ian Phillip Harvey | GB | Brislington | 2008-12-25 / 20080316051 - MUTLTIPLE MODE AMR SYSTEM FOR WATER METERS | 1 |
Dominic Ewan Harvey | GB | Runcorn | 2008-12-04 / 20080295572 - Automated System for Impactor Testing | 1 |
Robert A. Harvey | GB | Cambridge | 2008-09-18 / 20080223240 - Method of Printing | 1 |
Roger Lee Harvey | US | Lebanon | 2013-04-25 / 20130098230 - Hand held depriming tool | 1 |
John D. Harvey | US | Seville | 2012-09-27 / 20120244330 - AROMATIC POLYAMIDE FILMS FOR TRANSPARENT FLEXIBLE SUBSTRATES | 2 |
William Thomas Harvey | US | Burlington | 2013-05-23 / 20130126353 - ELECTRODIALYSIS WITH ION EXCHANGE AND BI-POLAR ELECTRODIALYSIS | 1 |
Darren M. Harvey | US | Acton | 2013-05-23 / 20130131039 - 5,7-SUBSTITUTED-IMIDAZO[1,2-C]PYRIMIDINES AS INHIBITORS OF JAK KINASES | 1 |
Erol Craig Harvey | AU | Ringwood | 2013-04-18 / 20130095508 - INSTRUMENTED PIPETTE | 1 |
John Bryan Harvey | US | Newark | 2015-10-08 / 20150283768 - SILICONE OPTICS | 2 |
Daniel Ralph Harvey | US | Beaver Dams | 2014-07-24 / 20140202209 - VACUUM-INSULATED GLASS WINDOWS WITH GLASS-BUMP SPACERS | 4 |
Vaughn Harvey | US | New York | 2013-02-28 / 20130054487 - COMPUTER-BASED SYSTEMS AND METHODS FOR COMPUTING MARKET-ADJUSTED ELASTICITIES FOR ACCOUNTS | 1 |
Ed Harvey | US | Virginia Beach | 2013-04-11 / 20130091589 - MULTI-DOMAIN SECURE COMPUTER SYSTEM | 1 |
Raymond Scott Harvey | US | Worthington | 2011-03-10 / 20110059318 - STRUCTURAL URETHANE ADHESIVES COMPRISING AMIDE POLYOLS | 2 |
Thomas Harvey | GB | North Yorkshire | 2013-03-07 / 20130056876 - COMPOSITE ELECTRODE AND METHOD OF MANUFACTURE THEREOF | 1 |
Richard Hans Harvey | AU | Ringwood East | 2015-03-19 / 20150082411 - METHOD OF ENABLING A USER TO ACCESS A WEBSITE USING OVERLAY AUTHENTICATION | 6 |
Eric Joseph Harvey | US | Seattle | 2012-12-27 / 20120327378 - Aircraft Display System | 1 |
Guy R. Harvey | US | Milpitas | 2015-12-31 / 20150374436 - ABLATION DEVICE WITH MULTIPLE ABLATION MODES | 3 |
Michael R. Harvey | US | Milford | 2012-12-20 / 20120321833 - PROGRAMMABLE PELLET PRESS | 1 |
Stephen Harvey | US | Gaithersburg | 2013-03-21 / 20130070977 - STANDARD CALIBRATION TARGET FOR CONTACTLESS FINGERPRINT SCANNERS | 1 |
Jason F. Harvey | US | Glendale | 2013-06-13 / 20130147823 - SYSTEM AND METHOD FOR RENDERING A SKY VEIL ON A VEHICLE DISPLAY | 1 |
John Herbert Harvey | GB | Wolverhampton | 2013-03-14 / 20130062152 - Disconnect Assembly | 9 |
Marcus S.h. Harvey | US | Seattle | 2012-11-29 / 20120304091 - SYSTEM AND METHOD FOR DISCOVERING AND PUBLISHING OF PRESENCE INFORMATION ON A NETWORK | 1 |
William Harvey | US | Houston | 2012-11-29 / 20120298363 - PERFORATING STRING WITH MAGNETOHYDRODYNAMIC INITIATION TRANSFER | 1 |
Ian Phillip Harvey | GB | Bristol | 2016-03-24 / 20160084692 - REAL-TIME FLOW COMPENSATION IN USAGE ACCUMULATION | 2 |
James Francis Harvey | CA | Almonte | 2016-02-04 / 20160033630 - SECONDARY SURVEILLANCE RADAR SIGNALS AS PRIMARY SURVEILLANCE RADAR | 3 |
Michael Harvey | US | Haslett | 2016-03-24 / 20160082693 - INSULATED CONTAINER AND METHODS OF MAKING AND ASSEMBLING | 3 |
John Kirk Harvey | US | Wynnewood | 2014-09-18 / 20140282759 - Buffering Content | 2 |
Scott Michael Harvey | US | Wake Forest | 2012-11-08 / 20120284491 - STARTUP/SHUTDOWN SEQUENCE | 1 |
Michael James Harvey | CA | Calgary | 2016-02-25 / 20160053548 - SLIDE REAMER AND STABILIZER TOOL | 2 |
Arthur David Harvey | US | Dallas | 2014-11-27 / 20140345440 - WIRELESS TUNING DEVICE FOR MUSICAL INSTRUMENTS | 2 |
Andrew Harvey | AU | Thebarton, Sa | 2016-03-17 / 20160075684 - ALPHA 7 NICOTINIC ACETYLCHOLINE RECEPTOR MODULATORS AND USES THEREOF-III | 1 |
R. Cameron Harvey | QA | Doha | 2013-07-11 / 20130177252 - Detecting Video Copies | 1 |
Timothy Harvey | US | Rio Rancho | 2012-11-01 / 20120278248 - Interactive Method for Facilitating Employment Searches | 1 |
John Harvey | NZ | Auckland | 2012-11-01 / 20120275474 - Laser Device | 1 |
Craig Harvey | US | Houston | 2016-03-10 / 20160068767 - PROCESS FOR PRODUCING DIESEL FUEL | 1 |
James F. Harvey | US | Magnolia | 2016-03-10 / 20160069063 - MODULAR BUILDING SYSTEM | 1 |
Michael S. Harvey | US | Modesto | 2015-07-30 / 20150208652 - Methods and Compositions for the Generation of Peracetic Acid On Site at the Point-of-Use | 12 |
Edward J. Harvey | CA | Westmount | 2015-06-11 / 20150157376 - METHOD OF PRODUCING A POROUS BONE SCREW | 2 |
Marsha A. Harvey | US | Scotts | 2013-07-25 / 20130189730 - DEWAXING BUFFER CONTAINING A WATER-SOLUBLE ORGANIC SOLVENT AND METHODS OF USE THEREOF | 1 |
Donald M. Harvey | CA | Burnaby | 2016-03-03 / 20160063620 - SYSTEM AND METHOD OF FACILITATING PAYDAY LOANS | 1 |
Eric Harvey | CA | St-Eustache | 2016-03-31 / 20160092628 - MODELING TOOL, METHOD AND COMPUTER PROGRAM PRODUCT FOR DYNAMICALLY GENERATING A MAINTENANCE SIMULATION OF A VEHICLE | 1 |
William Harvey | US | Plymouth | 2012-10-18 / 20120261411 - SECONDARY PACKAGING SYSTEM FOR PRE-PACKAGED PRODUCTS | 1 |
Bryan Harvey | US | Catawba | 2012-10-11 / 20120258822 - BATTING TRAINING DEVICE | 1 |
Jesse Patrick Harvey | US | Palo Alto | 2013-08-01 / 20130198617 - DIMENSIONAL CONVERSION IN PRESENTATIONS | 3 |
Severin Luc Ramses Harvey | NL | Drachten | 2012-09-27 / 20120240408 - POLYETHYLENE GLYCOL CONTAINING COMPOSITION FOR SHAVING | 1 |
Darren Harvey | US | Acton | 2016-02-18 / 20160046634 - HETEROARYL COMPOUNDS AND USES THEREOF | 1 |
Dean S. Harvey | US | Cortland | 2012-09-20 / 20120239238 - COMMUNICATION TECHNIQUE BY WHICH AN AUTONOMOUS GUIDANCE SYSTEM CONTROLS AN INDUSTRIAL VEHICLE | 1 |
David C. Harvey | US | Portland | 2015-07-23 / 20150202879 - FLUID CARTRIDGE | 9 |
Noel G. Harvey | US | Efland | 2016-02-25 / 20160051807 - Method and Device for Controlling Drug Pharmacokinetics | 8 |
Jon M. Harvey | US | Germantown | 2013-08-22 / 20130214022 - Hands Free Electronic Device Holder | 1 |
Gerry Harvey | US | Newton | 2013-08-22 / 20130215417 - SYSTEM AND METHOD FOR DETERMINING OPTICAL DISTRIBUTION NETWORK CONNECTIVITY | 1 |
Stephen J. Harvey | IE | Mallow | 2012-08-30 / 20120221035 - SURGICAL CUTTING ACCESSORY WITH FLEXIBLE TUBE | 1 |
Edward R. Harvey | US | Mystic | 2013-08-29 / 20130225336 - METHOD AND APPARATUS FOR TEACHING THE THROWING OF A CURVEBALL WITHOUT INJURY | 1 |
Antony J. Harvey | US | Houston | 2013-08-29 / 20130226362 - Large Scale Dynamic Power Budget Adjustments for Optimizing Power Utilization in a Data Center | 1 |
Benjamin Robert Harvey | CA | Belleville | 2015-03-26 / 20150083318 - MULTI-SEASONAL CAMOUFLAGE PATTERN FABRICS AND COATINGS FOR HUNTING | 2 |
Paul J. Harvey | CA | Caledon East | 2012-08-23 / 20120214415 - SYSTEM AND METHOD FOR INTRA-CABINET WIRELESS COMMUNICATION | 1 |
Benjamin G. Harvey | US | Ridgecrest | 2015-12-31 / 20150376522 - Renewable High Density Turbine and Diesel Fuels | 11 |
Travis S. Harvey | US | Chicago | 2015-09-03 / 20150246760 - Nesting Container Lids with Snap On Wings | 3 |
Anncharlot H. Harvey | US | Charlotte | 2013-02-07 / 20130036110 - Privacy Compliance in Data Retrieval | 1 |
Nelson Harvey | US | Amarillo | 2016-02-04 / 20160030331 - ORAL CARE COMPOSITION | 1 |
Thomas Danaher Harvey | US | Rockville | 2016-04-07 / 20160096525 - METHODS AND DEVICES FOR SAFE OPERATION OF UNDERSIZE AUTONOMOUS VEHICLES ON PUBLIC ROADS | 9 |
Daniel Ralph Harvey | US | Bath | 2016-04-14 / 20160102009 - METHODS OF FORMING HIGH-DENSITY ARRAYS OF HOLES IN GLASS | 3 |
Jennifer Harvey | US | Cocoa Beach | 2012-07-19 / 20120185547 - SYSTEM AND METHOD FOR THE TRANSMISSION AND MANAGEMENT OF SHORT VOICE MESSAGES | 1 |
Barrett R. Harvey | US | Houston | 2016-01-28 / 20160024207 - MONOCLONAL ANTIBODIES TARGETING EPCAM FOR DETECTION OF PROSTATE CANCER LYMPH NODE METASTASES | 1 |
Craig Stanley Harvey | AU | Salamander Bay | 2012-07-19 / 20120183373 - SELF COUNTER-SINKING FASTENER | 1 |
Kendall Harvey | US | Sunnyvale | 2012-07-19 / 20120182997 - METHOD AND APPARATUS FOR PROVIDING TRANSPORT OF CUSTOMER QOS INFORMATION VIA PBB NETWORKS | 1 |
Delroy Harvey | GB | London | 2013-09-26 / 20130253936 - MEMORY AID DEVICE | 1 |
Eric Harvey | GB | Hampshire | 2016-01-07 / 20160001151 - TABLE-TENNIS NET APPARATUS | 1 |
Raymond Paul Harvey | GB | Newcastle-Upon-Tyne | 2012-07-12 / 20120176127 - APPARATUS FOR PIPELINE INSPECTION | 2 |
Raymond Paul Harvey | GB | Cramlington | 2013-07-11 / 20130174674 - APPARATUS FOR PIPELINE INSPECTION | 2 |
Paul M. Harvey | US | Austin | 2015-09-24 / 20150271926 - Packaging for Eight-Socket One-Hop SMP Topology | 11 |
Ray Harvey | US | Lakeland | 2012-07-05 / 20120169938 - Automatic Mute Control | 1 |
Michael Harvey | US | Hollis | 2013-10-24 / 20130282666 - METHOD AND SYSTEM FOR IMPLEMENTING A REDO REPEATER | 1 |
Patricia Harvey | US | Norfolk | 2013-10-24 / 20130277927 - Sand and Water Sled | 1 |
David Harvey | US | West Greenwich | 2012-06-21 / 20120151988 - MACHINE CALIBRATION ARTIFACT | 1 |
Cassie L. Harvey | US | Salado | 2014-08-07 / 20140220000 - MHC ENGAGEMENT AND CLIP MODULATION FOR THE TREATMENT OF DISEASE | 2 |
Mike Harvey | US | Granite Shoals | 2012-05-24 / 20120125636 - REMOTE OPERATION OF A ROTATING CONTROL DEVICE BEARING CLAMP | 1 |
Nicholas J. Harvey | US | Redmond | 2012-05-17 / 20120124223 - GENERALIZED PROXIMITY SERVICE | 1 |
Jeffrey K. Harvey | CA | Windsor | 2013-12-05 / 20130320178 - Seat Adjuster Assembly | 2 |
Thomas Harvey | US | Columbus | 2016-03-03 / 20160061495 - Vapor Compression Cooling System With Improved Energy Efficiency Through Economization | 6 |
Todd L. Harvey | US | Schaumburg | 2013-02-07 / 20130035406 - SYNTHESIS OF DME USING A FLUID PLURALIZED BED REACTOR | 2 |
John T. Harvey | US | Novi | 2015-04-30 / 20150119176 - TENSIONER | 3 |
Gregory W. Harvey | US | Marion | 2014-09-25 / 20140289126 - System and Method for Managing Merchant-Consumer Interactions | 4 |
Joan E. Harvey | US | East Hanover | 2013-12-12 / 20130330396 - METHODS FOR THE TREATMENT OF THROAT DISCOMFORT | 1 |
Antony John Harvey | US | Houston | 2013-12-12 / 20130332001 - SYSTEM AND METHOD FOR DETECTING A POWER SOURCE AND METERING POINTS OF A NETWORK DEVICE IN A NETWORK ENVIRONMENT | 1 |
Rex J. Harvey | US | Mentor | 2012-06-21 / 20120152501 - HEAT EXCHANGER AND METHOD OF MANUFACTURING SAME | 2 |
John Harvey | US | Elkridge | 2013-11-14 / 20130302794 - NUCLEIC ACID DETECTION BY OLIGONUCLEOTIDE PROBES CLEAVED BY BOTH EXONUCLEASE AND ENDONUCLEASE | 4 |
Timothy Harvey | US | Temecula | 2012-04-12 / 20120088222 - System for Measuring Speed and Magnitude of Responses and Methods Thereof | 1 |
Jeffrey Harvey | CA | Orleans | 2012-04-12 / 20120085652 - MODIFIED METAL SURFACE AND METHOD FOR PREPARING THE SAME USING AN ELECTROCHEMICAL PROCESS | 1 |
Edward Harvey | CA | Westmount | 2015-12-10 / 20150351648 - METHODS AND SYSTEMS RELATING TO BIOLOGICAL SYSTEMS WITH EMBEDDED MEMS SENSORS | 2 |
Daniel R. Harvey | US | Bath | 2011-05-05 / 20110100058 - FORMATION OF GLASS BUMPS WITH INCREASED HEIGHT USING THERMAL ANNEALING | 4 |
Christopher J. Harvey | US | Rillton | 2008-12-18 / 20080311613 - ARTIFICIAL SKIN SURFACE FILM LIQUIDS | 1 |
Leonard Harvey | US | Downingtown | 2009-04-30 / 20090110935 - CROSSLINKABLE FLUOROPOLYMER COMPOSITION AND USES THEREOF | 1 |
Joan E. Harvey | US | Morgantown | 2010-05-27 / 20100129489 - SENSATE-PLATED SANDING COMPOSITION AND PRODUCTS MADE THEREFROM | 4 |
Dustin M. Harvey | US | Pottstown | 2014-06-12 / 20140163619 - UNI-PLANER BONE FIXATION ASSEMBLY | 2 |
Leonard W. Harvey | US | Downingtown | 2014-07-24 / 20140205757 - BLENDED FLUOROPOLYMER COMPOSITIONS | 15 |
William J. Harvey | US | Honeoye | 2009-09-24 / 20090235457 - Medical Table Foot and Leg Support System | 1 |
Daniel Stephen Harvey | US | Seattle | 2012-03-29 / 20120079465 - COMPILE-TIME BOUNDS CHECKING FOR USER-DEFINED TYPES | 1 |
Michael P. Harvey | US | Summit | 2012-03-29 / 20120073806 - LOW CUT WATER SAMPLING DEVICE | 1 |
Joseph Michael Harvey | US | Greer | 2015-03-12 / 20150069763 - LOAD COVER | 2 |
Gedeon Harvey | US | New York | 2010-01-07 / 20100003206 - Cosmetic Composition Containing A Protein And An Enzyme Inhibitor | 1 |
Martin Harvey | CA | Laval | 2012-03-22 / 20120070491 - CASB7439 CONSTRUCTS | 1 |
Arthur James Harvey | US | Beech Island | 2015-11-12 / 20150325946 - WATER RESISTANT USB CONNECTION SYSTEM FOR VEHICLES | 1 |
William Morris Harvey | US | Gardiner | 2013-01-03 / 20130006706 - USING CONSUMER PURCHASE BEHAVIOR FOR TELEVISION TARGETING | 4 |
Michael Harvey | US | Lockport | 2009-03-19 / 20090071812 - System and method for removing moisture from liquid desiccant | 1 |
Heather Harvey | US | Brooklyn | / - | 1 |
John Andrew Harvey | US | New York | 2009-11-05 / 20090276301 - System and Method for Identifying Ideas | 1 |
Karen Nicola Harvey | GB | Cheshire | 2012-03-15 / 20120064138 - BIOCIDAL COMPOSITION | 1 |
Andrew Harvey | AU | Goodwood | 2015-10-22 / 20150299184 - Amine Derivatives as Potassium Channel Blockers | 4 |
John Harvey | US | Wynnewood | 2014-01-30 / 20140032762 - CUSTOMIZED OPTIONS FOR CONSUMPTION OF CONTENT | 1 |
Adam Harvey | US | Brooklyn | 2012-03-08 / 20120056546 - Anti-paparazzi/identity protection system | 1 |
Joan E. Harvey | US | Easton | 2014-01-09 / 20140010768 - FLAVORING INGREDIENT IN ORAL COMPOSITIONS | 4 |
Pamela Lawyer Harvey | US | Missouri City | 2014-02-13 / 20140046109 - METHOD OF MAKING A CATALYST AND CATALYST MADE THEREBY | 1 |
Anthony Reid Harvey | US | Wellsville | 2010-09-23 / 20100237003 - CERAMIC WATER PURIFICATION DEVICE | 1 |
Andrew John Harvey | AU | Goodwood | 2014-05-08 / 20140128600 - METHODS FOR PREPARING NAPHTHYRIDINES | 3 |
Timothy J. Harvey | US | Campton | 2014-02-27 / 20140053516 - Western Safety Stirrup | 1 |
Richard W. Harvey | US | Logansport | 2015-07-09 / 20150194864 - Grounding Device for Brushless Electric Motor | 2 |
Jeanne Harvey | US | 2008-09-04 / 20080213789 - Assay for detecting methylation status by methylation specific primer extension (MSPE) | 1 | |
William Harvey | US | Chicago | 2008-10-23 / 20080259209 - SYSTEM AND METHOD FOR CONVERGING AND DISPLAYING HIGH DEFINITION VIDEO SIGNALS | 1 |
Daniella M. Harvey | US | Santa Barbara | 2013-05-09 / 20130112650 - ROOM TEMPERATURE GLASS-TO-GLASS, GLASS-TO-PLASTIC AND GLASS-TO-CERAMIC/SEMICONDUCTOR BONDING | 1 |
William B. Harvey | US | Laytonsville | 2008-10-30 / 20080267612 - Miniaturized turret-mounted camera assembly | 1 |
Toby Justin Harvey | US | Nederland | 2008-11-20 / 20080283670 - K-truss deployable boom system | 1 |
Keith A. Harvey | US | Oakland Park | 2008-12-18 / 20080311004 - BIOSENSOR AND ULTRASONIC METHOD OF MAKING A BIOSENSOR | 1 |
Darren Martin Harvey | US | Westminster | 2009-02-12 / 20090042852 - Novel Pyridine Compounds | 1 |
Sean Harvey | US | Astoria | 2014-03-20 / 20140081771 - PUBLISHER INVENTORY EXTENSION | 4 |
Jerry J. Harvey | US | Lake Ozark | 2009-02-12 / 20090041262 - Earpiece with acoustic vent for driver response optimization | 1 |
David F. Harvey | US | Melbourne | 2009-03-05 / 20090063237 - Process for estimating operational availability of a system | 1 |
Bryce M. Harvey | US | Pike Road | 2009-05-28 / 20090137645 - Shelf-Stable Famotidine Granulates for Oral Suspensions | 1 |
Doug Harvey | US | Reno | 2014-03-27 / 20140087850 - SYSTEMS, METHODS, AND DEVICES FOR PROVIDING PURCHASES OF INSTANCES OF GAME PLAY AT A HYBRID TICKET/CURRENCY GAME MACHINE | 2 |
Kevin Harvey | US | Phoenix | 2014-12-04 / 20140359716 - WEB PAGE SECURITY SYSTEM | 2 |
Arthur James Harvey | US | Mantua | 2010-07-29 / 20100191408 - TILT AND/OR ACCELERATION SENSING APPARATUS AND METHOD | 2 |
Douglas Harvey | US | Tukwila | 2009-07-30 / 20090190889 - DEVICE FOR FURCATING FIBER OPTIC CABLES | 1 |
Edward P. Harvey | US | Summit | 2011-06-09 / 20110138456 - SECURITY MANAGEMENT SYSTEM FOR MONITORING FIREWALL OPERATION | 3 |
Richard C. Harvey | US | Placitas | 2014-10-30 / 20140322166 - GENE EXPRESSION SIGNATURES FOR DETECTION OF UNDERLYING PHILADELPHIA CHROMOSOME-LIKE (PH-LIKE) EVENTS AND THERAPEUTIC TARGETING IN LEUKEMIA | 2 |
George Arthur Harvey | US | Marlborough | 2009-08-20 / 20090210924 - METHOD AND APPARATUS FOR ADAPTING A CHALLENGE FOR SYSTEM ACCESS | 1 |
Charles E. Harvey | US | Fort Myers | 2009-09-03 / 20090221333 - Cell Phone Device | 1 |
James Benjamin Harvey | US | Spring City | 2009-09-17 / 20090233072 - FIBROUS NONWOVEN STRUCTURE HAVING IMPROVED PHYSICAL CHARACTERISTICS AND METHOD OF PREPARING | 1 |
Ronald B. Harvey | US | Mesa | 2009-09-24 / 20090240923 - Computing Device with Entry Authentication into Trusted Execution Environment and Method Therefor | 1 |
Robert T. Harvey | US | Wichita | 2009-10-15 / 20090257186 - Horizontal drive drawer system and method | 2 |
Benjamin M. Harvey | US | New Market | 2009-11-05 / 20090273259 - Mobile Storage Assembly | 2 |
Elaine Harvey | US | Oakton | 2015-11-19 / 20150334131 - Spoofing Detection | 5 |
Adena E. Harvey | US | Milton | 2010-02-11 / 20100035220 - ON-LINE STUDENT SAFETY LEARNING AND EVALUATION SYSTEM | 1 |
Todd Harvey | US | Schaumburg | 2013-10-31 / 20130287917 - FATTY ACIDS FROM PHYTOPLANKTON | 4 |
Robert Harvey | US | Wichita | 2014-05-08 / 20140126139 - Adjustable EMI Baffling Apparatus for Data Storage Systems | 3 |
Oral Robert Harvey | US | Leighton | 2010-02-25 / 20100044061 - VIBRATORY PLOW ASSEMBLY | 1 |
Richard Harvey | US | Logansport | 2013-08-22 / 20130214793 - ELECTRICAL DIAGNOSTIC TOOL | 3 |
James Harvey | US | Cleveland | 2010-07-01 / 20100163530 - Dual Dual Welder | 1 |
Kevin T. Harvey | US | Phoenix | 2014-05-29 / 20140149849 - METHODS AND APPARATUS FOR PROCESSING MARKUP LANGUAGE DOCUMENTS | 2 |
Charles Harvey | US | Concord | 2010-08-05 / 20100198547 - DIFFERENTIAL PRESSURE SYSTEMS AND METHODS FOR MEASURING HYDRAULIC PARAMETERS ACROSS SURFACE WATER-AQUIFER INTERFACES | 1 |
Iain E. Harvey | US | Kaysville | 2010-08-05 / 20100194237 - MEMS DEVICES AND SYSTEMS ACTUATED BY AN ENERGY FIELD | 1 |
Ian R. Harvey | US | Kaysville | 2010-08-05 / 20100194237 - MEMS DEVICES AND SYSTEMS ACTUATED BY AN ENERGY FIELD | 1 |
Scott D. Harvey | US | Kennewick | 2010-08-12 / 20100203652 - Method and apparatus for selective capture of gas phase analytes using metal beta-diketonate polymers | 2 |
Ian R. Harvey | US | Salt Lake City | 2010-08-12 / 20100199909 - SYSTEMS AND METHODS FOR RECYCLING SEMICONDUCTOR MATERIAL REMOVED FROM A RAW SEMICONDUCTOR BOULE | 1 |
Jeffrey T. Harvey | US | Lakewood | 2014-08-21 / 20140234934 - PROCESS FOR PRODUCING HIGH VALUE PRODUCTS FROM BIOMASS | 3 |
Louis S. Harvey | US | Cohasset | 2010-10-21 / 20100268669 - Advisor Referral | 1 |
Travis Harvey | US | Portland | 2014-02-13 / 20140041565 - RESILIENT BAND FOR ARTICLE OF APPAREL | 2 |
Brandon L. Harvey | US | Chicago | 2016-02-11 / 20160041728 - System For Storage And Navigation Of Application States And Interactions | 5 |
Thomas Jeffrey Harvey | US | Nederland | 2008-11-20 / 20080283670 - K-truss deployable boom system | 1 |
Joseph Michael Harvey | US | Greenville | 2010-11-18 / 20100287948 - UNLOADED SPEED CONTROL FOR AVAILABILITY IMPROVEMENTS TO HEAVY FUEL FIRED GAS TURBINES | 1 |
Sarah E. Harvey | US | Phoenix | 2011-08-11 / 20110196766 - SYSTEM AND METHOD FOR APPROVAL AND ALLOCATION OF COSTS IN ELECTRONIC PROCUREMENT | 2 |
Curtis Harvey | US | Brooklyn | 2011-03-31 / 20110077935 - APPARATUS AND METHODS FOR USER GENERATED TRANSLATION | 1 |
J. Todd Harvey | US | Lakewood | 2015-04-16 / 20150104835 - STATIC SOLID STATE BIOREACTOR AND METHOD FOR USING SAME | 3 |
James L. Harvey | US | Salt Lake City | 2013-01-03 / 20130004730 - APPARATUS AND METHODS FOR FORMING COMPOSITE STIFFENERS AND REINFORCING STRUCTURES | 5 |
Peter R. Harvey | US | Tampa | 2011-01-06 / 20110000681 - Radial Spring Latch Apparatus and Methods for Making and Using Same | 1 |
Jeremy P. Harvey | US | Birmingham | 2011-01-06 / 20110000228 - HYBRID CRYOCOOLER WITH MULTIPLE PASSIVE STAGES | 1 |
Nathan K. Harvey | US | Pahrump | 2014-11-06 / 20140329604 - TRANSPORT AGNOSTIC IPC MECHANISM | 8 |
Richard Harvey | US | Placitas | 2011-09-22 / 20110230372 - GENE EXPRESSION CLASSIFIERS FOR RELAPSE FREE SURVIVAL AND MINIMAL RESIDUAL DISEASE IMPROVE RISK CLASSIFICATION AND OUTCOME PREDICTION IN PEDIATRIC B-PRECURSOR ACUTE LYMPHOBLASTIC LEUKEMIA | 2 |
Joshua N. Harvey | US | Renton | 2009-12-10 / 20090302066 - Pump mountable on two sizes of container | 1 |
J. T. Harvey | US | Lakewood | 2012-11-29 / 20120301939 - METHODS OF TREATING BIOMASS | 2 |
Charles Franklin Harvey | US | Concord | 2011-03-17 / 20110066380 - SUBSURFACE RESERVOIR ANALYSIS BASED ON FLUID INJECTION | 1 |
Richard Lee Harvey | US | Neshanic Station | 2011-04-07 / 20110083152 - SYSTEM AND METHOD FOR PROVIDING COMMUNICATIONS SERVICE TO INDIVIDUAL UNITS OF A MULTIPLE DWELLING UNIT | 1 |
George A. Harvey | US | Marlborough | 2011-04-14 / 20110085562 - AGGREGATING PORTS WHILE ALLOWING ACCESS TO SINGLETON PORTS | 1 |
Keith F. Harvey | US | Peoria | 2012-08-23 / 20120214641 - MACHINE CONTROL SYSTEM UTILIZING INERTIAL YAW SENSOR | 6 |
Ben-Gary Harvey | US | West Hempstead | 2010-05-13 / 20100119474 - CHRONIC OBSTRUCTIVE PULMONARY DISEASE SUSCEPTIBILITY AND RELATED COMPOSITIONS AND METHODS | 1 |
Micah Harvey | US | Madison | 2015-11-26 / 20150335628 - OLIGOMER-CALCIUM CHANNEL BLOCKER CONJUGATES | 3 |
Nicholas J. Harvey | US | Canal Fulton | 2014-03-13 / 20140074583 - METHOD AND APPARATUS FOR OPERATING A WEBSITE | 1 |
Michael A. Harvey | US | Spofford | 2015-12-24 / 20150369802 - Biomolecule Binding Composite Surfaces, Methods Of Making Such Surfaces, Devices Incorporating Such Surfaces, And Methods Of Using Such Surfaces In Biomolecule Binding Assays, And Devices Therefor | 4 |
Rubin Harvey | US | Morristown | 2010-04-29 / 20100103871 - Methods and systems for providing user information in telecommunications networks | 1 |
Andrew C. Harvey | US | Waltham | 2010-07-08 / 20100170481 - Fog carburetor | 2 |
Scott H. Harvey | US | West Liberty | 2010-10-28 / 20100272585 - Radial Cam-Driven Compressor and Radial Cam-Driven Compressor Assemblies | 1 |
Marcus Harvey | US | Seattle | 2012-01-19 / 20120016903 - IDENTITY MANAGEMENT USER EXPERIENCE | 1 |
Kenneth Ryan Harvey | US | Newberry | 2010-11-25 / 20100294778 - Storage Tank With Flexible Shear Pad | 1 |
Brent Harvey | US | Springville | 2012-01-12 / 20120006023 - LOOP THERMAL ENERGY SYSTEM | 1 |
Alan Harvey | GB | Glasgow | 2015-03-19 / 20150080447 - Sceletium Extract and Uses Thereof | 3 |
David D. Harvey | US | Newton | 2011-12-22 / 20110313784 - HEALTHCARE INFORMATION COMMUNICATION SYSTEM | 1 |
Rebecca A. Harvey | US | Goleta | 2011-12-22 / 20110313680 - Health Monitoring System | 1 |
Chase Harvey | US | Lynwood | 2014-05-01 / 20140117029 - Modular martini glass with sublimation chamber | 1 |
Albert Destrehan Harvey | US | Kingwood | 2015-10-08 / 20150285033 - INSULATED CONDUCTORS FORMED USING A FINAL REDUCTION STEP AFTER HEAT TREATING | 1 |
David Harvey | GB | Havant | 2015-10-08 / 20150282673 - Blade Driving Assembly for Food Processor and Food Processor Incorporating Same | 1 |
Tobias George Harvey | US | Lorton | 2011-12-15 / 20110306864 - POSITIONER FOR ULTRA-PORTABLE IMAGING SYSTEM | 1 |
Katie Harvey | GB | London | 2014-05-01 / 20140122247 - METHOD AND SYSTEM FOR ORDERING PRODUCTS | 1 |
Katherine Harvey | US | Houston | 2011-12-15 / 20110302911 - Methods And Systems For Subsea Electric Piezopumps | 1 |
Nicholas C. Harvey | US | Windsor | 2015-10-01 / 20150280422 - FAULT PROTECTION OF A VOLTAGE DIFFERENTIAL TRANSFORMER (VDT) EXCITATION CIRCUIT | 1 |
Noel G. Harvey | US | Efland | 2016-02-25 / 20160051807 - Method and Device for Controlling Drug Pharmacokinetics | 8 |
Gerry Jay Harvey | US | Newton | 2014-08-07 / 20140219618 - OPTICAL FIBER ASSEMBLIES | 5 |
Peter L. Harvey | US | Salisbury | 2010-08-12 / 20100199582 - TEMPERATURE COMPENSATING OUTDOOR WALL PADDING APPARATUS AND METHOD FOR FORMING THE SAME | 1 |
Dennis N. Harvey | US | Burlington | 2009-08-06 / 20090195128 - NOVEL DRESSER | 1 |
Noel Harvey | US | Efland | 2010-10-14 / 20100262120 - METHOD AND DEVICE FOR CONTROLLING DRUG PHARMACOKINETICS | 1 |
Gerry J. Harvey | US | Newton | 2016-01-07 / 20160003376 - CABLE SUSPENSION CLAMP | 5 |
Ann Charlot Hunaeus Harvey | US | Iron Station | 2011-04-28 / 20110099643 - Automated Privacy Enforcement | 1 |
Sean Harvey | US | Orlando | 2013-02-14 / 20130037197 - Process of resurfacing a substrate with an engineered quartz material | 1 |
John H. Harvey | GB | Wolverhampton West Midlands | 2011-12-08 / 20110296813 - VARIABLE AREA FAN NOZZLE WITH BYPASS FLOW | 1 |
Jerry Harvey | US | Apopka | 2016-04-21 / 20160112017 - CROSSOVER AND AMPLIFIER BASED CANALPHONE SYSTEM | 12 |
David Harvey | GB | Hull | 2012-05-17 / 20120121655 - Chewable Formulation Comprising Alginate, Bicarbonate And Carbonate | 2 |
Robert Alan Harvey | GB | Cambridge | 2015-09-17 / 20150260181 - MICRO PUMPS | 1 |
William J. Harvey | US | Columbus | 2011-11-10 / 20110276524 - Data Structures and Apparatuses for Representing Knowledge | 1 |
Darren M. Harvey | US | Boulder | 2011-11-10 / 20110275608 - PIPERIDINE-CONTAINING COMPOUNDS AND USE THEREOF | 1 |
David S. Harvey | US | Westford | 2011-11-10 / 20110272115 - REMOVING A SHEET FROM THE SURFACE OF A MELT USING ELASTICITY AND BUOYANCY | 1 |
Kenneth C. Harvey | US | Dallas | 2010-02-11 / 20100032587 - Electron beam exciter for use in chemical analysis in processing systems | 4 |
Jeremy P. Harvey | US | Houston | 2013-05-16 / 20130118745 - INTERNALLY PRESSURIZED PERFORATING GUN | 4 |
Pamela Harvey | US | Missouri City | 2011-11-03 / 20110270008 - PRETREATMENT OF A PHOSPHORUS-MODIFIED ZEOLITE CATALYST FOR AN AROMATIC ALKYLATION PROCESS | 9 |
Barrett R. Harvey | US | Pearland | 2011-04-21 / 20110091480 - Antigen-Binding Proteins Targeting S. Aureus Orf0657n | 1 |
John Andres Harvey | US | Katy | 2009-02-12 / 20090041820 - Functional polymer compositions | 1 |
Leonard W. Harvey | US | Downingtown | 2014-07-24 / 20140205757 - BLENDED FLUOROPOLYMER COMPOSITIONS | 15 |
William B. Harvey | US | Houston | 2015-12-03 / 20150345922 - Igniter for Downhole Use Having Flame Control | 6 |
Paul M. Harvey | US | Austin | 2015-09-24 / 20150271926 - Packaging for Eight-Socket One-Hop SMP Topology | 11 |
John Paul Harvey | US | Round Rock | 2010-03-11 / 20100064079 - USB Devices Pre-Configuration For KVM Switch | 1 |
Brian Harvey | US | Houston | 2010-11-25 / 20100299110 - SYSTEM AND METHOD FOR HAZARDOUS AREA CLASSIFICATION | 1 |
Paul Marlan Harvey | US | Austin | 2012-04-26 / 20120098116 - MULTI-CHIP MODULE SYSTEM WITH REMOVABLE SOCKETED MODULES | 3 |
Ty Dennis Harvey | US | Fort Worth | 2009-04-16 / 20090099311 - POLYACRYLIC MOISTURE CURABLE COPOLYMER | 2 |
Lee L. Harvey | US | Rockwell | 2015-08-20 / 20150233371 - RECIPROCATING-ROD SEAL | 2 |
James Harvey | US | Windham | 2011-10-27 / 20110261559 - Flashlight with Tail Cap and Remote Switch | 1 |
Todd M. Harvey | US | Elmira | 2011-10-20 / 20110256807 - METHOD FOR STRIPPING NITRIDE COATINGS | 1 |
Leonard W. Harvey | US | Downington | 2015-08-27 / 20150240065 - BLENDED FLUOROPOLYMER COMPOSITIONS | 1 |
Oliver Harvey | GB | Cambridgeshire | 2011-10-13 / 20110251580 - POWDER DELIVERY DEVICE | 1 |
Timothy N. Harvey | US | Humble | 2015-12-17 / 20150360241 - ASSESSMENT AND CONTROL OF CENTRIFUGE OPERATION | 4 |
Clyde Harvey | US | Kansas City | 2011-10-06 / 20110244993 - System and Method for Training a Basketball Player | 1 |
Michael Harvey | US | Alameda | 2015-08-13 / 20150224350 - SAFETY HARNESS ANCHORING SYSTEM | 1 |
Stephen Harvey | IE | Mallow | 2013-05-16 / 20130120262 - METHOD AND SYSTEM FOR POWER CONSERVATION IN A MULTI-ZONE INPUT DEVICE | 4 |
Darrell Harvey | US | Seattle | 2011-09-15 / 20110224609 - Alignment of a Needle in an Intradermal Injection Device | 1 |
Elizabeth Harvey | IE | Dublin | 2011-09-15 / 20110223095 - CARBON NANOTUBE FILM | 1 |
Thomas E. Harvey | US | Columbus | 2016-05-19 / 20160138840 - COOLING SYSTEM FOR HIGH DENSITY HEAT LOAD | 7 |
Patrick C. J. Harvey | GB | Bedfordshire | 2011-09-08 / 20110214762 - BI-DIRECTIONAL FLUIDIC OSCILLATOR FLOW METER | 1 |
Pierre Harvey | CA | Quebec | 2014-08-21 / 20140230358 - Decking System | 2 |
Jeremy Harvey | GB | Bristol | 2011-09-01 / 20110210730 - Molecular Structure Determination from NMR Spectroscopy | 1 |
Micah B. Harvey | US | Madison | 2011-08-25 / 20110207209 - CULTURE SYSTEMS, APPARATUS, AND RELATED METHODS AND ARTICLES | 1 |
Neil W. Harvey | GB | Derby | 2012-01-12 / 20120009065 - ROTOR BLADE | 2 |
Raymond S. Harvey | US | Worthington | 2014-04-17 / 20140106173 - Method of Producing Flexible Laminates | 3 |
Delwyn Lovell Harvey | US | North Canton | 2012-05-24 / 20120125499 - TREAD WEAR INDICATOR | 5 |
Nathan Harvey | US | Las Vegas | 2013-04-11 / 20130090160 - Progressive Configuration Device, System and Method for Gaming Devices | 1 |
Tony S. Harvey | US | West Lafayette | 2014-02-13 / 20140046713 - INFORMATION CAPTURE, PROCESSING AND RETRIEVAL SYSTEM AND METHOD OF OPERATING THE SAME | 1 |
Luke Russell Harvey | US | Edgerton | 2014-07-17 / 20140198024 - SYSTEM AND METHOD FOR DETECTING THREE DIMENSIONAL GESTURES TO INITIATE AND COMPLETE THE TRANSFER OF APPLICATION DATA BETWEEN NETWORKED DEVICES | 2 |
Stephen Harvey | FR | Annernasse | 2014-03-13 / 20140069792 - Protective Cover for a Tablet Computer | 1 |
Stephen Harvey | IE | County Cork | 2015-02-26 / 20150055030 - TOUCH SURFACE FOR AN ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Kyle Harvey | US | Wauwatosa | 2015-12-17 / 20150360351 - PIPE WRENCH | 13 |
Jackson Harvey | US | Savage | 2014-01-16 / 20140018014 - Transceiver Device | 3 |
Roy Harvey | US | Maitland | 2016-01-07 / 20160001183 - SYSTEMS AND METHODS FOR CROWD-SOURCED GAME STRATEGY | 4 |
John M. Harvey | US | Upton | 2013-09-12 / 20130233736 - PROTECTIVE PACKAGING WITH PRODUCT PREPARATION FEATURES INCORPORATED | 1 |
Cameron L. Harvey | US | Rye | 2014-07-03 / 20140187232 - LIGHTING CONTROLLER WITH INTEGRATED WIDE AREA NETWORK INTERFACE | 2 |
Ronald W. Harvey | US | Boulder | 2013-01-03 / 20130004544 - Synthetic Antibacterial Clay Compositions and Method of Using Same | 1 |
Christopher Harvey | US | Boston | 2016-02-18 / 20160046716 - THERAPEUTIC PEPTIDES | 2 |
Stefanie Edith Harvey | US | Sunnyvale | 2013-01-31 / 20130025907 - CARBON-BASED SUBSTRATE CONDUCTOR | 1 |
Philip David Harvey | GB | Hertfordshire | 2014-02-27 / 20140059077 - Data Processing | 1 |
Matthew C. Harvey | GB | Richmond | 2014-04-24 / 20140115007 - GENERATING SYNTHETIC DATA | 1 |
John H. Harvey | GB | Wolverhampton | 2013-08-01 / 20130193267 - Nose-Wheel Steering Actuator | 1 |
John Harvey | GB | Wolverhampton | 2013-06-27 / 20130161414 - ACTUATION SYSTEM FOR A TRANSLATING VARIABLE AREA FAN NOZZLE | 1 |
Noel J. Harvey | US | Efland | 2015-07-02 / 20150182705 - INTRADERMAL DELIVERY OF SUBSTANCES | 1 |
William Ian Harvey | GB | North Walsham | 2015-06-25 / 20150180145 - ELECTRICAL CONNECTOR FOR AN ANODE | 1 |
Andrew Harvey | AU | South Australia | 2015-06-25 / 20150175534 - Alpha-7 NICOTINIC ACETYLCHOLINE RECEPTOR MODULATORS AND USES THEROF-I | 1 |
Robert A. Harvey | US | St. Johnsville | 2011-07-21 / 20110177199 - Method For Making A Sweet Potato Puree | 1 |
Ian Harvey | US | Kaysville | 2013-08-01 / 20130196368 - Electrophysiological Recording System and Methods of Using Same | 3 |
Franklin Harvey | US | Knightdale | 2014-05-08 / 20140125077 - PORTABLE MEDIA DEVICE HOLDER | 1 |
Alfred Joseph Harvey | US | Raleigh | 2014-04-17 / 20140107613 - SYSTEM AND METHOD FOR DETECTING OCCLUSIONS IN A MEDICATION INFUSION SYSTEM USING PULSEWISE PRESSURE SIGNALS | 1 |
William David Harvey | US | Palo Alto | 2013-01-03 / 20130006851 - COMPUTER-IMPLEMENTED HIERARCHICAL REVENUE MODEL TO MANAGE REVENUE ALLOCATIONS AMONG DERIVED PRODUCT DEVELOPERS IN A NETWORKED SYSTEM | 2 |
Andrew John Harvey | AU | Goodwood South Australia | 2016-02-11 / 20160039810 - A CRYSTALLINE FORM OF AN ANXIOLYTIC COMPOUND | 2 |
Brent C. Harvey | US | Marietta | 2011-06-23 / 20110153420 - Methods, Systems, and Products for Targeting Content | 1 |
Craig Jeremy Harvey | US | Apex | 2011-06-23 / 20110150218 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR MANAGING AND UTILIZING CONNECTIONS BETWEEN AN APPLICATION SERVER AND AN ENTERPRISE INFORMATION SYSTEM BASED ON A DAYTONA ARCHITECTURE | 1 |
Melissa Harvey | AU | Stanwell Parks | 2014-07-24 / 20140206036 - USE OF PROLINE SPECIFIC ENDOPROTEASES TO HYDROLYSE PEPTIDES AND PROTEINS | 2 |
Andrew John Harvey | AU | Thebarton | 2015-08-13 / 20150225377 - VEGFR3 INHIBITORS | 3 |
John Harvey | GB | Filton Bristol | 2015-05-07 / 20150125866 - METHOD FOR SELECTING DONORS AND RECIPIENTS FOR TRANSPLANTATION | 1 |
Kevin Harvey | GB | Buckinghamshire | 2011-06-09 / 20110138295 - METHODS AND SYSTEMS FOR UPDATING A DOCK WITH A USER INTERFACE ELEMENT REPRESENTATIVE OF A REMOTE APPLICATION | 1 |
Richard Lee Harvey | US | Branchburg | 2012-11-08 / 20120284784 - AIRBORNE PICO CELL SECURITY SYSTEM | 2 |
Pamela Harvey | US | Missouri City | 2011-11-03 / 20110270008 - PRETREATMENT OF A PHOSPHORUS-MODIFIED ZEOLITE CATALYST FOR AN AROMATIC ALKYLATION PROCESS | 9 |
Barry Harvey | US | Los Altos | 2011-06-02 / 20110127988 - ROTATING GAIN RESISTORS TO PRODUCE A BANDGAP VOLTAGE WITH LOW-DRIFT | 9 |
Paul Royston Harvey | NL | Eindhoven | 2015-09-10 / 20150253393 - BIRDCAGE BODY COIL FOR PARALLEL TRANSMIT MRI | 14 |
Daniel J. Harvey | CA | Langley | 2011-05-26 / 20110121796 - Voltage Regulation System | 1 |
Martin Harvey | GB | Kingswinford | 2015-04-30 / 20150115617 - APPARATUS AND METHOD FOR WIRELESSLY TRANSMITTING DATA FROM A VEHICLE LATCH | 1 |
Jeremy Harvey | US | Houston | 2009-04-02 / 20090084552 - PROVIDING DYNAMIC TRANSIENT PRESSURE CONDITIONS TO IMPROVE PERFORATION CHARACTERISTICS | 1 |
Paul-Étienne Harvey | CA | Saint-Hubert | 2010-07-15 / 20100178358 - POLYSACCHARIDE-BASED IMPREGNATED SOLID MATERIAL WITH IMPROVED STABILITY, PROCESSES FOR THE PREPARATION THEREOF AND IMPREGNATING SOLUTIONS USED | 1 |
David Harvey | GB | Addlestone Nr Weybridge | 2014-01-30 / 20140032747 - DETECTION OF ANOMALOUS BEHAVIOUR IN COMPUTER NETWORK ACTIVITY | 1 |
Michael J. Harvey | CA | Calgary | 2014-11-27 / 20140345949 - SEAL SYSTEM FOR DOWNHOLE TOOL | 2 |
Brent Harvey | US | Marietta | 2013-01-10 / 20130013317 - METHOD AND APPARATUS FOR NAVIGATION OF A DIALOGUE SYSTEM | 2 |
Anncharlot H. Harvey | US | Iron Station | 2016-04-28 / 20160117524 - ENHANCED VIEW COMPLIANCE TOOL | 2 |
Glen A. Harvey | US | Baton Rouge | 2015-03-26 / 20150087490 - SOFT RUBBER ROLL COVER WITH WIDE GROOVES | 1 |
Douglas Harvey | US | Santa Clara | 2015-07-16 / 20150198761 - OPTICAL DISPLAY SYSTEM | 3 |
Daniel Kristopher Harvey | GB | London | 2015-03-26 / 20150089409 - SYSTEM AND METHOD FOR MANAGING OPINION NETWORKS WITH INTERACTIVE OPINION FLOWS | 1 |
Rebecca S. Harvey | US | Grand Rapids | 2013-12-12 / 20130331058 - EMERGENCY ALERT SYSTEM | 1 |
Yannick Harvey | US | Houston | 2015-12-03 / 20150344263 - RAPID DEPLOYMENT FRAC WATER TRANSFER SYSTEM | 3 |
Phillip Christopher Harvey | US | Long Beach | 2015-03-12 / 20150070901 - SYSTEMS AND METHODS TO IMPART VISUAL QUALITY TO ILLUMINATION SYSTEMS | 1 |
Vaughn Stephen Harvey | US | New York | 2013-10-17 / 20130275845 - INTERACTIVE USER INTERFACE FOR DISPLAYING SUPPLY CHAIN INFORMATION | 1 |
Douglas Harvey | US | Sunnyvale | 2015-03-05 / 20150064815 - Method of Making Molded LED Package | 3 |
Daniel D. Harvey | US | Lake Geneva | 2015-03-05 / 20150059726 - AIRSOFT GUN WITH GUN MOUNTED AIR SUPPLY SYSTEM | 1 |
Paul R. Harvey | NL | Best | 2012-06-28 / 20120163692 - IMAGE INTENSITY CORRECTION FOR MAGNETIC RESONANCE IMAGING | 5 |
Kevin Scott Harvey | NZ | Auckland | / - | 1 |
Ryan C. Harvey | FR | Bordeaux | 2009-10-01 / 20090248163 - SPINAL SURGERY INTERBODY | 1 |
Paul Harvey | NL | Eindhoven | 2010-04-29 / 20100106004 - MOTION CORRECTION IN A PET/MRI HYBRID IMAGING SYSTEM | 1 |
Ryan Carter Harvey | FR | Bordeaux | 2010-06-17 / 20100152785 - COAXIALLY LOCKABLE POLY-AXIAL BONE FASTENER ASSEMBLIES | 2 |
Colin Mason Harvey | NZ | Auckland | 2010-06-03 / 20100137384 - Anthelmintic Composition | 1 |
David C. Harvey | US | Portland | 2015-07-23 / 20150202879 - FLUID CARTRIDGE | 9 |
Thomas Danaher Harvey | US | Rockville | 2016-04-07 / 20160096525 - METHODS AND DEVICES FOR SAFE OPERATION OF UNDERSIZE AUTONOMOUS VEHICLES ON PUBLIC ROADS | 9 |
Vaughn S. Harvey | US | New York | 2015-01-29 / 20150032665 - COMPUTER-BASED SYSTEMS AND METHODS FOR COMPUTING MARKET-ADJUSTED ELASTICITIES FOR ACCOUNTS | 1 |
Robert Vail Harvey | US | Orlando | 2014-07-03 / 20140182180 - Electromechanical Trigger Guard Protective Enclosure | 1 |
Jerry Harvey | US | Apopka | 2016-04-21 / 20160112017 - CROSSOVER AND AMPLIFIER BASED CANALPHONE SYSTEM | 12 |
Joseph Harvey | US | Rio Grande | 2015-01-15 / 20150019299 - Method of Generating Golf Index Reports | 1 |
Darcia Harvey | CA | Chilliwack | 2015-01-08 / 20150009027 - Ornamental Person Locator with Imbedded Tracker and Personal Identification | 1 |
Benjamin G. Harvey | US | Ridgecrest | 2015-12-31 / 20150376522 - Renewable High Density Turbine and Diesel Fuels | 11 |
Steve Harvey | US | Austin | 2014-07-31 / 20140213073 - CONDUCTIVE HINGE | 1 |
Chris Harvey | US | French Camp | 2014-12-18 / 20140366651 - MAGNETICALLY-INDUCED SOLID-PHASE MICROEXTRACTION FIBER ACTUATION SYSTEM FOR QUANTITATIVE HEADSPACE AND LIQUID SAMPLING | 1 |
John Paul Harvey | CA | Caledon East | 2014-07-24 / 20140203933 - Systems and Methods for Conveying Utility Operator Data | 4 |
Michael Harvey | CA | Kirkland | 2009-07-23 / 20090186878 - CRYSTALLINE FORMS OF A FARNESYL DIBENZODIAZEPINONE | 1 |
Alain Harvey | CA | Montreal | 2010-02-04 / 20100028710 - OPEN CELL POROUS MATERIAL AND METHOD FOR PRODUCING SAME | 1 |
Pierre Harvey | CA | Saint-Ferreol-Les-Neiges | 2010-02-11 / 20100032917 - INLINE ROLLER SKATE CONFIGURATIONS | 1 |
Pierre Harvey | CA | St. Ferreol | 2010-10-07 / 20100253020 - ICE SKATE BLADE AND BLADE HEATING ARRANGEMENT | 5 |
Edward J. Harvey | CA | Montreal | 2014-04-03 / 20140094924 - ORTHOPAEDIC IMPLANTS | 3 |
Yannick Harvey | CA | Sherbrooke | 2011-10-20 / 20110253244 - HOSE WITH IMPROVED FLOW | 2 |
Claudia Harvey | CA | Toronto | 2011-02-24 / 20110041225 - GLOVE WITH FINGERNAIL PROTECTORS | 1 |
Paul-Étienne Harvey | CA | Saint-Hubert | 2013-10-24 / 20130277599 - POLYMERS OBTAINED FROM MONOMERS ALLOWING A SEQUENTIAL POLYMERIZATION, AND THEIR USE FOR PREPARING IONIC CONDUCTORS | 3 |
Todd L. Harvey | US | Palatine | 2014-12-11 / 20140364654 - DIMETHYL ETHER (DME) PRODUCTION PROCESS | 1 |
Paul Royston Harvey | NL | Best | 2014-08-07 / 20140218030 - MR RECEIVE COIL PLATFORM WITH SELECTIVE ENGAGEMENT BETWEEN RECEIVE COIL AND PATIENT TABLE TOP | 7 |
Paul Royston Harvey | NL | Eindhoven | 2015-09-10 / 20150253393 - BIRDCAGE BODY COIL FOR PARALLEL TRANSMIT MRI | 14 |
David Harvey | GB | London | 2014-06-05 / 20140153902 - METHOD AND APPARATUS FOR AUTOMATIC EDITING | 2 |
David Harvey | US | Westford | 2014-03-27 / 20140083349 - REMOVABLE THERMAL CONTROL FOR RIBBON CRYSTAL PULLING FURNACES | 5 |
David Harvey | US | Warren | 2012-07-12 / 20120177727 - Method and Apparatus for the Preparation of Capsules | 2 |
David Harvey | GB | Lodon | 2009-12-10 / 20090301287 - Gallery of Ideas | 1 |
David Harvey | GB | Derby | 2008-08-21 / 20080196508 - Lift measurement | 1 |
Kathy Harvey | US | Seymour | 2014-12-04 / 20140352043 - BED BUG PROTECTIVE GARMENT | 1 |
David James Harvey | US | Happy Valley | 2014-12-04 / 20140353225 - Treatment of Storm Water | 1 |
Pamela Harvey | US | Missouri | 2014-11-27 / 20140350315 - METHOD FOR CONVERSION OF AROMATIC HYDROCARBONS | 1 |
Joseph Colson Harvey | US | Tallahassee | 2014-11-27 / 20140346099 - Methods, Systems, and Apparatus for Rainwater Harvesting and Cistern Storage Integrated with Irrigation | 1 |
Jeanne Harvey | US | Livermore | 2016-05-05 / 20160123984 - DRUG SELECTION FOR BREAST CANCER THERAPY USING ANTIBODY-BASED ARRAYS | 10 |
Darren Harvey | US | Boulder | 2011-05-26 / 20110124878 - KINASE INHIBITORS AND METHODS OF USE THEREOF | 4 |
Darren Harvey | US | Westminster | 2009-01-22 / 20090023795 - Kinase Inhibitors And Methods Of Use Thereof | 2 |
Darren Harvey | US | Webb City | 2010-03-04 / 20100051738 - Coreless Roll Mounting Apparatus | 1 |
Darren Harvey | GB | Essex | 2011-02-17 / 20110036899 - MODULAR SOLDERING APPARATUS | 4 |
Darryl Grant Harvey | US | Troy | 2014-10-23 / 20140312021 - WELDING SYSTEM HAVING A WIRE-SPECIFIC INTERFACE | 2 |
Michael Harvey | AU | Brisbane | 2014-09-18 / 20140279899 - DATA BUS ARCHITECTURE FOR INTER-DATABASE DATA DISTRIBUTION | 3 |
Richard H. Harvey | AU | Victoria | 2009-08-27 / 20090216723 - Directory Partitioned System and Method | 3 |
Michael Harvey | AU | Erskineville Nsw | 2009-10-15 / 20090260060 - RICH MEDIA COLLABORATION SYSTEM | 1 |
Andrew J. Harvey | AU | Victoria | 2009-12-03 / 20090298931 - NOVEL CHROMENONE POTASSIUM CHANNEL BLOCKERS AND USES THEREOF | 2 |
Andrew J. Harvey | AU | South Australia | 2010-04-08 / 20100087430 - NOVEL BENZOFURAN POTASSIUM CHANNEL BLOCKERS AND USES THEREOF | 1 |
Michael Harvey | AU | New South Wales | 2010-04-29 / 20100106686 - Data Management System | 1 |
Peter Harvey | AU | Queensland | 2010-04-29 / 20100106686 - Data Management System | 2 |
Steven David Gerald Harvey | AU | South Australia | 2010-06-03 / 20100132824 - Composite reinforced strip windable to form a helical pipe and method therefor | 1 |
Andrew John Harvey | AU | South Australia | 2010-11-25 / 20100297077 - NOVEL ARYL POTASSIUM CHANNEL BLOCKERS AND USES THEREOF | 1 |
Erol Craig Harvey | AU | Ringwood North | 2012-03-22 / 20120067112 - Systems and Methods for a Sample Fluid Collection Device | 3 |
Nathan K. Harvey | US | Pahrump | 2014-11-06 / 20140329604 - TRANSPORT AGNOSTIC IPC MECHANISM | 8 |
Nathaniel E. Harvey | US | La Canada Flintridge | 2015-08-20 / 20150234052 - REAL-TIME AND POST-PROCESSED ORBIT DETERMINATION AND POSITIONING | 2 |
Jonathan Neil Harvey | US | Bluffdale | 2016-02-18 / 20160046348 - HANDGRIP | 2 |
Bernard Harvey | CA | Ste-Julie | 2014-10-02 / 20140294517 - POWDER FEEDER METHOD AND SYSTEM | 1 |
William T. Harvey | US | Brighton | 2014-12-04 / 20140353408 - ANTI-ROTATION CLIP FOR A TWIST LOCK FUEL INJECTION | 7 |
William Harvey | US | Brighton | 2012-01-12 / 20120006914 - HIGH PRESSURE FUEL PIPE CONSTRUCTION FOR AN INTERNAL COMBUSTION ENGINE | 3 |
Jeffery A. Harvey | US | Sterling Heights | 2010-08-05 / 20100194078 - Inflatable vehicle occupant protection device with inflation fluid deflector | 1 |
Brian T. Harvey | US | Zeeland | 2010-03-11 / 20100061282 - AUDIENCE RESPONSE SYSTEM AND METHOD WITH FREQUENCY AGILE TRANSMISSION PROTOCOL | 1 |
Roger M. Harvey | US | Novi | 2011-07-28 / 20110179960 - METHOD AND APPARATUS FOR PRINTING ONTO ELONGATED HOLLOW OR SOLID SUBSTRATES | 2 |
John Harvey | US | Novi | 2016-02-18 / 20160047427 - ACCESSORY TUNING DEVICE WITH SPRING LOCK | 6 |
Dustin Harvey | US | Pottstown | 2014-10-09 / 20140303666 - DILATION SYSTEM | 1 |
Kyle Harvey | US | Wauwatosa | 2015-12-17 / 20150360351 - PIPE WRENCH | 13 |
Todd Michael Harvey | US | Elmira | 2014-06-05 / 20140151329 - FOAM ETCHANT AND METHODS FOR ETCHING GLASS | 1 |
Andre Alan Harvey | US | Spring Valley | 2015-04-16 / 20150101150 - COVER PANEL CLIP | 2 |
Kendall William Harvey | US | Sunnyvale | 2008-09-18 / 20080228943 - FAILURE PROTECTION IN A PROVIDER BACKBONE BRIDGE NETWORK USING SELECTIVE REDIRECTION | 2 |
Richard C. Harvey | US | Thousand Oaks | 2009-02-05 / 20090035773 - NUCLEIC ACID SEQUENCES FOR DETECTING GENETIC MARKERS FOR CANCER IN A BIOLOGICAL SAMPLE | 1 |
Andrew G. Harvey | US | Pleasanton | 2012-12-06 / 20120311141 - Dynamic Power Usage Management Based on Historical Traffic Pattern Data for Network Devices | 4 |
Michael S. Harvey | US | Modesto | 2015-07-30 / 20150208652 - Methods and Compositions for the Generation of Peracetic Acid On Site at the Point-of-Use | 12 |
Matthew Harvey | US | Providence | 2014-08-21 / 20140237022 - MAINTAINING INDEPENDENT STATES FOR MULTIPLE WEB BROWSER INSTANCES | 1 |
James B. Harvey | US | Mountain View | 2010-07-08 / 20100174710 - MATCHING AND RANKING OF SPONSORED SEARCH LISTINGS INCORPORATING WEB SEARCH TECHNOLOGY AND WEB CONTENT | 1 |
Michael P. Harvey | US | Laguna Niguel | 2013-07-18 / 20130180298 - HIGH SECURITY LOCK | 4 |
Timothy J. Harvey | US | Temecula | 2010-08-05 / 20100195512 - Systems and methods for presenting electronic communication packets using a logic analyzer | 1 |
Philip D. Harvey | US | Santa Monica | 2012-07-26 / 20120190458 - Computational Delivery System For Avatar and Background Game Content | 2 |
Jeanne Harvey | US | Mountain View | 2010-06-03 / 20100136541 - IDENTIFICATION AND VERIFICATION OF METHYLATION MARKER SEQUENCES | 1 |
Robert Harvey | US | San Francisco | 2015-04-09 / 20150097728 - CONTROL AND FEATURES FOR SATELLLITE POSITIONING SYSTEM RECEIVERS | 3 |
Barry Harvey | US | Los Altos | 2011-06-02 / 20110127988 - ROTATING GAIN RESISTORS TO PRODUCE A BANDGAP VOLTAGE WITH LOW-DRIFT | 9 |
John M. Harvey | US | San Diego | 2009-09-03 / 20090219135 - DIGITAL OVER-THE-AIR KEYING SYSTEM | 1 |
Dan Harvey | US | Redding | / - | 1 |
John Harvey | US | San Diego | 2012-06-14 / 20120146778 - METHOD AND APPARATUS FOR PROVIDING A HAZARDOUS MATERIAL ALERT | 2 |
Jeanne Harvey | US | Livermore | 2016-05-05 / 20160123984 - DRUG SELECTION FOR BREAST CANCER THERAPY USING ANTIBODY-BASED ARRAYS | 10 |
Daniel F. Harvey | US | San Diego | 2013-03-28 / 20130079354 - PYRIDYL DERIVATIVES AND THEIR USE AS THERAPEUTIC AGENTS | 6 |
Tim Harvey | US | Pismo Beach | 2011-01-13 / 20110007467 - MODULAR SERVER ARCHITECTURE WITH ETHERNET ROUTED ACROSS A BACKPLANE UTILIZING AN INTEGRATED ETHERNET SWITCH MODULE | 2 |
George W. Harvey | US | Stanford | 2011-05-12 / 20110113219 - Computer Architecture for a Mobile Communication Platform | 1 |
Peter Randall Harvey | US | Piedmont | 2014-08-28 / 20140244010 - PORTABLE RACE DEVICE FOR DISPLAYING REAL-TIME RACE INFORMATION | 1 |
Grace Harvey | US | Piedmont | 2014-08-28 / 20140244010 - PORTABLE RACE DEVICE FOR DISPLAYING REAL-TIME RACE INFORMATION | 1 |
Andrew Harvey | US | Piedmont | 2014-08-28 / 20140244010 - PORTABLE RACE DEVICE FOR DISPLAYING REAL-TIME RACE INFORMATION | 1 |
Alex J. Harvey | US | Athens | 2015-01-29 / 20150030582 - Lysosomal Storage Disease Enzyme | 29 |
Guillaume Harvey | CA | Montreal | 2015-02-05 / 20150033433 - LEG PADS FOR A HOCKEY GOALKEEPER | 2 |
Brian Harvey | US | Missouri City | 2014-09-18 / 20140278734 - RISK SCREENING TOOL | 1 |
James Edward Harvey | US | Heath | 2014-09-18 / 20140268608 - COMPONENT HOLDING STRUCTURES, SYSTEM, AND METHOD | 1 |
John P. Harvey | US | Round Rock | 2014-09-18 / 20140282457 - Systems And Methods For Embedded Shared Libraries In An Executable Image | 1 |
Joseph Scott Harvey | US | Clifton | 2014-09-18 / 20140280976 - MOBILE COMPUTING CLOUD AND VIRTUAL MOBILE INFRASTRUCTURE TO OPTIMIZE GROUP RESOURCES | 1 |
Brian N. Harvey | US | Bloomington | 2014-10-02 / 20140297065 - SYSTEM AND METHOD FOR CONTROLLING A REMOTE AERIAL DEVICE FOR UP-CLOSE INSPECTION | 3 |
Keith D. Harvey | US | Huntsville | 2014-09-18 / 20140282151 - User Interface for Toolbar Navigation | 1 |
Jon Harvey | US | South Amana | 2014-09-18 / 20140274809 - MULTI-WELL MANIFOLD ASSEMBLY SYSTEM FOR OLIGONUCLEOTIDE SYNTHESIS | 1 |
Iain Harvey | US | Crystal Lake | 2016-02-25 / 20160053127 - WATER-BASED COMPOSITIONS THAT RESIST DIRT PICK-UP | 2 |
Brian Harvey | US | 2013-04-25 / 20130103362 - SYSTEM AND METHOD FOR FIRE & GAS DETECTION | 1 | |
Albert Destrehan Harvey, Iii | US | Kingwood | 2009-08-13 / 20090200022 - CRYOGENIC TREATMENT OF GAS | 1 |
John Harvey, Iii | US | Philadelphia | 2012-12-06 / 20120306747 - Device, Method, and Graphical User Interface for Entering Alternate Characters with a Physical Keyboard | 1 |
Edward J. Harvey, Iii | US | Naperville | 2010-10-21 / 20100268634 - METHOD AND SYSTEM FOR ELECTRONIC TRADING VIA A YIELD CURVE | 1 |
Allen Grambling Harvey, Jr. | US | New York | 2015-11-05 / 20150319111 - BILATERAL CHAT FOR INSTANT MESSAGING | 1 |
John K. Harvey, Jr. | US | Atlanta | 2014-11-27 / 20140345808 - FABRIC ROLLER SYSTEM AND METHOD | 1 |
Robert L. Harvey, Jr. | US | Port Orange | 2010-09-09 / 20100227297 - Multi-media object identification system with comparative magnification response and self-evolving scoring | 1 |
Frazer Harvie | GB | East Kilbride | 2014-07-10 / 20140194938 - SHEATHS FOR IMPLANTABLE FIXATION DEVICES | 1 |
Gioulnar I. Harvie | US | San Diego | 2008-08-28 / 20080206757 - METHODS AND COMPOSITIONS FOR DETECTING RARE CELLS FROM A BIOLOGICAL SAMPLE | 1 |
Pierrot Harvie | US | Bothell | 2015-07-30 / 20150209283 - PROCESSES AND COMPOSITIONS FOR LIPOSOMAL AND EFFICIENT DELIVERY OF GENE SILENCING THERAPEUTICS | 9 |
Richard Harvie | US | 2012-10-04 / 20120253585 - SYSTEM AND METHOD FOR IDENTIFYING A SPATIAL RELATIONSHIP FOR USE IN CALIBRATING ACCELEROMETER DATA | 1 | |
Bruce Harvie | US | Piscataway | 2008-10-09 / 20080245380 - FLUID APPLICATOR | 2 |
Mark Harvie | US | Williston | 2015-12-17 / 20150359660 - HYDRO-BLOCK AIR VENT FEMALE EXTERNAL CATHETER AND METHOD OF USE | 1 |
Pierrot Harvie | US | Bothell | 2015-07-30 / 20150209283 - PROCESSES AND COMPOSITIONS FOR LIPOSOMAL AND EFFICIENT DELIVERY OF GENE SILENCING THERAPEUTICS | 9 |
Philis A Harvie | CA | Calgary | 2015-12-10 / 20150351515 - Hair Accessory and Method of Styling Hair | 4 |
Fraser Harvie | GB | East Kilbride | 2011-11-17 / 20110282362 - Sheaths for Implantable Fixation Devices | 3 |
Gioulnar I. Harvie | US | Santee | 2014-03-27 / 20140087358 - METHODS AND COMPOSITIONS FOR DETECTING RARE CELLS FROM A BIOLOGICAL SAMPLE | 1 |
Mark R. Harvie | US | Milton | 2013-02-21 / 20130042877 - OXYGEN HEALING SYSTEM AND METHOD OF USE | 4 |
Richard Harvie | GB | Glossop | 2013-02-28 / 20130054107 - SYSTEM AND METHOD FOR IDENTIFYING CHARACTERISTICS OF A VEHICLE | 1 |
Wilson Harvie | US | Statham | 2011-03-10 / 20110058897 - WOVEN GEOSYNTHETIC FABRIC WITH DIFFERENTIAL WICKING CAPABILITY | 2 |
Philis A. Harvie | US | Conifer | 2010-03-18 / 20100065079 - HAIR ACCESSORY AND METHOD OF STYLING HAIR | 2 |
Mark R. Harvie | US | Williston | / - | 1 |
Pierrot Harvie | CA | Vancouver | 2009-03-19 / 20090074848 - COMBINATION FORMULATIONS OF CYTIDINE ANALOGS AND PLATINUM AGENTS | 1 |
Raymond Harvie | US | Santa Monica | 2016-02-25 / 20160054049 - Method and System for Storing Food Preparations | 1 |
James Norman Harvie | CA | Winnipeg | 2014-09-18 / 20140260813 - COMBINATION STAPLE HOLDER AND REMOVAL TOOL | 1 |
Laurence A. Harvilchuck | US | Brackney | 2016-02-25 / 20160057886 - Modular Data Storage System | 4 |
Annie Harvilicz | US | Marina Del Rey | 2013-08-29 / 20130226688 - CROWD FUNDING SYSTEM | 1 |
Leslie Young Harvill | US | Half Moon Bay | 2015-01-22 / 20150025994 - PRODUCT OPTIONS FRAMEWORK AND ACCESSORIES | 11 |
Mike Harvill | US | Orange | 2010-03-04 / 20100053468 - DEVICE IR SETUP USING IR DETECTOR | 2 |
Leslie Y. Harvill | US | El Granada | 2014-09-18 / 20140267783 - SYSTEMS AND METHODS FOR SPECIFYING AND FORMULATING CUSTOMIZED TOPICAL AGENTS | 3 |
Leslie Young Harvill | US | El Granada | 2014-06-12 / 20140160118 - VISUALIZING A CUSTOM PRODUCT IN SITU | 1 |
Young Harvill | US | Half Moon Bay | 2015-02-26 / 20150054849 - PRODUCT MODELING SYSTEM AND METHOD | 7 |
Thomas Lawrence Harvill | US | Alamo | 2013-05-16 / 20130120738 - Apparatus, Process, and System for Monitoring the Integrity of Containers | 1 |
Young Harvill | US | El Granada | 2015-09-17 / 20150262371 - SEGMENTATION OF AN IMAGE BASED ON COLOR AND COLOR DIFFERENCES | 5 |
Young Harvill | US | San Mateo | 2013-10-17 / 20130271486 - Automatic 3D Modeling | 4 |
Eric Harvill | US | State College | 2008-10-16 / 20080254062 - USE OF AN AVIRULENT BORDETELLA MUTANT AS A LIVE VACCINE VECTOR | 1 |
Leslie Young Harvill | US | Half Moon Bay | 2015-01-22 / 20150025994 - PRODUCT OPTIONS FRAMEWORK AND ACCESSORIES | 11 |
Dennis J. Harvilla | US | Lafayette | 2011-01-27 / 20110018164 - Molded Insulating Hinge for Bipolar Instruments | 2 |
Michael Harville | US | Palo Alto | 2011-08-11 / 20110196864 - APPARATUSES, METHODS AND SYSTEMS FOR A VISUAL QUERY BUILDER | 9 |
Janice Harville | US | Hartselle | 2010-10-07 / 20100254635 - RESEALABLE FOOD PACKAGE | 1 |
Stan Harville | US | The Woodlands | 2011-05-12 / 20110112335 - PROCESS FOR THE PRODUCTION AND PURIFICATION OF PROPYLENE GLYCOL | 1 |
Jeffrey W. Harvill, Sr. | US | Keithville | 2009-08-13 / 20090203243 - APPARATUS AND METHOD FOR SEALING AN ELECTRICAL CONNECTOR | 2 |
Trey Harvin | IE | Blackrock | 2012-01-12 / 20120011446 - GENERATING USER INTERFACES | 1 |
Trey Harvin | IE | Dublin | 2014-10-09 / 20140304370 - PROVIDING MOBILE VERSIONS OF WEB RESOURCES | 2 |
Kaur Harvinder | MY | Selangor Darul Ehsan | 2014-05-29 / 20140147400 - COLLAGEN EXTRACTION FROM AQUATIC ANIMALS | 1 |
Kaur Harvinder | US | 2012-05-10 / 20120114570 - COLLAGEN EXTRACTION FROM AQUATIC ANIMALS | 1 | |
Navin Harwalkar | US | Austin | 2015-04-23 / 20150111514 - HIGH PERFORMANCE, LOW COST RECEIVER FRONT END | 4 |
Jeffrey B. Harwanko | US | Wilmington | 2014-11-13 / 20140332608 - SHOWERHEAD HAVING STRUCTURAL FEATURES THAT PRODUCE A VIBRANT SPRAY PATTERN | 2 |
Randy Harward | US | Ojai | 2014-05-22 / 20140141179 - METHOD FOR PRODUCING IMPROVED FEATHERS AND IMPROVED FEATHERS THERETO | 3 |
Briant Harward | US | West Jordan | 2010-01-07 / 20100003823 - Method for Forming Trenches with Wide Upper Portion and Narrow Lower Portion | 2 |
Nathaniel Distin Harward | US | San Francisco | 2014-02-13 / 20140046987 - FILE SYSTEM QUEUE | 1 |
Brian Harward | AU | New South Wales | 2011-07-28 / 20110182663 - TACTILE MARKER | 1 |
Samuel Harward | US | Knoxville | 2015-04-09 / 20150096974 - MODULAR DOMESTIC COOKING APPLIANCE WITH CUSTOMIZABLE COOKING BAYS/ MODULES | 14 |
Matthew E. Harward | US | Phoenix | 2014-03-13 / 20140074615 - Commerce System and Method of Controlling the Commerce System Using Triggered Advertisements | 3 |
Brisco Harward | US | Morrisville | 2014-05-01 / 20140118733 - Multiple-Vial, Rotating Sample Container Assembly for Raman Spectroscopy | 1 |
Randall Harward | US | Ojai | 2016-02-04 / 20160029725 - METHODS FOR STABILIZING AND GARMENTS INCLUDING STABILIZED QUILTED INSULATION | 1 |
Kelly A. Harward | US | Layton | 2011-03-10 / 20110056992 - FLUID DELIVERY VALVE HAVING A COMPRESSION MEMBER | 1 |
Anthony Clark Harward | US | Provo | 2015-02-12 / 20150045772 - IV Set System with Separably Joined, Strippable IV Sets and Merging Fluid Pathway | 3 |
Nathaniel D. Harward | US | San Francisco | 2011-01-27 / 20110022672 - Method and Apparatus for Scalable Transport Processing Fulfillment System | 1 |
Valentin Harwardt | DE | Sennfeld | 2008-09-25 / 20080230334 - Vibration damper with amplitude-selective damping force | 1 |
Frank A. Harwath | US | Naperville | 2016-03-17 / 20160079688 - COAXIAL CABLE AND CONNECTOR ASSEMBLY | 19 |
Frank Harwath | US | Naperville | 2015-04-30 / 20150118897 - COAXIAL CABLE AND CONNECTOR WITH CAPACITIVE COUPLING | 4 |
Frank A. Harwath | US | Naperville | 2016-03-17 / 20160079688 - COAXIAL CABLE AND CONNECTOR ASSEMBLY | 19 |
Frank Harwath | US | Chicago | 2011-04-07 / 20110080133 - Electric Power Storage and Delivery System and Method of Operation | 2 |
Dave Harwath | US | Naperville | 2015-05-07 / 20150127346 - SELECTING ALTERNATES IN SPEECH RECOGNITION | 1 |
Robert A. Harwell | US | Saint Cloud | 2012-09-27 / 20120242339 - MAGNETIC RESONANCE-COMPATIBLE ELECTRICAL DEVICE WITH RADIO FREQUENCY SHIELDING OR AN ENCLOSURE | 1 |
Robert A. Harwell | US | St. Cloud | 2011-01-20 / 20110012597 - FASTENER-LESS EDGE LAUNCH CONNECTOR FOR MR-COMPATIBLE MEDICAL MONITORING | 1 |
Conrad Harwell | US | Sanford | 2009-03-05 / 20090062123 - Glyphosate composition and method of controlling weeds | 1 |
Aaron C. Harwell | US | Perrysburg | 2015-04-16 / 20150103245 - TABLET MOUNT | 2 |
Stephanie Dianne Harwell | US | O'Fallon | 2015-02-19 / 20150051893 - SYSTEMS AND METHODS FOR NETWORK MONITORING AND ANALYSIS OF A SIMULATED NETWORK | 1 |
Samuel C. Harwell | US | Austin | 2011-05-19 / 20110116648 - PREVENTION OF HEARING LOSS FROM AUDIO DEVICES | 1 |
Janis Harwell | US | Seattle | 2011-11-10 / 20110273294 - SYSTEMS AND METHODS FOR RFID SURVEILLANCE | 1 |
Mark A. Harwell | US | Irving | 2012-07-26 / 20120192215 - Publication of User-Generated Ticker | 2 |
Janis L. Harwell | US | Everett | 2012-07-26 / 20120190353 - SYSTEMS, DEVICES AND METHODS FOR DYNAMIC SELECTION OF DATA AND TELECOMMUNICATION SERVICE PROVIDERS | 2 |
Jeffrey H. Harwell | US | Norman | 2016-02-18 / 20160047792 - SYSTEM AND METHOD FOR MEASURING SEPARATION RATE OF WATER FROM WATER-IN-CRUDE OIL EMULSIONS | 4 |
Isaac Harwell | US | Houston | 2011-05-26 / 20110121583 - SYSTEM FOR GENERATING AND TRANSPORTING ELECTRIC POWER FROM HYDROTHERMAL VENTS | 1 |
Travis Harwell | US | Tucson | 2014-07-24 / 20140205429 - ENERGY DIFFUSING WEAR RING AND METHODS THEREOF | 1 |
Jeff Harwell | US | Norman | 2013-07-11 / 20130178540 - SULFOXIDE-BASED SURFACTANTS | 1 |
Nathan Gerald Harwell | US | Abilene | 2014-07-17 / 20140201039 - SYSTEM AND METHOD FOR AN AUTOMATED PROCESS FOR VISUALLY IDENTIFYING A PRODUCT'S PRESENCE AND MAKING THE PRODUCT AVAILABLE FOR VIEWING | 1 |
Michael G. Harwell | US | Hillsborough | 2010-08-19 / 20100210163 - HOT MELT ADHESIVES CONTAINING STYRENE BUTADIENE BLOCK COPOLYMER | 1 |
Andrew S. Harwell | US | Baltimore | 2014-03-13 / 20140073987 - MINIMALLY INVASIVE SKIN BIOPSY DEVICE FOR REMOVAL OF SKIN CONDITIONS IN THE EPIDERMIS | 1 |
Daniel Luke Harwell | US | Abilene | 2014-07-17 / 20140201039 - SYSTEM AND METHOD FOR AN AUTOMATED PROCESS FOR VISUALLY IDENTIFYING A PRODUCT'S PRESENCE AND MAKING THE PRODUCT AVAILABLE FOR VIEWING | 1 |
Andrew Harwell | US | Columbia | 2015-08-27 / 20150245230 - TECHNIQUES FOR TESTING COMPATIBILITY OF A WIRELESS COMMUNICATION DEVICE | 1 |
Mark A. Harwell | US | Plano | 2014-07-31 / 20140215508 - Distributing Graphic Content Over a Public Network for Inclusion in a Linear Television Broadcast | 8 |
Tina Harwell | US | Gladstone | 2009-04-02 / 20090089892 - GENERATION OF PLANTS WITH IMPROVED PATHOGEN RESISTANCE | 1 |
Mark A. Harwell | US | Plano | 2014-07-31 / 20140215508 - Distributing Graphic Content Over a Public Network for Inclusion in a Linear Television Broadcast | 8 |
Stephanie Dianne Harwell | US | O' Fallon | 2009-12-24 / 20090319247 - Systems and Methods for A Simulated Network Environment and Operation Thereof | 1 |
Robert A. Harwell | US | Orlando | 2010-07-29 / 20100191069 - BATTERY SYSTEM FOR MRI COMPATABLE WIRELESS PATIENT MONITOR | 3 |
Jill Harwell | US | Mountain View | 2014-09-04 / 20140249965 - MEDIA ITEM COLLECTION PRE-ORDER FULFILLMENT | 2 |
Robert Harwell | US | Saint Cloud | 2014-09-11 / 20140254646 - MAGNETIC FIELD DATA MODEM | 2 |
John Edward Harwick | US | Kennewick | 2012-05-31 / 20120134659 - PANORAMA HEAD FOR OPTICAL EQUIPMENT | 1 |
James A.h. Harwick | US | Tallassee | 2013-12-19 / 20130338088 - SELF SOLIDIFYING BIOERODIBLE BARRIER IMPLANT | 3 |
John A. Harwick | US | Sanford | 2012-10-25 / 20120268026 - Biphase Laser Diode Driver And Method | 3 |
John Harwick | US | Sanford | 2013-03-21 / 20130070239 - LASER SPOT TRACKING WITH OFF-AXIS ANGLE DETECTION | 2 |
Jeffrey L. Harwig | US | Franklin | 2014-02-20 / 20140048617 - METHOD AND SYSTEM FOR DISPENSING A COMPOSITION | 5 |
Jeffrey L. Harwig | US | New Berlin | 2009-11-12 / 20090278554 - System for Detecting a Container or Contents of the Container | 1 |
Curtis Harwig | CA | Vancouver | 2016-03-24 / 20160083387 - SHIP1 MODULATORS AND METHODS RELATED THERETO | 11 |
Curtis Harwig | CA | Vancouver | 2016-03-24 / 20160083387 - SHIP1 MODULATORS AND METHODS RELATED THERETO | 11 |
Neil Harwin | GB | Leamington Spa | 2015-05-28 / 20150145287 - ASSEMBLY AND METHOD OF MANUFACTURE THEREOF | 1 |
Ronald Frank Harwin | US | Richmond | 2009-05-28 / 20090133294 - Apparatus and Method for Stimulating at least one Human Foot in response to the Human's Stance | 1 |
Ronald Harwin | US | Richmond | 2013-11-28 / 20130316885 - THERAPEUTIC DEVICE FOR IMPROVING NEUROMUSCULAR BALANCE AND PAIN CONDITIONS | 1 |
Terrance M. Harwin | US | Destin | 2009-03-19 / 20090071921 - Combination wine rack and pot holder | 1 |
Jeanne Harwood | US | Northridge | 2014-10-02 / 20140290846 - Fabric Batting Tape | 2 |
Eric B. Harwood | US | Toledo | 2016-03-03 / 20160058191 - FURNITURE MEMBER WITH POWERED MECHANISM PROVIDING LIFT AND ZERO GRAVITY POSITIONS | 12 |
Jonathan David Harwood | NZ | Auckland | 2016-05-05 / 20160121063 - BREATHING CONTROL USING HIGH FLOW RESPIRATION ASSISTANCE | 10 |
Laurence Marius Harwood | GB | Reading | 2013-10-10 / 20130267681 - CHEMICAL LIGATION BY RING OPENING OF OXO-THIOMORPHOLINES | 2 |
Peter K. Harwood | US | Snoqualmie | 2010-09-30 / 20100250609 - EXTENDING COLLABORATION CAPABILITIES TO EXTERNAL DATA | 1 |
Bryan William Harwood | US | Denver | 2011-09-15 / 20110225012 - System and Method of Travel Itinerary Creation | 1 |
Robert Harwood | US | Grantham | 2011-09-15 / 20110225008 - Self-Similar Medical Communications System | 1 |
Jeffrey Harwood | US | Tarzana | 2014-02-13 / 20140046675 - SYSTEM AND METHOD FOR PROCESSING AND DISPLAYING MEDICAL PROVIDER INFORMATION | 1 |
Joseph Walter Harwood | US | Stow | 2010-11-11 / 20100287199 - NETWORKED COMPUTER SYSTEM PROVIDING AN INTEGRATED SUITE OF WEB SERVICES AND A GEOGRAPHIC INFORMATION SYSTEM (GIS) FOR REAL PROPERTY AND LAND PARCELS | 1 |
William Thomas Harwood | GB | Cambridge | 2015-02-12 / 20150046998 - SECURE DATA STORAGE | 1 |
Stew Harwood | US | Littleton | 2014-10-30 / 20140318638 - GAS DISTRIBUTION TRAILER FOR NATURAL GAS DELIVERY TO ENGINES | 1 |
Peter Harwood | US | Seattle | 2015-12-31 / 20150378701 - CROSS-ENVIRONMENT ORCHESTRATION OF DEPLOYMENT ACTIVITIES | 1 |
Thomas Harwood | US | Minneapolis | 2014-10-30 / 20140323694 - MULTIPHASE POROUS FLOW REACTORS AND METHODS OF USING SAME | 1 |
Lisa Harwood | CH | Ostermundigen | 2011-10-06 / 20110244044 - COMPOUND, MEDICAMENT, VACCINE COMPOSITION AND NANOCAPSULES | 1 |
Greg E. Harwood | US | Greenville | 2011-07-21 / 20110174870 - PACKAGE, CONTAINER, ASSEMBLY, AND METHOD FOR CONTAINING A FOOD PRODUCT | 1 |
Leilani M. Harwood | US | San Diego | 2014-02-06 / 20140040715 - APPLICATION FOR SYNCHRONIZING E-BOOKS WITH ORIGINAL OR CUSTOM-CREATED SCORES | 1 |
Eric Harwood | US | Seattle | 2014-10-09 / 20140303182 - PHARMACEUTICALLY ACCEPTABLE SALTS OF QUINOLINONE COMPOUNDS HAVING IMPROVED PHARMACEUTICAL PROPERTIES | 8 |
Katryn Harwood | US | North Bethesda | 2014-07-17 / 20140201855 - MUTANT LUCIFERASES | 1 |
Duncan Harwood | US | Santa Clara | 2013-09-12 / 20130233378 - HIGH-EFFICIENCY PHOTOVOLTAIC BACK-CONTACT SOLAR CELL STRUCTURES AND MANUFACTURING METHODS USING SEMICONDUCTOR WAFERS | 2 |
Heather Harwood | US | Oak Creek | 2015-01-29 / 20150027914 - TOILET CADDY ASSEMBLY AND METHOD OF FORMING A TOILET CADDY ASSEMBLY | 1 |
Raymond Jeffrey Harwood | GB | Leicestershire | 2015-11-26 / 20150337486 - BLEACHING AND SHIVE REDUCTION PROCESS FOR NON-WOOD FIBERS | 1 |
Gregg Harwood | US | Springfield | 2013-03-21 / 20130073018 - Thermal exchange composition-containing packs with color signaling covers | 1 |
Dennis Harwood | GB | Leeds | 2013-07-11 / 20130175055 - Sealing Mechanism for Subsea Capping System | 1 |
Jonathan Harwood | US | Rumson | 2016-05-12 / 20160128595 - MEANS AND METHOD FOR THE DETECTION OF CARDIAC EVENTS | 15 |
Michael R. Harwood | US | New Market | 2014-04-03 / 20140093411 - Wobble Drive Mechanism | 4 |
David J. Harwood | CA | Chatham | 2013-08-01 / 20130198057 - METHOD FOR USING ENVIRONMENTAL CLASSIFICATION TO ASSIST IN FINANCIAL MANAGEMENT AND SERVICES | 4 |
David W. Harwood | US | Memphis | 2014-09-18 / 20140277166 - SURGICAL IMPLANT SYSTEM AND METHOD | 1 |
Eric Harwood | US | Emeryville | 2011-07-14 / 20110172174 - Antibacterial agents | 2 |
Don Harwood | GB | Abingdon | 2009-05-14 / 20090125699 - Adjustment of Data Storage Capacity Provided by a Storage System | 1 |
Mark Harwood | US | Van Nuys | 2014-07-10 / 20140196136 - Unlocking Virtual Items for Online Use and in Video Games | 2 |
Ronald P. Harwood | US | Farmington Hills | 2010-05-06 / 20100110698 - Universal Housing for Recessed Lighting | 1 |
David A. Harwood | US | New Brunswick | 2015-11-12 / 20150320427 - FEMORAL REAMING SYSTEM AND METHOD OF PERFORMING TRIAL REDUCTION | 2 |
H. James Harwood | US | Stow | 2009-08-13 / 20090203844 - FUNCTIONALIZED POLYMERS AND IMPROVED TIRES THEREFROM | 1 |
Jody K. Harwood | US | Canton | 2011-09-15 / 20110221582 - User-Assisted Programmable Appliance Control | 3 |
Caroline S. Harwood | US | Seattle | 2012-08-30 / 20120220006 - HYDROGEN PRODUCTION FROM MICROBIAL STRAINS | 1 |
Jonathan David Harwood | NZ | Auckland | 2016-05-05 / 20160121063 - BREATHING CONTROL USING HIGH FLOW RESPIRATION ASSISTANCE | 10 |
Jason K. Harwood | US | Chattanooga | 2010-04-22 / 20100098901 - CARPET BACKING COMPOSITION | 1 |
Walter Harwood | US | Streamwood | 2014-03-06 / 20140062794 - Broadband Multi-Strip Patch Antenna | 2 |
Steven L. Harwood | US | Beaverton | 2010-08-12 / 20100204939 - TIME QUALIFIED FREQUENCY MASK TRIGGER | 2 |
Eric A. Harwood | US | Seattle | 2010-12-23 / 20100324025 - Antibacterial Agents | 2 |
Will R. Harwood | US | Tampa | 2009-04-09 / 20090091478 - COMPUTER KEYBOARD BACKLIGHTING | 2 |
Ronald Paul Harwood | US | West Bloomfield | 2015-10-22 / 20150301791 - METHOD AND SYSTEM OF CONTROLLING MEDIA DEVICES CONFIGURED TO OUTPUT SIGNALS TO SURROUNDING AREA | 4 |
Steven H. Harwood | US | Eugene | 2009-02-26 / 20090053691 - Cell Line and Methods for Determining Viral Titer | 1 |
Gordon A. Harwood | US | Prior Lake | 2011-02-17 / 20110038123 - SOLID STATE DATA STORAGE ASSEMBLY | 1 |
Jonathan Harwood | US | Rumson | 2016-05-12 / 20160128595 - MEANS AND METHOD FOR THE DETECTION OF CARDIAC EVENTS | 15 |
Naomi Harwood | GB | Epson | 2012-09-06 / 20120225481 - T CELL RECEPTORS | 1 |
Ronald Paul Harwood | US | Farmington Hills | 2012-04-12 / 20120087520 - ACOUSTIC REFLECTOR AND ENERGY STORAGE FOR MEDIA ASSEMBLIES | 5 |
Teri Harwood | US | Austin | 2015-11-19 / 20150332240 - APPARATUS, SYSTEM AND METHOD FOR BEACON-ENABLED MOBILE POS | 3 |
Eric B. Harwood | US | Toledo | 2016-03-03 / 20160058191 - FURNITURE MEMBER WITH POWERED MECHANISM PROVIDING LIFT AND ZERO GRAVITY POSITIONS | 12 |
Michael S. Harwood | GB | Rushden | 2015-01-15 / 20150016493 - SERIALIZER/DESERIALIZER APPARATUS WITH LOOPBACK CONFIGURATION AND METHODS THEREOF | 2 |
Duncan W.j. Harwood | US | Santa Clara | 2009-01-01 / 20090000662 - Photovoltaic receiver for solar concentrator applications | 1 |
Walter Timothy Harwood | US | Streamwood | 2013-05-30 / 20130134273 - Microphone Mounting Apparatus | 1 |
James Harwood | US | Ledyard | 2015-07-23 / 20150203510 - ACC INHIBITORS AND USES THEREOF | 2 |
Laurence M. Harwood | GB | Berkshire | 2012-07-26 / 20120190816 - ASYMMETRIC SYNTHESIS OF PEPTIDES | 3 |
Aaron Harwood | AU | Essendon | 2010-06-10 / 20100146128 - DECENTRALISED MULTI-USER ONLINE ENVIRONMENT | 1 |
Eric Harwood | US | Seattle | 2014-10-09 / 20140303182 - PHARMACEUTICALLY ACCEPTABLE SALTS OF QUINOLINONE COMPOUNDS HAVING IMPROVED PHARMACEUTICAL PROPERTIES | 8 |
Shaun Philip Harwood | CA | Peterborough | 2009-03-26 / 20090079618 - Time-of-flight radar calibration system | 1 |
Brian E. Harwood | US | Wickliffe | 2009-09-24 / 20090238330 - PULSED X-RAY FOR CONTINUOUS DETECTOR CORRECTION | 2 |
Don Allen Harwood | US | Eureka | 2016-02-25 / 20160053742 - WING WITH SLIPSTREAM TURBINE | 1 |
Michael Harwood | GB | Northamptonshire | 2013-08-01 / 20130194112 - METHOD OF PROCESSING DATA SAMPLES AND CIRCUITS THEREFOR | 1 |
Naomi Harwood | GB | Oxfordshire | 2014-04-10 / 20140099699 - NON-NATURALLY OCCURRING T CELL RECEPTORS | 1 |
Jon W. Harwood | US | Toledo | 2009-10-29 / 20090266066 - EQUAL LENGTH Y-COLLECTOR | 1 |
Keith Allen Harwood | US | Eureka | 2016-02-25 / 20160053742 - WING WITH SLIPSTREAM TURBINE | 1 |
John Leander Harwood | GB | Cardiff | 2010-10-14 / 20100261793 - METHOD FOR DECREASING CARTILAGE DAMAGE IN DOGS | 1 |
Robert Harwood | US | Woodland Hills | 2014-02-13 / 20140046675 - SYSTEM AND METHOD FOR PROCESSING AND DISPLAYING MEDICAL PROVIDER INFORMATION | 1 |
William T. Harwood | GB | Cambridge | 2012-05-10 / 20120117490 - METHODS AND SYSTEMS FOR PROVIDING ACCESS, FROM WITHIN A VIRTUAL WORLD, TO AN EXTERNAL RESOURCE | 2 |
Brian E. Harwood | US | Kingston Springs | 2014-01-30 / 20140029724 - IMAGING DETECTOR | 1 |
Allen W. Harwood | US | Fountain Valley | 2012-11-15 / 20120287960 - Leak detection apparatus for aircraft bleed air systems | 3 |
Frank C. Harwood | US | Coldwater | 2014-06-05 / 20140157443 - METHODS AND COMPOSITIONS FOR DETECTING AND MODULATING A NOVEL MTOR COMPLEX | 1 |
Craig Harwood | AU | Mulgrave, Victoria | 2016-01-07 / 20160003583 - ANTI-BALLISTIC BARRIER COMPRISING FURNITURE | 1 |
Edward D. Harwood | US | Ithaca | 2014-05-22 / 20140137471 - Aeroponic System and Method | 3 |
Wayne Harwood | US | Allentown | 2010-02-04 / 20100026150 - ELECTRONIC DEVICE MOUNTING BOX | 1 |
Mark Oliver Harwood | US | Northville | 2009-11-19 / 20090283747 - METALLIZED SILICON SUBSTRATE FOR INDIUM GALLIUM NITRIDE LIGHT EMITTING DIODE | 1 |
Greg Harwood | US | Greenville | 2010-06-17 / 20100147884 - Interlocking dispensing system for dispensing a pumpable products | 1 |
Adam Harwood | US | San Marcos | 2015-08-20 / 20150230439 - Aquaponics Systems, Apparatus, and Methods | 1 |
Erica Harwood | US | West Kingston | 2012-04-26 / 20120102039 - METHODS AND SYSTEMS FOR PROVIDING IMPROVED ACCESS TO DATA AND MEASUREMENTS IN A MANAGEMENT SYSTEM | 1 |
Michael Stephen Harwood | GB | Rushden | 2011-10-27 / 20110261900 - MECHANISM FOR CONSTRUCTING AN OVERSAMPLED WAVEFORM FOR A SET OF SIGNALS RECEIVED BY A RECEIVER | 2 |
William Harwood | US | Waycross | 2011-06-23 / 20110151735 - INDUSTRIAL FABRIC WITH TRACTION COATING | 4 |
Jody K. Harwood | US | Plymouth | 2008-12-25 / 20080316006 - Method and System for Communicating Vehicle Diagnostic Data to Internet Server Via Bluetooth Enabled Cell Phone for Subsequent Retrieval | 2 |
Ronald P. Harwood | US | West Bloomfield | 2012-11-22 / 20120294014 - HOUSING FOR INTELLIGENT LIGHTS | 1 |
Adrian John Harwood | GB | Cardiff | 2014-10-30 / 20140323328 - METHOD | 1 |
Brian E. Harwood | US | Rocky River | 2013-11-07 / 20130292575 - DATA ACQUISITION | 3 |
Linda J. Harwood | US | Northridge | 2014-10-02 / 20140290846 - Fabric Batting Tape | 2 |
Gerald P. Harwood, Jr. | US | Billerica | 2009-12-03 / 20090297983 - PRINTING MEMBERS HAVING PERMEABILITY-TRANSITION LAYERS AND RELATED METHODS | 1 |
Arjun Hary | US | San Jose | 2013-06-20 / 20130159797 - APPARATUS AND METHODS FOR INDICATING THE HEALTH OF REMOVABLE STORAGE DEVICES | 1 |
Iman Haryadi | US | Sammamish | 2014-11-13 / 20140337775 - MACHINE VISION SYSTEM PROGRAM EDITING ENVIRONMENT INCLUDING OPERATING CONTEXT AWARE COPY AND PASTE FEATURE | 1 |
Edward A. Harycki | US | Bryn Mawr | 2012-09-20 / 20120239552 - SYSTEM AND METHOD FOR DYNAMIC WORKING CAPITAL | 1 |
Charles Haryslak | US | Groveland | 2015-06-25 / 20150173440 - Insulated Composite Fabric | 3 |
Charles Haryslak | US | Marlborough | 2012-03-22 / 20120070608 - ENGINEERED FABRIC ARTICLES | 11 |
Charles Haryslak | US | Marlborough | 2012-03-22 / 20120070608 - ENGINEERED FABRIC ARTICLES | 11 |
William Anthony Haryslak | US | Cape May Court House | 2010-04-08 / 20100086358 - Multi-Purpose Vent | 1 |
Charles Haryslak | US | Haverhill | 2011-03-03 / 20110052860 - DOUBLE-FACE VELOUR FABRIC ARTICLES HAVING IMPROVED DYNAMIC INSULATION PERFORMANCE | 1 |
Yasushi Haryu | JP | Odawara-Shi | 2012-08-30 / 20120219608 - EMULSION COSMETIC | 1 |
Eiji Haryu | JP | Wako | 2016-05-12 / 20160130708 - DIFFERENTIAL PRESSURE WATER ELECTROLYSIS SYSTEM | 7 |
Eiji Haryu | JP | Utsunomiya-Shi | 2013-01-17 / 20130015059 - ELECTROCHEMICAL DEVICEAANM Haryu; EijiAACI Utsunomiya-shiAACO JPAAGP Haryu; Eiji Utsunomiya-shi JPAANM Okabe; MasanoriAACI Nerima-kuAACO JPAAGP Okabe; Masanori Nerima-ku JPAANM Nakazawa; KojiAACI Utsunomiya-shiAACO JPAAGP Nakazawa; Koji Utsunomiya-shi JPAANM Taruya; KenjiAACI Utsunomiya-shiAACO JPAAGP Taruya; Kenji Utsunomiya-shi JP | 9 |
Markus Harz | DE | Lilienthal | 2013-06-06 / 20130141366 - MEDICAL IMAGE-BASED INFORMATION SYSTEM AND MOBILE MULTITOUCH DISPLAY DEVICE | 1 |
Michaela Harz | DE | Jena | 2008-12-11 / 20080306346 - Diagnostic tool detecting the degradation status of Von Willebrand Factor multimers | 1 |
Hans-Peter Harz | DE | Dudenhofen | 2010-09-30 / 20100247507 - INSTANT ENZYME FORMULATIONS FOR ANIMAL NUTRITION | 1 |
Peter Harz | DE | Hennef | 2009-03-05 / 20090062022 - CONSTANT VELOCITY FIXED JOINT | 1 |
Kurt Harz | US | Lisle | 2014-03-27 / 20140087891 - Bowling lane with mural image | 3 |
Dirk Harz | DE | Kassel | 2015-09-17 / 20150264107 - Automatic Genre Determination of Web Content | 2 |
Micha Har-Zion | IL | Kfar Yona | 2010-08-05 / 20100198643 - DRINKS MACHINE WITH NETWORK DRINK ORDERING | 1 |
Falk Harzke | DE | Bodenwerder | 2011-06-02 / 20110130320 - PERFUME-CONTAINING HOLLOW BODY CONSISTING OF A POLYMER MATRIX | 1 |
Pini Harzuf | US | Ness Ziona | 2008-10-30 / 20080267660 - Apparatus And Method For Driving A Machine In A Replaceable Cartridge | 1 |
Remigius Has | DE | Grafenau Datzingen | 2012-02-02 / 20120024074 - SENSOR ELEMENT FOR CAPACITIVELY MEASURING DIFFERENTIAL PRESSURE | 1 |
Michael Has | DE | Erding | 2011-12-22 / 20110311287 - METHOD FOR AUTOMATICALLY CONTROLLING THE SEQUENCE OF PROCESSING ORDERS FOR PROCESSING MATERIAL CONTAINERS | 5 |
Uwe Has | DE | Unterneukirchen | 2015-02-12 / 20150042453 - HOB AND METHOD FOR CONTROLLING A HOB | 5 |
Remigius Has | DE | Grafenau-Daetzingen | 2015-11-12 / 20150323349 - Sensor Arrangement for Sensing Rotation Angles on a Rotating Component in a Vehicle | 6 |
Remigius Has | DE | Stuttgart | 2011-08-11 / 20110192236 - Micromechanical sensor element for capacitive differential pressure detection | 2 |
Satoshi Hasaba | JP | Amagasaki-Shi | 2015-09-17 / 20150260734 - NOVEL COMPOUND, AND METHOD FOR MEASURING IRON CONCENTRATION BY USING NOVEL COMPOUND AS CHELATE COLOR FORMER | 1 |
Yoshiaki Hasaba | JP | Osaka | 2013-01-17 / 20130016453 - SOLID ELECTROLYTIC CAPACITORAANM Ito; TadahitoAACI OsakaAACO JPAAGP Ito; Tadahito Osaka JPAANM Taketani; YutakaAACI OsakaAACO JPAAGP Taketani; Yutaka Osaka JPAANM Hasaba; YoshiakiAACI OsakaAACO JPAAGP Hasaba; Yoshiaki Osaka JPAANM Sakamaki; MakotoAACI OsakaAACO JPAAGP Sakamaki; Makoto Osaka JP | 2 |
Satoshi Hasaba | JP | Hyogo | 2012-02-23 / 20120046474 - PYRAZOLE-BASED CYANINE DYE CONTAINING QUATERNARY AMMONIUM CATION | 2 |
Niranjan Hasabnis | US | Centereach | 2015-10-01 / 20150277867 - INTER-ARCHITECTURE COMPATABILITY MODULE TO ALLOW CODE MODULE OF ONE ARCHITECTURE TO USE LIBRARY MODULE OF ANOTHER ARCHITECTURE | 1 |
Jun Hasagawa | JP | Kobe | 2012-12-06 / 20120308506 - Clear Hair Care Composition Comprising Base Oil and Silicone | 2 |
Takuya Hasagawa | JP | Kawanishi-Shi | 2014-07-17 / 20140196367 - HYDROPONICS ATTACHMENT AND HYDROPONICS KIT | 1 |
Shingo Hasagawa | JP | Miyagi | / - | 1 |
Tohru Hasagawa | JP | Kanagawa | 2014-08-07 / 20140222876 - FILE SYSTEM EXTENDED ATTRIBUTE SUPPORT IN AN OPERATING SYSTEM WITH RESTRICTED EXTENDED ATTRIBUTES | 1 |
Shirou Hasagawa | JP | Tokyo | 2015-08-13 / 20150229090 - TERMINAL CONNECTION METHOD FOR LITZ WIRE AND LITZ WIRE WITH TERMINAL FITTING | 1 |
Nihad Hasagic | CH | Werm Atswil | 2009-12-24 / 20090316977 - SYSTEMS AND METHODS FOR ANALYZING WORKFLOW ASSOCIATED WITH A PATHOLOGY LABORATORY | 1 |
Takuya Hasaki | JP | Yonezawa-Shi | 2015-05-07 / 20150125228 - ENTRY SHEET FOR DRILLING AND DRILLING METHOD | 1 |
Takuya Hasaki | JP | Yonezawa | 2015-03-12 / 20150072122 - ENTRY SHEET FOR DRILLING | 1 |
Takuya Hasaki | JP | Yamagata | 2015-04-23 / 20150111049 - ENTRY SHEET FOR DRILLING USE | 1 |
Takuya Hasaki | JP | Tokyo | 2016-02-18 / 20160045961 - ENTRY SHEET FOR CUTTING FIBER REINFORCED COMPOSITE MATERIAL OR METAL, AND CUTTING METHOD FOR CUTTING FIBER REINFORCED MATERIAL OR METAL | 2 |
Kenta Hasama | JP | Kashiwara-Shi | 2016-01-28 / 20160025137 - ROLLING BEARING APPARATUS AND LUBRICATION UNIT | 1 |
Naohiro Hasama | JP | Kamakura-Shi, Kanagawa-Ken | 2016-02-25 / 20160056493 - POWDER SUPPLYING DEVICE AND ELECTRODE MANUFACTURING APPARATUS | 1 |
Yuichi Hasama | JP | Kawasaki-Shi | 2016-02-18 / 20160048359 - INFORMATION PROCESSING APPARATUS CONTROL METHOD AND STORAGE MEDIUM | 7 |
Naohiro Hasama | JP | Kamakura-Shi | 2015-09-10 / 20150255778 - POWDER SUPPLY DEVICE FOR SECONDARY BATTERY AND APPARATUS FOR MANUFACTURING ELECTRODE BODY | 1 |
Toshifumi Hasama | JP | Tsukuba-Shi | 2011-07-28 / 20110182545 - WAVELENGTH DOMAIN OPTICAL SWITCH | 1 |
Toshifumi Hasama | JP | Tsukuba | 2013-10-17 / 20130272650 - WAVELENGTH CROSS CONNECT DEVICE | 3 |
Ahmad Bilal Hasan | US | San Diego | 2014-05-15 / 20140133472 - APPARATUS AND METHODS OF RECEIVE DIVERSITY (RXD) FULL CELL SEARCH | 1 |
Mohamed A. Hasan | US | Yardley | 2010-01-14 / 20100007520 - OPTICAL TELEMETRY SYSTEM AND METHOD FOR ELECTRO-MECHANICAL SWITCHES | 1 |
Jafar S. Hasan | US | Westchester | 2009-04-09 / 20090093824 - Wound closure fasteners and device for tissue approximation and fastener application | 1 |
Syed Hasan | US | Troy | 2009-05-14 / 20090121508 - Interior Trim Assembly and Method for Operating the Same | 1 |
Raed Zuhair Hasan | US | Englewood | 2014-08-28 / 20140239777 - ROTATING RECTIFIER ASSEMBLY FOR ELECTRIC MACHINE | 1 |
Leiya Hasan | US | Nashville | 2016-05-19 / 20160140244 - SYSTEMS AND METHODS FOR CONNECTING NATIVE LANGUAGE SPEAKERS AND SECOND LANGUAGE LEARNERS ACROSS A NETWORK | 1 |
Russell Hasan | US | Norwalk | 2016-01-28 / 20160026730 - HTML5-BASED DOCUMENT FORMAT WITH PARTS ARCHITECTURE | 2 |
Khairul Hasan | IN | Gurgaon | 2010-08-05 / 20100195711 - Hybrid receiver with algorithmic combining and method | 1 |
Muhammad Nahidul Hasan | NL | Delft | 2008-09-18 / 20080223789 - MATERIAL AND A METHOD FOR REMOVING OXO-ANIONS AND METAL CATIONS FROM A LIQUID | 1 |
Erol Hasan | GB | London | 2010-09-16 / 20100233314 - SOLVENT-FREE SYNTHESIS OF AMPHIPHILIC POLYMERIC MATERIAL | 1 |
Syed Faizan Hasan | US | Sunnyvale | 2016-04-14 / 20160103602 - Method and system for text messaging without engaging keypad or keybord | 1 |
Erol A. Hasan | GB | Liverpool | 2010-08-26 / 20100215799 - CHEWING GUM COMPOSITION | 2 |
Manaf Hasan | CA | Ontario | 2009-08-20 / 20090205297 - GAS/LIQUID SEPARATOR | 1 |
Leila Hasan | US | Brookline | 2009-02-26 / 20090054266 - Microfluidic transfer pin | 1 |
Nurul I. Hasan | IN | Bodinayakanur | 2009-01-08 / 20090009159 - Robust solution for mitigating eccentricity in a rotary sensor apparatus | 1 |
Saad Hasan | US | Cary | 2013-01-31 / 20130031242 - QUANTITATIVE MANAGEMENT ASSESSMENTS OF DATA COMMUNICATION NETWORKS WITH CONVERGED ARCHITECTURES | 1 |
Shawkat Hasan | US | Dulles | 2015-12-03 / 20150347380 - HOST-BASED INTELLIGENT RESULTS RELATED TO A CHARACTER STREAM | 14 |
Sheikh Sadid Al Hasan | US | Briarcliff Manor | 2015-12-03 / 20150347521 - SYSTEMS AND METHODS FOR RELATION EXTRACTION FOR CHINESE CLINICAL DOCUMENTS | 1 |
Kashif Hasan | US | Snoqualmie | 2014-08-28 / 20140244601 - GRANULAR PARTIAL RECALL OF DEDUPLICATED FILES | 3 |
Agha Hasan | CA | Milton | 2011-11-24 / 20110283653 - Fork Configuration Dampers and Method of Using Same | 2 |
Syed K. Hasan | US | Lowell | 2014-03-27 / 20140083869 - Detection Of Endotoxins | 4 |
Faisal Hasan | US | Dunlap | 2016-01-28 / 20160026955 - SYSTEMS AND METHODS FOR FACIILTY LINES FORECASTING | 2 |
Mohammad M. Hasan | US | Pontiac | 2012-09-06 / 20120226433 - System and Method for Improving the Fuel Economy of a Vehicle Combustion Engine | 1 |
Mohammed A. Hasan | US | Ventura | 2013-10-10 / 20130266380 - Systems and methods for off-shore energy production and CO2 sequestration | 1 |
Mohammad Mehedi Hasan | US | Austin | 2014-11-13 / 20140334049 - VOLTAGE DETECTOR WITH HIGH VOLTAGE PROTECTION | 1 |
Syed Q. Hasan | US | Houston | 2014-11-13 / 20140331533 - HAZARDOUS-RATED EXIT SIGN AND FLOODLIGHTS | 1 |
Manaf Hasan | CA | Collingwood | 2014-01-02 / 20140000845 - Heat Exchangers with Floating Headers | 1 |
S.m. N. Hasan | US | Troy | 2011-04-21 / 20110089883 - MOTOR PHASE WINDING FAULT DETECTION METHOD AND APPARATUS | 2 |
Leila Hasan | US | Boston | 2009-03-05 / 20090062152 - THERMAL CYCLING APPARATUS AND METHOD | 1 |
Lara Hasan | CH | Allschwil | 2014-10-16 / 20140308691 - METHOD FOR THE DETERMINATION OF THE CONCENTRATION OF VITAMIN B6 IN A SAMPLE | 1 |
Syed Muhammad Mujahid Hasan | US | Bellevue | 2013-11-14 / 20130304729 - BIDIRECTIONAL MAPPING BETWEEN APPLICATIONS AND NETWORK CONTENT | 2 |
Karim Hasan | US | Simpsonville | 2013-05-09 / 20130111918 - COMBUSTOR ASSEMBLY FOR A GAS TURBOMACHINE | 1 |
S.m. N. Hasan | US | Novi | 2013-03-28 / 20130077194 - PROTECTION OF MOTOR DRIVE SYSTEMS FROM CURRENT SENSOR FAULTS | 3 |
Tayyaba Hasan | US | Arlington | 2016-03-17 / 20160073634 - BETA-LACTAMASE TARGETED PHOTOSENSITIZER FOR PESTICIDE AND PEST DETECTION | 6 |
Yusuf Hasan | US | Fairfax | 2013-12-05 / 20130324134 - System and Method for Intelligent Routeback | 2 |
Javed Hasan | US | Saratoga | 2016-03-31 / 20160092469 - CONTEXT-AWARE REPUTATION OF A PLACE | 2 |
Saad Hasan | US | Wheaton | 2014-06-05 / 20140154159 - Engine Exhaust Aftertreatment Component Including Aftertreatment Brick Module | 1 |
Mohamad-Ali Hasan | US | Charlotte | 2016-02-11 / 20160043258 - EFFICIENCY ENHANCEMENT OF SOLAR CELLS USING LIGHT MANAGEMENT | 2 |
Mahamudul Hasan | DE | Bochum | 2016-03-17 / 20160076620 - TORQUE-TRANSMITTING COUPLING | 1 |
Qamrul Hasan | US | Santa Clara | 2016-04-14 / 20160103723 - SYSTEM-ON-CHIP VERIFICATION | 11 |
Masum Hasan | US | Cupertino | 2013-02-14 / 20130041728 - REAL TIME SERVICE ADVERTISEMENT FOR BILLING AND REVENUE SETTLEMENT IN A FEDERATED MODEL | 4 |
Leila Hasan | US | San Francisco | 2014-11-13 / 20140332112 - Microfluidic Transfer Pins | 5 |
Shamim Hasan | US | East Elmhurst | 2014-11-06 / 20140328925 - MICRONIZED TANAPROGET, COMPOSITIONS, AND METHODS OF PREPARING THE SAME | 9 |
Manaf Hasan | SE | Gothenburg | 2012-07-19 / 20120181712 - Humidifier for Fuel Cell Systems | 1 |
Mohammed Mahdi Hasan | CA | Waterloo | 2016-03-03 / 20160066225 - MITIGATING INTERFERENCE WITH WIRELESS COMMUNICATIONS | 1 |
Oamrul Hasan | US | Santa Clara | / - | 1 |
Saif Hasan | DE | Euskirchen | 2012-07-19 / 20120184933 - Process For Making A Bond Between A Hydrophilic and A Hydrophobic Nonwoven | 1 |
Jahid Hasan | GB | Leeds Yorkshire | 2015-08-06 / 20150216664 - COMPOSITE BONE IMPLANTS | 1 |
Waqar Hasan | US | Cupertino | 2009-06-25 / 20090164623 - METHODS AND SYSTEMS FOR TRACKING EVENT LOSS | 1 |
Syed A. Hasan | US | Mountain View | 2009-05-07 / 20090119183 - Method and System For Service Provider Access | 1 |
Imtiaz Hasan | US | Schaumburg | 2015-06-11 / 20150158517 - Foldable Beverage Tray | 1 |
Mohammad Hasan | US | San Jose | 2008-12-04 / 20080301138 - Method for Analyzing Patent Claims | 1 |
Fauad F. Hasan | US | Santa Clara | 2014-04-17 / 20140106453 - STIRRED TANK REACTOR SYSTEMS AND METHODS OF USE | 4 |
Fariza B. Hasan | US | Waltham | 2014-07-03 / 20140187418 - MULTICOLOR THERMAL IMAGING METHOD AND THERMAL PRINTER | 8 |
Muhammad Zafrul Hasan | US | College Station | 2009-05-14 / 20090125397 - METHOD AND SYSTEM FOR INTEGRATING RANKINGS OF JOURNALED INTERNET CONTENT AND CONSUMER MEDIA PREFERENCES FOR USE IN MARKETING PROFILES | 1 |
Nur A. Hasan | US | College Park | 2014-09-25 / 20140288844 - CHARACTERIZATION OF BIOLOGICAL MATERIAL IN A SAMPLE OR ISOLATE USING UNASSEMBLED SEQUENCE INFORMATION, PROBABILISTIC METHODS AND TRAIT-SPECIFIC DATABASE CATALOGS | 3 |
Syed K. Hasan | US | Boston | 2016-03-24 / 20160083429 - COMPOSITIONS AND METHODS FOR ENDOTOXIN NEUTRALIZATION | 2 |
Shawkat Hasan | US | Dulles | 2015-12-03 / 20150347380 - HOST-BASED INTELLIGENT RESULTS RELATED TO A CHARACTER STREAM | 14 |
Shameem Hasan | US | Manchester | 2015-05-21 / 20150139870 - PREPARATION OF CHITOSAN-BASED MICROPOROUS COMPOSITE MATERIAL AND ITS APPLICATIONS | 2 |
Anan Hasan | IL | Julis | 2016-03-10 / 20160068085 - DIRECTED IMPACT ABSORPTION SYSTEMS AND METHODS | 2 |
Fariza B. Hasan | US | Waltham | 2014-07-03 / 20140187418 - MULTICOLOR THERMAL IMAGING METHOD AND THERMAL PRINTER | 8 |
Mohammad Masud Hasan | US | Richardson | 2011-10-06 / 20110243030 - SYSTEMS AND METHODS FOR DETERMINING PROTECTION PATHS IN A MULTI-DOMAIN NETWORK | 2 |
Saad Hasan | US | Cleveland | 2016-04-07 / 20160096736 - TRANSPARENT CONDUCTIVE ARTICLE | 1 |
Fariza Hasan | US | Waltham | 2011-04-28 / 20110098487 - NOVEL COLOR-FORMING COMPOUNDS AND USE THEREOF IN IMAGING MEMBERS AND METHODS | 3 |
Jafar Syed Hasan | US | Oak Brook | 2015-07-23 / 20150201927 - Tissue Suturing Device Using Rotating Needles | 3 |
Ali Hasan | US | Houston | 2013-03-28 / 20130076028 - ROTATIONALLY ACTUATED COLLET STYLE TUBULAR CONNECTION | 1 |
Shenol Hasan | BG | Sofia | 2013-09-26 / 20130252452 - CONNECTOR ASSEMBLY | 1 |
Monjurul Hasan | US | Bothell | 2015-04-16 / 20150106339 - UNDOING CHANGES MADE TO A COMMUNICATION NETWORK | 1 |
Gaiti Hasan | IN | Bangalore | 2013-10-03 / 20130260409 - STORE-OPERATED CALCIUM CELLULAR ASSAY | 2 |
S.m. Nayeem Hasan | US | Novi | 2013-10-31 / 20130285581 - PASSIVE HIGH-VOLTAGE DC BUS DISCHARGE CIRCUIT FOR A VEHICLE | 3 |
Azhar Hasan | US | Atlanta | 2013-06-13 / 20130147609 - TRANSMIT DIVERSITY FOR PASSIVE BACKSCATTER RFID | 1 |
Junaid Hasan | US | Strongsville | 2015-07-30 / 20150213391 - SURVEILLANCE TRACKING SYSTEM AND RELATED METHODS | 1 |
Sameer Hasan | CA | Mississauga | 2016-03-31 / 20160092854 - SYSTEM AND METHOD FOR USING BOOK RECOGNITION TO FACILITATE A USER'S ACQUISITION OF E-BOOKS | 3 |
Malik M. Hasan | US | Las Vegas | 2014-08-28 / 20140244297 - SYSTEM FOR COMMUNICATION OF HEALTH CARE DATA | 28 |
Qamrul Hasan | US | Santa Clara | 2016-04-14 / 20160103723 - SYSTEM-ON-CHIP VERIFICATION | 11 |
Tawfique Hasan | GB | Cambridge | 2015-11-26 / 20150337145 - Functional Inks Based on Layered Materials and Printed Layered Materials | 1 |
Muhammad Kamrul Hasan | KR | Suwon-Si | 2016-04-21 / 20160112640 - IMAGING APPARATUS AND IMAGING METHOD | 1 |
Saad Hasan | US | Chicago | 2016-02-04 / 20160036035 - CARBON CONTAINING BINDERLESS ELECTRODE FORMATION | 1 |
Jafar S. Hasan | US | Oak Brook | 2016-03-31 / 20160089134 - Laparoscopic Suture Device with Stripper Plate | 4 |
Muhammad Emadul Hasan | US | Hamden | 2012-03-22 / 20120072056 - FLIGHT CONTROL SYSTEM FOR ROTARY WING AIRCRAFT | 1 |
Taufiq Hasan | US | Dallas | 2014-12-11 / 20140365219 - Speaker Verification in a Health Monitoring System | 2 |
Moh'D A. Hasan | US | Yardley | 2015-05-07 / 20150123843 - RECEIVER DUAL-REFLECTOR ANTENNA SYSTEM FOR INTERFERENCE SUPPRESSION ONBOARD SATELLITE | 1 |
Syed Q. Hasan | US | Sugar Land | 2014-03-06 / 20140063793 - SEALED LED LIGHT FIXTURE FOR USE IN FOOD PROCESSING APPLICATIONS | 2 |
Sm S. Hasan | US | Niskayuna | 2015-07-02 / 20150189404 - System and Method of Selecting Wireless Spectrum and Protocol Based on Patient Acuity | 1 |
Malik M. Hasan | US | Las Veges | 2012-11-08 / 20120284055 - SYSTEM FOR COMMUNICATION OF HEALTH CARE DATA | 1 |
Saad Hasan | US | Peoria | 2012-01-26 / 20120017574 - STRAP FOR SECURING EXHAUST TREATMENT DEVICE | 1 |
Peggy Hasan | US | Aurora | 2009-01-01 / 20090003577 - Method and apparatus for providing customized images and ring tones | 1 |
Towhid Hasan | US | Midland | 2015-12-03 / 20150349343 - Low-Cost Method for Making Lithium Transition Metal Olivines with High Energy Density | 2 |
Mohammad Al Hasan | US | Fortville | 2015-05-21 / 20150142827 - QUERY SUGGESTION FOR E-COMMERCE SITES | 3 |
Shamim Hasan | US | East Elmhurst | 2014-11-06 / 20140328925 - MICRONIZED TANAPROGET, COMPOSITIONS, AND METHODS OF PREPARING THE SAME | 9 |
Sm Shajedul Hasan | US | Rexford | 2015-05-14 / 20150133801 - SYSTEM AND METHOD FOR LOW POWER SAMPLING OF PLETHYSMOGRAPH SIGNALS | 5 |
Mahbub Hasan | US | Phoenix | 2013-01-03 / 20130002233 - CIRCUITS FOR DETECTING AC- OR DC-COUPLED LOADS | 1 |
Khader M. Hasan | US | Houston | 2011-08-18 / 20110199084 - METHOD AND SYSTEM FOR DIFFUSION TENSOR IMAGING | 1 |
Shamim A. Hasan | US | East Elmhurst | 2013-12-19 / 20130337058 - Micronized Tanaproget and Compositions Containing Same | 1 |
Sm Shajed Hasan | US | Niskayuna | 2014-04-03 / 20140095102 - SYSTEMS AND METHODS FOR MONITORING SENSORS | 2 |
S.m. Shajedul Hasan | US | Niskayuna | 2015-08-27 / 20150238082 - SYSTEM AND METHOD FOR ADAPTIVE INTERFERENCE MITIGATION IN WIRELESS SENSOR NETWORK | 3 |
Amjad Hasan | US | Murfreesboro | 2011-07-28 / 20110184576 - ROBUST AUTOMATED HIERARCHICAL DETERMINATION FOR POWER MONITORING SYSTEMS | 5 |
Mohamed-Ali Hasan | US | Concord | 2010-11-18 / 20100291769 - ALTERNATIVE METHODS FOR FABRICATION OF SUBSTRATES AND HETEROSTRUCTURES MADE OF SILICON COMPOUNDS AND ALLOYS | 2 |
S. Riaz Hasan | US | Noblesville | 2013-08-22 / 20130212941 - INTERLOCKING ROOFING TRAYS | 3 |
Mahbub Hasan | US | Laveen | 2010-07-15 / 20100176883 - METHOD FOR ADJUSTING THRESHOLD VOLTAGE AND CIRCUIT THEREFOR | 2 |
Zeaid Fouad Hasan | US | Mesa | 2015-02-12 / 20150041589 - AIRCRAFT SIDE OF BODY JOINT | 1 |
Saad Hasan | US | Nashville | 2011-04-07 / 20110079514 - Electrophoretic fabricated freestanding all-nanoparticle thin film materials | 1 |
Masum Z. Hasan | US | San Jose | 2015-12-24 / 20150372943 - FRAMEWORK FOR NETWORK TECHNOLOGY AGNOSTIC MULTI-CLOUD ELASTIC EXTENSION AND ISOLATION | 2 |
Saher Hasan | US | Round Lake | 2012-02-16 / 20120036641 - Space Saver Pillow System And Method For Making The Same | 2 |
Qamrul Hasan | US | Mountain View | 2014-11-27 / 20140351466 - HOST/CLIENT SYSTEM HAVING A SCALABLE SERIAL BUS INTERFACE | 1 |
Baig Hasan | PK | Karachi P.e.c.h.s, | 2015-03-19 / 20150082101 - SELF-HEALING, FAULT-TOLERANT FPGA COMPUTATION UNIT AND STRUCTURE | 1 |
Anwar Ricky Hasan | US | Troy | 2014-02-27 / 20140053320 - GARMENT INCLUDING CUSHION AND METHOD OF MAKING SAME | 3 |
Taqi Hasan | US | Fremont | 2013-01-03 / 20130007624 - LAUNCHING SERVICE APPLICATIONS USING A VIRTUAL NETWORK MANAGEMENT SYSTEM | 1 |
Syed Muhammad Mujahid Hasan | US | Redmond | 2014-07-31 / 20140215438 - DICTIONARY-BASED DEPENDENCY DETERMINATION | 2 |
M.m. Faruque Hasan | US | Princeton | 2016-05-05 / 20160121258 - METHODS OF SEPARATING MOLECULES | 2 |
Vedran Hasanagic | CA | Kitchener | / - | 1 |
Mojahedul Hoque Abul Hasanat | BD | Block E. Banani | 2013-01-03 / 20130007897 - Method and System for Managing Secure Sharing of Private Information Across Security Domains | 2 |
Pertti Hasanen | US | Portsmouth | 2013-08-01 / 20130192179 - DEVICE FOR INCREASING THE TRAINING EFFECT IN A HORSE | 1 |
Elton Hasani | IT | Pavia | 2016-04-14 / 20160103310 - TWO-PHOTON EXCITATED FLUORESCENCE MICROSCOPE | 1 |
Nurul Hasan, I | IN | Bodinayakanur | 2010-02-18 / 20100038166 - DRIVE BY WIRE CONTACTLESS THROTTLE CONTROL APPARATUS | 1 |
Vladimir Hasanov | US | Rueil-Malmaison | 2012-03-29 / 20120073680 - SAFETY DEVICE FOR PRESSURIZED GAS | 1 |
Nuri Hasanov | US | Coon Rapids | 2014-03-20 / 20140081660 - Paperless Radiology Workflow | 1 |
Vladimir Hasanov | FR | Bois-Colombes | 2010-10-21 / 20100263529 - Method For The Purification Of A Gas Containing CO2 | 3 |
Adnan Hasanovic | NL | Echt | 2016-03-24 / 20160083638 - JUNCTION BOX AND POLYMER COMPOSITIONS FOR A JUNCTION BOX | 1 |
Kathleen Hasanovic | DE | Frankfurt Am Main | 2014-06-19 / 20140170202 - BIODEGRADABLE COMPOSITE MATERIAL | 2 |
Senad Hasanovic | CH | Lausanne | 2016-03-03 / 20160060180 - Composite Material Comprising a Precious Metal, Manufacturing Process and Use of Such Material | 2 |
Naser Hasan Pour Arbastan | BE | Bruxelles | 2015-07-09 / 20150191171 - SYSTEM FOR REDUCTION OF CONSUMPTION | 1 |
Levent Hasanreisoglu | TR | Istanbul | 2012-12-20 / 20120319552 - COOLING DEVICE | 4 |
Masanobu Hasatani | JP | Nagoya-Shi | 2009-08-20 / 20090209418 - ADSORBENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Volker Hasbach | DE | Hamburg | 2016-03-17 / 20160078730 - TRANSPORT GOODS MONITORING DEVICE | 1 |
Volker Hasbach | DE | Oldenburg | 2013-06-27 / 20130166063 - FULLY AUTOMATED CARGO LOADING SYSTEM | 2 |
Alexander Hasbach | US | Akron | 2013-11-14 / 20130298657 - SYSTEM FOR CHARACTERIZING TIRE UNIFORMITY MACHINES AND METHODS OF USING THE CHARACTERIZATIONS | 1 |
Monika Hasbach Lugo | MX | Col Toriello Guerra C.p. | 2010-01-14 / 20100009788 - Ball Segment and Coupling Elements which are used to form a functional ball | 1 |
Jacques E. Hasbani | US | Easton | 2009-03-12 / 20090070277 - SYSTEM AND METHOD FOR REAL TIME ADAPTIVE CLASS AND SPECIAL SERVICES DETERMINATION | 1 |
Hassam Hasbani | CA | Mississauga | 2009-10-15 / 20090255124 - Cartridge for a Shaving Razor | 1 |
Frederic Hasbani | FR | Hurtieres | 2014-06-19 / 20140167527 - Method and Device for Management of an Electrical Power-Up of a Sector of an Electronic Circuit | 4 |
Joseph S. Hasbani | US | Palo Alto | 2016-04-07 / 20160099844 - CLOUD ASSIST FOR STORAGE SYSTEMS | 6 |
John Hanna J. Hasbany | US | Grand Rapids | 2009-04-09 / 20090091228 - PEDESTAL SYSTEM | 1 |
Cynthia J. Hasbany | US | Sylvan Lake | 2014-11-13 / 20140332648 - JEWELRY HOLDER | 1 |
Michael Hasbany | US | Dallas | 2013-03-07 / 20130055486 - Facial cover | 1 |
Suresh Hasbe | IN | Maharashtra | 2013-08-08 / 20130203826 - Pure Intermediate | 2 |
Carsten Hasberg | DE | Ilsfeld-Auenstein | 2016-05-19 / 20160137198 - METHOD AND DEVICE FOR OPERATING A VEHICLE | 6 |
Carsten Hasberg | DE | Karlsruhe | 2010-10-21 / 20100266005 - RAILWAY POSITIONING SYSTEM | 2 |
Markus Hasberg | DE | Wipperfurth | 2015-08-27 / 20150240979 - CONSTRUCTION SYSTEM FOR A CONNECTION ARRANGEMENT FOR MEDIA LINES | 2 |
Christopher E. Hasbrook | US | Chicago | 2011-12-01 / 20110295624 - Insurance Policy Data Analysis and Decision Support System and Method | 1 |
William Benjamin Hasbrook | US | Tualatin | 2014-08-28 / 20140239916 - PROTECTIVE CASE WITH SWITCH COVER | 2 |
Susan Ann Hasbrouck | US | Boise | 2014-06-12 / 20140158059 - CHICKEN WATER BUCKET | 1 |
Gaetan Hasbroucq | FR | Charenton-Le-Pont | 2014-08-28 / 20140240664 - METHOD FOR MEASURING MORPHO-GEOMETRIC PARAMETERS OF A SPECTACLE WEARING INDIVIDUAL | 1 |
Robert Hasbun | US | Fall City | 2008-08-28 / 20080209199 - BOOT NEGOTIATION AMONG MULTIPLE BOOT-CAPABLE DEVICES | 1 |
William Miguel Hasbun | US | Mount Laurel | 2015-03-19 / 20150080683 - PORTABLE DIAGNOSTIC INSTRUMENT AND A METHOD FOR ITS USE | 1 |
William M. Hasbun | US | Mount Laurel | 2012-09-27 / 20120245422 - OTOSCOPE ATTACHMENT TO BE USED IN CONJUNCTION WITH A SMART PHONE AND A METHOD FOR ITS USE | 4 |
Robert Nasry Hasbun | US | Fall City | 2015-03-19 / 20150078586 - USER INPUT WITH FINGERPRINT SENSOR | 1 |
Pinhas Hascalovich | IL | Haifa | 2010-12-30 / 20100326907 - METHOD AND DEVICE FOR REMOVING CONTAMINATES FROM FLUID-MATERIAL | 2 |
Pini Hascalovich | IL | Haifa | 2008-08-28 / 20080203635 - Textile Spring | 1 |
Emil Hascalovici | IL | Haifa | 2012-09-13 / 20120228216 - FLOATING WATER TREATMENT DEVICE | 1 |
Pinhas Hascalovici | IL | Haifa | 2012-09-13 / 20120228216 - FLOATING WATER TREATMENT DEVICE | 1 |
Ady Hascalovici | US | Sunnyvale | 2013-12-05 / 20130324239 - STARTING SIMULATION FROM A REAL SITUATION | 2 |
Jurgen Hasch | DE | Stuttgart | 2008-10-23 / 20080258975 - Device and Method for Transmitting/Receiving Electromagnetic Hf Signals | 1 |
Martin Hasch | DE | Schwieberdingen | 2014-11-20 / 20140339057 - RESETTING DEVICE FOR A STEERING-COLUMN SWITCH UNIT OF A MOTOR VEHICLE, AND MOTOR VEHICLE | 5 |
Juergen Hasch | DE | Stuttgart | 2016-03-17 / 20160079647 - DEVICE FOR TRANSMITTING MILLIMETER-WAVE SIGNALS | 15 |
Juergen Hasch | DE | Stuttgart | 2016-03-17 / 20160079647 - DEVICE FOR TRANSMITTING MILLIMETER-WAVE SIGNALS | 15 |
Wolfgang Hasch | DE | Wabern | 2013-03-07 / 20130058714 - KERBSTONE AND STOP FOR BUS TRAFFIC | 1 |
Joachim Hasch | DE | Berlin | 2015-10-22 / 20150298346 - METHOD FOR REDUCING THE EMISSIONS OF VOLATILE ORGANIC COMPOUNDS FROM WOODEN MATERIALS AND WOODEN MATERIAL | 7 |
Bob Haschart | US | White Bear Lake | 2014-06-26 / 20140180935 - SYSTEM AND METHOD FOR PROCESSING FORMATTED TEXT DOCUMENTS IN A DATABASE | 2 |
Hans M. Haschek | AT | Bad Ischl | 2011-07-07 / 20110167085 - System and Method for Searching for a Target Contact and for Generating a Contact Path | 1 |
Brian R. Haschemeyer | US | Athens | 2014-06-26 / 20140179520 - Humic Acid Composition | 1 |
Thomas L. Haschen | US | Easton | 2010-09-16 / 20100233315 - FERMENTATION BYPRODUCT FEED FORMULATION AND PROCESSING | 1 |
Thomas Haschke | DE | Bad Berleburg | 2015-08-27 / 20150239027 - METHOD AND DEVICE FOR COOLING SURFACES IN CASTING INSTALLATIONS, ROLLING INSTALLATIONS OR OTHER STRIP PROCESSING LINES | 1 |
Ferdinand Haschke | DE | Frankfurt | 2012-07-05 / 20120171339 - NUTRITIONAL PRODUCTS HAVING IMPROVED QUALITY AND METHODS AND SYSTEMS REGARDING SAME | 2 |
Guido Haschke | DE | Wetzlar | 2013-09-05 / 20130231388 - (CARBOXYLALKYLENEPHENYL)PHENYLOXAMIDES, METHOD FOR THE PRODUCTION THEREOF AND USE OF SAME AS A MEDICAMENT | 3 |
Paul Charles Haschke | US | Wheaton | 2016-01-07 / 20160001540 - METHOD FOR FORMING A HEAT-REFLECTIVE BLANK AND CONTAINER | 3 |
Eggo Haschke | US | Deerfield | 2014-09-11 / 20140250830 - CRIMP CONTROL APPARATUS | 18 |
Ferdinand Haschke | CH | La Tour-De-Peilz | 2015-04-30 / 20150118351 - NUTRITIONAL COMPOSITION FOR LOW BIRTH WEIGHT INFANTS | 9 |
Holger Haschke | DE | Wagenfeld | 2012-06-21 / 20120153523 - PROCESS FOR PRODUCING LOW-DENSITY POLYURETHANE MOLDINGS | 2 |
Igor Haschke | DE | Berlin | 2015-11-05 / 20150314392 - Method for Stitch-Welding a Front Flange Joint | 4 |
Guido Haschke | DE | Frankfurt Am Main | 2013-11-14 / 20130303578 - PHENYLAMINO-BENZOXAZOLE SUBSTITUTED CARBOXYLIC ACIDS, METHOD FOR THEIR PRODUCTION AND USE THEREOF AS MEDICAMENTS | 11 |
Richard Haschke | DE | Heroldsbach | 2013-05-23 / 20130129479 - MULTI PIECE TURPOCHARGER HOUSING | 1 |
Ferdinand Haschke | DE | Frankfurt Am Main | 2009-12-10 / 20090304655 - INFANT FORMULA WITH PROBIOTICS | 1 |
Guido Haschke | DE | Frankfurt Am Main | 2013-11-14 / 20130303578 - PHENYLAMINO-BENZOXAZOLE SUBSTITUTED CARBOXYLIC ACIDS, METHOD FOR THEIR PRODUCTION AND USE THEREOF AS MEDICAMENTS | 11 |
Ferdinand Haschke | CH | La Tour-De-Peilz | 2015-04-30 / 20150118351 - NUTRITIONAL COMPOSITION FOR LOW BIRTH WEIGHT INFANTS | 9 |
Eggo L. Haschke | US | Deerfield | 2009-08-27 / 20090215367 - AUTOMATIC RACK LOADER | 1 |
Arno Haschke | DE | Erlangen | 2015-09-03 / 20150247778 - METHOD FOR MONITORING DAMAGE TO A SHAFT | 2 |
Xavier Hascoet | FR | Plomodiern | 2008-11-20 / 20080285207 - Supercapacitor Cover With Integrated Center | 1 |
Stanislas Hascoet | FR | Clamart | 2015-10-22 / 20150300894 - PIEZOELECTRIC ACTUATOR AND PROCESS FOR MANUFACTURING SAME | 1 |
Frederic Hascoet | FR | Saint Germain Les Corbeil | 2015-06-25 / 20150174676 - MULTIPURPOSE ELECTRICAL DISCHARGE MACHINING TOOL FOR A RINGSECTOR | 1 |
Takashi Hase | JP | Yokohama-Shi | 2013-10-17 / 20130271960 - SEMICONDUCTOR LIGHT EMITTING DEVICE, BACKLIGHT, COLOR IMAGE DISPLAY DEVICE AND PHOSPHOR TO BE USED FOR THEM | 1 |
Masaki Hase | JP | Inazawa-Shi | 2015-11-05 / 20150314794 - SOUND ABSORBING PANEL | 1 |
Hiroichi Hase | JP | Mie | 2008-09-04 / 20080209705 - Fracture surface treatment method and fracture surface treatment apparatus of a ductile metal part, and manufacturing method and manufacturing apparatus of a ductile metal part being fractured and having its fracture surfaces treated | 1 |
Takamasa Hase | JP | Kanagawa | 2012-04-19 / 20120093551 - Fixing roller, and fixing device and image forming apparatus incorporating same | 23 |
Hiroshi Hase | JP | Toyama | 2016-04-21 / 20160107208 - METHOD FOR CONTAMINATION PREVENTION IN FLUID STORAGE TANK REQUIRING TEMPERATURE CONTROL, AND DEVICE THEREFOR | 1 |
Kazuhito Hase | JP | Kunitachi-Shi, Tokyo | 2016-05-19 / 20160137513 - SILICON CARBIDE POWDER | 2 |
Kazuharu Hase | JP | Gifu-Ken | 2012-02-02 / 20120024210 - TUFTED CARPET | 1 |
Tristan James Hase | US | Milnor | 2012-11-08 / 20120279483 - T-SHIRT CANNON | 1 |
Masahiko Hase | JP | Shinjuku-Ku | 2011-06-23 / 20110151565 - CELL SHEET HAVING GOOD DIMENSIONAL STABILITY, METHOD FOR PRODUCTION THEREOF, AND CELL CULTURE CARRIER FOR USE IN THE METHOD | 1 |
Tatsuya Hase | JP | Mie | 2015-12-24 / 20150372415 - WIRE HARNESS | 1 |
Takamasa Hase | JP | Shizuoka | 2016-04-07 / 20160098003 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 48 |
Matthias Hase | DE | Mülheim | 2010-12-02 / 20100300104 - BURNER, OPERATING METHOD AND ASSEMBLY METHOD | 2 |
Eiichi Hase | JP | Saitama | 2012-02-02 / 20120026030 - MULTI-FUNCTION RADAR DEVICE | 1 |
Hirofumi Hase | JP | Tokyo | 2015-09-03 / 20150247429 - VALVE TIMING CONTROL DEVICE AND METHOD OF ASSEMBLY THEREOF | 4 |
Masatoshi Hase | JP | Kanagawa | 2015-05-21 / 20150137894 - RF POWER AMPLIFIER AND OPERATING METHOD THEREOF | 3 |
Masako Hase | JP | Toyota-Shi | 2015-04-16 / 20150104653 - JET-BLACK MULTILAYER COATING FILM AND FORMING METHOD THEREOF | 1 |
Takamitsu Hase | JP | Isehara-Shi | 2015-07-23 / 20150204259 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 2 |
Andreas Alfred Hase | DE | Berlin | 2014-11-06 / 20140329362 - QFN/SON-Compatible Package | 1 |
Masatoshi Hase | JP | Tokyo | 2011-09-01 / 20110210795 - HIGH FREQUENCY POWER AMPLIFIER AND OPERATING METHOD THEREOF | 1 |
Takamasa Hase | JP | Kawasaki-Shi | 2011-08-25 / 20110206427 - Fixing device and image forming apparatus incorporating same | 14 |
Kengo Hase | JP | Osaka | 2011-09-01 / 20110210488 - LIQUID-SEALED TYPE VIBRATION ISOLATOR | 1 |
Kazuharu Hase | JP | Gifu | 2015-10-15 / 20150291074 - AUTOMOTIVE TUFTED CARPET | 1 |
Naohiko Hase | JP | Kanagawa | 2015-10-22 / 20150298637 - INTERIOR SIDE WALL STRUCTURE FOR A VEHICLE | 1 |
Yasuhiro Hase | JP | Hamamatsu-Shi | 2015-09-10 / 20150257205 - CORD-SHAPED HEATER AND SHEET-SHAPED HEATER | 1 |
Yasunori Hase | JP | Takatsuki | 2014-07-03 / 20140187534 - NITROGEN-CONTAINING SPIROCYCLIC COMPOUNDS AND PHARMACEUTICAL USES THEREOF | 2 |
Hiroyuki Hase | JP | Fujisawa-Shi | 2013-08-01 / 20130195438 - LENS BARREL HAVING SHUTTER FLEXIBLE CIRCUIT BOARD AND IMAGE PICKUP APPARATUS HAVING THE SAME | 4 |
Tomomi Hase | JP | Kariya-City | 2015-01-22 / 20150025728 - VEHICLE CONTROL APPARATUS | 1 |
Masahiko Hase | JP | Tokyo | 2014-11-06 / 20140326391 - METHOD FOR PRODUCING CELL CULTURE VESSEL | 5 |
Mikihiko Hase | JP | Tokyo | 2010-06-24 / 20100161008 - Potential therapy apparatus and combined electric therapy apparatus | 1 |
Takashi Hase | JP | Kanagawa | 2016-03-17 / 20160079426 - SEMICONDUCTOR DEVICE | 12 |
Takashi Hase | JP | Tokyo | 2016-02-25 / 20160056145 - SEMICONDUCTOR DEVICE | 8 |
Kazukuni Hase | JP | Tokyo | 2014-03-06 / 20140060706 - SPRING STEEL AND METHOD FOR MANUFACTURING THE SAME | 4 |
Hiromi Hase | JP | Tokyo | 2009-03-26 / 20090079671 - INPUT/OUTPUT-INTEGRATED DISPLAY DEVICE AND PROTECTIVE GLASS PLATE USED THEREIN | 1 |
Allen Hase | US | Lawrence | 2016-02-11 / 20160040288 - APPARATUS FOR IN SITU DEPOSITION OF MULTILAYER STRUCTURES VIA ATOMIC LAYER DEPOSITION AND ULTRA-HIGH VACUUM PHYSICAL OR CHEMICAL VAPOR DEPOSITION | 1 |
Kazukuni Hase | JP | Kurashiki | 2016-02-11 / 20160040274 - THICK STEEL SHEET HAVING EXCELLENT CTOD PROPERTIES IN MULTILAYER WELDED JOINTS, AND MANUFACTURING METHOD FOR THICK STEEL SHEET | 1 |
Takashi Hase | JP | Tokyo | 2016-02-25 / 20160056145 - SEMICONDUCTOR DEVICE | 8 |
Takamasa Hase | JP | Tokyo | 2015-12-31 / 20150378272 - IMAGE FORMING METHOD, TONER, AND IMAGE FORMING APPARATUS | 10 |
Mariko Hase | JP | Tokyo | 2010-07-15 / 20100177550 - NONVOLATILE SEMICONDUCTOR MEMORY | 1 |
Tatsuya Hase | JP | Yokkaichi-Shi | 2016-01-28 / 20160028177 - ELECTRIC CONNECTION STRUCTURE AND TERMINAL | 10 |
Kimio Hase | JP | Kawanishi-Shi | 2013-01-24 / 20130022819 - METHOD FOR PRODUCING TRANSFER BODY | 1 |
Kentaro Hase | JP | Tokyo | 2016-02-25 / 20160051133 - ENDOSCOPE SYSTEM AND OPERATION METHOD FOR ENDOSCOPE SYSTEM | 3 |
Naoki Hase | JP | Tokyo | 2014-11-13 / 20140334041 - MAGNETORESISTIVE ELEMENT, MAGNETIC HEAD, MAGNETIC RECORDING AND REPRODUCING APPARATUS, AND METHOD OF MANUFACTURING MAGNETORESISTIVE ELEMENT | 8 |
Naoki Hase | JP | Tokyo | 2014-11-13 / 20140334041 - MAGNETORESISTIVE ELEMENT, MAGNETIC HEAD, MAGNETIC RECORDING AND REPRODUCING APPARATUS, AND METHOD OF MANUFACTURING MAGNETORESISTIVE ELEMENT | 8 |
Junichi Hase | JP | Hirano-Ku | 2013-10-03 / 20130258400 - IMAGE FORMING SYSTEM, IMAGE FORMING APPARATUS, EXTERNAL TERMINAL, AND RECORDING MEDIUM | 1 |
Takayuki Hase | JP | Ichihara-Shi | 2015-04-09 / 20150099836 - MONOFILAMENT FOR MOWING | 1 |
Takashi Hase | JP | Nagaokakyo-Shi | 2016-04-21 / 20160111627 - VIBRATION DEVICE | 6 |
Muneaki Hase | JP | Tsukuba-Shi | 2012-10-11 / 20120256104 - PHASE CHANGE DEVICE HAVING PHASE CHANGE RECORDING FILM, AND PHASE CHANGE SWITCHING METHOD FOR PHASE CHANGE RECORDING FILM | 1 |
Takayuki Hase | JP | Nagoya | 2013-01-17 / 20130015400 - LIQUID CRYSTALLINE POLYESTER COMPOSITION, METHOD OF PRODUCING THE SAME AND MOLDED PRODUCT MANUFACTURED FROM THE SAMEAANM Matsubara; SatoshiAACI NagoyaAACO JPAAGP Matsubara; Satoshi Nagoya JPAANM Umetsu; HideyukiAACI NagoyaAACO JPAAGP Umetsu; Hideyuki Nagoya JPAANM Hase; TakayukiAACI NagoyaAACO JPAAGP Hase; Takayuki Nagoya JP | 1 |
Hiroshi Hase | JP | Toyama-Shi | 2013-08-01 / 20130192684 - METHOD FOR CONTAMINATION PREVENTION IN FLUID STORAGE TANK REQUIRING TEMPERATURE CONTROL, AND DEVICE THEREFOR | 1 |
Matthias Hase | DE | Mulheim An Der Ruhr | 2013-07-18 / 20130180251 - BURNER ARRANGEMENT | 1 |
Ryusuke Hase | JP | Kariya | 2016-02-25 / 20160054393 - BATTERY-MONITORING SYSTEM AND IDENTIFYING-INFORMATION SETTING METHOD | 1 |
Katja Hase | DE | Zschopau | 2012-09-06 / 20120226006 - NOVEL INITIATION METHOD FOR POLYMERIZING (METH)ACRYLATES | 1 |
Junichi Hase | JP | Osaka | 2016-04-28 / 20160119500 - IMAGE PROCESSING APPARATUS, TERMINAL DEVICE, AND NON-TRANSITORY DATA RECORDING MEDIUM RECORDING CONTROL PROGRAM | 6 |
Hironobu Hase | JP | Kawasaki | 2014-10-02 / 20140292539 - PRESENTATION METHOD, SERVICE PROVIDING METHOD, EFFECTIVENESS MEASURING APPARATUS, PRESENTATION APPARATUS AND SERVICE PROVIDING APPARATUS | 1 |
Yuki Hase | JP | Himeji-Shi | 2013-09-05 / 20130230660 - METHOD FOR PRODUCING METAL COMPLEX QUANTUM CRYSTALS | 1 |
Takamasa Hase | JP | Tokyo | 2015-12-31 / 20150378272 - IMAGE FORMING METHOD, TONER, AND IMAGE FORMING APPARATUS | 10 |
Sanket Hase | US | Mountain View | 2016-05-19 / 20160140206 - MECHANISM TO RUN OLTP WORKLOAD ON IN-MEMORY DATABASE UNDER MEMORY PRESSURE | 10 |
Andreas A. Hase | DE | Berlin | 2011-12-29 / 20110316015 - PACKAGE FOR A LIGHT EMITTING ELEMENT | 3 |
Hiroshi Hase | JP | Osaka | 2015-07-30 / 20150214514 - CELL HOLDING CASE AND CELL PACKAGING BODY PROVIDED WITH HOUSING CHAMBER FOR HOUSING SAME | 2 |
Kohei Hase | JP | Nagoya-Shi | 2016-05-05 / 20160126016 - FILM CAPACITOR | 1 |
Tony Hase | US | White Bear Township | 2016-03-03 / 20160059249 - ELECTROSPRAY WITH SOFT X-RAY NEUTRALIZER | 1 |
Miyahito Hase | JP | Tokyo | 2014-04-03 / 20140090913 - MANNED VEHICLE AND CABIN STRUCTURE THEREOF | 2 |
Anthony E. Hase | US | White Bear Township | 2012-01-19 / 20120012744 - SIZE SEGREGATED AEROSOL MASS CONCENTRATION MEASUREMENT WITH INLET CONDITIONERS AND MULTIPLE DETECTORS | 2 |
Takaaki Hase | JP | Tokyo | 2014-01-23 / 20140020392 - GAS TURBINE | 1 |
Takamitsu Hase | JP | Kanagawa | 2015-02-26 / 20150057860 - DRIVING FORCE CONTROL DEVICE FOR HYBRID VEHICLE AND DRIVING FORCE CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Nobutaka Hase | JP | Ube-Shi | 2015-12-31 / 20150376395 - GRAFT COPOLYMER, THERMOPLASTIC RESIN COMPOSITION, AND MOLDED ARTICLE OF SAID RESIN COMPOSITION | 2 |
Akihiko Hase | JP | Ashigarakami-Gun | 2016-03-17 / 20160081184 - TRANSPARENT CONDUCTIVE FILM AND METHOD FOR PRODUCING TRANSPARENT CONDUCTIVE FILM | 1 |
Matthias Hase | DE | Muelheim | 2013-01-10 / 20130008168 - BURNER FOR STABILIZING THE COMBUSTION OF A GAS TURBINE | 1 |
Matthias Hase | DE | Mulheim | 2016-02-18 / 20160047312 - GAS TURBINE SYSTEM | 10 |
Sanket Hase | US | Mountain View | 2016-05-19 / 20160140206 - MECHANISM TO RUN OLTP WORKLOAD ON IN-MEMORY DATABASE UNDER MEMORY PRESSURE | 10 |
Matthias Hase | DE | Mulheim | 2016-02-18 / 20160047312 - GAS TURBINE SYSTEM | 10 |
Takayuki Hase | JP | Nagoya-Shi | 2014-01-23 / 20140022502 - LIQUID CRYSTALLINE POLYESTER COMPOSITION AND METAL COMPOSITE MOLDED PRODUCT USING THE SAME | 4 |
Naoyuki Hase | KR | Yongin-Si | 2014-02-27 / 20140057163 - COMPOSITE CATHODE ACTIVE MATERIAL, CATHODE AND LITHIUM BATTERY CONTAINING THE MATERIAL AND METHOD OF PREPARING THE SAME | 1 |
Michael Hase | DE | Wiesloch | 2016-01-07 / 20160006505 - MULTIFUNCTIONAL MICRO SENSOR SYSTEM | 3 |
Takashi Hase | JP | Kawasaki-Shi | 2015-03-05 / 20150060942 - SEMICONDUCTOR DEVICE | 1 |
Kazutoshi Hase | JP | Osaka | 2011-11-24 / 20110287731 - ANTENNA AND RECEPTION APPARATUS PROVIDED WITH ANTENNA | 2 |
Shigekazu Hase | JP | Nishio | 2012-11-15 / 20120290163 - VEHICLE TRANSMISSION DEVICE | 6 |
Tomohiro Hase | JP | Aichi | 2009-12-31 / 20090321218 - Vehicle Assembling Line System | 1 |
Masaru Hase | JP | Hamura-Shi | 2010-08-05 / 20100192988 - DEAERATION DEVICE AND ULTRASONIC CLEANING DEVICE USING THE SAME | 1 |
Masatoshi Hase | JP | Kokubunji | 2010-09-09 / 20100225401 - SEMICONDUCTOR DEVICE | 1 |
Takamasa Hase | JP | Kanagawa | 2012-04-19 / 20120093551 - Fixing roller, and fixing device and image forming apparatus incorporating same | 23 |
Eiichi Hase | JP | Iruma-Shi | 2010-09-16 / 20100231304 - SEMICONDUCTOR DEVICE | 3 |
Hiroyuki Hase | JP | Shizuoka | 2010-11-04 / 20100280156 - POLYACETAL RESIN COMPOSITION | 5 |
Takashi Hase | JP | Kobe-Shi | 2014-01-16 / 20140013950 - OXYGEN-ENRICHED AIR PRODUCING DEVICE AND OXYGEN-ENRICHED AIR PRODUCING METHOD | 3 |
Masatoshi Hase | JP | Kodaira | 2012-05-10 / 20120112838 - RF POWER AMPLIFIER AND RF POWER MODULE USING THE SAME | 3 |
Takamasa Hase | JP | Kanagawa-Ken | 2011-09-15 / 20110222931 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 4 |
Kohei Hase | JP | Aichi | 2011-08-25 / 20110207015 - FUEL CELL ELECTRODES WITH TRIAZOLE MODIFIED POLYMERS AND MEMBRANE ELECTRODE ASSEMBLIES INCORPORATING SAME | 4 |
Tatsuya Hase | JP | Yokkaichi-Shi | 2016-01-28 / 20160028177 - ELECTRIC CONNECTION STRUCTURE AND TERMINAL | 10 |
Takamasa Hase | JP | Kawasaki City | 2011-06-02 / 20110129268 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 4 |
Satoshi Hase | JP | Toyota-Shi | 2009-09-24 / 20090239014 - Rubber composition, crosslinked product and foam thereof, molded product therefrom, and use thereof | 1 |
Tomoharu Hase | JP | Kyoto-Shi | 2009-07-23 / 20090185150 - IMMERSION EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Masakazu Hase | JP | Shizuoka | 2011-03-10 / 20110056226 - Motor Controller, Air Compressor, Air Conditioner, Controller of Passenger Conveyor and Controller of Conveyor | 2 |
Naoya Hase | JP | Makinohara-Shi | 2011-04-14 / 20110086811 - HIGH-CONCENTRATION RUTIN-CONTAINING DRINK | 1 |
Kazukuni Hase | JP | Okayama | 2013-01-17 / 20130017117 - BEARING STEELAANM Honjo; MinoruAACI OkayamaAACO JPAAGP Honjo; Minoru Okayama JPAANM Hase; KazukuniAACI OkayamaAACO JPAAGP Hase; Kazukuni Okayama JPAANM Kimura; HidetoAACI AichiAACO JPAAGP Kimura; Hideto Aichi JP | 5 |
Yoko Hase | JP | Nagoya-Shi | 2014-05-29 / 20140147720 - BATTERY AND MIXED MOLTEN LIQUID | 3 |
Takamasa Hase | JP | Shizuoka | 2016-04-07 / 20160098003 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 48 |
Yukitoshi Hase | JP | Kameyama-Shi | 2012-10-04 / 20120247657 - SUBSTRATE TRANSFER METHOD AND SUBSTRATE TRANSFER APPARATUS | 14 |
Tetsu Hase | JP | Tochigi | 2009-04-16 / 20090098566 - METHOD OF SYNTHESIZING NUCLEIC ACID | 1 |
Suenobu Hase | JP | Nishinomiya-City | 2013-10-31 / 20130286784 - DEVICE AND METHOD FOR TRANSCEIVING ULTRASONIC WAVE | 2 |
Masafuni Hase | JP | Osaka | 2010-07-15 / 20100179824 - MANAGEMENT SYSTEM FOR PRESCRIBING/DISPENSING MEDICINE WHICH MAY CAUSE SERIOUS SIDE EFFECT | 1 |
Masatoshi Hase | JP | Kyoto | 2015-06-25 / 20150180427 - POWER AMPLIFICATION CIRCUIT AND POWER AMPLIFICATION MODULE | 1 |
Nitin Hase | IN | Hyderabad | 2015-11-26 / 20150339107 - GENERATING RUNTIME COMPONENTS | 1 |
Shuichi Hase | JP | Miyoshi | 2009-02-26 / 20090049832 - EXHAUST HEAT RECOVERY DEVICE | 1 |
Takamasa Hase | JP | Kanagawa Prefecture | 2011-06-23 / 20110150518 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Junichi Hase | JP | Osaka-Shi | 2014-12-04 / 20140355058 - INFORMATION PROCESSING APPARATUS, IMAGE FORMING APPARATUS, NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM ENCODED WITH REMOTE OPERATION PROGRAM, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM ENCODED WITH REMOTE CONTROL PROGRAM | 15 |
Yoshihide Hase | JP | Kanagawa | 2013-08-15 / 20130208386 - Transformer Inrush Current Restraining Control Equipment | 1 |
Tadashi Hase | JP | Haga-Gun | 2008-10-09 / 20080249171 - METHOD FOR ACTIVATING THE LIPID CATABOLIC METABOLISM IN ENTERIC EPITHELIUM AND IMPROVING THE LIPID METABOLISM IN ENTERIC EPITHELIUM | 1 |
Shigehiko Haseba | JP | Kanagawa | 2014-03-13 / 20140072352 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Junko Haseba | JP | Sagamihara-Shi | 2009-06-25 / 20090158810 - DIE CUSHION DEVICE OF PRESSING MACHINE | 1 |
Shigehiko Haseba | JP | Ebina-Shi | 2010-09-30 / 20100247185 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Yasuhiro Haseba | JP | Chiba | 2016-05-05 / 20160122648 - LIQUID CRYSTAL MEDIUM, OPTICAL DEVICE AND LIQUID CRYSTAL COMPOUND | 21 |
Daisuke Haseba | JP | Kariya-City | 2016-03-24 / 20160084548 - REFRIGERANT EVAPORATOR | 1 |
Daisuke Haseba | JP | Toyota-City | 2015-07-16 / 20150198383 - COLD STORAGE HEAT EXCHANGER | 2 |
Yukio Haseba | JP | Tokyo | 2009-02-12 / 20090042512 - MOBILE COMMUNICATION SYSTEM, BASE STATION, AND INTER-CELL INTERFERENCE REDUCTION METHOD | 1 |
Katsunori Haseba | JP | Sagamihara-Shi | 2009-06-25 / 20090158810 - DIE CUSHION DEVICE OF PRESSING MACHINE | 1 |
Daisuke Haseba | JP | Okazaki-City | 2015-07-30 / 20150211806 - COLD STORAGE HEAT EXCHANGER | 1 |
Yasuhiro Haseba | JP | Ichihara-Shi | 2015-07-02 / 20150185512 - LIQUID-CRYSTAL DISPLAY ELEMENT AND SUBSTRATE USED IN SAME | 4 |
Yasuhiro Haseba | JP | Chiba | 2016-05-05 / 20160122648 - LIQUID CRYSTAL MEDIUM, OPTICAL DEVICE AND LIQUID CRYSTAL COMPOUND | 21 |
Takashi Haseba | JP | Kuwana-Shi | 2015-04-30 / 20150116131 - CONDITION MONITORING SYSTEM | 1 |
Motohiro Haseba | JP | Osaka | 2011-06-09 / 20110136831 - N-2-(Hetero)Arylethylcarboxamide Derivative, and Pest-Controlling Agent Comprising the Same | 1 |
Noriyuki Haseba | JP | Saitama | 2010-04-08 / 20100083800 - NUMERICALLY CONTROLLED LATHE WITH GUIDE BUSH, AND METHOD OF PROCESSING WORKPIECE BY USING THE NUMERICALLY CONTROLLED LATHE | 1 |
Kazuhide Hasebe | JP | Yamanashi | 2015-07-09 / 20150194441 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 11 |
Yuta Hasebe | JP | Obu-Shi | 2015-04-16 / 20150101531 - POWDER COATING SYSTEM | 1 |
Takashi Hasebe | JP | Sagamihara-Shi | 2014-05-29 / 20140147157 - IMAGE FORMING DEVICE AND CHARGING METHOD FOR SECONDARY BATTERY | 9 |
Yoshihiro Hasebe | JP | Tokyo | 2016-03-31 / 20160094357 - CONTROL APPARATUS, COMPUTER SYSTEM, COMMUNICATION CONTROL METHOD, AND PROGRAM | 2 |
Kazuhide Hasebe | JP | Tokyo-To | 2008-10-30 / 20080264339 - Film forming method, film forming system and recording medium | 1 |
Toshio Hasebe | JP | Tokyo | 2016-05-12 / 20160134177 - LIQUID COOLED ELECTRIC MOTOR | 1 |
Keiichi Hasebe | JP | Katsushika-Ku | 2015-02-05 / 20150034369 - RESIN COMPOSITION FOR PRINTED WIRING BOARDS | 2 |
Kazuhide Hasebe | JP | Nirasaki City | 2016-04-21 / 20160108519 - METHOD AND APPARATUS OF FORMING SILICON NITRIDE FILM | 28 |
Kazuhide Hasebe | JP | Yamanashi | 2015-07-09 / 20150194441 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 11 |
Hajime Hasebe | JP | Nanae | 2009-03-26 / 20090079051 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 1 |
Kazuyuki Hasebe | JP | Tokyo | 2011-05-19 / 20110114378 - MULTILAYER CERAMIC CAPACITOR MOUNTING STRUCTURE AND MULTILAYER CERAMIC CAPACITOR | 3 |
Kiyoharu Hasebe | JP | Tokyo | 2012-11-01 / 20120276787 - CONNECTOR | 2 |
Hiroki Hasebe | JP | Chiyoda-Ku | 2012-06-21 / 20120153711 - COMPRESSED AIR SUPPLY SYSTEM, COMPRESSED AIR SUPPLY DEVICE FOR VEHICLE, AND METHOD OF CONTROLLING AIR COMPRESSOR | 1 |
Kazuhisa Hasebe | JP | Koshi City | 2011-07-28 / 20110183073 - DEVELOPING TREATMENT METHOD, PROGRAM, COMPUTER STORAGE MEDIUM AND DEVELOPING TREATMENT SYSTEM | 1 |
Daisuke Hasebe | JP | Miyagi | 2012-06-28 / 20120160113 - Sheet Ejecting Apparatus And Stencil Printing Apparatus | 3 |
Takashi Hasebe | JP | Kanagawa | 2016-02-11 / 20160042492 - Image Processing Apparatus, Image Processing Method and Computer Readable Medium | 1 |
Yuhi Hasebe | JP | Sendai-Shi Miyagi | 2015-12-17 / 20150359557 - SHOCK WAVE FOCUSING DEVICE, SHOCK WAVE GENERATION APPARATUS, AND SHOCK WAVE ABLATION SYSTEM | 1 |
Yukio Hasebe | JP | Tokyo | 2015-09-24 / 20150265658 - AGENT FOR ACTIVATING SIRTUIN GENE CONTAINING EGG SHELL MEMBRANE INGREDIENT AND COMPOSITION USING THE SAME | 6 |
Yosukemarukosu Hasebe | JP | Minato-Ku | 2013-10-10 / 20130268183 - CONTROL APPARATUS, DISPLAY APPARATUS, COOPERATIVE OPERATION SYSTEM, AND CONTROL METHOD | 1 |
Yoshifumi Hasebe | JP | Shizuoka | 2012-10-11 / 20120257912 - IMAGE FORMING APPARATUS, TONER SUPPLY MECHANISM, AND METHOD OF MOUNTING AND DEMOUNTING TONER CARTRIDGE | 2 |
Toshio Hasebe | JP | Hachioji | 2016-02-18 / 20160049835 - SYNCHRONOUS RELUCTANCE MOTOR AND ROTOR FOR SYNCHRONOUS RELUCTANCE MOTOR | 1 |
Rui Hasebe | JP | Sakai-Shi | 2016-03-03 / 20160064213 - METHOD FOR TREATING INNER WALL SURFACE OF MICRO-VACANCY | 1 |
Takehiko Hasebe | JP | Yokohama | 2009-04-30 / 20090111062 - Pattern Formation Method | 2 |
Kazuyuki Hasebe | JP | Nikaho-Shi | 2009-08-20 / 20090207554 - CERAMIC ELECTRONIC COMPONENT, MANUFACTURING METHOD OF CERAMIC ELECTRONIC COMPONENT, AND PACKAGING METHOD OF CERAMIC ELECTRONIC COMPONENTS | 3 |
Shinya Hasebe | JP | Kyoto | 2010-07-01 / 20100162810 - THERMAL MASS FLOW METER | 1 |
Ryohya Hasebe | JP | Kanagawa | 2016-02-25 / 20160055050 - HARDWARE DEVICE CONTROLLER, IMAGE FORMING APPARATUS, AND CONTROL METHOD | 1 |
Shoichi Hasebe | JP | Tokyo | 2012-10-25 / 20120270976 - ROOM TEMPERATURE CURABLE ORGANOPOLYSILOXANE COMPOSITION AND GAS SEPARATION MEMBRANE | 1 |
Hajime Hasebe | JP | Hokkaldo | 2009-07-09 / 20090176335 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Masahiko Hasebe | JP | Hamamatsu-Shi | 2012-02-09 / 20120031256 - TONE GENERATION APPARATUS | 2 |
Hiroyuki Hasebe | JP | Chigasaki-Shi | 2013-05-16 / 20130122351 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 13 |
Kazuhide Hasebe | JP | Nirasaki-Shi | 2012-11-08 / 20120282418 - SiCN FILM FORMATION METHOD AND APPARATUS | 18 |
Kazuhide Hasebe | JP | Minamialps-Shi | 2009-11-05 / 20090275150 - FILM FORMATION APPARATUS AND METHOD FOR SEMICONDUCTOR PROCESS | 2 |
Hiroshi Hasebe | JP | Kita-Adachi-Gun | 2016-04-28 / 20160115338 - POLYMERIZABLE COMPOSITION SOLUTION AND OPTICALLY ANISOTROPIC BODY INCLUDING THE SAME | 2 |
Kouta Hasebe | JP | Akita-Shi | 2012-06-07 / 20120137733 - METHOD AND APPARATUS FOR MANUFACTURING VITREOUS SILICA CRUCIBLE | 2 |
Shigeru Hasebe | JP | Oita | 2012-08-02 / 20120198404 - DEFECT INSPECTION SUPPORTING APPARATUS AND DEFECT INSPECTION SUPPORTING METHOD | 2 |
Takashi Hasebe | JP | Sagamihara-Shi | 2014-05-29 / 20140147157 - IMAGE FORMING DEVICE AND CHARGING METHOD FOR SECONDARY BATTERY | 9 |
Kazuyuki Hasebe | JP | Nikahoshi | 2009-08-27 / 20090211687 - Method for manufacturing multilayer electronic component | 1 |
Kazuyuki Hasebe | JP | Seki-Shi | 2014-01-30 / 20140031847 - MEDICAL KNIFE | 1 |
Satoshi Hasebe | JP | Hiratsuka-Shi | 2015-10-01 / 20150276436 - ANGLE DETECTION METHOD, ANGLE DETECTION APPARATUS, ROTATION DRIVE APPARATUS, ROBOT APPARATUS, AND STORAGE MEDIUM | 1 |
Ko Hasebe | JP | Kanagawa | 2011-05-26 / 20110124733 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR DIABETES, OBESITY, DYSLIPIDEMIA OR METABOLIC SYNDROME COMPRISING BENZYLAMINE DERIVATIVE OR PHARMACEUTICALLY ACCEPTABLE ACID | 2 |
Takehiko Hasebe | JP | Suita | 2012-12-13 / 20120312126 - Metal Recovery Method and Dialysis Device | 1 |
Tsuyoshi Hasebe | JP | Tokorozawa-Shi | 2010-01-21 / 20100014400 - Recording device and recording method, and computer program | 3 |
Hiroyuki Hasebe | JP | Yokohama-Shi | 2010-01-21 / 20100015498 - METHANOL FUEL CELL CARTRIDGE | 2 |
Takayuki Hasebe | JP | Tokyo | 2014-04-10 / 20140097344 - Terahertz Wave Measurement Device and Method | 1 |
Youichi Hasebe | JP | Tokyo | 2009-05-14 / 20090124281 - INFORMATION PROCESSING APPARATUS CAPABLE OF OUTGOING AND INCOMING CALLS | 1 |
Motohiro Hasebe | JP | Kawachinagano | 2009-09-17 / 20090233934 - Pyrazinecarboxamide Derivatives and Plant Disease Controlling Agents Containing the Same | 1 |
Yuki Hasebe | JP | Mima-Gun | 2012-11-15 / 20120289924 - DISPOSABLE DIAPER | 1 |
Tsuyoshi Hasebe | JP | Saitama | 2012-08-09 / 20120200951 - CONTENT DUPLICATION DEVICE AND CONTENT DUPLICATION SYSTEM | 13 |
Naoyuki Hasebe | JP | Hokkaido | 2014-01-30 / 20140030239 - HIGHLY FUNCTIONALIZED STEM CELL/PROGENITOR CELL BY APE1 GENE TRANSFECTION | 1 |
Keiichi Hasebe | JP | Tokyo | 2015-05-21 / 20150140883 - RESIN COMPOSITION FOR PRINTED CIRCUIT BOARD | 2 |
Mitsutake Hasebe | JP | Kawasaki-Shi | 2012-03-22 / 20120072012 - SHEET PROCESSING APPARATUS AND SHEET PROCESSING METHOD | 3 |
Kazuyuki Hasebe | JP | Nikaho-City | 2014-05-01 / 20140118882 - CERAMIC ELECTRONIC COMPONENT WITH METAL TERMINALS | 2 |
Akira Hasebe | JP | Oyama-Shi | 2015-09-17 / 20150259886 - STEERING DEVICE FOR WHEEL LOADER | 4 |
Tetsuya Hasebe | JP | Utsunomiya-Shi | 2014-10-09 / 20140299373 - POWER SUPPLY CONTROL DEVICE | 3 |
Takashi Hasebe | US | Andover | 2008-09-25 / 20080234485 - 1-Benzyl-4-[ (5,6-Dimethoxy-1-Indanon) -2-Yl] Methylpiperidine Hydrobromide or Crystals Thereof | 2 |
Keiichi Hasebe | US | 2016-05-05 / 20160125971 - RESIN COMPOSITION FOR PRINTED WIRING BOARD MATERIAL AND PREPREG, RESIN SHEET, METAL FOIL-CLAD LAMINATE, AND PRINTED WIRING BOARD USING THE SAME | 1 | |
Takumi Hasebe | JP | Kyoto | 2011-09-29 / 20110236001 - HIGH-RESOLUTION OPTICAL DISK FOR RECORDING STEREOSCOPIC VIDEO, OPTICAL DISK REPRODUCING DEVICE, AND OPTICAL DISK RECORDING DEVICE | 8 |
Tadashi Hasebe | JP | Osaka | 2008-09-04 / 20080214713 - Antistatic Method For Biodegradable Polyester Resin Composition And Products Thereof | 1 |
Tsuyoshi Hasebe | US | 2010-09-02 / 20100220565 - INFORMATION RECORDING DEVICE AND INFORMATION RECORDING METHOD GENERATING A COPY PREVENTING STRUCTURE | 1 | |
Asahiko Hasebe | JP | Aichi-Ken | 2008-09-25 / 20080229939 - Tandem Press Apparatus | 1 |
Shigeru Hasebe | JP | Oita-Ken | 2012-07-26 / 20120192127 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Satoshi Hasebe | JP | Kanagawa | 2015-09-10 / 20150251451 - DRYING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Yoshihiro Hasebe | JP | Wakayama | 2012-09-13 / 20120230934 - HAIR COSMETIC | 1 |
Hiroo Hasebe | JP | Wako-Shi | 2008-10-02 / 20080241593 - POLYPARAXYLYLENE COMPOSITE FILM | 1 |
Toshiaki Hasebe | JP | Tokyo | 2012-12-27 / 20120328181 - PATTERN INSPECTION APPARATUS AND METHOD | 3 |
Yuta Hasebe | JP | Obu-City | 2016-05-19 / 20160138599 - CENTRIFUGAL PUMP | 1 |
Takeshi Hasebe | JP | Chiba | 2008-10-16 / 20080252934 - IMAGE FORMING SYSTEM, GROUPWARE SERVER, IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND IMAGE FORMING PROGRAM | 1 |
Yoshiaki Hasebe | JP | Ota-Ku, Tokyo | 2015-12-31 / 20150380693 - MAGNESIUM-AIR FUEL CELL | 3 |
Tetsuya Hasebe | JP | Tokyo | 2009-02-19 / 20090045039 - Switch Array | 1 |
Hajime Hasebe | JP | Hokkaido | 2011-08-04 / 20110186976 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 2 |
Kazunari Hasebe | JP | Matsudo-Shi | 2013-12-19 / 20130338657 - HEATING TYPE BALLOON CATHETER DEVICE, AND ELASTIC TUBE DEVICE AND VIBRATOR DEVICE FOR THE CATHETER DEVICE | 1 |
Hiroyuki Hasebe | JP | Tokyo | 2009-12-03 / 20090298859 - METHOD FOR TREATING PLANTS | 2 |
Akio Hasebe | JP | Kawasaki-Shi | 2015-08-27 / 20150243605 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takehiko Hasebe | JP | Mobara | 2011-09-29 / 20110237001 - SEMICONDUCTOR CHIP USED FOR EVALUATION, EVALUATION SYSTEM, AND REPAIRING METHOD THEREOF | 1 |
Yuji Hasebe | JP | Minamialps-Shi | 2012-11-29 / 20120297951 - SHEET HOLE PUNCHING DEVICE | 2 |
Toru Hasebe | JP | Isesaki-Shi | 2009-01-01 / 20090000855 - POWER STEERING DEVICE | 1 |
Akio Hasebe | JP | Kodaira | 2011-07-21 / 20110175634 - FABRICATION METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 5 |
Takayuki Hasebe | JP | Kawasaki | 2009-12-03 / 20090300711 - ACCESS CONTROL POLICY COMPLIANCE CHECK PROCESS | 2 |
Takumi Hasebe | JP | Kyoto | 2011-09-29 / 20110236001 - HIGH-RESOLUTION OPTICAL DISK FOR RECORDING STEREOSCOPIC VIDEO, OPTICAL DISK REPRODUCING DEVICE, AND OPTICAL DISK RECORDING DEVICE | 8 |
Kazuhide Hasebe | JP | Tokyo | 2009-04-23 / 20090104760 - VERTICAL CVD APPPARATUS FOR FORMING SILICON-GERMANIUM FILM | 1 |
Hajime Hasebe | JP | Hakodate | 2014-03-27 / 20140084440 - SEMICONDUCTOR DEVICE | 7 |
Takao Hasebe | JP | Niwa-Gun | 2011-07-07 / 20110163221 - METHOD FOR CONTROLLING DEFLECTION IN STRUCTURAL MEMBER | 2 |
Masahiro Hasebe | JP | Anjo | 2011-08-11 / 20110193302 - CAMBER ANGLE CHANGING MECHANISM | 1 |
Yoshiaki Hasebe | JP | Tokyo | 2013-08-15 / 20130211329 - LIQUID LEAKAGE DETECTION SYSTEM | 1 |
Satoshi Hasebe | JP | Ashigarakami-Gun | 2011-03-17 / 20110064491 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Hiroshi Hasebe | JP | Saitama | 2012-03-08 / 20120056129 - POLYMERIZABLE LIQUID CRYSTAL COMPOSITION | 1 |
Masahiro Hasebe | JP | Tokyo | 2011-12-08 / 20110298200 - CHECK VALVE FOR AIRBAG AND AIRBAG DEVICE | 6 |
Hiroki Hasebe | JP | Tokyo | 2015-08-06 / 20150218987 - SILENCER, EXHAUST VALVE, VALVE DEVICE, AIR DRYER, COMPRESSED AIR SUPPLY DEVICE FOR VEHICLE, AND COMPRESSED AIR SUPPLY SYSTEM | 5 |
Yuichi Hasebe | JP | Tokyo | 2010-03-04 / 20100055762 - Method for Preparation of Hepatocyte Using Es Cell | 1 |
Hiroyuki Hasebe | JP | Kanagawa-Ken | 2011-01-13 / 20110008704 - FUEL CELL | 9 |
Akio Hasebe | JP | Tokyo | 2010-11-04 / 20100279502 - METHOD OF MANUFACTURING A SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND A METHOD OF MANUFACTURING A THIN FILM PROBE SHEET FOR USING THE SAME | 4 |
Hiroaki Hasebe | JP | Wako-Shi | 2009-12-24 / 20090314261 - VAPORIZED FUEL PROCESSING DEVICE IN WORK MACHINE | 4 |
Akio Hasebe | JP | Kanagawa | 2015-04-23 / 20150111317 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Rui Hasebe | JP | Osaka | 2011-02-10 / 20110034037 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND METHOD FOR CLEANING SEMICONDUCTOR SUBSTRATE | 1 |
Shinji Hasebe | JP | Kyoto | 2011-01-27 / 20110016967 - FLUID DISTRIBUTION DEVICE, MICRO PLANT, METHOD OF DESIGNING FLUID DISTRIBUTION DEVICE, AND METHOD OF DETECTING BLOCKAGE OF FLOW CHANNEL | 2 |
Daisuke Hasebe | JP | Kanagawa | 2015-09-03 / 20150248099 - IMAGE FORMING APPARATUS | 1 |
Seiichi Hasebe | JP | Saitama | 2015-10-15 / 20150293354 - DISPLAY INFORMATION GENERATING APPARATUS, DISPLAY INFORMATION GENERATING METHOD, A PROGRAM FOR DISPLAY INFORMATION GENERATING, AND INFORMATION RECORDING MEDIUM | 1 |
Kazuhide Hasebe | JP | Nirasaki City | 2016-04-21 / 20160108519 - METHOD AND APPARATUS OF FORMING SILICON NITRIDE FILM | 28 |
Hiroshi Hasebe | JP | Kitaadachi-Gun | 2015-01-29 / 20150030973 - BIREFRINGENT LENS MATERIAL FOR STEREOSCOPIC IMAGE DISPLAY DEVICE AND METHOD FOR PRODUCING BIREFRINGENT LENS FOR STEREOSCOPIC IMAGE DISPLAY DEVICE | 3 |
Weiwen Hasebi | US | Cottonwood Heights | 2015-09-03 / 20150245897 - Animal Leg Protector | 1 |
Dariush Hasebi | US | Cottonwood Heights | 2015-09-03 / 20150245897 - Animal Leg Protector | 1 |
Daisuke Hasegawa | JP | Chiba | 2010-08-26 / 20100216905 - POLYURETHANE RESIN | 1 |
Kayoko Hasegawa | JP | Toyota-Shi | 2016-01-07 / 20160001817 - VEHICLE LOWER SECTION STRUCTURE | 2 |
Hisataka Hasegawa | JP | Iwata-Shi | 2015-04-16 / 20150101449 - CAM FOLLOWER FOR ROCKER ARM AND CAM FOLLOWER DEVICE | 1 |
Takuya Hasegawa | JP | Ayase-Shi | 2014-11-27 / 20140347835 - COMPONENT-EMBEDDED SUBSTRATE MANUFACTURING METHOD AND COMPONENT-EMBEDDED SUBSTRATE MANFACTURED USING THE SAME | 2 |
Makoto Hasegawa | JP | Kanagawa-Ken | 2009-01-01 / 20090000640 - SURFACE TREATMENT METHOD, ETCHING METHOD, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 1 |
Takuya Hasegawa | JP | Fujieda-Shi | 2014-07-24 / 20140206242 - CONNECTOR WITH COVER | 2 |
Makoto Hasegawa | JP | Yokohama-Shi | 2012-02-09 / 20120032487 - HEADREST DEVICE, METHOD OF ADJUSTING HEADREST POSITIION, AND VEHICLE SEAT | 5 |
Makoto Hasegawa | JP | Daito-Shi | / - | 1 |
Makoto Hasegawa | JP | Chiba | 2010-01-14 / 20100009897 - POLYPEPTIDE HAVING LARVAE GROWTH INHIBITING OR INSECTICIDAL EFFECT ON SCARABAEIDAE INSECTS AND POLYNUCLEOTIDE ENCODING THE SAME | 1 |
Makoto Hasegawa | JP | Yokohama | 2010-01-21 / 20100013924 - METHOD OF RETRIEVING IMAGE DATA OF A MOVING OBJECT, APPARATUS FOR PHOTOGRAPHING AND DETECTING A MOVING OBJECT, AND APPARATUS FOR RETRIEVING IMAGE DATA OF A MOVING OBJECT | 1 |
Makoto Hasegawa | JP | Saitama | 2010-04-01 / 20100078249 - ELECTRIC MOTORCYCLE | 1 |
Makoto Hasegawa | JP | Osaka | 2015-11-12 / 20150325165 - DISPLAY DEVICE AND DISPLAY METHOD | 3 |
Makoto Hasegawa | JP | Iwaki-Shi | 2010-03-11 / 20100062935 - THERMOSENSITIVE RECORDING MEDIUM | 1 |
Yoshikatsu Hasegawa | JP | Tokyo | 2011-05-26 / 20110120756 - CIRCUIT BOARD, ITS MANUFACTURING METHOD, AND JOINT BOX USING CIRCUIT BOARD | 2 |
Makoto Hasegawa | JP | Kawasaki | 2010-08-12 / 20100202467 - PREMISES GATEWAY DEVICE | 1 |
Shin Hasegawa | JP | Yokohama | 2015-12-31 / 20150377950 - PARTIAL DISCHARGE MONITORING SYSTEM AND PARTIAL DISCHARGE MONITORING METHOD | 1 |
Makoto Hasegawa | JP | Koriyama-Shi | 2011-03-24 / 20110069241 - LIQUID CRYSTAL COMPOUND, POLYMERIZABLE LIQUID CRYSTAL COMPOSITION, OPTICALLY ANISOTROPIC MATERIAL, OPTICAL ELEMENT AND OPTICAL INFORMATION WRITING/READING DEVICE | 2 |
Kiyoshi Hasegawa | JP | Tokyo | 2016-01-07 / 20160005058 - SENSITIVITY EVALUATION SYSTEM | 4 |
Makoto Hasegawa | JP | Tottori | 2009-11-05 / 20090271986 - HYDRODYNAMIC BEARING MEMBER AND MANUFACTURING METHOD THEREOF | 2 |
Takuya Hasegawa | JP | Kariya-Shi | 2016-05-05 / 20160123743 - CLASSIFYING ROUTES OF TRAVEL | 3 |
Takuya Hasegawa | JP | Kawasaki-Shi | 2014-06-05 / 20140153981 - IMAGE HEATING APPARATUS AND IMAGE FORMING APPARATUS | 4 |
Takuya Hasegawa | JP | Matsudo-Shi | 2013-08-15 / 20130209145 - IMAGE HEATING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Tomohiko Hasegawa | JP | Okazaki-Shi | 2016-03-31 / 20160094755 - IMAGE PROCESSING DEVICE GENERATING COMBINED IMAGED DATA BY USING FIRST IMAGE DATA AND SECOND IMAGE DATA | 29 |
Mitsuru Hasegawa | JP | Osaka-Shi | 2015-04-23 / 20150112296 - EXPOSURE-PREVENTING CAP | 2 |
Waka Hasegawa | JP | Kawasaki-Shi | 2015-04-02 / 20150093699 - CYAN TONER CONTAINING COMPOUND HAVING AZO SKELETON | 9 |
Kazumitsu Hasegawa | JP | Chiyoda-Ku | 2009-12-31 / 20090320690 - SUCTION FILTER, TURBO COMPRESSOR AND METHOD FOR COMPACT ASSEMBLING OF THE SAME | 2 |
Nao Hasegawa | JP | Takarazuka-Shi | 2015-10-22 / 20150299146 - TETRAZOLINONE COMPOUND AND APPLICATIONS THEREOF | 1 |
Tetsuya Hasegawa | JP | Ueda-Shi | 2015-08-20 / 20150232074 - VEHICLE REAR WHEEL LIFT TENDENCY JUDGMENT DEVICE | 1 |
Shinya Hasegawa | JP | Kawasaki | 2012-05-17 / 20120120231 - ELECTROMAGNETIC WAVE IMAGING APPARATUS | 4 |
Takuma Hasegawa | JP | Kawasaki-Shi | 2015-01-15 / 20150013917 - PROCESSING METHOD AND PROCESSING APPARATUS | 1 |
Satoshi Hasegawa | JP | Mie | 2013-08-29 / 20130219966 - METHOD OF MANUFACTURING CHEMICALLY STRENGTHENED GLASS PLATE | 1 |
Satoshi Hasegawa | JP | Hagagun | 2014-07-10 / 20140193928 - CURRENT APPLICATION DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR ELEMENT | 2 |
Minoru Hasegawa | JP | Wako-Shi | 2015-01-15 / 20150015030 - STRUCTURE FOR FRONT PORTION OF VEHICLE | 1 |
Shinya Hasegawa | JP | Chiba | 2009-06-18 / 20090157205 - DATA RECORDING DEVICE, DATA REPRODUCTION DEVICE, PROGRAM, AND RECORDING MEDIUM | 2 |
Kouzou Hasegawa | JP | Koto-Ku | 2015-05-14 / 20150131109 - Inner Diameter Measuring Device and Inner Diameter Measuring Method | 4 |
Kimiko Hasegawa | JP | Hamura-Shi | 2012-10-25 / 20120269322 - WAVELENGTH-CLASSIFYING TYPE X-RAY DIFFRACTION DEVICE | 1 |
Makoto Hasegawa | JP | Shizuoka | 2015-01-15 / 20150018766 - INFUSION PUMP | 1 |
Shinya Hasegawa | JP | Fuchu-Shi | 2011-06-30 / 20110155059 - THIN FILM FORMING APPARATUS, THIN FILM FORMING METHOD, AND SHIELD COMPONENT | 2 |
Yasuhisa Hasegawa | JP | Ibaraki-Ken | 2008-10-23 / 20080258669 - Walking Robot by Using Passive Changes in Joint Angles and Control Method Thereof | 1 |
Yoshiharu Hasegawa | JP | Obu City | 2011-05-19 / 20110114228 - Aluminum alloy extruded product exhibiting excellent surface properties, method of manufacturing the same, heat exchanger multi-port tube, and method of manufacturing heat exchanger including the multi-port tube | 1 |
Hironori Hasegawa | JP | Kyoto | 2012-01-19 / 20120015450 - Method for Detecting Prozone Phenomenon, Analysis Method, Device for Detecting Prozone Phenomenon, and Analysis Device | 1 |
Hajime Hasegawa | JP | Yokohama | 2014-09-11 / 20140254485 - TRAFFIC CONTROL DEVICE, TRAFFIC CONTROL METHOD, AND COMMUNICATION SYSTEM | 2 |
Hajime Hasegawa | JP | Fukuoka | 2009-09-03 / 20090218305 - DEVICE FOR PREVENTING SWAY OF SUSPENDED LOAD | 1 |
Hajime Hasegawa | JP | Susono-Shi | 2015-12-10 / 20150357674 - All-Solid Battery and Method for Manufacturing the Same | 8 |
Hajime Hasegawa | JP | Toyota-Shi | 2012-09-13 / 20120231374 - BIPOLAR PLATE FOR FUEL CELL AND METHOD FOR PRODUCING THE SAME | 2 |
Hajime Hasegawa | JP | Toyama-Ken | 2009-01-15 / 20090013505 - METALLIC DOUBLE-SIDED ELEMENT AND SLIDE FASTENER | 1 |
Takumi Hasegawa | JP | Tachikawa | 2009-07-02 / 20090172488 - SEMICONDUCTOR DEVICE | 1 |
Kunihiro Hasegawa | JP | Kawasaki-Shi | 2012-06-28 / 20120166937 - DOCUMENT EDITING APPARATUS, DOCUMENT EDITING METHOD, AND STORAGE MEDIUM | 1 |
Kohei Hasegawa | JP | Fukuyama | 2009-08-27 / 20090214892 - HIGH STRENGTH STEEL SHEET HAVING SUPERIOR DUCTILITY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kohei Hasegawa | JP | Hiroshima | 2012-07-26 / 20120186707 - METHOD FOR MANUFACTURING OF HIGH STRENGTH COLD ROLLED STEEL SHEET OF EXCELLENT PHOSPHATABILITY | 2 |
Shinya Hasegawa | JP | Isehara | 2010-11-04 / 20100276773 - PHOTOELECTRIC CONVERSION ELEMENT AND MANUFACTURING METHOD OF PHOTOELECTRIC CONVERSION ELEMENT | 2 |
Satoshi Hasegawa | JP | Matsumoto | 2016-03-24 / 20160085098 - METHOD OF MANUFACTURING A LIQUID CRYSTAL DEVICE | 4 |
Shinya Hasegawa | JP | Himeji-Shi | 2010-10-07 / 20100253053 - Inflator | 1 |
Katsuhiro Hasegawa | JP | Itami | 2009-01-29 / 20090026635 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Shinya Hasegawa | JP | Kyoto | 2015-10-01 / 20150276984 - OPTICAL MATERIAL, OPTICAL ELEMENT AND HYBRID OPTICAL ELEMENT | 3 |
Tsuyoshi Hasegawa | JP | Hachioji-Shi | 2012-07-05 / 20120168625 - Millimeter Wave Imaging Sensor | 1 |
Keiko Hasegawa | JP | Toyota-Shi | 2009-06-25 / 20090159351 - Hybrid Vehicle and Control Method of The Same | 3 |
Keiko Hasegawa | JP | Zama-Shi | 2012-04-26 / 20120100579 - TRIPRENYL PHENOL COMPOUND, PROCESS FOR PRODUCTION OF TRIPRENYL PHENOL COMPOUND, AND THROMBOLYSIS ENHANCER | 2 |
Hideaki Hasegawa | JP | Suntou-Gun | 2015-08-20 / 20150234309 - IMAGE FORMING APPARATUS | 20 |
Yuki Hasegawa | JP | Ibaraki-Shi | 2012-10-18 / 20120260980 - DYE-SENSITIZED SOLAR CELL, AND SEAL MEMBER TO BE USED FOR THE DYE-SENSITIZED SOLAR CELL | 4 |
Masahiro Hasegawa | JP | Yokohama-Shi | 2014-06-05 / 20140151425 - OPTICAL FIBER CUTTER | 6 |
Masataka Hasegawa | JP | Ibaraki | 2012-08-09 / 20120199553 - CARBON FILM | 3 |
Yuki Hasegawa | JP | Yokohama-Shi | 2015-10-01 / 20150277254 - METHOD OF PRODUCING A COMPOUND HAVING A COLORANT STRUCTURE, AND TONER CONTAINING A COMPOUND OBTAINED BY THE PRODUCTION METHOD | 20 |
Masataka Hasegawa | JP | Tsukuba-Shi | 2014-03-20 / 20140079910 - CARBON FILM LAMINATE, METHOD OF MANUFACTURING SAID LAMINATE, AND LUBRICANT USING SAID LAMINATE | 8 |
Yuki Hasegawa | JP | Ibaraki-Shi, Osaka | 2016-01-07 / 20160002505 - PRESSURE SENSITIVE ADHESIVE AND IMAGE DISPLAY DEVICE USING THE SAME | 2 |
Yuki Hasegawa | JP | Kariya-Shi | 2015-04-09 / 20150099167 - POSITIVE ELECTRODE FOR LITHIUM-ION SECONDARY BATTERY AND LITHIUM-ION SECONDARY BATTERY | 1 |
Takehiro Hasegawa | JP | Isesaki-Shi | 2014-12-25 / 20140375157 - Electric Compressor | 2 |
Takehiro Hasegawa | JP | Kobe-Shi | 2015-04-02 / 20150093814 - SYSTEM, AN APPARATUS AND A COMPUTER PROGRAM PRODUCT FOR OBTAINING AN INFORMATION RELATED TO EOSINOPHILIC AIRWAY INFLAMMATION | 4 |
Takehiro Hasegawa | JP | Gunma | 2010-09-30 / 20100247346 - TERMINAL DEVICE FOR ELECTRIC COMPRESSOR | 3 |
Yuki Hasegawa | JP | Tokyo | 2015-08-06 / 20150222091 - SEMICONDUCTOR LASER ARRAY | 1 |
Kenji Hasegawa | JP | Hamura-Shi | 2013-08-29 / 20130220662 - ELECTRONIC APPARATUS AND PRINTED WIRING BOARD | 9 |
Toshihide Hasegawa | JP | Yokohama-Shi | 2013-08-01 / 20130197182 - DIOL, AND POLYCARBONATE RESIN OR POLYESTER RESIN | 2 |
Kunio Hasegawa | JP | Isehara City | 2012-03-15 / 20120063809 - PROTECTIVE AGENT FOR IMAGE BEARING MEMBER, PROTECTIVE LAYER SETTING UNIT, AND PROCESS CARTRIDGE | 2 |
Tomoko Hasegawa | JP | Gunma | 2016-04-07 / 20160095415 - APPLICATOR | 2 |
Mayu Hasegawa | JP | Ichihara-Shi | 2015-11-05 / 20150315512 - WATER-BASED COOLANT | 1 |
Kazuhide Hasegawa | JP | Saitama | 2013-12-26 / 20130342924 - LENS MODULE AND METHOD FOR MANUFACTURING THEREOF | 2 |
Keiji Hasegawa | JP | Kawasaki-Shi | 2015-10-08 / 20150287010 - DEVICE CONNECTION SYSTEM, PORTABLE TERMINAL, DEVICE CONNECTION METHOD, AND DEVICE CONNECTION PROGRAM | 1 |
Yoshiaki Hasegawa | JP | Shiga | 2012-01-05 / 20120002693 - NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME | 11 |
Koki Hasegawa | JP | Kobe-Shi | 2012-10-18 / 20120263645 - RADIOLABELED COMPOUND DIRECTABLE IN VIVO TO TARGET TISSUE AND USE THEREOF | 2 |
Shinya Hasegawa | JP | Kawasaki-Shi | 2015-02-26 / 20150058639 - ENCRYPTION PROCESSING DEVICE AND STORAGE DEVICE | 2 |
Hiroshi Hasegawa | JP | Yokosuka-Shi | 2013-10-03 / 20130258446 - IMAGE DISPLAY APPARATUS | 1 |
Takuya Hasegawa | JP | Sagamihara-Shi | 2014-07-10 / 20140193710 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Koji Hasegawa | JP | Jyoetsu-Shi | 2016-03-03 / 20160064112 - CONDUCTIVE POLYMER COMPOSITE AND SUBSTRATE | 1 |
Shinya Hasegawa | JP | Osaka | 2012-04-05 / 20120083571 - RUBBER COMPOSITION AND PNEUMATIC TIRE | 10 |
Hiroshi Hasegawa | JP | Yokosuka | 2016-01-07 / 20160003606 - OPTICAL DELAY APPARATUS AND OPTICAL COHERENCE TOMOGRAPHY APPARATUS | 1 |
Satoshi Hasegawa | JP | Yokohama-Shi | 2016-05-05 / 20160125167 - METHOD AND DEVICE | 2 |
Kiyohisa Hasegawa | JP | Kawasaki-Shi | 2009-09-17 / 20090231827 - INTERPOSER AND METHOD FOR MANUFACTURING INTERPOSER | 1 |
Yutaka Hasegawa | JP | Sakai-Shi, Osaka | 2016-01-07 / 20160006098 - WAVEGUIDE-TYPE IMAGE REJECTION FILTER AND SINGLE-SIDEBAND RECEIVER, FREQUENCY DIVIDER, AND SIDEBAND-SEPARATING RECEIVER USING THE SAME FILTER | 1 |
Toshiyuki Hasegawa | JP | Ichihara-Shi | 2016-04-28 / 20160118156 - METAL NANOWIRE-CONTAINING COMPOSITION | 2 |
Kazunori Hasegawa | JP | Kanagawa | 2014-07-24 / 20140203431 - SEMICONDUCTOR DEVICE | 12 |
Morihito Hasegawa | JP | Kasugai | 2009-08-13 / 20090201618 - POWER SUPPLY CIRCUIT, OVERCURRENT PROTECTION CIRCUIT FOR THE SAME, AND ELECTRONIC DEVICE | 6 |
Morihito Hasegawa | JP | Aichi | 2008-12-11 / 20080303500 - DC-DC CONVERTER AND CONTROL METHOD THEREOF | 2 |
Morihito Hasegawa | JP | Kasugai-Shi | 2008-10-02 / 20080238394 - DC-DC CONVERTER, POWER SUPPLY VOLTAGE SUPPLYING METHOD, AND POWER SUPPLY VOLTAGE SUPPLYING SYSTEM | 1 |
Yu Hasegawa | JP | Tokyo | 2016-01-28 / 20160027758 - SEMICONDUCTOR DEVICE | 9 |
Ryo Hasegawa | JP | Toyota-Shi | 2013-08-01 / 20130192561 - IGNITION DELAY PERIOD ESTIMATION APPARATUS AND IGNITION TIME CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Tadashi Hasegawa | JP | Kawasaki-Shi | 2012-05-17 / 20120120338 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Sadayoshi Hasegawa | JP | Niwa-Gun | 2014-05-29 / 20140147230 - ANTI-SEIZING NUT | 1 |
Yousuke Hasegawa | JP | Ebina-Shi | 2009-05-14 / 20090123178 - IMAGE FORMING APPARATUS | 1 |
Noriyasu Hasegawa | JP | Chiyoda-Ku | 2013-08-01 / 20130193887 - MOTOR CONTROL DEVICE | 1 |
Hiroyuki Hasegawa | JP | Tokyo | 2016-02-11 / 20160039237 - THERMAL TRANSFER SHEET, COATING LIQUID FOR COLORANT LAYER, METHOD FOR MANUFACTURING THERMAL TRANSFER SHEET, AND IMAGE FORMING METHOD | 7 |
Kazuya Hasegawa | JP | Kiyosu-Shi | 2015-10-15 / 20150295096 - Semiconductor Device And Manufacturing Method Thereof | 3 |
Yoshiaki Hasegawa | JP | Toyama | 2015-01-22 / 20150021622 - LIGHT-EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME | 1 |
Hiroyuki Hasegawa | JP | Hitachi | 2011-01-27 / 20110018485 - APPARATUS AND METHOD FOR DRIVING SYNCHRONOUS MOTOR | 4 |
Hiroyuki Hasegawa | JP | Saitama | 2011-01-13 / 20110009698 - ENDOSCOPE APPARATUS, ENDOSCOPE SYSTEM AND METHOD FOR CONTROLLING ENDOSCOPE APPARATUS | 2 |
Hiroyuki Hasegawa | JP | Uenohara-Shi | 2010-09-16 / 20100234385 - Compsition Containing Biopterin and Method for Using The Same | 1 |
Yoshizo Hasegawa | JP | Anjo-Shi | 2009-10-08 / 20090250365 - Toolbox | 1 |
Rei Hasegawa | JP | Yokohama | 2016-03-24 / 20160084964 - PHOTON DETECTING ELEMENT, PHOTON DETECTING DEVICE, AND RADIATION ANALYZING DEVICE | 6 |
Norihiko Hasegawa | JP | Toyama-Shi | 2011-10-06 / 20110243184 - TUNGSTEN ELECTRODE MATERIAL AND THERMIONIC EMISSION CURRENT MEASURING DEVICE | 1 |
Yoshiei Hasegawa | JP | Kawasaki-Shi | 2009-05-07 / 20090117759 - ELECTRICAL CONNECTING APPARATUS | 1 |
Hiroyuki Hasegawa | JP | Himeji-Shi | 2011-03-03 / 20110048582 - Ti-Based Brazing Filler Metal and Method for Producing the Same | 1 |
Toshio Hasegawa | JP | Yamanashi-Ken | 2008-09-18 / 20080226822 - Precoat film forming method, idling method of film forming device, loading table structure, film forming device and film forming method | 1 |
Hitomi Hasegawa | JP | Saitama-Ken | 2015-11-12 / 20150323846 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Manabu Hasegawa | JP | Kanagawa | 2015-03-26 / 20150083071 - CONTROL APPARATUS AND CONTROL METHOD FOR DIESEL ENGINE | 1 |
Toshio Hasegawa | JP | Yamanashi | 2014-06-26 / 20140175046 - METHOD FOR FORMING COPPER WIRING | 3 |
Toshio Hasegawa | US | Delmar | 2015-05-14 / 20150132939 - METHOD FOR DEPOSITING METAL LAYERS ON GERMANIUM-CONTAINING FILMS USING METAL CHLORIDE PRECURSORS | 8 |
Hiroyuki Hasegawa | JP | Shizuoka | 2015-02-05 / 20150033732 - AIR COMPRESSING DEVICE OF BIFUEL ENGINE | 5 |
Toshio Hasegawa | JP | Nirasaki-Shi | 2010-02-25 / 20100047472 - FILM FORMING METHOD | 1 |
Hiroshi Hasegawa | JP | Osaka | 2015-11-19 / 20150330713 - HEAT EXCHANGER AND HEAT EXCHANGING UNIT | 39 |
Satoshi Hasegawa | JP | Minamitsuru-Gun | 2016-04-21 / 20160110611 - NUMERICAL CONTROL DEVICE | 2 |
Toshio Hasegawa | US | Albany | 2015-08-06 / 20150221550 - INTEGRATION OF ALD BARRIER LAYER AND CVD Ru LINER FOR VOID-FREE Cu FILLING | 2 |
Reiji Hasegawa | JP | Kawasaki-Shi | 2016-03-10 / 20160071286 - IMAGE PROCESSING APPARATUS, IMAGING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 6 |
Masashi Hasegawa | JP | Kanagawa | 2015-08-13 / 20150227833 - INFORMATION PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM | 1 |
Toshio Hasegawa | JP | Tokyo | 2010-09-09 / 20100226659 - QUANTUM COMMUNICATION APPARATUS, QUANTUM COMMUNICATION SYSTEM AND QUANTUM COMMUNICATION METHOD | 1 |
Kiyoshi Hasegawa | JP | Yokohama-Shi | 2015-09-24 / 20150270060 - MANUFACTURING DEVICE FOR CLEFT MAGNET | 4 |
Shin Hasegawa | JP | Zama-Shi | 2010-09-09 / 20100226664 - IMAGE FORMING APPARATUS AND METHOD FOR CONTROLLING IMAGE DENSITY THEREIN | 8 |
Hiroyuki Hasegawa | JP | Kanagawa | 2015-01-15 / 20150019393 - INFORMATION PROCESSING APPARATUS AND METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 4 |
Ryo Hasegawa | JP | Osaka-Shi | 2015-03-19 / 20150080492 - PLANT CULTIVATION MATERIAL AND PLANT CULTIVATION METHOD USING THE MATERIAL | 1 |
Satsuki Hasegawa | JP | Saitama-Shi | 2009-12-17 / 20090312478 - VINYL CHLORIDE RESIN COMPOSITION | 1 |
Hiroyuki Hasegawa | JP | Osaka | 2008-11-20 / 20080284721 - Liquid crystal display apparatus and liquid crystal television | 1 |
Yutaka Hasegawa | JP | Kanagawa | 2015-07-09 / 20150193648 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM | 10 |
Yutaka Hasegawa | JP | Tokyo | 2014-01-16 / 20140015949 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM | 7 |
Keiichi Hasegawa | JP | Fuji-Shi | 2015-10-15 / 20150292506 - ROTARY COMPRESSOR AND REFRIGERATION CYCLE DEVICE | 2 |
Yutaka Hasegawa | JP | Hamamatsu-Shi | 2011-03-17 / 20110061514 - STORAGE SYSTEM AND STORAGE DEVICE OF MUSIC FILES | 1 |
Minoru Hasegawa | JP | Osaka | 2009-05-07 / 20090118385 - Process for Production of Foamed Resin Sheet | 1 |
Hiroaki Hasegawa | JP | Osaka | 2011-12-29 / 20110318298 - VIRAL INFECTION THERAPEUTIC DRUG CONTAINING POLYALKYLENEIMINE | 2 |
Mitsuhiro Hasegawa | JP | Osaka | 2009-11-26 / 20090291282 - Water-soluble film | 1 |
Kenichi Hasegawa | JP | Osaka | 2009-12-31 / 20090324154 - WHEEL BEARING APPARATUS | 1 |
Hikaru Hasegawa | JP | Osaka | 2010-08-26 / 20100214460 - SOLID-STATE IMAGING DEVICE | 1 |
Massahiro Hasegawa | JP | Osaka | 2010-11-25 / 20100296037 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Michimasa Hasegawa | JP | Osaka | 2008-11-27 / 20080291119 - PC image distributing facility | 1 |
Setsu Hasegawa | JP | Osaka | 2009-06-04 / 20090139253 - REFRIGERATION APPARATUS | 1 |
Katsuya Hasegawa | JP | Osaka | 2009-09-24 / 20090239753 - METHOD OF MANUFACTURING SUPERCONDUCTING THIN FILM MATERIAL, SUPERCONDUCTING DEVICE AND SUPERCONDUCTING THIN FILM MATERIAL | 3 |
Satoru Hasegawa | JP | Osaka | 2009-09-24 / 20090235684 - REFRIGERATOR | 1 |
Ken Hasegawa | JP | Osaka | 2010-07-15 / 20100176721 - PLASMA DISPLAY PANEL | 1 |
Go Hasegawa | JP | Osaka | 2010-09-02 / 20100223455 - Encrypted-traffic discrimination device and encrypted-traffic discrimination system | 1 |
Masatomo Hasegawa | JP | Osaka | 2011-01-20 / 20110011439 - PHOTOVOLTAIC POWER SYSTEM | 1 |
Tomonaga Hasegawa | JP | Sapporo | 2009-01-08 / 20090009618 - Analog front-end circuit, semiconductor device, and electronic instrument | 1 |
Kento Hasegawa | JP | Osaka | 2011-07-07 / 20110162412 - METHODS FOR MANUFACTURING MOLDING DIE, GLASS GOB, AND GLASS MOLDED ARTICLE | 4 |
Yuki Hasegawa | JP | Osaka | 2012-01-26 / 20120017979 - DYE-SENSITIZED SOLAR CELL | 2 |
Teruaki Hasegawa | JP | Osaka | 2015-12-10 / 20150358183 - RECEIVER APPARATUS AND FREQUENCY SELECTIVITY INTERFERENCE CORRECTION METHOD | 8 |
Tomoya Hasegawa | JP | Osaka | 2011-03-17 / 20110061630 - MULTI-CYLINDER DIESEL ENGINE | 1 |
Megumu Hasegawa | JP | Hadano | 2013-11-14 / 20130304949 - COMPUTER AND INPUT/OUTPUT CONTROL METHOD OF COMPUTER | 2 |
Kenji Hasegawa | JP | Musashino-Shi | 2015-01-22 / 20150024797 - FIELD DEVICE, COMMUNICATION SYSTEM, AND METHOD FOR CONTROLLING FIELD DEVICE | 1 |
Shinichi Hasegawa | JP | Chiba | 2013-05-30 / 20130135519 - OPTICAL FUNCTION DEVICE AND IMAGE-CAPTURING DEVICE | 1 |
Shin Hasegawa | JP | Kawagoe | 2013-08-01 / 20130195282 - ACTIVE VIBRATION NOISE CONTROL DEVICE | 1 |
Yoshiaki Hasegawa | JP | Okayama | 2012-05-10 / 20120114004 - NITRIDE SEMICONDUCTOR LASER DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Takeshi Hasegawa | JP | Hiratsuka-Shi | 2013-01-10 / 20130008549 - REHABILITATION PIPE AND PIPE REHABILITATION METHOD EMPLOYING REHABILITATION PIPE | 1 |
Hideki Hasegawa | JP | Tokyo | 2016-01-28 / 20160025692 - Analysis System | 9 |
Hideki Hasegawa | JP | Tachikawa | 2015-02-12 / 20150041641 - MASS SPECTROMETER | 21 |
Takanori Hasegawa | JP | Kanagawa | 2013-03-07 / 20130059375 - METHOD FOR PREPARING ES CELLS | 1 |
Hiroshi Hasegawa | JP | Osaka-Shi | 2015-05-21 / 20150143433 - WIRELESS SYSTEM AND WIRELESS COMMUNICATION DEVICE | 3 |
Masami Hasegawa | JP | Konan-Shi | 2015-04-23 / 20150107879 - WIRING SUBSTRATE, MULTI-PIECE WIRING SUBSTRATE, AND METHOD FOR MANUFACTURING SAME | 5 |
Mitsutoshi Hasegawa | JP | Yokohama-Shi | 2014-10-02 / 20140292934 - LIQUID EJECTION HEAD | 17 |
Kenji Hasegawa | JP | Hirakata-Shi | 2009-04-09 / 20090092884 - FUEL CELL | 1 |
Kenji Hasegawa | JP | Mie | 2009-04-16 / 20090099293 - FLAME RETARDANT RUBBER COMPOSITION AND OBTAINED THEREFROM, RUBBER PRODUCT AND ELECTRIC WIRE COATING MATERIAL | 1 |
Hideo Hasegawa | JP | Tokyo | 2014-07-10 / 20140195868 - OPERATION MANAGEMENT DEVICE, OPERATION MANAGEMENT METHOD | 3 |
Kenji Hasegawa | JP | Hamura-Shi | 2013-08-29 / 20130220662 - ELECTRONIC APPARATUS AND PRINTED WIRING BOARD | 9 |
Hiroshi Hasegawa | JP | Chino | 2015-04-16 / 20150105907 - ROBOT CONTROLLER, ROBOT SYSTEM, ROBOT, ROBOT CONTROL METHOD, AND PROGRAM | 3 |
Kenji Hasegawa | JP | Tokyo | 2015-07-30 / 20150212506 - CONTROLLER, MANAGER, PLANT CONTROL SYSTEM, AND DATA PROCESSING METHOD | 25 |
Takahiko Hasegawa | JP | Naritahigashi | 2013-05-02 / 20130109269 - Reversible Spacer for a Rotatable Performance Device | 2 |
Jun Hasegawa | JP | Kobe | 2012-11-08 / 20120282309 - Conditioner Compositions with Increased Deposition of Polyacrylate Microcapsules | 2 |
Daiji Hasegawa | JP | Miyagi | 2008-10-23 / 20080261080 - LONGITUDINAL MAGNETIC RECORDING MEDIUM | 1 |
Tatsuhiko Hasegawa | JP | Kyoto | 2013-09-19 / 20130244010 - MODIFIER FOR POLYOLEFIN RESIN | 1 |
Yasuhisa Hasegawa | JP | Miyagi | 2009-12-03 / 20090295103 - GRAPHITE CLAY COMPOSITE MATERIAL, PROCESS FOR PRODUCING THE SAME, GASKET OR PACKING COMPRISING THE COMPOSITE MATERIAL, AND CLAY DISPERSION USED FOR THE COMPOSITE MATERIAL | 1 |
Kiwamu Hasegawa | JP | Kawasaki-Shi | 2016-04-28 / 20160117236 - INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 1 |
Hideyuki Hasegawa | JP | Miyagi | 2010-01-21 / 20100016721 - ULTRASONOGRAPHIC DEVICE | 3 |
Hayato Hasegawa | JP | Miyagi | 2014-02-13 / 20140041796 - OPTICAL ELEMENT, METHOD OF MANUFACTURING OPTICAL ELEMENT, ILLUMINATION DEVICE, WINDOW MEMBER, AND FITTING | 5 |
Makoto Hasegawa | JP | Wako-Shi | 2016-05-12 / 20160129957 - CONTROL UNIT FOR INVERTED PENDULUM VEHICLE | 10 |
Yasuhiro Hasegawa | JP | Miyagi | 2010-09-02 / 20100220710 - MULTICARRIER TRANSMITTING APPARATUS | 2 |
Hitomi Hasegawa | JP | Kumagaya-Shi | 2013-09-19 / 20130242214 - LIQUID CRYSTAL DISPLAY | 1 |
Akane Hasegawa | JP | Kawasaki-Shi | 2015-01-29 / 20150028462 - SEMICONDUCTOR MODULE | 1 |
Waka Hasegawa | JP | Kawasaki-Shi | 2015-04-02 / 20150093699 - CYAN TONER CONTAINING COMPOUND HAVING AZO SKELETON | 9 |
Youichi Hasegawa | JP | Shizuoka | 2009-02-26 / 20090053418 - Coating Method and Apparatus | 1 |
Shougo Hasegawa | JP | Shizuoka | 2011-03-03 / 20110048761 - WIRE CABLING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Michiharu Hasegawa | JP | Shizuoka | 2009-04-16 / 20090095113 - Straddle Type Vehicle | 1 |
Haruhiro Hasegawa | JP | Kokubunji | 2008-10-16 / 20080252294 - Nuclear magnetic resonance spectrometer | 1 |
Haruhiro Hasegawa | JP | Sendai | 2008-09-25 / 20080231277 - NMR spectrometer | 1 |
Nozomi Hasegawa | JP | Hitachinaka | 2013-03-14 / 20130061693 - SAMPLE TEST AUTOMATION SYSTEM | 1 |
Naoya Hasegawa | JP | Niigata-Ken | 2011-06-02 / 20110129690 - TUNNELING MAGNETORESISTIVE ELEMENT INCLUDING MULTILAYER FREE MAGNETIC LAYER HAVING INSERTED NONMAGNETIC METAL SUBLAYER | 8 |
Naoya Hasegawa | JP | Tokyo | 2010-03-04 / 20100055501 - TUNNELING MAGNETIC SENSING ELEMENT | 3 |
Kumi Hasegawa | JP | Shizuoka | 2009-08-13 / 20090202934 - ELECTROSTATIC IMAGE DEVELOPING TONER, TWO-COMPONENT DEVELOPER, IMAGE FORMING METHOD AND PROCESS CARTRIDGE | 1 |
Yuuichi Hasegawa | JP | Osaka | 2013-11-07 / 20130294043 - THIN DISPLAY DEVICE | 2 |
Naoya Hasegawa | JP | Niiata-Ken | 2008-11-27 / 20080291586 - Tunneling magnetic sensor including platinum layer and method for producing the same | 1 |
Junichi Hasegawa | JP | Toyonaka | 2012-12-27 / 20120329428 - COMMUNICATION APPARATUS | 1 |
Kei Hasegawa | JP | Shizuoka | 2009-11-19 / 20090285494 - DATA VERIFICATION METHOD AND CHARGED PARTICLE BEAM WRITING APPARATUS | 1 |
Yousuke Hasegawa | JP | Tokyo | 2012-11-29 / 20120300261 - RECORDING MATERIAL TRANSPORTING DEVICE AND IMAGE FORMING APPARATUS | 5 |
Hiroaki Hasegawa | JP | Kanagawa | 2015-01-29 / 20150028796 - POWER SUPPLY APPARATUS, BATTERY APPARATUS, AND BATTERY SYSTEM | 1 |
Yuki Hasegawa | JP | Ibaraki | 2015-01-29 / 20150029412 - Capacitive Touch Panel | 1 |
Kiyoshi Hasegawa | JP | Yokkaichi-Shi | 2010-07-08 / 20100173538 - TERMINAL | 2 |
Sachiyo Hasegawa | JP | Shizuoka | 2010-06-17 / 20100147615 - SADDLE RIDING TYPE VEHICLE | 2 |
Keiichi Hasegawa | JP | Osaka-Shi | 2015-01-29 / 20150033263 - CONTENT DISPLAY DEVICE, TELEVISION RECEIVER, MENU SCREEN GENERATION METHOD, AND RECORDING MEDIUM | 1 |
Shin Hasegawa | JP | Gunma | 2013-11-07 / 20130295488 - POLYMER ELECTROLYTE MEMBRANE, METHOD FOR PRODUCING THE SAME, MEMBRANE-ELECTRODE ASSEMBLY USING THE SAME, AND FUEL CELL USING THE SAME | 1 |
Masakazu Hasegawa | JP | Shizuoka | 2015-11-05 / 20150315280 - PREVENTIVE OR REMEDY FOR INFLAMMATORY DISEASE | 3 |
Masashi Hasegawa | JP | Shizuoka | 2016-02-04 / 20160033898 - TONER CONTAINER AND IMAGE FORMING APPARATUS | 10 |
Ryo Hasegawa | JP | Tokyo | 2015-10-01 / 20150277270 - IMAGE FORMING APPARATUS AND EXPOSURE POSITION ADJUSTING METHOD | 12 |
Katsuhisa Hasegawa | JP | Kasugai-Shi | 2015-10-22 / 20150298334 - Cutting Apparatus and Cutting Control Program Therefor | 21 |
Naoki Hasegawa | JP | Kasugai-Shi | 2010-08-05 / 20100197815 - Polyparaphenylene Hydrocarbon Electrolyte, Manufacture Method Therefor, and Polyparaphenylene as well as Electrolyte Membrane, Catalyst Layer and Solid Polymer Fuel Cell | 2 |
Haruo Hasegawa | JP | Shizuoka | 2012-02-09 / 20120034011 - PRINTER AND WINDING ATTACHMENT | 5 |
Hitoshi Hasegawa | JP | Shizuoka | 2009-10-22 / 20090265058 - VEHICLE INCLINATION ANGLE DETECTOR, POWER SOURCE CONTROL APPARATUS HAVING THE VEHICLE INCLINATION ANGLE DETECTOR AND VEHICLE COMPRISING THE SAME | 1 |
Noriyasu Hasegawa | JP | Tokyo | 2014-04-03 / 20140091213 - ENCODER | 2 |
Junichi Hasegawa | JP | Machida-Shi | 2013-08-08 / 20130201144 - ELECTRONIC DEVICE, CONTROL METHOD, AND CONTROL PROGRAM | 1 |
Masahi Hasegawa | JP | Tokyo | 2011-10-06 / 20110240327 - ELECTRONIC APPARATUS STORING CONTAINER AND METHOD FOR ASSEMBLING ELECTRONIC APPARATUS STORING CONTAINER | 1 |
Masahiro Hasegawa | JP | Tsu-Shi | 2011-12-22 / 20110312000 - MONOCLONAL ANTIBODY AND USE THEREOF | 1 |
Yo Hasegawa | JP | Shiga | 2013-04-04 / 20130084478 - BATTERY PACK | 1 |
Kazuhide Hasegawa | JP | Kanagawa | 2013-04-04 / 20130083544 - LIGHT DIFFUSION FILM FOR LED LIGHTING | 1 |
Yoko Hasegawa | JP | Numazu City | 2011-04-21 / 20110092514 - COMPOUNDS WITH ANTI-CANCER ACTIVITY | 2 |
Ryuta Hasegawa | JP | Hino-Shi | 2012-08-30 / 20120217795 - INVERTER APPARATUS | 2 |
Koyo Hasegawa | JP | Tokyo | 2009-12-03 / 20090299627 - RADAR MONITORING DEVICE | 1 |
Keiichi Hasegawa | JP | Koto-Ku | 2013-03-07 / 20130056532 - COMMODITY SALES DATA PROCESSING APPARATUS, CHECKOUT SYSTEM AND CONTROL METHOD | 2 |
Takao Hasegawa | JP | Hyogo | 2012-07-12 / 20120176485 - THREE-DIMENSIONAL DISPLAY DEVICE, THREE-DIMENSIONAL DISPLAY SYSTEM, AND THREE-DIMENSIONAL DISPLAY METHOD | 2 |
Koyu Hasegawa | JP | Hyogo | 2010-03-25 / 20100071623 - EVAPORATING APPARATUS | 1 |
Koji Hasegawa | JP | Hyogo | 2008-11-06 / 20080271668 - Coating Apparatus | 1 |
Keiichi Hasegawa | JP | Kodaira-Shi | 2015-03-05 / 20150059955 - TIRE | 8 |
Susumu Hasegawa | JP | Hyogo | 2009-01-15 / 20090014384 - Novel microorganism and process for treatment of organic solid matter using the microorganism | 1 |
Ryo Hasegawa | JP | Saitama-Shi | 2013-10-24 / 20130278730 - SINGLE-EYE STEREOSCOPIC IMAGING DEVICE, CORRECTION METHOD THEREOF, AND RECORDING MEDIUM THEREOF | 1 |
Keiichi Hasegawa | JP | Tokyo-To | 2014-06-12 / 20140164193 - ELECTRONIC RECEIPT SYSTEM, COMMODITY SALES DATA PROCESSING APPARATUS, ELECTRONIC RECEIPT MANAGEMENT SERVER AND METHOD | 2 |
Kenichi Hasegawa | JP | Hyogo | 2013-05-16 / 20130119195 - MANUFACTURING METHOD FOR COMPOSITE MATERIAL STRUCTURAL COMPONENT FOR AIRCRAFT AND ITS STRUCTURAL COMPONENT | 2 |
Masato Hasegawa | JP | Hyogo | 2009-12-31 / 20090324912 - METHOD FOR MANUFACTURING CERAMIC MOLDED COMPONENT, MOLDING DIE USED IN THE METHOD AND CERAMIC COMPONENT | 1 |
Taisuke Hasegawa | JP | Hyogo | 2010-01-07 / 20100004459 - 2-PHENYLNICOTINIC ACID DERIVATIVE | 1 |
Kiyoshi Hasegawa | JP | Ibaraki | 2010-03-25 / 20100071940 - CONNECTING TERMINAL, SEMICONDUCTOR PACKAGE USING CONNECTING TERMINAL AND METHOD FOR MANUFACTURING SEMICONDUCTOR PACKAGE | 1 |
Koki Hasegawa | JP | Hyogo | 2011-09-29 / 20110237774 - METHOD FOR INTRODUCING DOTA | 2 |
Nobuhiro Hasegawa | JP | Hyogo | 2010-08-19 / 20100206131 - SELF-FLUXING PELLETS FOR BLAST FURNACE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Ryo Hasegawa | JP | Tokyo | 2015-10-01 / 20150277270 - IMAGE FORMING APPARATUS AND EXPOSURE POSITION ADJUSTING METHOD | 12 |
Ryo Hasegawa | JP | Yokohama | 2010-07-15 / 20100177248 - IMAGE DISPLAYING METHOD, IMAGE DISPLAYING DEVICE, AND CONTRAST-ADJUSTING CIRCUIT FOR USE THEREWITH | 4 |
Ryo Hasegawa | JP | Susono-Shi | 2015-01-22 / 20150025836 - ROUGH ROAD DETERMINATION DEVICE | 2 |
Fumiaki Hasegawa | JP | Nakatsugawa | 2009-03-26 / 20090079527 - Yoke-integrated magnet | 1 |
Yuichi Hasegawa | JP | Tokyo | 2016-03-03 / 20160062123 - IMAGE DISPLAY DEVICE AND DISPLAY APPARATUS | 19 |
Takashi Hasegawa | JP | Nagaokakyo-Shi | 2014-10-02 / 20140295779 - NONRECIPROCAL CIRCUIT ELEMENT AND TRANSCEIVER DEVICE | 4 |
Ryuta Hasegawa | JP | Tokyo | 2014-07-24 / 20140203632 - POWER CONVERSION APPARATUS | 4 |
Koichi Hasegawa | JP | Hadano | 2013-11-28 / 20130318376 - DATA PROCESSING SYSTEM HAVING POWER CAPPING FUNCTION IN RESPONSE TO OUTPUT STATE OF POWER SUPPLY MODULE | 2 |
Rei Hasegawa | JP | Yokohama-Shi | 2014-03-13 / 20140071534 - INTERFERENCE FILTER, DISPLAY DEVICE, AND DISPLAY DEVICE MANUFACTURING METHOD | 15 |
Makoto Hasegawa | JP | Chosei-Gun | 2011-11-17 / 20110279748 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Yoshinori Hasegawa | JP | Kyoto-Shi | 2015-03-12 / 20150071861 - POLYMER, CONTRAST AGENT FOR NUCLEAR MAGNETIC RESONANCE ANALYSIS OR MAGNETIC RESONANCE IMAGING USING THE POLYMER, COMPOUND AND METHOD OF NUCLEAR MAGNETIC RESONANCE ANALYSIS AND METHOD OF MAGNETIC RESONANCE IMAGING USING THE POLYMER | 1 |
Yu Hasegawa | JP | Kagoshima | 2009-12-17 / 20090310062 - LINEAR LIGHT SOURCE DEVICE, PLANAR LIGHT EMITTING DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Rei Hasegawa | JP | Yokohama Kanagawa | 2016-02-25 / 20160056717 - POWER SUPPLY STABILIZING CIRCUIT AND PHOTODETECTOR USING THE SAME | 1 |
Kiyoshi Hasegawa | JP | Yuki-Shi | 2010-03-04 / 20100051338 - ADHESION ASSISTING AGENT-BEARING METAL FOIL, PRINTED WIRING BOARD, AND PRODUCTION METHOD OF PRINTED WIRING BOARD | 3 |
Masahiro Hasegawa | JP | Osaka-Shi | 2016-01-28 / 20160026039 - MIRROR DISPLAY, HALF MIRROR PLATE, AND ELECTRONIC DEVICE | 9 |
Yu Hasegawa | JP | Sagamihara | 2012-11-22 / 20120292772 - SHIELDED ELECTRONIC COMPONENTS AND METHOD OF MANUFACTURING THE SAME | 2 |
Kiyoshi Hasegawa | JP | Yokohama-Shi, Kanagawa | 2016-05-19 / 20160136835 - MAGNET CUTTING DEVICE | 4 |
Eiichi Hasegawa | JP | Tochigi | 2010-01-28 / 20100019803 - OSCILLATION DETECTION CIRCUIT | 1 |
Yu Hasegawa | JP | Tokyo | 2016-01-28 / 20160027758 - SEMICONDUCTOR DEVICE | 9 |
Katsuyuki Hasegawa | JP | Yokohama-Shi | 2012-08-09 / 20120201905 - W/O Emulsion Cosmetics | 1 |
Makoto Hasegawa | JP | Samukawa | 2012-03-08 / 20120057865 - COMMUNICATION SYSTEM AND TIME SYNCHRONIZATION METHOD | 1 |
Hideki Hasegawa | JP | Niigata-Ken | 2012-01-05 / 20120000284 - HUMIDITY SENSOR PACKAGE AND MANUFACTURING METHOD THEREOF | 1 |
Yasuo Hasegawa | JP | Nanto | 2014-04-10 / 20140100094 - TOOL MAGAZINE AND MACHINING CENTER | 2 |
Yasuo Hasegawa | JP | Yamanashi | 2016-05-19 / 20160136745 - WIRE ELECTRIC DISCHARGE MACHINE HAVING CORNER SHAPE CORRECTING FUNCTION | 6 |
Yasuo Hasegawa | JP | Toyama | 2010-08-12 / 20100202848 - MACHINE TOOL | 1 |
Yasuo Hasegawa | JP | Nanto-City | 2015-09-24 / 20150266153 - MACHINE TOOL | 1 |
George Hasegawa | JP | Kyoto | 2015-02-05 / 20150037236 - MACROPOROUS TITANIUM COMPOUND MONOLITH AND METHOD FOR PRODUCING SAME | 1 |
Shin Hasegawa | JP | Shizuoka | 2014-02-06 / 20140036010 - INKJET RECORDING INK SET, INK CARTRIDGE, INKJET RECORDING DEVICE, INKJET RECORDING METHOD, AND IMAGE FORMED MATTER | 14 |
Keiichi Hasegawa | JP | Koto Tokyo | 2016-03-10 / 20160071080 - TRANSACTION DATA PROCESSING APPARATUS CONNECTED TO AN EXTERNAL DEVICE FOR DATA COMMUNICATION | 6 |
Shin Hasegawa | JP | Hadano-Shi | 2016-02-11 / 20160044796 - METHOD FOR PRODUCING A MODULE | 8 |
Hideaki Hasegawa | JP | Tokyo | 2015-05-21 / 20150138025 - ANTENNA AND PORTABLE ELECTRONIC INSTRUMENT FOR USE IN NEAR FIELD COMMUNICATION | 14 |
Makoto Hasegawa | JP | Osaka-City | 2014-05-29 / 20140146172 - DISTRIBUTED IMAGE PROCESSING SYSTEM | 1 |
Yoshiaki Hasegawa | JP | Tokyo | 2015-03-26 / 20150083199 - PHOTOVOLTAIC POWER GENERATION SYSTEM | 6 |
Toshikazu Hasegawa | JP | Kounosu-Shi | 2009-08-13 / 20090200801 - DUCT AND THE MANUFACTURING METHOD | 1 |
Shin Hasegawa | JP | Shiga | 2013-02-21 / 20130044447 - VEHICLE-MOUNTABLE JUNCTION BOX, AND CIRCUIT MEMBER AND CIRCUIT UNIT USABLE FOR THE VEHICLE-MOUNTABLE JUNCTION BOX | 1 |
Takefumi Hasegawa | JP | Tokyo | 2012-08-16 / 20120206387 - COORDINATE DETECTION SYSTEM, INFORMATION PROCESSING APPARATUS AND METHOD, AND COMPUTER-READABLE CARRIER MEDIUM | 1 |
Yukio Hasegawa | JP | Osaka | 2011-12-08 / 20110300239 - BLOOD FLOW IMPROVING AGENT | 1 |
Kazuhiro Hasegawa | JP | Ichinomiya-Shi | 2008-09-18 / 20080224777 - RAIL-TO-RAIL AMPLIFIER CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Kazuhiro Hasegawa | JP | Moriguchi City | 2008-09-25 / 20080233485 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Kazuhiro Hasegawa | JP | Osaka | 2011-11-17 / 20110281181 - Non-aqueous Electrolyte secondary battery | 2 |
Teiichi Hasegawa | JP | Chiba-Shi | 2012-08-16 / 20120206991 - STIRRING DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Kazuhiro Hasegawa | JP | Toride-Shi | 2009-08-20 / 20090208265 - IMAGE HEATING DEVICE | 3 |
Kazuhiro Hasegawa | JP | Tokyo | 2011-03-17 / 20110063724 - MICROSCOPE | 4 |
Masaki Hasegawa | JP | Nagoya-Shi | 2013-09-26 / 20130247994 - PHOTOVOLTAIC DEVICE | 1 |
Katsuhisa Hasegawa | JP | Kitanagoya-Shi | 2014-07-03 / 20140182431 - CUTTING APPARATUS, HOLDING MEMBER, AND CUTTING MEMBER | 3 |
Hiroshi Hasegawa | JP | Hiroshima | 2013-08-15 / 20130206288 - HIGH-STRENGTH GALVANIZED STEEL SHEET HAVING EXCELLENT FORMABILITY AND CRASHWORTHINESS AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kazuhiro Hasegawa | JP | Kobe-City | 2013-12-12 / 20130330628 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE POSITIVE ELECTRODE ACTIVE MATERIAL, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE POSITIVE ELECTRODE | 2 |
Ryo Hasegawa | JP | Osaka-Shi, Osaka | 2016-02-18 / 20160044880 - PLANT CULTIVATION SYSTEM, PLANT CULTIVATION METHOD USING THE PLANT CULTIVATION SYSTEM AND PRODUCTION METHOD FOR THE PLANT CULTIVATION SYSTEM | 1 |
Kiyoshi Hasegawa | JP | Chikusei-Shi | 2012-09-20 / 20120234584 - SUBSTRATE FOR MOUNTING SEMICONDUCTOR CHIP AND METHOD FOR PRODUCING SAME | 1 |
Kazuhiro Hasegawa | JP | Hachioji-Shi | 2010-08-19 / 20100208053 - CULTURE MICROSCOPE APPARATUS | 1 |
Hisashi Hasegawa | JP | Chiba | 2014-07-31 / 20140210042 - SEMICONDUCTOR DEVICE | 2 |
Fumihiro Hasegawa | JP | Tokyo | 2016-02-04 / 20160036615 - TRANSMISSION APPARATUS, RECEPTION APPARATUS, AND COMMUNICATION SYSTEM | 30 |
Hajime Hasegawa | JP | Kawasaki | 2012-03-08 / 20120057548 - RADIO COMMUNICATION SYSTEM, BASE STATION APPARATUS, MOBILE STATION APPARATUS, AND RADIO COMMUNICATION METHOD IN A RADIO COMMUNICATION SYSTEM | 14 |
Hirohiko Hasegawa | JP | Niihama-Shi | 2015-06-04 / 20150155541 - SEPARATOR PRODUCTION METHOD AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 10 |
Yutaka Hasegawa | JP | Hanyu-City | 2012-01-26 / 20120018850 - LASER PROCESSING METHOD AND SEMICONDUCTOR DEVICE OBTAINED BY USING THE PROCESSING METHOD | 1 |
Toshihide Hasegawa | JP | Tokyo | 2011-09-29 / 20110237807 - ROTAXANE COMPOUND AND ANTITUMOR AGENT | 1 |
Kazuya Hasegawa | JP | Osaka | 2015-11-05 / 20150318516 - ORGANIC EL LIGHT-EMITTING DEVICE AND ILLUMINATION DEVICE | 1 |
Takuya Hasegawa | JP | Tokyo | 2015-09-17 / 20150261150 - IMAGE HEATING APPARATUS | 1 |
Yukitaka Hasegawa | JP | Aichi-Ken | 2009-10-08 / 20090250683 - Nitride-based semiconductor light emitting element | 2 |
Tomohiko Hasegawa | JP | Aichi-Ken | 2008-10-23 / 20080260282 - IMAGE PROCESSOR | 2 |
Makoto Hasegawa | JP | Fujinomiya-Shi | 2015-02-12 / 20150041419 - MEDICAL APPARATUS RACK | 1 |
Kazuhiro Hasegawa | JP | Sagamihara-Shi | 2015-05-14 / 20150132175 - HIGH-DENSITY MOLDING DEVICE AND HIGH-DENSITY MOLDING METHOD FOR MIXED POWDER | 6 |
Keiko Hasegawa | JP | Aichi-Ken | 2008-10-23 / 20080257312 - Control Apparatus for Internal Combustion Engine and Motor Vehicle Equipped With the Same | 1 |
Masahiko Hasegawa | JP | Aichi-Ken | 2009-09-10 / 20090226783 - Fuel Cell System | 1 |
Tetsuya Hasegawa | JP | Aichi-Ken | 2010-03-11 / 20100062558 - Method for producing transparent conductive layer comprising TIO2 and method for producing semiconductor light-emitting element utilizing said method for producing transparent conductive layer | 1 |
Yoshio Hasegawa | JP | Aichi-Ken | 2010-07-01 / 20100168970 - CONTROL APPARATUS AND CONTROL METHOD FOR AN AUTOMATIC TRANSMISSION, PROGRAM FOR DIRECTING A COMPUTER TO EXECUTE THE CONTROL METHOD, AND STORAGE MEDIUM ON WHICH PROGRAM IS RECORDED | 2 |
Hajime Hasegawa | JP | Aichi-Ken | 2010-08-05 / 20100193082 - METHOD OF SURFACE TREATMENT OF METAL BASE MATERIAL | 1 |
Mineyoshi Hasegawa | JP | Osaka | 2012-02-02 / 20120024581 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 4 |
Hirohito Hasegawa | JP | Handa-Shi | 2015-02-12 / 20150045263 - Lubricating Composition | 1 |
Koji Hasegawa | JP | Jyoetsu | 2016-04-07 / 20160097973 - SILICONE SKELETON-CONTAINING POLYMER COMPOUND AND METHOD FOR PRODUCING SAME, CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION, PHOTO-CURABLE DRY FILM AND METHOD FOR PRODUCING SAME, PATTERNING PROCESS, LAYERED PRODUCT, AND SUBSTRATE | 11 |
Toshiaki Hasegawa | JP | Kanagawa | 2016-01-28 / 20160026120 - ELECTROSTATIC CHARGE IMAGE DEVELOPING CARRIER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, DEVELOPER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 9 |
Hitomi Hasegawa | JP | Tokyo | 2014-09-18 / 20140267997 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Tsuyoshi Hasegawa | JP | Kawasaki-Shi | 2010-05-27 / 20100127391 - FIXTURE FOR SEMICONDUCTOR DEVICE AND ASSEMBLY OF SEMICONDUCTOR DEVICE | 8 |
Mitsuru Hasegawa | JP | Satsumasendai-Shi | 2013-07-11 / 20130177361 - CUTTING TOOL | 3 |
Kei Hasegawa | JP | Hyogo | 2015-03-05 / 20150063865 - TONER CONTAINER, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 1 |
Noriko Hasegawa | JP | Ebina-Shi | 2009-06-18 / 20090153580 - IMAGE PROCESSING APPARATUS AND COMPUTER READABLE MEDIUM STORING PROGRAM | 4 |
Kimiko Hasegawa | JP | Tokyo | 2011-12-29 / 20110317813 - WAVELENGTH-CLASSIFYING TYPE X-RAY DIFFRACTION DEVICE | 1 |
Misao Hasegawa | JP | Yokohama-Shi | 2013-03-21 / 20130073839 - MEMORY DEVICE AND HOST DEVICE | 4 |
Takehiko Hasegawa | JP | Osaka | 2016-03-24 / 20160086696 - METHOD FOR FORMING ELECTRICAL CONNECTION STRUCTURE PART, METHOD FOR PRODUCING ALUMINUM WIRE WITH TERMINAL, ELECTRICAL CONNECTION STRUCTURE PART, MOTOR PROVIDED WITH ELECTRICAL CONNECTION STRUCTURE PART, AND ELECTRICAL DEVICE PROVIDED WITH MOTOR PROVIDED WITH ELECTRICAL CONNECTION STRUCTURE PART, ALUMINUM WIRE WITH TERMINAL, MOTOR PROVIDED WITH ALUMINUM WIRE WITH TERMINAL, AND ELECTRICAL DEVICE PROVIDED WITH MOTOR PROVIDED WITH ALUMINUM WIRE WITH TERMINAL | 9 |
Teruki Hasegawa | JP | Tokyo | 2016-02-25 / 20160056718 - SENSOR DEVICE AND MONITORING SYSTEM | 2 |
Yoichi Hasegawa | JP | Kyoto | 2012-09-13 / 20120229613 - CONTROL SYSTEM, CONTROL DEVICE, IMAGE SYSTEM, EYEGLASSES, AND IMAGE DISPLAY DEVICE | 1 |
Keiichi Hasegawa | JP | Kodaira-Shi | 2015-03-05 / 20150059955 - TIRE | 8 |
Shinji Hasegawa | JP | Tokyo | 2015-12-31 / 20150376538 - FRICTION MODIFIER AND LUBRICATING OIL COMPOSITION | 3 |
Shinji Hasegawa | JP | Ashigarakami-Gun | 2015-04-02 / 20150093698 - RESIN COMPOSITION, THIOPYRAN-BASED SQUARYLIUM COMPOUND AND IMAGE FORMING MATERIAL | 6 |
Takashi Hasegawa | JP | Gunma | 2014-03-13 / 20140069548 - RESIN END-FACE BUTT JOINT, METHOD FOR WELDING THE JOINTS, AND PIPING MEMBER | 1 |
Ryusuke Hasegawa | US | Morristown | 2013-05-30 / 20130134825 - METHOD OF CONSTRUCTING CORE WITH TAPERED POLE PIECES AND LOW-LOSS ELECTRICAL ROTATING MACHINE WITH SAID CORE | 8 |
Noboru Hasegawa | JP | Kawasaki | 2012-09-20 / 20120236821 - RADIO BASE STATION APPARATUS, AND DATA FORWARDING METHOD IN RADIO BASE STATION APPARATUS | 4 |
Keisuke Hasegawa | JP | Osaka-Shi | 2015-02-26 / 20150054852 - IMAGE DISPLAY APPARATUS, DATA TRANSFER METHOD, AND RECORDING MEDIUM | 1 |
Tomomi Hasegawa | JP | Kawasaki | 2012-02-09 / 20120033821 - ACTIVE VIBRATION NOISE CONTROL DEVICE | 2 |
Kiyoshi Hasegawa | JP | Chiba-Shi | 2008-09-04 / 20080212739 - X-RAY ANALYSIS APPARATUS AND X-RAY ANALYSIS METHOD | 1 |
Kiyoshi Hasegawa | JP | Kanagawa | 2010-03-04 / 20100056510 - Macrocyclic Compound | 2 |
Tetsu Hasegawa | JP | Kawasaki | 2010-07-15 / 20100178064 - OPTICAL DEVICE AND OPTICAL TRANSMITTER | 4 |
Rei Hasegawa | JP | Kanagawa-Ken | 2015-01-22 / 20150022765 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 6 |
Kaduhiko Hasegawa | JP | Kawasaki | 2010-01-28 / 20100020943 - Call-details recording device and call-details recording method | 1 |
Koichi Hasegawa | JP | Aichi-Ken Kasugai-Shi | 2016-02-11 / 20160043663 - VIBRATION POWER GENERATOR, VIBRATION MONITORING DEVICE, AND VIBRATION MONITORING SYSTEM | 1 |
Shin-Ya Hasegawa | JP | Kawasaki | 2009-10-01 / 20090244778 - HEAD SUSPENSION ASSEMBLY AND CARRIAGE ASSEMBLY | 1 |
Chiichiro Hasegawa | JP | Ichihara-Shi | 2011-03-31 / 20110077344 - Curable Silicone Composition | 1 |
Chiichiro Hasegawa | JP | Awara-Shi | 2014-07-10 / 20140194532 - Organopolysiloxane Elastomer Modified With Mono-/Diglycerin Derivative, And Use Therefor | 6 |
Chiichiro Hasegawa | JP | Fukui | 2016-03-31 / 20160090465 - HEAT RESISTANT SILICONE RUBBER COMPOSITION | 1 |
Hiroyuki Hasegawa | JP | Hiroyuki | 2013-08-29 / 20130224067 - Soft Magnetic Alloy for Magnetic Recording Medium, Sputtering Target Material, and Magnetic Recording Medium | 1 |
Yoshiro Hasegawa | JP | Tama-Shi | 2009-03-05 / 20090056878 - TRANSFER APPARATUS | 1 |
Kazumasa Hasegawa | JP | Gunma-Ken | 2011-11-17 / 20110280884 - ANTI-CD98 ANTIBODY | 2 |
Tomonobu Hasegawa | JP | Nishiwaki-Shi | 2010-08-26 / 20100213028 - Transfer device and conveyor equipped with the same | 2 |
Yuuji Hasegawa | JP | Kawasaki | 2009-09-24 / 20090236261 - ELECTRONIC COMPONENT ACCOMMODATING DEVICE | 2 |
Oscar Hasegawa | US | Salinas | 2012-03-08 / 20120060250 - Carnation plant named 'CFPC Mana' | 3 |
Yoshimi Hasegawa | JP | Wako | 2011-01-20 / 20110012388 - LOWER STRUCTURE OF VEHICLE DOOR | 1 |
Daiju Hasegawa | JP | Tsukuba-Shi | 2009-10-29 / 20090270369 - BICYCLOAMINE DERIVATIVES | 1 |
Daiju Hasegawa | JP | Ibaraki | 2010-12-30 / 20100331310 - BICYCLOAMINE DERIVATIVES | 1 |
Tadashi Hasegawa | JP | Kawasaki | 2010-06-03 / 20100134747 - LIQUID CRYSTAL DISPLAY AND METHOD OF FABRICATING THE SAME | 2 |
Norimitsu Hasegawa | JP | Amagasaki-Shi | 2015-02-19 / 20150050082 - PART INSTALLATION STRUCTURE | 1 |
Yoshiya Hasegawa | JP | Kawasaki | 2011-03-10 / 20110057928 - GRAPH CREATION METHOD AND GRAPH CREATION DEVICE | 1 |
Daiju Hasegawa | JP | Tsukuba | 2011-07-14 / 20110172427 - PROCESS FOR PREPARING CERTAIN CINNAMIDE COMPOUNDS | 4 |
Yoshiaki Hasegawa | JP | Chofu-Shi | 2013-01-24 / 20130024034 - ELECTRICAL QUANTITY ADJUSTING APPARATUS, ELECTRICAL QUANTITY ADJUSTING METHOD, ELECTRICAL QUANTITY ADJUSTING PROGRAM AND POWER SUPPLY SYSTEM | 2 |
Kazumasa Hasegawa | JP | Gunma | 2009-02-05 / 20090036369 - ANTI-TUMOR AGENTS COMPRISING R-SPONDINS | 1 |
Kenji Hasegawa | JP | Kawasaki-Shi | 2015-02-26 / 20150057547 - CAPACITIVE TRANSDUCER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Takumi Hasegawa | JP | Kawasaki | 2010-09-23 / 20100240211 - SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND PHASE SHIFT MASK | 2 |
Nobumasa Hasegawa | JP | Kawasaki | 2016-03-31 / 20160094227 - TRANSMITTER CIRCUIT AND SEMICONDUCTOR INTEGRATED CIRCUIT | 4 |
Yuuichi Hasegawa | JP | Kawasaki | 2011-07-07 / 20110163669 - DC/AC INVERTER SUBSTRATE HAVING VOLTAGE ABNORMALITY DETECTOR CIRCUIT | 2 |
Kunio Hasegawa | JP | Kanagawa | 2016-05-19 / 20160139537 - IMAGE FORMING APPARATUS | 18 |
Shinya Hasegawa | JP | Ebina | 2012-01-26 / 20120021588 - METHOD FOR MANUFACTURING SOI SUBSTRATE AND SEMICONDUCTOR DEVICE | 1 |
Go Hasegawa | JP | Osaka-Shi | 2013-01-03 / 20130003594 - Communication Apparatus, Method for Implementing Communication, and Non-Transitory Computer-Readable Medium | 1 |
Hiroyuki Hasegawa | JP | Hitachinaka-Shi | 2015-02-19 / 20150047210 - ENGINE-POWERED WORK TOOL PROVIDED WITH STAND | 1 |
Kazuo Hasegawa | JP | Gunma | 2010-06-17 / 20100147063 - Pressure Sensor Mounting Method, Tire and Wheel Having Pressure Sensor, and Tire Pressure Detection Device | 2 |
Kazuo Hasegawa | JP | Gunma-Ken | 2010-02-25 / 20100046580 - TEMPERATURE SENSOR CIRCUIT | 4 |
Kazuo Hasegawa | JP | Ora-Gun | 2009-12-31 / 20090325485 - COMMUNICATION SYSTEM AND RECEIVER USED IN COMMUNICATION SYSTEM | 2 |
Kazuo Hasegawa | JP | Nisshin | 2009-05-21 / 20090129411 - OPTICAL FIBER LASER AND EXCITING METHOD USING SAME | 1 |
Yoshio Hasegawa | US | 2015-09-17 / 20150260280 - SHIFT CONTROL DEVICE AND SHIFT CONTROL METHOD FOR VEHICLE | 1 | |
Kazuo Hasegawa | JP | Nisshin-Shi | 2009-02-26 / 20090052840 - Optical waveguide type optical coupling arrangement | 1 |
Hiroshi Hasegawa | JP | Kobe, Hyogo | 2013-04-11 / 20130090184 - GOLF CLUB SHAFT | 1 |
Hiroshi Hasegawa | JP | Kobe | 2013-04-18 / 20130095951 - GOLF CLUB | 6 |
Shinya Hasegawa | JP | Osaka-Shi | 2015-01-15 / 20150018449 - METHOD FOR PRODUCING RUBBER MASTER BATCH | 4 |
Tetsuya Hasegawa | JP | Osaka-Shi | 2016-05-12 / 20160130586 - PREVENTIVE OR THERAPEUTIC AGENT FOR FIBROSIS | 3 |
Kazuhiro Hasegawa | JP | Kawasaki-Shi | 2015-07-02 / 20150183725 - METHOD OF PRODUCING BASIC AMINO ACID OR BASIC AMINO ACID SALT | 2 |
Masashi Hasegawa | JP | Shizuoka | 2016-02-04 / 20160033898 - TONER CONTAINER AND IMAGE FORMING APPARATUS | 10 |
Jun Hasegawa | JP | Hachioji-Shi | 2014-08-21 / 20140230562 - TUBULAR INSERTION DEVICE | 1 |
Tsuyoshi Hasegawa | JP | Tsukuba-Shi | 2013-06-06 / 20130140648 - ELECTROCHEMICAL TRANSISTOR | 2 |
Yoshihiro Hasegawa | JP | Tama-Shi | 2016-01-07 / 20160001324 - ELECTROMECHANICAL TRANSDUCER AND METHOD FOR MANUFACTURING THE SAME | 5 |
Hiroshi Hasegawa | JP | Chino-Shi | 2015-05-07 / 20150123989 - IMAGE DISPLAY APPARATUS AND IMAGE DISPLAY METHOD | 29 |
Yoshihiro Hasegawa | JP | Sumida-Ku | 2010-02-11 / 20100035355 - METHOD FOR THE DETERMINATION OF BODY ODOR | 1 |
Aino Hasegawa | JP | Kanagawa | 2014-08-28 / 20140240422 - IMAGE FORMING APPARATUS | 6 |
Mineo Hasegawa | JP | Tokyo | 2009-12-10 / 20090304863 - Flavor-improving agent, method of producing the same and food composition, acidic food composition, and acidic condiment containing the flavor-improving agent | 1 |
Hiroyuki Hasegawa | JP | Nagareyama-Shi | 2015-12-10 / 20150356995 - CONTENT MANAGEMENT APPARATUS, RECORDING APPARATUS, OPERATION APPARATUS, CONTENT MANAGEMENT SYSTEM, AND CONTROL METHODS THEREOF | 3 |
Yoshihiro Hasegawa | JP | Yasu-Shi | 2015-12-03 / 20150351227 - WIRING BOARD | 1 |
Yoshihiro Hasegawa | JP | Hiroshima-Shi | 2016-03-31 / 20160091344 - CAPACITIVE TRANSDUCER AND METHOD OF MANUFACTURING THE SAME, AND OBJECT INFORMATION ACQUIRING APPARATUS | 1 |
Junichi Hasegawa | JP | Osaka | 2015-10-08 / 20150288403 - ELECTRONIC DEVICE AND METHOD OF ASSEMBLING THE SAME | 15 |
Yusuke Hasegawa | JP | Hamamatsu-Shi | 2012-02-23 / 20120043883 - FLASH LAMP | 1 |
Yohei Hasegawa | JP | Kawasaki | 2016-03-24 / 20160085517 - PROGRAM INFORMATION GENERATING SYSTEM, PROGRAM INFORMATION GENERATING METHOD, AND COMPUTER PROGRAM PRODUCT | 3 |
Yoshiaki Hasegawa | JP | Kagoshima | 2013-05-16 / 20130122693 - NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND PRODUCTION METHOD THEREFOR | 1 |
Koichi Hasegawa | JP | Kasugai-Shi | 2016-03-17 / 20160079886 - VIBRATION POWER GENERATION DEVICE | 11 |
Osamu Hasegawa | JP | Yokohama-Shi | 2013-08-22 / 20130216098 - MAP GENERATION APPARATUS, MAP GENERATION METHOD, MOVING METHOD FOR MOVING BODY, AND ROBOT APPARATUS | 1 |
Yusuke Hasegawa | JP | Suntoh-Gun | 2009-02-05 / 20090035679 - MAGNETIC TONER | 1 |
Yusuke Hasegawa | JP | Suntou-Gun | 2015-08-13 / 20150227068 - MAGNETIC TONER | 21 |
Yoshifumi Hasegawa | JP | Uji-Shi | 2008-09-11 / 20080219544 - FACTOR ESTIMATING SUPPORT DEVICE AND METHOD OF CONTROLLING THE SAME, AND FACTOR ESTIMATING SUPPORT PROGRAM | 1 |
Asami Hasegawa | JP | Numazu | 2015-08-06 / 20150220306 - CALCULATION PROGRAM, CALCULATION DEVICE, AND CALCULATION METHOD | 1 |
Atsushi Hasegawa | JP | Osaka | 2015-07-09 / 20150192784 - LENS BARREL | 6 |
Fumihiro Hasegawa | JP | Tokyo | 2016-02-04 / 20160036615 - TRANSMISSION APPARATUS, RECEPTION APPARATUS, AND COMMUNICATION SYSTEM | 30 |
Atsushi Hasegawa | JP | Wako-Shi | 2010-12-02 / 20100301637 - VEHICLE BODY REAR PART STRUCTURE | 1 |
Satoru Hasegawa | JP | Tokyo | 2014-09-11 / 20140252259 - BUTTERFLY VALVE | 14 |
Waka Hasegawa | JP | Tokyo | 2016-03-10 / 20160070189 - COMPOUND HAVING AZO SKELETON STRUCTURE, PIGMENT-DISPERSING AGENT, PIGMENT COMPOSITION, PIGMENT DISPERSION, AND TONER | 10 |
Masatomo Hasegawa | JP | Yoshino-Gun | 2008-12-18 / 20080309355 - VOLTAGE CLAMP CIRCUIT AND SEMICONDUCTOR DEVICE, OVERCURRENT PROTECTION CIRCUIT, VOLTAGE MEASUREMENT PROBE, VOLTAGE MEASUREMENT DEVICE AND SEMICONDUCTOR EVALUATION DEVICE RESPECTIVELY USING THE SAME | 1 |
Atsushi Hasegawa | JP | Togane | 2016-02-25 / 20160055816 - Active Matrix Display Device | 13 |
Yasushi Hasegawa | JP | Futtsu-Shi | 2010-06-10 / 20100143747 - Liquid phase diffusion bonding method of metal machine part and such metal machine part | 1 |
Mitsuru Hasegawa | JP | Osaka | 2012-07-05 / 20120172829 - DRUG SOLUTION PREPARING KIT | 5 |
Yasushi Hasegawa | JP | Ogaki-Shi | 2010-05-20 / 20100124038 - MULTI-PIECE BOARD AND FABRICATION METHOD THEREFOR | 4 |
Takumi Hasegawa | JP | Tokyo | 2014-06-26 / 20140175830 - RECTIFIER OF VEHICLE WHEEL HOUSE | 2 |
Yuya Hasegawa | JP | Kyoto City | 2012-03-15 / 20120062168 - CHARGING CONTROL DEVICE | 1 |
Hitomi Hasegawa | JP | Saitama-Ken | 2015-11-12 / 20150323846 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Atsushi Hasegawa | JP | Togene | 2015-07-30 / 20150212364 - MANUFACTURING METHOD FOR LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Kenichi Hasegawa | JP | Atsugi-Shi | 2014-06-26 / 20140178092 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 13 |
Naoto Hasegawa | JP | Aichi-Ken | 2011-09-22 / 20110230207 - MOBILE UNIT'S POSITION MEASUREMENT APPARATUS AND MOBILE UNIT'S POSITION MEASUREMENT METHOD | 1 |
Atsushi Hasegawa | JP | Tokyo | 2016-05-05 / 20160124095 - SCINTILLATOR PANEL AND RADIATION DETECTOR | 4 |
Atsushi Hasegawa | JP | Tougane | 2008-12-18 / 20080309233 - Display device | 1 |
Masanobu Hasegawa | JP | Utsunomiya-Shi | 2009-08-27 / 20090213389 - WAVEFRONT ABERRATION MEASURING METHOD, MASK, WAVEFRONT ABERRATION MEASURING DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Yasushi Hasegawa | JP | Tokyo | 2016-03-03 / 20160061210 - TURBO COMPRESSOR AND TURBO CHILLER USING SAME | 13 |
Tomoharu Hasegawa | JP | Shiga | 2010-12-09 / 20100310334 - MACHINE TOOL AND PROCESSING METHOD | 2 |
Norimitsu Hasegawa | JP | Hyogo | 2013-03-21 / 20130070430 - DISPLAY DEVICE | 1 |
Yasuo Hasegawa | JP | Utsunomiya-Shi | 2008-10-23 / 20080259307 - EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD | 2 |
Saori Hasegawa | JP | Utsunomiya-Shi | 2010-06-10 / 20100141958 - SHAPE CALCULATION METHOD | 1 |
Etsuo Hasegawa | JP | Tokyo | 2015-07-02 / 20150183912 - (METH)ACRYLATE DERIVATIVE, POLYMER AND PHOTORESIST COMPOSITION HAVING LACTONE STRUCTURE, AND METHOD FOR FORMING PATTERN BY USING IT | 5 |
Etsuo Hasegawa | JP | Nagoya-City | 2015-06-04 / 20150153090 - COLD-STORAGE HEAT EXCHANGER | 7 |
Yuji Hasegawa | JP | Kurokawa-Gun | 2011-01-27 / 20110018971 - COMPOUND-EYE IMAGING APPARATUS | 1 |
Junichi Hasegawa | JP | Yokohama-Shi | 2014-01-30 / 20140032075 - PARKING LOCK CONTROL DEVICE FOR VEHICLE AND CONTROL METHOD | 9 |
Yuji Hasegawa | JP | Wako-Shi | 2012-04-19 / 20120095753 - NOISE POWER ESTIMATION SYSTEM, NOISE POWER ESTIMATING METHOD, SPEECH RECOGNITION SYSTEM AND SPEECH RECOGNIZING METHOD | 6 |
Takao Hasegawa | JP | Saitama | 2012-03-15 / 20120065296 - Polyamideimide-Based Film Coating Composition | 1 |
Yuji Hasegawa | JP | Ibaraki | 2010-06-24 / 20100155969 - RESIN PASTE FOR DIE BONDING, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE | 1 |
Noriyasu Hasegawa | JP | Utsunomiya-Shi | 2016-02-11 / 20160039143 - IMPRINT METHOD, IMPRINT APPARATUS, AND ARTICLE MANUFACTURING METHOD | 14 |
Kinji Hasegawa | JP | Gifu | 2012-09-13 / 20120232209 - HIGHLY INSULATING FILM | 2 |
Tomoki Hasegawa | JP | Utsunomiya-Shi | 2016-03-03 / 20160062071 - LENS BARREL, OPTICAL APPARATUS, AND METHOD OF MANUFACTURING THE LENS BARREL | 5 |
Yuji Hasegawa | JP | Saitama | 2010-02-11 / 20100034397 - SOUND SOURCE TRACKING SYSTEM, METHOD AND ROBOT | 1 |
Kinya Hasegawa | JP | Kanagawa | 2014-03-06 / 20140066770 - ULTRASOUND DIAGNOSTIC APPARATUS AND IMAGE ACQUISITION METHOD USING ULTRASONIC WAVES | 1 |
Tohru Hasegawa | JP | Yamato | 2009-11-19 / 20090287751 - METHOD AND SYSTEM FOR FILE RELOCATION | 1 |
Yuji Hasegawa | JP | Wako-Sh | 2009-03-05 / 20090063605 - SIGNAL PROCESSING DEVICE | 1 |
Ryuta Hasegawa | JP | Hino | 2016-05-19 / 20160141965 - SWITCHING ELEMENT DRIVING POWER SUPPLY CIRCUIT | 3 |
Kazuhiro Hasegawa | JP | Sagamihara | 2015-07-30 / 20150214798 - PERMANENT MAGNET DYNAMOELECTRIC MACHINE | 1 |
Yoshiaki Hasegawa | JP | Kawasaki | 2013-12-05 / 20130324181 - WIRELESS COMMUNICATION SYSTEM, COMMUNICATION METHOD, AND BASE STATION | 2 |
Yoshiaki Hasegawa | JP | Okayama | 2012-05-10 / 20120114004 - NITRIDE SEMICONDUCTOR LASER DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Hikaru Hasegawa | JP | Shiga | 2012-12-20 / 20120320245 - SOLID-STATE IMAGING DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Yasushi Hasegawa | JP | Chiba | 2011-06-02 / 20110129381 - HIGH-TENSILE STEEL WITH EXCELLENT WELDABILITY AND TOUGHNESS AND WITH TENSILE STRENGTH OF 550 MPA CLASS OR MORE AND METHOD OF PRODUCTION OF THE SAME | 3 |
Katashi Hasegawa | JP | Kasugai | 2014-03-27 / 20140085555 - Voltage Adjustment Circuit and Display Device Driving Circuit | 2 |
Noritaka Hasegawa | JP | Osaka | 2016-05-05 / 20160120769 - COMPOSITION FOR ORAL USE CONTAINING DIAMOND PARTICLES | 1 |
Tomohide Hasegawa | JP | Zama | 2009-06-25 / 20090164991 - COMPUTER VIRTUALIZATION APPARATUS AND PROGRAM AND METHOD THEREFOR | 3 |
Tomoharu Hasegawa | JP | Chiyoda-Ku | 2009-04-16 / 20090095024 - PROCESS FOR PRODUCING OPTICAL FIBER | 1 |
Masazumi Hasegawa | JP | Mie | 2010-02-04 / 20100029914 - NOVEL PACKING MATERIAL WITH EXCELLENT HYDROPHILICITY AND PROCESS FOR PRODUCING THE SAME | 1 |
Keigo Hasegawa | JP | Tokyo | 2016-05-12 / 20160135196 - WIRELESS COMMUNICATION SYSTEM | 10 |
Yoshiaki Hasegawa | JP | Maebashi | 2011-07-21 / 20110175634 - FABRICATION METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 3 |
Yoshiaki Hasegawa | JP | Yokohama | 2010-06-10 / 20100144339 - RADIO ACCESS POINT TESTING METHOD AND TESTING APPARATUS | 2 |
Yoshiaki Hasegawa | JP | Osaka | 2009-03-05 / 20090059983 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE | 2 |
Yuichi Hasegawa | JP | Tokyo | 2016-03-03 / 20160062123 - IMAGE DISPLAY DEVICE AND DISPLAY APPARATUS | 19 |
Masahide Hasegawa | JP | Yokohama-Shi | 2015-08-13 / 20150224642 - GEAR MECHANISM, SPEED REDUCER, AND ROBOT ARM | 3 |
Katsuhisa Hasegawa | JP | Kasugai-Shi | 2015-10-22 / 20150298334 - Cutting Apparatus and Cutting Control Program Therefor | 21 |
Koji Hasegawa | JP | Joetsu-Shi | 2016-05-19 / 20160139512 - PATTERN FORMING PROCESS AND SHRINK AGENT | 83 |
Masahiro Hasegawa | JP | Chiyoda-Ku | / - | 1 |
Yuhko Hasegawa | JP | Sagamihara | 2012-03-22 / 20120072862 - GUI-BASE APPLICATION SYSTEM AND METHOD FOR DISPLAYING WARNINGS OF THE SAME | 1 |
Yasushi Hasegawa | JP | Nara | 2008-11-13 / 20080277774 - POWER SEMICONDUCTOR DEVICE, ELECTRONIC DEVICE, LEAD FRAME MEMBER, AND METHOD OF MAKING POWER SEMICONDUCTOR DEVICE | 1 |
Yukihisa Hasegawa | JP | Machida-Shi | 2011-09-08 / 20110215763 - SERIAL CHARGING AND DISCHARGING SYSTEM, AND METHOD OF DISCONNECTING CELL IN SERIAL CHARGING AND DISCHARGING SYSTEM | 1 |
Katsuhisa Hasegawa | JP | Nagoya-Shi | 2013-06-20 / 20130152755 - HOLDING SHEET AND CUTTING APPARATUS | 1 |
Masashi Hasegawa | JP | Osaka-Shi | 2015-03-19 / 20150076876 - CHAIR | 2 |
Yo Hasegawa | JP | Rirro-Shi | 2014-03-27 / 20140087229 - ASSEMBLED BATTERY | 1 |
Hiroshi Hasegawa | JP | Kanagawa-Ken | 2015-04-02 / 20150092268 - IMAGE DISPLAY APPARATUS | 2 |
Ko Hasegawa | JP | Tokyo | 2010-09-23 / 20100240746 - STEREOISOMERS OF TRICYCLODECAN-9-YL-XANTHOGENATE | 1 |
Masahiro Hasegawa | JP | Makinohara-Shi | 2010-12-30 / 20100327808 - CONTROL UNIT | 2 |
Kenichi Hasegawa | JP | Kanagawa | 2014-08-28 / 20140239575 - LIQUID EJECTION HEAD AND IMAGE FORMING APPARATUS INCLUDING SAME | 22 |
Hirokazu Hasegawa | JP | Kyoto | 2010-06-24 / 20100159214 - HIGH-MOLECULAR THIN FILM, PATTERN MEDIUM AND MANUFACTURING METHOD THEREOF | 4 |
Koji Hasegawa | JP | Kyoto | 2009-03-26 / 20090083863 - p300 transgenic animal | 1 |
Mitsuhiro Hasegawa | JP | Kyoto | 2008-12-04 / 20080298834 - Toner cartridge and image forming apparatus | 1 |
Tadashi Hasegawa | JP | Kyoto | 2011-09-08 / 20110216442 - SPINDLE MOTOR AND DISK DRIVE APPARATUS PROVIDED WITH THE SPINDLE MOTOR | 2 |
Koyu Hasegawa | JP | Tokyo | 2012-01-05 / 20120001102 - HIGHLY CLEAN AND HOT VALVE | 1 |
Tsuyoshi Hasegawa | JP | Kawasaki | 2016-04-14 / 20160105294 - RECEIVING APPARATUS AND EQUALIZATION PROCESSING METHOD | 20 |
Masahiro Hasegawa | JP | Osaka | 2011-08-18 / 20110199561 - Liquid Crystal Display Device | 6 |
Masahiro Hasegawa | JP | Niigata-Shi | 2010-09-30 / 20100244279 - Liquid resin composition for underfill, flip-chip mounted body and method for manufacturing the same | 1 |
Masahiro Hasegawa | JP | Mie | 2010-05-06 / 20100111939 - MONOCLONAL ANTIBODY AND USE THEREOF | 1 |
Masahiro Hasegawa | JP | Kyoto | 2010-09-09 / 20100223886 - ARTICLE TRANSFER DEVICE, AND PACKAGING DEVICE AND WEIGHING DEVICE EQUIPPED THEREWITH | 1 |
Masahiro Hasegawa | JP | Hiroshima | 2009-07-09 / 20090174947 - Electronic element wafer module; electronic element module; sensor wafer module; sensor module; lens array plate; manufacturing method for the sensor module; and electronic information device | 1 |
Masahiro Hasegawa | JP | Nara | 2009-04-16 / 20090096970 - COMPLEX BIREFRINGENT MEDIUM, POLARIZING AGENT, AND LIQUID CRYSTAL DEVICE | 1 |
Mihoko Hasegawa | JP | Tokyo | 2015-07-16 / 20150199820 - GENERATING AND DISPLAYING A SPECIFIC AREA | 3 |
Ryu Hasegawa | JP | Sagamihara-Shi | 2009-08-13 / 20090201519 - IMAGE READING DEVICE, IMAGE FORMING APPARATUS, AND IMAGE READING METHOD | 1 |
Kiyoshi Hasegawa | JP | Chiyoda-Ku | 2014-05-01 / 20140117919 - SERVO CONTROL DEVICE | 2 |
Toshio Hasegawa | JP | Kai-City | 2016-04-21 / 20160111290 - CMOS Vt CONTROL INTEGRATION BY MODIFICATION OF METAL-CONTAINING GATE ELECTRODES | 1 |
Daisuke Hasegawa | JP | Tokyo | 2009-03-12 / 20090069453 - SYSTEM FOR RECYCLING A USED PLASTIC, METHOD FOR REPROCESSING A USED ABS RESIN, AND RECLAIMED ABS RESIN | 1 |
Junichi Hasegawa | JP | Kanagawa-Ken | 2011-11-03 / 20110267540 - IMAGE QUALITY IMPROVING DEVICE AND METHOD | 1 |
Hajime Hasegawa | JP | Tokyo | 2014-07-24 / 20140205855 - HIGH-STRENGTH STEEL SHEET EXCELLENT IN IMPACT RESISTANCE AND MANUFACTURING METHOD THEREOF, AND HIGH-STRENGTH GALVANIZED STEEL SHEET AND MANUFACTURING METHOD THEREOF | 3 |
Yoji Hasegawa | JP | Chichibu-Shi | 2009-04-30 / 20090109500 - IMAGE READING APPARATUS AND CONTROL METHOD THEREFOR, AS WELL AS STORAGE MEDIUM | 1 |
Hisashi Hasegawa | JP | Chiba-Shi | 2011-02-03 / 20110027949 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 6 |
Hisashi Hasegawa | JP | Niigata | / - | 1 |
Hideyuki Hasegawa | JP | Tokyo | 2012-07-05 / 20120170640 - EQUALIZATION DEVICE, EQUALIZATION METHOD, AND RECORDING MEDIUM | 3 |
Hiroaki Hasegawa | JP | Tokyo | 2012-02-02 / 20120028109 - ELECTROCHEMICAL DEVICE | 6 |
Hiroki Hasegawa | JP | Tokyo | 2008-10-30 / 20080270190 - MEASURED VITAL DATA PRESERVING AND PROVIDING SYSTEM FOR HEALTHCARE | 1 |
Hisashi Hasegawa | JP | Gunma | 2011-07-28 / 20110182913 - ANTI FGF23 ANTIBODY AND A PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 2 |
Hitoshi Hasegawa | JP | Tokyo | 2009-08-20 / 20090205687 - WASHING TUBE AND ENDOSCOPE WASHING AND DISINFECTING APPARATUS | 1 |
Kaga Hasegawa | JP | Tokyo | 2014-07-10 / 20140191066 - SWING NOZZLE | 4 |
Kei Hasegawa | JP | Tokyo | 2016-01-07 / 20160002033 - DEVICE FOR SIMULTANEOUSLY PRODCING CARBON NANOTUBES AND HYDROGEN | 2 |
Kentaro Hasegawa | JP | Tokyo | 2013-08-15 / 20130209114 - DEVELOPMENT DEVICE AND IMAGE FORMATION APPARATUS | 2 |
Kohei Hasegawa | JP | Tokyo | 2015-11-12 / 20150322552 - HIGH STRENGTH COLD ROLLED STEEL SHEET WITH LOW YIELD RATIO AND METHOD OF MANUFACTURING THE SAME | 10 |
Kunio Hasegawa | JP | Tokyo | 2011-02-17 / 20110038656 - Protecting agent-supplying device, process cartridge, image forming apparatus and image forming method | 1 |
Makota Hasegawa | JP | Tokyo | 2011-04-21 / 20110089372 - OPTICALLY ANISOTROPIC MATERIAL, OPTICAL ELEMENT AND OPTICAL INFORMATION WRITING/READING DEVICE | 1 |
Masahiko Hasegawa | JP | Tokyo | 2011-11-10 / 20110274132 - GAS LASER OSCILLATOR | 3 |
Masaru Hasegawa | JP | Tokyo | 2011-02-17 / 20110040038 - THERMOPLASTIC RESIN COMPOSITION | 3 |
Masashi Hasegawa | JP | Tokyo | 2012-05-24 / 20120129408 - CONTACT AND ELECTRICAL CONNECTING APPARATUS | 4 |
Gaku Hasegawa | JP | Kyoto-Shi | 2013-07-25 / 20130188666 - ELECTRONIC THERMOMETER AND METHOD FOR MANUFACTURING THE SAME | 2 |
Motonobu Hasegawa | JP | Osaka-Shi | 2016-05-19 / 20160138676 - SILENT CHAIN | 1 |
Masato Hasegawa | JP | Tokyo | 2014-09-04 / 20140248657 - METHOD FOR PRODUCING INSOLUBLE AGGREGATE OF NEURODEGENERATIVE-DISEASE-RELATED PROTEIN | 4 |
Yukitaka Hasegawa | JP | Kiyosu-Shi | 2011-09-01 / 20110210310 - Semiconductor light-emitting element | 1 |
Maki Hasegawa | JP | Suita | 2015-02-05 / 20150038932 - ABSORBENT ARTICLE HAVING TRAVERSE REINFORCING ELEMENT | 2 |
Masayuki Hasegawa | JP | Tokyo | 2016-01-28 / 20160027968 - SURFACE MOUNT LIGHT-EMITTING DEVICE | 2 |
Mineki Hasegawa | JP | Tokyo | 2014-09-11 / 20140252278 - BIBENZO[B]FURAN COMPOUND, PHOTOELECTRIC CONVERSION MATERIAL, AND PHOTOELECTRIC CONVERSION ELEMENT | 4 |
Naoki Hasegawa | JP | Tokyo | 2009-10-08 / 20090250109 - ACRYLIC PRESSURE SENSITIVE ADHESIVE COMPOSITION, DOUBLE COATED ADHESIVE SHEET, AND PHOTOVOLTAIC DEVICE | 2 |
Naoyuki Hasegawa | JP | Tokyo | 2011-12-01 / 20110292070 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 4 |
Noboru Hasegawa | JP | Tokyo | 2015-04-02 / 20150090697 - ELECTRIC RESISTANCE WELDING OPERATION MANAGEMENT DEVICE, ELECTRIC RESISTANCE WELDING OPERATION MANAGEMENT METHOD, AND COMPUTER PROGRAM | 3 |
Atsushi Hasegawa | JP | Togane | 2016-02-25 / 20160055816 - Active Matrix Display Device | 13 |
Naoki Hasegawa | JP | Aichi-Gun | 2013-03-14 / 20130065114 - POLYMER ELECTROLYTE, MANUFACTURING METHOD FOR POLYMER ELECTROLYTE, IMIDE MONOMER, AND BATTERY | 1 |
Naoki Hasegawa | JP | Nagakute | 2012-10-04 / 20120253070 - SURFACE MODIFIED MATERIAL, SULFONIMIDE COMPOUND FOR SURFACE MODIFICATION, AND FUEL CELL | 1 |
Naoki Hasegawa | JP | Kyoto City | 2013-02-28 / 20130051514 - RADIOGRAPHIC DEVICE | 1 |
Naoki Hasegawa | JP | Kyoto-Shi | 2014-08-28 / 20140241496 - RADIOGRAPHIC APPARATUS AND METHOD FOR THE SAME | 3 |
Naoki Hasegawa | JP | Nagakute-Shi | 2015-11-19 / 20150329982 - ELECTROPLATING CELL, AND METHOD OF FORMING METAL COATING | 2 |
Takashi Hasegawa | JP | Kobe-Shi | 2012-02-02 / 20120024428 - CHEMICAL CONVERSION TREATMENT LIQUID, METHOD OF PRODUCING THE SAME, AND METHOD OF FORMING CONVERSION LAYER | 1 |
Yuu Hasegawa | JP | Kagoshima | 2013-05-23 / 20130127034 - SEMICONDUCTOR DEVICE | 2 |
Norio Hasegawa | JP | Tokyo | 2016-01-07 / 20160005157 - Pattern-Measuring Apparatus and Semiconductor-Measuring System | 12 |
Shinn Hasegawa | JP | Shizuoka | 2012-02-02 / 20120026237 - PIGMENT DISPERSION LIQUID, INKJET INK, INK CARTRIDGE, IMAGE FORMING APPARATUS, RECORDING METHOD , AND IMAGE FORMED MATTER | 1 |
Masahiro Hasegawa | JP | Kanagawa-Ken | 2012-01-12 / 20120008669 - POWER DETECTOR AND WIRELESS DEVICE | 1 |
Shingo Hasegawa | JP | Miyagi | 2015-04-30 / 20150121079 - SHARED INFORMATION DISTRIBUTING DEVICE, HOLDING DEVICE, CERTIFICATE AUTHORITY DEVICE, AND SYSTEM | 16 |
Ayako Hasegawa | US | Aliso Viejo | 2015-07-30 / 20150209177 - CONTAINER CLOSURE SYSTEM WITH INTEGRAL ANTIMICROBIAL ADDITIVES | 2 |
Ryuji Hasegawa | JP | Tokyo | 2011-11-17 / 20110279816 - PHOTOMASK MOUNTING/HOUSING DEVICE AND RESIST INSPECTION METHOD AND RESIST INSPECTION APPARATUS USING SAME | 2 |
Shigeki Hasegawa | JP | Susono-Shi | 2015-07-23 / 20150207158 - FUEL CELL SYSTEM AND ITS OPERATING METHOD | 1 |
Satoru Hasegawa | JP | Tokyo | 2014-09-11 / 20140252259 - BUTTERFLY VALVE | 14 |
Shinichi Hasegawa | JP | Tokyo | 2010-02-11 / 20100035099 - SOLID OXIDE CELL | 1 |
Taro Hasegawa | JP | Yokohama-Shi | 2015-04-02 / 20150092799 - OPTICAL SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Mitsutaka Hasegawa | JP | Nagoya | 2010-09-23 / 20100238388 - CURABLE RESIN COMPOSITION, CURABLE RESIN COMPOSITION FOR FORMING PHOTOSENSITIVE PATTERN, COLOR FILTER, LIQUID CRYSTAL PANEL SUBSTRATE AND LIQUID CRYSTAL PANEL | 2 |
Tadashi Hasegawa | JP | Tokyo | 2010-09-16 / 20100232559 - ION GENERATING DEVICE AND NEUTRON GENERATING APPARATUS | 1 |
Tetsuo Hasegawa | JP | Tokyo | 2011-10-06 / 20110246600 - MEMORY SHARING APPARATUS | 2 |
Tomohiro Hasegawa | JP | Tokyo | 2008-11-27 / 20080293466 - VIDEO GAME APPARATUS, VIDEO GAME PROCESSING PROGRAM, PROGRAM RECORDING MEDIUM, AND VIDEO GAME PROCESSING METHOD | 1 |
Mitsuru Hasegawa | JP | Osaka-Fu | 2009-10-29 / 20090269246 - Specimen sampling liquid container | 2 |
Kiyoshi Hasegawa | US | 2011-08-25 / 20110206186 - X-RAY ANALYZER AND MAPPING METHOD FOR AN X-RAY ANALYSIS | 1 | |
Tomoya Hasegawa | JP | Tokyo | 2014-11-13 / 20140335365 - GYPSUM BOARD HAVING MOLD RESISTANCE | 4 |
Tomoyuki Hasegawa | JP | Tokyo | 2013-07-11 / 20130175012 - POWER CONVERSION DEVICE, MOTOR INCLUDING THE SAME, AIR CONDITIONER HAVING THE MOTOR INCORPORATED THEREIN, AND VENTILATION FAN HAVING THE MOTOR INCORPORATED THEREIN | 5 |
Toshikazu Hasegawa | JP | Tokyo | 2009-01-01 / 20090000659 - Photovoltaic Device Characterization Apparatus | 1 |
Yasuhiro Hasegawa | JP | Tokyo | 2012-12-13 / 20120315863 - WIRELESS COMMUNICATION TERMINAL | 7 |
Tsuyoshi Hasegawa | JP | Daito City | 2012-09-20 / 20120236589 - BACKLIGHT AND DISPLAY | 3 |
Kouji Hasegawa | JP | Kawasaki-Shi | 2015-03-05 / 20150062260 - LIQUID EJECTION HEAD AND PRINTING APPARATUS | 7 |
Yohei Hasegawa | JP | Tokyo | 2016-03-03 / 20160064843 - ELECTRICAL CONNECTOR ASSEMBLY | 14 |
Yoshihiro Hasegawa | JP | Tokyo | 2010-07-22 / 20100184122 - INDICATOR FOR ASSESSING BODY ODOR, PROCESS FOR PRODUCING THE SAME, BODY ODOR ASSESSMENT METHOD, METHOD OF ASSESSING EFFICACIOUSNESS OF DEODORANT AND KIT FOR CONVENIENTLY ASSESSING BODY ODOR | 1 |
Yoshikatsu Hasegawa | JP | Saitama | 2012-03-29 / 20120077359 - WIRING STRUCTURE AND JOINT BOX INCLUDING THE SAME | 1 |
Yukihiro Hasegawa | JP | Tokyo | 2008-09-18 / 20080228003 - METHOD FOR PRODUCING (METH) ACRYLIC ACID DERIVITIVE | 1 |
Yuri Hasegawa | JP | Tokyo | 2010-07-08 / 20100172415 - VIDEO ENCODER, VIDEO DECODER, VIDEO ENCODING METHOD, VIDEO DECODING METHOD, AND VIDEO ENCODING AND DECODING SYSTEM | 4 |
Satoshi Hasegawa | JP | Kagawa | 2013-09-26 / 20130247322 - CLEANING SHEET AND CLEANING TOOL | 2 |
Takashi Hasegawa | JP | Kanagawa | 2016-04-21 / 20160112465 - TRANSMISSION SYSTEM, COMMUNICATIONS CONTROL APPARATUS, COMMUNICATIONS CONTROL METHOD, COMMUNICATIONS METHOD, AND RECORDING MEDIUM | 10 |
Katsushi Hasegawa | JP | Tokyo | 2009-07-30 / 20090190710 - FAST REACTOR HAVING REACTIVITY CONTROL REFLECTOR | 1 |
Haruyuki Hasegawa | JP | Tokyo | 2015-09-24 / 20150270758 - ELECTRIC MOTOR | 4 |
Minoru Hasegawa | JP | Fukushima | 2009-02-26 / 20090053614 - NON-AQUEOUS ELECTROLYTIC SOLUTION SECONDARY BATTERY | 1 |
Minoru Hasegawa | JP | Kishiwada | 2009-09-17 / 20090233024 - HEAT SHRINKABLE FOAMED SHEET | 1 |
Minoru Hasegawa | JP | Miyazaki | 2009-11-12 / 20090278438 - PLASMA DISPLAY PANEL | 1 |
Minoru Hasegawa | JP | Fujisawa | 2015-10-01 / 20150281670 - Reproducing Apparatus And Reproducing Method | 9 |
Yuji Hasegawa | JP | Tokyo | 2013-10-03 / 20130262263 - COORDINATION LIST-PROVIDING SYSTEM AND RELATED METHOD | 1 |
Yuji Hasegawa | JP | Toride-Shi | 2015-04-30 / 20150118400 - METHOD FOR FORMING COATING FILM AND METHOD FOR PRODUCING FIXING MEMBER | 3 |
Naoki Hasegawa | JP | Aichi-Ken | 2012-02-02 / 20120028168 - ELECTROLYTE, AND FUEL CELL, LI SECONDARY BATTERY, SECONDARY BATTERY AND PRIMARY BATTERY USING THE ELECTROLYTE | 1 |
Akira Hasegawa | JP | Nagasaki | 2014-08-28 / 20140239233 - METHOD FOR PRODUCING PRODUCTION GAS AND APPARATUS USING SAME | 1 |
Takashi Hasegawa | JP | Hyogo | 2014-07-03 / 20140183562 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Hiroshi Hasegawa | JP | Tokyo | 2015-11-26 / 20150336138 - ULTRASONIC CLEANING APPARATUS AND ULTRASONIC CLEANING METHOD | 18 |
Hidenobu Hasegawa | JP | Kanagawa-Ken | 2012-04-05 / 20120082285 - SPENT FUEL STORAGE RACK | 1 |
Mitsuru Hasegawa | JP | Hitachi | 2012-11-29 / 20120301569 - PATTERN TRANSFERRING APPARATUS AND PATTERN TRANSFERRING METHOD | 7 |
Eiji Hasegawa | JP | Kanagawa | 2015-05-21 / 20150138463 - DISPLAY APPARATUS, METHOD OF MANUFACTURING A DISPLAY APPARATUS, ELECTRONIC APPLIANCE, AND METHOD OF DRIVING A DISPLAY APPARATUS | 6 |
Motonobu Hasegawa | JP | Kariya-Shi, Aichi-Ken | 2015-11-19 / 20150330340 - AIR INTAKE APPARATUS | 1 |
Yohichi Hasegawa | JP | Osaka-Shi | 2011-08-18 / 20110199754 - SUPPORT UNIT, LIGHTING DEVICE, AND DISPLAY DEVICE | 1 |
Hiroshi Hasegawa | JP | Fukuyama-Shi | 2014-08-21 / 20140230971 - HIGH STRENGTH STEEL SHEET HAVING EXCELLENT FORMABILITY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kazuhiro Hasegawa | JP | Ichinomiyai-Shi | 2012-01-05 / 20120001869 - ELECTROSTATIC CAPACITY TYPE TOUCH SENSOR | 3 |
Teruyuki Hasegawa | JP | Kamifukuoka-Shi | 2010-04-22 / 20100098079 - DATA COMMUNICATION SYSTEM, BACKUP SERVER AND COMMUNICATION CONTROL APPARATUS | 1 |
Haruhisa Hasegawa | JP | Hiratsuka-Shi | 2009-11-26 / 20090289143 - Winding Drum For Sheet-Like Member | 1 |
Yuka Hasegawa | JP | Osaka | 2012-04-05 / 20120084623 - ERROR CORRECTION METHOD AND DATA REPRODUCTION DEVICE | 1 |
Yasuchika Hasegawa | JP | Sapporo-Shi | 2014-06-19 / 20140171600 - RARE-EARTH COMPLEX POLYMER AND PLASTIC MOLDED PRODUCT | 4 |
Junichi Hasegawa | JP | Tokyo | 2016-01-28 / 20160025930 - SWITCH APPARATUS | 18 |
Kunio Hasegawa | JP | Isehara-Shi | 2011-01-13 / 20110008088 - Image forming method, image forming apparatus, and process cartridge | 12 |
Eisaku Hasegawa | JP | Tochigi-Ken | 2008-10-16 / 20080250835 - Roll Hemming Method and Roll Hemming Apparatus | 1 |
Eisaku Hasegawa | JP | Hagagun | 2014-06-12 / 20140158668 - ONE-SIDE RESISTANCE SPOT WELDING METHOD AND APPARATUS FOR CARRYING OUT THE SAME | 4 |
Yasutaka Hasegawa | JP | Toyota-Shi | 2015-03-12 / 20150069785 - VEHICLE FRONT STRUCTURE | 2 |
Eisaku Hasegawa | JP | Tochigi | 2013-03-07 / 20130056451 - ONE-SIDE SPOT WELDING METHOD AND ONE-SIDE SPOT WELDING APPARATUS | 8 |
Yasushi Hasegawa | JP | Nagoya | 2012-02-02 / 20120029747 - VEHICLE-USE ELECTRICITY MANAGEMENT SYSTEM, VEHICLE-USE ELECTRICITY INFORMATION MANAGEMENT APPARATUS AND VEHICLE-USE ELECTRICAL LOAD | 1 |
Tsuyoshi Hasegawa | JP | Daito | 2012-02-02 / 20120030622 - Display apparatus | 1 |
Takahiko Hasegawa | JP | Toyota-Shi | 2016-05-19 / 20160141664 - FUEL CELL SYSTEM | 21 |
Takanori Hasegawa | JP | Chiba | 2014-08-28 / 20140243693 - ELECTRONIC DEVICE, HEART-RATE RECEIVING METHOD AND PROGRAM | 7 |
Fumiki Hasegawa | JP | Chiyoda-Ku | 2014-10-02 / 20140295823 - BASE STATION AND COMMUNICATION SYSTEM | 1 |
Yukako Hasegawa | JP | Ibaraki | 2013-06-20 / 20130158883 - INTENTION CONVEYANCE SUPPORT DEVICE AND METHOD | 1 |
Tomoko Hasegawa | JP | Ibaraki | 2013-03-14 / 20130065024 - METHOD OF PRODUCING FILM BY INKJET PROCESS, AND FILM | 6 |
Toru Hasegawa | JP | Wako-Shi | 2016-02-04 / 20160031307 - BATTERY HOLDING STRUCTURE FOR VEHICLE | 2 |
Tatsuo Hasegawa | JP | Ibaraki | 2013-06-13 / 20130149811 - METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR THIN FILM AND MONOCRYASTALLINE ORGANIC SEMICONDUCTOR THIN FILM | 1 |
Kenji Hasegawa | JP | Hamura Tokyo | 2015-11-19 / 20150331447 - SUBSTRATE DEVICE COMPRISING A REINFORCING MEMBER | 1 |
Sadayoshi Hasegawa | JP | Aichi-Prefecture | 2011-08-18 / 20110200410 - ANTI CROSS-THREAD BOLT | 1 |
Sadayoshi Hasegawa | JP | Inazawa-Shi | 2009-03-12 / 20090067921 - FASTENING DEVICE | 1 |
Goro Hasegawa | JP | Chiba-Ken | 2009-12-24 / 20090315256 - Miracle five game | 1 |
Hiroyuki Hasegawa | JP | Fukui | 2013-12-26 / 20130340239 - SUBSTRATE JOINING METHOD USING RIVET, AND JOINING STRUCTURE | 1 |
Hideaki Hasegawa | JP | Suntou-Gun | 2015-08-20 / 20150234309 - IMAGE FORMING APPARATUS | 20 |
Tsuyoshi Hasegawa | JP | Koka-Shi | 2011-11-24 / 20110287265 - INTERLAYER FOR LAMINATED GLASS AND LAMINATED GLASS | 1 |
Yuya Hasegawa | JP | Saitama | 2015-07-16 / 20150197359 - FILL-FINISH PROCES FOR PEPTIDE SOLUTIONS | 1 |
Teruyuki Hasegawa | JP | Fujimino-Shi | 2010-06-10 / 20100142385 - Communication system, delay insertion server, backup server and communication control apparatus | 2 |
Ryohei Hasegawa | JP | Ibaraki | 2013-06-20 / 20130158883 - INTENTION CONVEYANCE SUPPORT DEVICE AND METHOD | 1 |
Ryou Hasegawa | JP | Saitama-Shi | 2015-04-02 / 20150093027 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 2 |
Takeshi Hasegawa | JP | Kanagawa-Ken | 2014-09-04 / 20140246108 - PIPE REHABILITATION METHOD | 1 |
Takahisa Hasegawa | US | Palo Alto | 2013-12-19 / 20130339154 - SYSTEM AND METHOD FOR ASSEMBLING PERSONALIZED OFFERS | 1 |
Motoyoshi Hasegawa | JP | Tokyo | 2009-04-23 / 20090104933 - Cellular phone, control method, control program, and face panel used for the cellular phone | 2 |
Hiroshi Hasegawa | JP | Ibaraki | 2009-01-15 / 20090018013 - Method for producing regeneration catalyst for working solution usable for hydrogen peroxide production | 2 |
Hiroshi Hasegawa | JP | Chichibu-Shi | 2009-04-16 / 20090095938 - APPARATUS FOR PRODUCING ALLOY AND RARE EARTH ELEMENT ALLOY | 4 |
Hiroshi Hasegawa | JP | Aichi | 2009-09-03 / 20090220234 - Optical Waveband Demultiplexer, Optical Waveband Multiplexer, and Optical Waveband Selective Switch | 1 |
Hiroshi Hasegawa | JP | Nagoya-Shi | 2016-05-19 / 20160142797 - OPTICAL CROSS-CONNECT | 5 |
Hiroshi Hasegawa | JP | Kobe-Shi | 2016-05-19 / 20160136492 - GRIP FOR SPORTING GOODS AND GOLF CLUB | 20 |
Hiroshi Hasegawa | JP | Tokyo | 2015-11-26 / 20150336138 - ULTRASONIC CLEANING APPARATUS AND ULTRASONIC CLEANING METHOD | 18 |
Jun Hasegawa | JP | Tokyo | 2016-04-21 / 20160108120 - ANTI-ROBO4 ANTIBODY | 34 |
Hiroshi Hasegawa | JP | Hamura-Shi | 2015-10-08 / 20150283588 - ULTRASONIC CLEANING APPARATUS AND ULTRASONIC CLEANING METHOD | 4 |
Hiroshi Hasegawa | JP | Hino-Shi | 2010-06-03 / 20100134622 - IMAGING SYSTEM | 1 |
Satoshi Hasegawa | JP | Tochigi | 2015-07-09 / 20150194353 - CURRENT APPLICATION DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR ELEMENT | 1 |
Nobuhiko Hasegawa | JP | Tokyo | 2011-08-04 / 20110191688 - NETWORK SYSTEM, SERVER APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Kazutoshi Hasegawa | JP | Toyota-Shi | 2009-10-29 / 20090267369 - PEDESTRIAN PROTECTION APPARATUS FOR VEHICLE | 1 |
Mamoru Hasegawa | JP | Ibaraki | 2015-06-25 / 20150174198 - GENE TRANSFER INTO AIRWAY EPITHELIAL STEM CELL BY USING LENTIVIRAL VECTOR PSEUDOTYPED WITH RNA VIRUS OR DNA VIRUS SPIKE PROTEIN | 21 |
Takanori Hasegawa | JP | Chiba-Shi | 2015-02-05 / 20150039263 - ELECTRONIC APPARATUS AND PROGRAM | 34 |
Eri Hasegawa | JP | Tokyo | 2014-04-24 / 20140113381 - METHOD AND APPARATUS FOR MEASURING BROMATE IONS | 2 |
Kouichi Hasegawa | JP | Aichi | 2015-04-23 / 20150108818 - POWER SEAT DEVICE | 3 |
Tomio Hasegawa | JP | Hagagun | 2009-02-26 / 20090049886 - PRESS FORMING DIE ASSEMBLY | 1 |
Jun Hasegawa | JP | Akashi | 2012-12-13 / 20120317230 - NETWORK APPARATUS, TRANSMISSION DESTINATION INQUIRING METHOD, AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM | 3 |
Keigo Hasegawa | JP | Tokyo | 2016-05-12 / 20160135196 - WIRELESS COMMUNICATION SYSTEM | 10 |
Yasuchika Hasegawa | JP | Nara-Shi | 2009-01-15 / 20090015919 - Nanosized Euse Crystal and Manufacturing Method Thereof | 1 |
Masami Hasegawa | JP | Tokyo | 2014-03-27 / 20140084608 - VEHICLE FRONT STRUCTURE | 3 |
Akira Hasegawa | JP | Chiba | 2012-04-19 / 20120095169 - POLYLACTIC ACID-BASED RESIN, PROCESS FOR PRODUCING POLYLACTIC ACID-BASED RESIN, POLYLACTIC ACID RESIN COMPOSITION, STEREOCOMPLEX POLYLACTIC ACID RESIN COMPOSITION AND PROCESS FOR PRODUCING STEREOCOMPLEX POLYLACTIC ACID RESIN COMPOSITION | 2 |
Norihiko Hasegawa | JP | Aichi | 2014-07-03 / 20140187139 - VENTILATION DEVICE | 3 |
Hisakazu Hasegawa | JP | Atsugi-Shi | 2009-06-18 / 20090158469 - HERBICIDE-RESISTANCE GENE AND UTILIZATION THEREOF | 1 |
Akihiro Hasegawa | JP | Nagoya | 2013-09-19 / 20130244405 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hideo Hasegawa | JP | Saitama | 2011-08-04 / 20110189343 - NANO-SIZED LACTIC ACID BACTERIA | 1 |
Akihiro Hasegawa | JP | Chiba-City | 2012-09-27 / 20120245081 - FAS PEPTIDE MIMETICS AND USES THEREOF | 1 |
Akihiro Hasegawa | JP | Yamaguchi | 2013-08-29 / 20130224111 - Inhibition Of CD69 For Treatment Of Inflammatory Conditions | 2 |
Koyu Hasegawa | JP | Sagamihara-City | 2010-01-07 / 20100000469 - DEPOSITION APPARATUS FOR ORGANIC EL AND EVAPORATING APPARATUS | 1 |
Akihiro Hasegawa | JP | Hashima-Shi | 2008-12-25 / 20080315337 - Light receiving element | 3 |
Akihiro Hasegawa | JP | Niigata | 2010-02-11 / 20100032339 - COVER BODY AND SUBSTRATE RECEIVING CONTAINER | 2 |
Jun Hasegawa | JP | Kariya-City | 2009-12-10 / 20090301441 - FUEL SUPPLY APPARATUS | 1 |
Kiyoshi Hasegawa | JP | Kanagawa-Ken | 2012-04-19 / 20120094063 - PRESPRAY PROCESSED FORM, PRESPRAY PROCESSING METHOD, AND PRESPRAY PROCESSING APPARATUS | 1 |
Jun Hasegawa | JP | Hino-Shi | 2016-04-14 / 20160100772 - MEDICAL INSTRUMENT | 11 |
Shohei Hasegawa | JP | Inagi | 2012-12-06 / 20120308127 - CHARACTER RECOGNITION METHOD, CHARACTER RECOGNITION APPARATUS, AND CHARACTER RECOGNITION PROGRAM | 4 |
Takashi Hasegawa | JP | Otsu-Shi | 2012-04-19 / 20120094106 - LAYERED PRODUCT | 1 |
Jun Hasegawa | JP | Osaka | 2016-01-07 / 20160005069 - Advertising Medium Determination Device and Method Therefor | 4 |
Yuki Hasegawa | JP | Yokohama-Shi | 2015-10-01 / 20150277254 - METHOD OF PRODUCING A COMPOUND HAVING A COLORANT STRUCTURE, AND TONER CONTAINING A COMPOUND OBTAINED BY THE PRODUCTION METHOD | 20 |
Atsuhiko Hasegawa | JP | Tokyo | 2010-06-03 / 20100136558 - CANINE CD20 GENE | 2 |
Jun Hasegawa | JP | Ichinoseki | 2011-02-03 / 20110025895 - A/D CONVERTER UNIT FOR IMAGE SENSOR | 1 |
Jun Hasegawa | JP | Okazaki-Shi | 2015-09-10 / 20150251691 - ELECTRIC POWER STEERING DEVICE | 7 |
Yusuke Hasegawa | JP | Suntou-Gun | 2015-08-13 / 20150227068 - MAGNETIC TONER | 21 |
Akira Hasegawa | JP | Ibaraki | 2012-02-16 / 20120040107 - GAS-BARRIER MULTILAYER FILM | 7 |
Saburo Hasegawa | JP | Toyota | 2010-01-21 / 20100014940 - Bolt, the axial force of which is to be determined by a supersonic wave, and a method for the manufacturing thereof | 1 |
Jun Hasegawa | JP | Komaki-City | 2011-08-11 / 20110196594 - CONTROLLER FOR FUEL INJECTION SYSTEM | 3 |
Hironobu Hasegawa | JP | Tokyo | 2008-11-27 / 20080294873 - Microcomputer | 1 |
Mitsuru Hasegawa | JP | Kashiwa-Shi | 2010-08-12 / 20100202810 - IMAGE HEATING APPARATUS | 1 |
Yuki Hasegawa | JP | Kawasaki | 2015-07-02 / 20150186059 - MEMORY MANAGEMENT PROGRAM, MEMORY MANAGEMENT METHOD, AND MEMORY MANAGEMENT DEVICE | 1 |
Atsushi Hasegawa | JP | Niigata | 2012-01-05 / 20120001981 - VARIABLE PRINTING MEDIUM HAVING HIGH GLOSSINESS, AND RECORDING METHOD | 1 |
Tomohiko Hasegawa | JP | Nagoya-Shi | 2014-07-03 / 20140185104 - IMAGE PROCESSING DEVICE AND COMPUTER-READABLE STORAGE MEDIUM STORING COMPUTER-READABLE INSTRUCTIONS | 21 |
Eisaku Hasegawa | JP | Kanuma-Shi | 2012-03-29 / 20120074113 - SPOT WELDING METHOD AND SPOT WELDING APPARATUS | 4 |
Jun Hasegawa | JP | Kurokawa-Gun | 2009-01-22 / 20090021630 - SOLID STATE IMAGING ELEMENT AND DRIVING METHOD THEREOF | 1 |
Jun Hasegawa | KR | Seongnam-Si | 2008-09-11 / 20080219513 - PRINT QUALITY EVALUATION APPARATUS AND METHOD OF PRINT QUALITY EVALUATION | 1 |
Kazuo Hasegawa | JP | Nagakute-Shi | 2015-07-02 / 20150185244 - RADAR APPARATUS AND METHOD OF DETERMINING SIGN OF VELOCITY | 1 |
Hiroyuki Hasegawa | JP | Ibaraki-Ken | 2014-10-23 / 20140313706 - ELECTRIC DEVICE OUTPUTTING LIGHT, WIND, HEAT OR SOUND | 1 |
Teruyoshi Hasegawa | JP | Osaka | 2013-01-10 / 20130012619 - EPOXY RESIN COMPOSITION FOR ELECTRONIC COMPONENT ENCAPSULATION AND ELECTRONIC COMPONENT DEVICE USING THE SAME | 1 |
Takao Hasegawa | JP | Osaka-Shi | 2010-03-25 / 20100073317 - COORDINATE POSITION DETECTING DEVICE | 1 |
Jun Hasegawa | JP | Hino-Shi | 2016-04-14 / 20160100772 - MEDICAL INSTRUMENT | 11 |
Ken Hasegawa | JP | Kanagawa | 2015-09-10 / 20150252204 - BLACK INK FOR INKJET RECORDING, INK CARTRIDGE, AND INKJET RECORDING DEVICE | 1 |
Takuya Hasegawa | JP | Yokohama-Shi, Kanagawa | 2015-11-26 / 20150337445 - WATER ELECTROLYSIS SYSTEM | 1 |
Eisaku Hasegawa | JP | Tochigi | 2013-03-07 / 20130056451 - ONE-SIDE SPOT WELDING METHOD AND ONE-SIDE SPOT WELDING APPARATUS | 8 |
Gaku Hasegawa | JP | Kyoto | 2016-05-05 / 20160120417 - SOLENOID VALVE AND ELECTRONIC BLOOD PRESSURE MONITOR EQUIPPED WITH THE SAME | 2 |
Takehiko Hasegawa | JP | Osaka | 2016-03-24 / 20160086696 - METHOD FOR FORMING ELECTRICAL CONNECTION STRUCTURE PART, METHOD FOR PRODUCING ALUMINUM WIRE WITH TERMINAL, ELECTRICAL CONNECTION STRUCTURE PART, MOTOR PROVIDED WITH ELECTRICAL CONNECTION STRUCTURE PART, AND ELECTRICAL DEVICE PROVIDED WITH MOTOR PROVIDED WITH ELECTRICAL CONNECTION STRUCTURE PART, ALUMINUM WIRE WITH TERMINAL, MOTOR PROVIDED WITH ALUMINUM WIRE WITH TERMINAL, AND ELECTRICAL DEVICE PROVIDED WITH MOTOR PROVIDED WITH ALUMINUM WIRE WITH TERMINAL | 9 |
Akira Hasegawa | JP | Tokyo | 2015-11-26 / 20150336083 - Porous Alumina Material, Process for Producing Same, and Catalyst | 7 |
Fumiki Hasegawa | JP | Tokyo | 2011-07-28 / 20110183693 - BASE STATION DEVICE AND MOBILE COMMUNICATION SYSTEM | 1 |
Keiichi Hasegawa | JP | Tokyo | 2015-03-12 / 20150073928 - COMMODITY SALES DATA PROCESSING APPARATUS AND COMMODITY SALES DATA PROCESSING METHOD | 5 |
Minoru Hasegawa | JP | Fujisawa | 2015-10-01 / 20150281670 - Reproducing Apparatus And Reproducing Method | 9 |
Michiharu Hasegawa | JP | Tokyo | 2012-03-08 / 20120058004 - METAL MOLD REPAIR METHOD AND METAL MOLD REPAIR PASTE AGENT | 2 |
Michiharu Hasegawa | JP | Mie-Ken | 2009-12-24 / 20090314448 - Method for production of metal material | 1 |
Shin Hasegawa | JP | Kanagawa | 2016-03-03 / 20160062123 - IMAGE DISPLAY DEVICE AND DISPLAY APPARATUS | 10 |
Masami Hasegawa | JP | Kamakura-Shi | 2010-07-15 / 20100179326 - BENZAMIDE DERIVATIVE | 2 |
Taro Hasegawa | JP | Kanagawa | 2011-10-27 / 20110261855 - OPTICAL SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING OPTICAL SEMICONDUCTOR DEVICE | 1 |
Shigeki Hasegawa | JP | Shizuoka-Ken | 2011-08-18 / 20110200896 - FUEL CELL SYSTEM AND OPERATING METHOD FOR A FUEL CELL | 1 |
Shigeki Hasegawa | JP | Gotemba-Shi | 2013-10-31 / 20130288152 - METHOD FOR MANUFACTURING MEMBRANE ELECTRODE ASSEMBLY AND SOLID POLYMER ELECTROLYTE FUEL CELL | 5 |
Shigeru Hasegawa | JP | Tokyo | 2016-03-17 / 20160079142 - SEMICONDUCTOR DEVICE | 4 |
Masami Hasegawa | JP | Kanagawa | 2010-03-18 / 20100069629 - PYRIMIDINE DERIVATIVES AS PI3K INHIBITOR AND USE THEREOF | 1 |
Yuichi Hasegawa | JP | Yokohama | 2011-08-18 / 20110201229 - Card Connector | 2 |
Takayuki Hasegawa | JP | Tokyo | 2013-01-31 / 20130028787 - STERILIZATION AUXILIARY FOR OZONE STERILIZATION AND OZONE STERILIZATION METHOD | 1 |
Masayuki Hasegawa | JP | Ichihara-Shi | 2011-12-29 / 20110319578 - TRANSITION METAL COMPOUND AND CATALYST FOR OLEFIN POLYMERIZATION | 1 |
Hitoshi Hasegawa | JP | Osaka-Shi | 2013-09-12 / 20130235277 - DISPLAY DEVICE AND TELEVISION RECEIVER | 1 |
Syo Hasegawa | JP | Chiryu-Shi | 2011-07-28 / 20110181143 - STATOR FOR USE IN ELECTRIC ROTATING MACHINE | 1 |
Shigeki Hasegawa | JP | Sunto-Gun | 2015-06-25 / 20150180047 - ELECTRODE FOR FUEL CELL AND PRODUCTION METHOD OF ELECTRODE FOR FUEL CELL, MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL | 2 |
Shigeki Hasegawa | JP | Syuntoō-Gun | 2014-10-30 / 20140318696 - METHOD FOR PRODUCING MEMBRANE ELECTRODE ASSEMBLY | 1 |
Yuichi Hasegawa | JP | Niigata-Shi | 2009-12-24 / 20090319087 - Method for Controlling Cooled or Heated Water Pump of Air Conditioning Installation | 1 |
Minoru Hasegawa | JP | Yokohama-Shi | 2011-05-12 / 20110109525 - Antenna device and wireless communication apparatus having the same | 1 |
Taro Hasegawa | JP | Fukui | 2009-05-28 / 20090133546 - Spindle Apparatus | 1 |
Hirotaka Hasegawa | JP | Shizuoka | 2016-02-04 / 20160036154 - CONNECTOR | 2 |
Masami Hasegawa | JP | Kunitachi-Shi | 2014-01-23 / 20140020833 - SUBSTRATE PROCESSING APPARATUS | 3 |
Masayuki Hasegawa | JP | Oshu-Shi | 2012-06-21 / 20120156888 - SLIMMING METHOD OF CARBON-CONTAINING THIN FILM AND OXIDATION APPARATUS | 2 |
Masataka Hasegawa | JP | Tsukuba-Shi | 2014-03-20 / 20140079910 - CARBON FILM LAMINATE, METHOD OF MANUFACTURING SAID LAMINATE, AND LUBRICANT USING SAID LAMINATE | 8 |
Masayuki Hasegawa | JP | Niigata | 2016-01-07 / 20160002052 - METHOD FOR PRODUCING TRICHLOROSILANE | 2 |
Masayuki Hasegawa | JP | Nirasaki-Shi | 2011-06-02 / 20110129619 - FILM FORMATION METHOD AND APPARATUS | 3 |
Masayuki Hasegawa | JP | Iwate | 2015-12-03 / 20150345015 - FILM DEPOSITION APPARATUS | 1 |
Takashi Hasegawa | JP | Hachioji | 2008-11-06 / 20080273705 - Broadcasting method and broadcast receiver | 1 |
Yoshio Hasegawa | JP | Chiryu-Shi | 2015-10-01 / 20150276051 - VEHICLE TRANSMISSION CONTROL DEVICE | 20 |
Kenji Hasegawa | JP | Tokyo | 2015-07-30 / 20150212506 - CONTROLLER, MANAGER, PLANT CONTROL SYSTEM, AND DATA PROCESSING METHOD | 25 |
Junichi Hasegawa | JP | Neyagawa-Shi | 2011-07-14 / 20110170073 - IMAGE PROJECTOR | 2 |
Yukiko Hasegawa | JP | Yokohama-Shi | 2011-02-10 / 20110033624 - PROCESS FOR PRODUCING CIGARETTE PAPER HAVING LOW IGNITION PROPENSITY | 2 |
Junichi Hasegawa | JP | Kariya-Shi | 2015-02-26 / 20150055831 - APPARATUS AND METHOD FOR RECOGNIZING A LANE | 3 |
Junichi Hasegawa | JP | Kariya-City | 2009-04-23 / 20090105912 - Automatic optical axis direction adjusting apparatus for vehicles | 1 |
Junichi Hasegawa | JP | Aichi | 2011-08-25 / 20110203521 - MASKING MATERIAL FOR JIG FOR COATING | 3 |
Junichi Hasegawa | JP | Kanagawa | 2012-10-04 / 20120252539 - PORTABLE ELECTRONIC DEVICE AND METHOD FOR CONTROLLING PORTABLE ELECTRONIC DEVICE | 4 |
Junichi Hasegawa | JP | Kashiwara | 2011-06-23 / 20110149543 - PRINTED WIRING BOARD CONNECTION STRUCTURE | 4 |
Takemi Hasegawa | JP | Yokohama-Shi | 2015-11-19 / 20150331191 - OPTICAL PROBE | 14 |
Kenichi Hasegawa | JP | Kawasaki-Shi | 2011-07-21 / 20110176822 - IMAGE FIXING APPARATUS STABLY CONTROLLING A FIXING TEMPERATURE, AND IMAGE FORMING APPARATUS USING THE SAME | 1 |
Junichi Hasegawa | JP | Kashiwara-Shi | 2011-03-31 / 20110074310 - DISCHARGE LAMP LIGHTING APPARATUS | 1 |
Takashi Hasegawa | JP | Yokohama-Shi | 2008-11-27 / 20080291647 - PORTABLE ELECTRONIC DEVICE | 1 |
Junichi Hasegawa | JP | Aichi-Ken | 2010-05-06 / 20100112281 - Protector | 1 |
Junichi Hasegawa | JP | Osaka | 2015-10-08 / 20150288403 - ELECTRONIC DEVICE AND METHOD OF ASSEMBLING THE SAME | 15 |
Yoshimichi Hasegawa | JP | Yokohama-Shi | 2010-10-21 / 20100265076 - OPTICAL TRANSMITTER MODULE AND OPTICAL BI-DIRECTIONAL MODULE WITH FUNCTION TO MONITOR TEMPERATURE INSIDE OF PACKAGE AND METHOD FOR MONITORING TEMPERATURE | 1 |
Takeshi Hasegawa | JP | Aioi-Shi | 2015-04-30 / 20150118036 - FAN CASE FOR AIRCRAFT ENGINE | 2 |
Junichi Hasegawa | JP | Yokohama-Shi | 2014-01-30 / 20140032075 - PARKING LOCK CONTROL DEVICE FOR VEHICLE AND CONTROL METHOD | 9 |
Koichi Hasegawa | JP | Nagoya-Shi | 2015-07-09 / 20150191082 - VEHICLE CONTROL DEVICE | 3 |
Masami Hasegawa | JP | Yokohama-Shi | 2009-06-04 / 20090143950 - CONTROL APPARATUS OF A HYBRID VEHICLE AND METHOD FOR CONTROLLING THE SAME | 2 |
Takami Hasegawa | JP | Yokohama-Shi | 2014-07-10 / 20140192190 - MONITORING CAMERA APPARATUS | 2 |
Hirohiko Hasegawa | JP | Ehime | 2009-11-05 / 20090274944 - METHOD FOR PRODUCING POLYMER ELECTROLYTE MEMBRANE, POLYMER ELECTROLYTE MEMBRANE AND DIRECT METHANOL FUEL CELL | 1 |
Hirohiko Hasegawa | JP | Niihama-Shi | 2015-06-04 / 20150155541 - SEPARATOR PRODUCTION METHOD AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 10 |
Nobumasa Hasegawa | JP | Yokohama-Shi | 2010-08-12 / 20100201449 - AMPLIFIER | 1 |
Toshinori Hasegawa | JP | Yokohama-Shi | 2013-04-04 / 20130082246 - DISPLAY UNIT | 11 |
Katsushi Hasegawa | JP | Kanagawa-Ken | 2012-04-26 / 20120099694 - FAST REACTOR | 1 |
Kiyotaka Hasegawa | JP | Yokohama-Shi | 2013-11-28 / 20130315850 - WHITENING AGENT, ANTI-AGING AGENT, AND ANTIOXIDANT AGENT | 5 |
Noriyasu Hasegawa | JP | Tochigi-Ken | 2009-11-12 / 20090279058 - EXPOSURE APPARATUS | 1 |
Tetsu Hasegawa | JP | Yokohama-Shi | 2012-09-06 / 20120226953 - SEMICONDUCTOR INTEGRATED CIRCUIT, DESIGN APPARATUS AND DESIGN METHOD | 3 |
Kazuhide Hasegawa | JP | Yokohama-Shi | 2014-10-23 / 20140313544 - PRINTING SYSTEM, SERVER, INFORMATION PROCESSING APPARATUS, IMAGE PROCESSING APPARATUS, PRINTING CONTROL METHOD AND STORAGE MEDIUM STORING PROGRAM | 5 |
Takehiro Hasegawa | JP | Yokohama-Shi | 2008-09-04 / 20080212373 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE WITH A STACKED GATE INCLUDING A FLOATING GATE AND A CONTROL GATE | 1 |
Yuya Hasegawa | JP | Nara | 2010-10-21 / 20100266358 - CHIP DISCHARGE DEVICE FOR MACHINE TOOL | 1 |
Yuya Hasegawa | JP | Kyoto-Shi | 2009-04-23 / 20090103704 - VOICE INFORMATION PROCESSING DEVICE AND WIRING SYSTEM USING THE SAME DEVICE | 1 |
Hirokazu Hasegawa | JP | Tokyo | 2016-03-17 / 20160080597 - IMAGING DEVICE FOR IMAGING PAGES OF BOOK, METHOD THEREFOR, AND RECORDING MEDIUM | 11 |
Yuhko Hasegawa | JP | Tokyo | 2016-05-12 / 20160132255 - Average Response Time Improvement From a File System for a Tape Library | 2 |
Yoshio Hasegawa | JP | Kanagawa | 2015-07-02 / 20150185975 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM | 2 |
Masaichi Hasegawa | JP | Tsukuba | 2010-08-12 / 20100204196 - 2-[2--1H-Pyrrolo[2,3-D]Pyrimidin-4-YL)Amino] Benzamide Derivatives As IGF-1R Inhibitors For The Treatment Of Cancer | 1 |
Masaichi Hasegawa | JP | Ibaraki | 2009-10-29 / 20090270456 - Novel chemical compounds | 1 |
Masahiro Hasegawa | JP | Toyohashi-Shi | 2011-07-21 / 20110173803 - SELF-PIERCING RIVET SETTING MACHINE | 1 |
Hiroshi Hasegawa | JP | Sakai City | 2013-06-20 / 20130154446 - HUB SHELL FOR BICYCLE GENERATOR HUB | 1 |
Masami Hasegawa | JP | Ome | 2008-10-30 / 20080266937 - SEMICONDUCTOR DEVICE | 1 |
Takashi Hasegawa | JP | Kosai-City | 2009-04-16 / 20090094773 - Wiper system | 2 |
Kazuhiko Hasegawa | JP | Kanagawa | 2015-12-10 / 20150357231 - Method of Manufacturing Semiconductor Device | 2 |
Jun Hasegawa | SG | Singapore | 2015-06-11 / 20150157550 - Conditioner Compositions with Increased Deposition of Polyacrylate Microcapsules | 1 |
Tadao Hasegawa | JP | Toyota-Shi | 2010-07-08 / 20100170461 - VARIABLE VALVE TIMING APPARATUS | 1 |
Norio Hasegawa | JP | Tokyo | 2016-01-07 / 20160005157 - Pattern-Measuring Apparatus and Semiconductor-Measuring System | 12 |
Kenzo Hasegawa | JP | Yokohama-City | 2009-07-02 / 20090166309 - Hoisting device with vertical motion compensation function | 1 |
Takashi Hasegawa | JP | Akita | 2009-06-25 / 20090161254 - MAGNETIC RECORDING MEDIUM, PRODUCTION METHOD THEREOF AND MAGNETIC DISC APPARATUS | 1 |
Kazunori Hasegawa | JP | Saitama | 2014-10-30 / 20140320110 - MEASURING APPARATUS, MEASURING METHOD, AND MEASURING PROGRAM | 1 |
Kenji Hasegawa | JP | Yokohama-Shi | 2015-07-02 / 20150184686 - BOLT | 2 |
Takeyuki Hasegawa | JP | Osaka | 2015-04-16 / 20150103191 - IMAGING APPARATUS AND DETECTING APPARATUS | 2 |
Ryo Hasegawa | JP | Kanagawa | 2016-04-14 / 20160103404 - TRANSFER UNIT AND IMAGE FORMING APPARATUS INCLUDING SAME | 5 |
Toshiyuki Hasegawa | JP | Amagasaki-Shi | 2009-04-16 / 20090098961 - Internal Combustion Engine, Vehicle Power Transmission System and Lawn Tractor Equipped With Them | 2 |
Toshiyuki Hasegawa | JP | Hyogo | 2011-02-17 / 20110039653 - Differential System | 7 |
Toshiyuki Hasegawa | JP | Nara | 2008-10-09 / 20080249220 - Photosemiconductor encapsulating resin composition | 1 |
Akira Hasegawa | JP | Tsukuba-Shi | 2015-02-12 / 20150044454 - LAMINATED FILM, ORGANIC ELECTROLUMINESCENCE DEVICE, PHOTOELECTRIC CONVERTER, AND LIQUID CRYSTAL DISPLAY | 6 |
Takashi Hasegawa | JP | Kanagawa | 2016-04-21 / 20160112465 - TRANSMISSION SYSTEM, COMMUNICATIONS CONTROL APPARATUS, COMMUNICATIONS CONTROL METHOD, COMMUNICATIONS METHOD, AND RECORDING MEDIUM | 10 |
Hiroshi Hasegawa | JP | Kobe-Shi | 2016-05-19 / 20160136492 - GRIP FOR SPORTING GOODS AND GOLF CLUB | 20 |
Tomohiko Hasegawa | JP | Okazaki-Shi | 2016-03-31 / 20160094755 - IMAGE PROCESSING DEVICE GENERATING COMBINED IMAGED DATA BY USING FIRST IMAGE DATA AND SECOND IMAGE DATA | 29 |
Yuichi Hasegawa | JP | Nagoya-Shi | 2011-05-05 / 20110100151 - PUSH-PULL CABLE | 1 |
Tomonaga Hasegawa | JP | Matsumoto | 2015-09-24 / 20150266291 - PRINTING CONTROL APPARATUS AND PRINTING CONTROL METHOD | 2 |
Toshinori Hasegawa | JP | Yokohama-Shi | 2013-04-04 / 20130082246 - DISPLAY UNIT | 11 |
Tomohide Hasegawa | JP | Kokubu-Shi | 2011-07-14 / 20110169037 - Wiring Board for Light-Emitting Element | 1 |
Izumi Hasegawa | JP | Kanagawa | 2016-04-21 / 20160111833 - Electrical Connector | 4 |
Takashi Hasegawa | JP | Ibaraki-Ken | 2010-11-18 / 20100290064 - PRINTING MACHINE AND EJECTION CONTROL METHOD FOR THE SAME | 1 |
Kouichi Hasegawa | US | Pasadena | 2012-12-27 / 20120329152 - INDUCTION, PROPAGATION AND ISOLATION OF LIVER PROGENITOR CELLS | 3 |
Tetsuya Hasegawa | JP | Tokorozawa-City | 2008-12-18 / 20080308833 - Group III nitride-based compound semiconductor light-emitting device | 1 |
Akihiro Hasegawa | US | San Diego | 2011-02-10 / 20110033388 - IMAGEABLE RODENT MODEL OF ASTHMA | 1 |
Arlene Hasegawa | US | San Diego | 2010-10-28 / 20100271196 - SYSTEMS AND METHODS FOR DETERMINING A SPEED LIMIT VIOLATION | 1 |
Tom Hiroshi Hasegawa | US | Gardena | 2015-10-01 / 20150276231 - Gas Range | 7 |
Ryusuke Hasegawa | US | Morristown | 2013-05-30 / 20130134825 - METHOD OF CONSTRUCTING CORE WITH TAPERED POLE PIECES AND LOW-LOSS ELECTRICAL ROTATING MACHINE WITH SAID CORE | 8 |
Tetsuya Hasegawa | JP | Saitama-Ken | 2010-02-11 / 20100035082 - INTERNAL GEAR PUMP | 1 |
Mari Hasegawa | US | Menlo Park | 2010-04-08 / 20100088132 - MERGER AND ACQUISITION DATA VALIDATION | 1 |
Philip A. Hasegawa | US | Doylestown | 2009-06-18 / 20090156579 - Combination of a Dipeptidyl Peptidase-4 Inhibitor and an Anti-Hypertensive Agent for the Treatment of Diabetes and Hypertension | 1 |
Takashi Hasegawa | JP | Sakai-Shi | 2010-05-06 / 20100108791 - PULVERIZER | 1 |
Paul M. Hasegawa | US | West Lafayette | 2008-12-11 / 20080307542 - Activation of the arabidopsis hypertall (HYT1/YUCCA6) locus affects several auxin mediated responses | 1 |
Tetsuya Hasegawa | JP | Anjo-Shi | 2011-09-08 / 20110214298 - ENGINE-DRIVEN CUTTER | 2 |
Keisuke Hasegawa | US | Eugene | 2008-09-11 / 20080218887 - Broadband asymmetric mirrors | 1 |
Takashi Hasegawa | JP | Omihachiman-Shi | 2010-05-27 / 20100127794 - NON-RECIPROCAL CIRCUIT DEVICE | 3 |
Tetsuya Hasegawa | JP | Nagano | 2014-07-31 / 20140214300 - BAR-HANDLE VEHICLE BRAKE CONTROL APPARATUS | 1 |
Tetsuya Hasegawa | JP | Numazu-Shi | 2012-08-16 / 20120204840 - ENGINE | 6 |
Takahiro Hasegawa | JP | Aichi | 2012-05-03 / 20120102687 - CLIP | 1 |
Akira Hasegawa | JP | Hachinohe-Shi | 2013-12-05 / 20130324392 - Porous Alumina Material, Process for Producing Same, and Catalyst | 1 |
Toshikazu Hasegawa | JP | Chiba | 2015-06-04 / 20150150418 - Rolling Mat | 1 |
Takashi Hasegawa | JP | Oumihachiman-Shi | 2010-07-29 / 20100188161 - NON-RECIPROCAL CIRCUIT DEVICE | 2 |
Takashi Hasegawa | JP | Tokyo | 2015-12-31 / 20150374036 - NON-BURNING TYPE FLAVOR INHALER | 17 |
Sakichi Hasegawa | JP | Kanagawa | 2011-07-07 / 20110166535 - VISION CORRECTIVE JIG AND COOLING FLUID INJECTION TOOL FOR THE JIG | 1 |
Tokuichiro Hasegawa | JP | Kanagawa | 2011-07-07 / 20110166535 - VISION CORRECTIVE JIG AND COOLING FLUID INJECTION TOOL FOR THE JIG | 1 |
Takeshi Hasegawa | JP | Aizumi | 2012-05-24 / 20120130082 - 1-Substituted-4-Nitroimidazole Compound and Method for Preparing the Same | 2 |
Takeshi Hasegawa | JP | Yonezawa-Shi | 2009-05-21 / 20090127746 - HEAT TREATMENT JIG AND HEAT TREATMENT METHOD FOR SILICON WAFER | 1 |
Takeshi Hasegawa | JP | Fukushima | 2009-07-02 / 20090170895 - S1P3 RECEPTOR ANTAGONIST | 1 |
Takeshi Hasegawa | JP | Tokyo | 2015-08-06 / 20150222856 - HANDPIECE WITH BUILT-IN CAMERA AND DENTAL TREATMENT METHOD USING HANDPIECE | 4 |
Takeshi Hasegawa | JP | Saitama | 2013-03-14 / 20130063393 - FUNCTIONAL LAMINATED PLATE, AND TRANSPARENT ELECTRICALLY CONDUCTIVE LAMINATED PLATE FOR TOUCH PANEL AND TOUCH PANEL PRODUCED USING SAME | 4 |
Takeshi Hasegawa | JP | Hyogo | 2010-12-30 / 20100327853 - PHASE LOCKED LOOP FOR CONTROLLING MOTOR AND SPINDLE MOTOR USING THE SAME | 1 |
Takeshi Hasegawa | JP | Osaka | 2011-04-07 / 20110083007 - RECORDING DEVICE AND METHOD FOR ACTIVATING THE SAME | 1 |
Hirohito Hasegawa | JP | Aichi | 2010-06-24 / 20100160191 - Lubricating Composition | 1 |
Masayoshi Hasegawa | JP | Aichi | 2009-11-19 / 20090283571 - METHOD OF ENHANCING FATIGUE STRENGTH OF FRICTION WELDED JOINT WITH BURRS | 1 |
Shinji Hasegawa | JP | Aichi | 2009-06-25 / 20090162581 - Resin Member Fitting Structure and Passenger Compartment Antenna Device | 2 |
Morihito Hasegawa | JP | Nagoya | 2014-07-31 / 20140213251 - WIRELESS COMMUNICATION CONTROLLING DEVICE, WIRELESS COMMUNICATION SYSTEM, AND WIRELESS COMMUNICATION CONTROLLING METHOD | 2 |
Miki Hasegawa | JP | Aichi | 2009-03-26 / 20090078449 - Dielectric sheet | 1 |
Sadayoshi Hasegawa | JP | Aichi | 2011-12-29 / 20110318136 - FEMALE SCREW COMPONENT AND FASTENING COMPONENT UTILIZING THE SAME | 2 |
Hajime Hasegawa | JP | Aichi | 2011-02-17 / 20110039188 - FUEL CELL | 1 |
Masaki Hasegawa | JP | Osaka | 2016-02-18 / 20160049692 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 22 |
Naoto Hasegawa | JP | Aichi | 2010-09-09 / 20100225536 - MOBILE UNIT POSITIONING DEVICE | 3 |
Takashi Hasegawa | JP | Osaka | 2015-12-31 / 20150380700 - BATTERY BLOCK | 4 |
Takahiko Hasegawa | JP | Isehara-Shi | 2011-07-07 / 20110164386 - HEAT-RELEASE CONFIGURATION, BRACKET FOR SUPPORTING HEAT-RELEASE PLATE AND METHOD OF ASSEMBLING HEAT-RELEASE CONFIGURATION | 1 |
Takayo Hasegawa | JP | Tokyo | 2013-04-04 / 20130085071 - OXIDE SUPERCONDUCTOR CABLING AND METHOD OF MANUFACTURING OXIDE SUPERCONDUCTOR CABLING | 5 |
Tsuyoshi Hasegawa | JP | Tokyo | 2012-03-29 / 20120075479 - Millimeter Wave Imaging Apparatus and Program | 4 |
Shinya Hasegawa | JP | Makinohara-Shi | 2013-01-24 / 20130023812 - Blood Purification Apparatus | 2 |
Akira Hasegawa | JP | Ichikawa-Shi | 2008-11-06 / 20080272190 - AUTOMATED TELLER MACHINE SYSTEM AND METHOD AND RELAY CENTER | 1 |
Tom Hiroshi Hasegawa | US | 2014-11-20 / 20140342644 - Blade Sharpening Stand | 1 | |
Satoshi Hasegawa | JP | Shizuoka | 2012-05-03 / 20120107003 - IMAGE FORMING APPARATUS AND METHOD | 1 |
Shinya Hasegawa | JP | Kanagawa | 2014-11-20 / 20140338369 - Thermoacoustic Engine | 1 |
Kohei Hasegawa | JP | Tokyo | 2015-11-12 / 20150322552 - HIGH STRENGTH COLD ROLLED STEEL SHEET WITH LOW YIELD RATIO AND METHOD OF MANUFACTURING THE SAME | 10 |
Mamoru Hasegawa | JP | Kamiina | 2008-10-30 / 20080269614 - CAPACITIVE MICROMACHINED ULTRASONIC TRANSDUCER (cMUT) DEVICE AND METHOD OF CONTROLLING THE SAME | 1 |
Keiichi Hasegawa | JP | Yokohama-Shi | 2012-11-29 / 20120300074 - DETECTION APPARATUS AND DETECTION METHOD | 1 |
Mamoru Hasegawa | JP | Kamiina-Gun | 2014-05-08 / 20140128741 - ULTRASOUND ELEMENT AND ULTRASOUND ENDOSCOPE | 8 |
Mamoru Hasegawa | JP | Tokyo | 2009-10-01 / 20090247705 - RUBBER COMPOSITION, CROSSLINKED RUBBER AND MOLDED ARTICLE | 1 |
Yasushi Hasegawa | JP | Tokyo | 2016-03-03 / 20160061210 - TURBO COMPRESSOR AND TURBO CHILLER USING SAME | 13 |
Akio Hasegawa | JP | Kyoto | 2015-05-28 / 20150148921 - WIRELESS DEVICE, CONTROLLED DEVICE CONTROLLED BY THE SAME, CONTROL SYSTEM INCLUDING A WIRELESS DEVICE AND CONTROLLED DEVICE, AND PROGRAM FOR CAUSING A COMPUTER IN A WIRELESS DEVICE TO CONTROL A CONTROLLED DEVICE | 1 |
Mamoru Hasegawa | JP | Mie | 2009-04-16 / 20090099293 - FLAME RETARDANT RUBBER COMPOSITION AND OBTAINED THEREFROM, RUBBER PRODUCT AND ELECTRIC WIRE COATING MATERIAL | 1 |
Mamoru Hasegawa | JP | Saitama-Ken | 2008-10-23 / 20080262699 - Control system for internal combustion engine | 1 |
Masami Hasegawa | JP | Tama-City | 2012-11-29 / 20120298303 - PLASMA TREATMENT APPARATUS | 1 |
Yuichi Hasegawa | JP | Kanagawa | 2011-01-06 / 20110003513 - CARD ADAPTER | 2 |
Daisuke Hasegawa | JP | Yokohama-Shi | 2015-12-03 / 20150346387 - 1,4-BIS(ISOCYANATOMETHYL)CYCLOHEXANE, POLYISOCYANATE COMPOSITION, POLYURETHANE RESIN, MOLDED ARTICLE, EYEWEAR MATERIAL, EYEWEAR FRAME, AND LENS | 1 |
Hidenori Hasegawa | JP | Miyazaki | 2011-10-27 / 20110260334 - SEMICONDUCTOR DEVICE | 4 |
Mamoru Hasegawa | JP | Tsukuba-Shi | 2015-11-26 / 20150337334 - METHOD FOR PRODUCTION OF REPROGRAMMED CELL USING CHROMOSOMALLY UNINTEGRATED VIRUS VECTOR | 4 |
Masahiro Hasegawa | JP | Osaka-Shi | 2016-01-28 / 20160026039 - MIRROR DISPLAY, HALF MIRROR PLATE, AND ELECTRONIC DEVICE | 9 |
Akira Hasegawa | US | Saratoga | 2011-05-26 / 20110123087 - SYSTEMS AND METHODS FOR MEASUREMENT OF OBJECTS OF INTEREST IN MEDICAL IMAGES | 8 |
Kazuhiro Hasegawa | JP | Daito-Shi | 2015-09-24 / 20150270533 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Tetsuya Hasegawa | JP | Shizuoka | 2013-06-27 / 20130160728 - FOUR-STROKE ENGINE | 1 |
Yutaka Hasegawa | JP | Kanagawa | 2015-07-09 / 20150193648 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM | 10 |
Makoto Hasegawa | JP | Yokoham-Shi | 2011-12-29 / 20110316318 - Headrest Apparatus, Method of Adjusting Headrest Position, Vehicle Seat | 1 |
Shigeru Hasegawa | US | Novi | 2016-04-14 / 20160102666 - VANE PUMP AND FUEL VAPOR LEAKAGE DETECTION DEVICE USING THE SAME | 1 |
Toshiyuki Hasegawa | JP | Tokyo | 2015-08-27 / 20150242481 - COMPUTER SYSTEM, COMPUTER SYSTEM MANAGEMENT METHOD, AND PROGRAM | 1 |
Kazuki Hasegawa | JP | Ichihara-Shi | 2009-01-29 / 20090025610 - ORGANIC/INORGANIC COMPOSITE | 1 |
Kazuhiro Hasegawa | JP | Hyogo | 2015-12-24 / 20150372304 - POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 3 |
Noriyasu Hasegawa | JP | Utsunomiya-Shi | 2016-02-11 / 20160039143 - IMPRINT METHOD, IMPRINT APPARATUS, AND ARTICLE MANUFACTURING METHOD | 14 |
Tomonaga Hasegawa | JP | Matsumoto-Shi | 2015-10-29 / 20150306872 - LIQUID DISCHARGING APPARATUS AND LIQUID DISCHARGE METHOD | 1 |
Chihiro Hasegawa | JP | Ube-Shi | 2014-10-02 / 20140295084 - TRIS(DIALKYLAMIDE)ALUMINUM COMPOUND, AND METHOD FOR PRODUCING ALUMINUM-CONTAINING THIN FILM USING SAME | 2 |
Futoshi Hasegawa | JP | Osaka-Shi | 2015-04-09 / 20150099770 - CARBOXYLIC ACID COMPOUNDS | 2 |
Satomi Hasegawa | JP | Osaka | 2013-07-11 / 20130177787 - CURRENT COLLECTOR AND NONAQUEOUS SECONDARY BATTERY | 2 |
Takashi Hasegawa | JP | Kokubunji | 2013-01-17 / 20130018691 - MANAGEMENT SYSTEM AND MANAGEMENT METHODAANM YUMBE; YoshikiAACI KokubunjiAACO JPAAGP YUMBE; Yoshiki Kokubunji JPAANM HASEGAWA; TakashiAACI KokubunjiAACO JPAAGP HASEGAWA; Takashi Kokubunji JP | 1 |
Chihiro Hasegawa | JP | Yamaguchi | 2010-03-04 / 20100055313 - ORGANORUTHENIUM COMPLEX, AND METHOD FOR PRODUCTION OF RUTHENIUM THIN FILM USING THE RUTHENIUM COMPLEX | 2 |
Toshikazu Hasegawa | JP | Saitama | 2011-06-30 / 20110156387 - DUCT AND THE MANUFACTURING METHOD | 1 |
Katsuya Hasegawa | JP | Osaka-Shi | 2014-03-27 / 20140087950 - FAULT CURRENT LIMITER | 1 |
Youichi Hasegawa | JP | Kasugai-Shi | 2012-10-18 / 20120260770 - STARTER FOR VEHICLE | 5 |
Hitomi Hasegawa | JP | Yokohama | / - | 1 |
Takashi Hasegawa | JP | Tokyo | 2015-12-31 / 20150374036 - NON-BURNING TYPE FLAVOR INHALER | 17 |
Makoto Hasegawa | JP | Tokyo | 2015-05-28 / 20150146057 - NEAR-INFRARED CUT FILTER AND SOLID-STATE IMAGING DEVICE | 8 |
Kinji Hasegawa | JP | Tokyo | 2009-11-12 / 20090280310 - Melt-Extrusion Film and Stretched Film | 2 |
Kinji Hasegawa | JP | Anpachi-Gun | 2010-07-15 / 20100178483 - INSULATING FILM | 1 |
Tsuyoshi Hasegawa | JP | Moriguchi City | 2012-11-22 / 20120293743 - LIGHT EMITTING DEVICE AND LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Youichi Hasegawa | JP | Kasugai-City | 2009-05-21 / 20090127965 - ROTATIONAL ELECTRIC MACHINE HAVING FIELD WINDING FORMED BY COUPLING FORMED COIL TO BOBBIN | 1 |
Yutaka Hasegawa | JP | Nagoya | 2012-11-22 / 20120293360 - RADAR DEVICE, CALIBRATION SYSTEM AND CALIBRATION METHOD | 1 |
Hajime Hasegawa | JP | Chiba | 2009-11-26 / 20090288799 - Method of Production of Ultralow Carbon Cast Slab | 1 |
Tomomi Hasegawa | JP | Chiba | 2009-05-07 / 20090115106 - Process for producing molded object with embedded member | 2 |
Tomohiro Hasegawa | JP | Kanagawa | 2012-05-10 / 20120114258 - IMAGE DECODING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Teiichi Hasegawa | JP | Chiba | 2009-12-03 / 20090299242 - FOLDING CERVICAL VERTEBRA PROTECTIVE BAND | 1 |
Masatoshi Hasegawa | JP | Chiba | 2014-03-13 / 20140072813 - POLYAMIDE-IMIDE SOLUTION AND POLYAMIDE-IMIDE FILM | 7 |
Mamoru Hasegawa | JP | Nagano | 2014-08-21 / 20140236018 - ULTRASOUND TRANSDUCER ELEMENT AND ULTRASOUND ENDOSCOPE | 1 |
Teruaki Hasegawa | JP | Osaka | 2015-12-10 / 20150358183 - RECEIVER APPARATUS AND FREQUENCY SELECTIVITY INTERFERENCE CORRECTION METHOD | 8 |
Manabu Hasegawa | JP | Chiba | 2010-06-17 / 20100146736 - MOBILE DEVICE AND HINGE DEVICE | 1 |
Shouichi Hasegawa | JP | Toyama-Shi | 2010-01-07 / 20100003312 - EXTERNAL SKIN PATCH | 1 |
Kazuki Hasegawa | JP | Chiba | 2010-08-12 / 20100200157 - MOLDING SHEET FOR FORMING HARD COAT LAYER | 2 |
Yuki Hasegawa | JP | Hyogo | 2012-05-10 / 20120115245 - ASSAYING SUBSTRATE WITH SURFACE-ENHANCED RAMAN SCATTERING ACTIVITY | 1 |
Katsuyuki Hasegawa | JP | Hyogo | 2012-05-10 / 20120115245 - ASSAYING SUBSTRATE WITH SURFACE-ENHANCED RAMAN SCATTERING ACTIVITY | 1 |
Chiichiro Hasegawa | JP | Chiba | 2010-11-04 / 20100280163 - THERMOSETTING SILICONE RUBBER COMPOSITION | 2 |
Hikaru Hasegawa | JP | Niihama | 2009-04-23 / 20090104378 - Optical Film and Method for Manufacturing the Same | 1 |
Toshiei Hasegawa | JP | Oita-Shi | 2010-01-07 / 20100003161 - Steel for Crude Oil Tank, Method for Producing the Same, Crude Oil Tank and Corrosion Prevention Method Thereof | 1 |
Toshiei Hasegawa | JP | Tokyo | 2011-03-31 / 20110073570 - FLUX CORED WIRE FOR GAS SHIELDED ARC WELDING OF HIGH STRENGTH STEEL | 1 |
Satoshi Hasegawa | JP | Yamanashi | 2009-01-01 / 20090007000 - SEQUENCE PROGRAM EDITING APPARATUS | 1 |
Yoshiaki Hasegawa | JP | Chofu | 2015-09-24 / 20150269292 - INSOLATION INFORMATION GENERATING DEVICE, INSOLATION INFORMATION PROVIDING SYSTEM AND INSOLATION INFORMATION PROVIDING METHOD | 1 |
Takuji Hasegawa | JP | Tokyo | 2014-12-11 / 20140361182 - RADIOGRAPHIC IMAGE CONVERSION PANEL | 2 |
Munehiro Hasegawa | JP | Suita-Shi | 2011-02-24 / 20110046372 - NOVEL BORON COMPOUNDS, THEIR PRODUCTION PROCESSES, AND FUNCTIONAL ELECTRONIC DEVICES USING SAME | 1 |
Koichi Hasegawa | JP | Sakai-Shi | 2015-05-21 / 20150135686 - Working Vehicle | 1 |
Tohru Hasegawa | JP | Tokyo | 2016-05-19 / 20160140118 - INFORMATION MANAGEMENT | 21 |
Yohei Hasegawa | JP | Tokyo | 2016-03-03 / 20160064843 - ELECTRICAL CONNECTOR ASSEMBLY | 14 |
Kazuya Hasegawa | JP | Takatsuki-Shi | 2008-09-25 / 20080233828 - PLASMA DISPLAY PANEL | 1 |
Seiichi Hasegawa | JP | Tokyo | 2011-06-23 / 20110151044 - PRESS WORKING SYSTEM | 1 |
Hajime Hasegawa | JP | Susono-Shi | 2015-12-10 / 20150357674 - All-Solid Battery and Method for Manufacturing the Same | 8 |
Kazuya Hasegawa | JP | Azumino | 2009-10-01 / 20090244821 - ELECTRO-OPTIC APPARATUS AND ELECTRONIC INSTRUMENT | 1 |
Kazuya Hasegawa | JP | Chiyoda-Ku | 2014-12-04 / 20140354097 - ROTATING ELECTRIC MACHINE | 4 |
Kazuya Hasegawa | JP | Tokyo | 2016-02-25 / 20160056680 - ROTATING ELECTRIC MACHINE | 7 |
Yuichi Hasegawa | JP | Nagoya | 2012-11-22 / 20120292142 - Cable-Operated Device | 1 |
Tohru Hasegawa | JP | Tokyo | 2016-05-19 / 20160140118 - INFORMATION MANAGEMENT | 21 |
Takakazu Hasegawa | JP | Nagano | 2011-03-31 / 20110074230 - FAN MOTOR | 1 |
Tohru Hasegawa | JP | Sagamihara | 2015-01-29 / 20150032251 - EFFICIENT DISTRIBUTION AND SELECTION OF STORAGE MEDIA IN A STORAGE MEDIUM LIBRARY | 6 |
Satoshi Hasegawa | JP | Yamagata | 2009-06-04 / 20090139832 - Conveying Apparatus and Conveyed Object Inspection Apparatus | 1 |
Tohru Hasegawa | JP | Sagamihara-Shi | 2013-05-09 / 20130117239 - Generating Information with Plurality of Files Enumerated Therein | 2 |
Koichi Hasegawa | JP | Aichi-Ken | 2014-10-16 / 20140305140 - VEHICLE NOISE-PROOF COVER | 3 |
Tohru Hasegawa | JP | Yamaguchi | 2012-04-19 / 20120095215 - MEDICAL COMPOSITION FOR TREATMENT OR PROPHYLAXIS OF EYE DISEASES | 1 |
Tomohisa Hasegawa | JP | Saitama-Shi | 2009-12-03 / 20090296128 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND METHOD OF CONTROLLING SUCH INFORMATION PROCESSING APPARATUS | 1 |
Kazuma Hasegawa | JP | Kariya | 2009-05-07 / 20090115362 - Electric motor control device, electric vehicle, and hybrid electric vehicle | 1 |
Koichi Hasegawa | JP | Utsunomiya-Shi | 2008-10-16 / 20080251246 - Cooling Structure For Batteries and Electrical Units | 1 |
Hajime Hasegawa | US | 2015-12-10 / 20150357674 - All-Solid Battery and Method for Manufacturing the Same | 1 | |
Hirokazu Hasegawa | JP | Shizuoka | 2014-08-07 / 20140219831 - VACUUM PUMP MOUNTING STRUCTURE | 1 |
Koichi Hasegawa | JP | Toyama-Ken | 2009-03-19 / 20090071756 - Power Source Device | 1 |
Hirokazu Hasegawa | JP | Tokyo | 2016-03-17 / 20160080597 - IMAGING DEVICE FOR IMAGING PAGES OF BOOK, METHOD THEREFOR, AND RECORDING MEDIUM | 11 |
Mark M. Hasegawa | US | Highland | 2012-02-09 / 20120034384 - MOLELCULAR ADSORBER COATING | 1 |
Koichi Hasegawa | JP | Kobe-Shi | 2009-05-07 / 20090115225 - Cabin Apparatus for Moving Vehicle | 1 |
Hirokazu Hasegawa | JP | Higashihiroshima-Shi | 2011-06-02 / 20110126522 - EXHAUST APPARATUS FOR TRANSVERSE ENGINE | 2 |
Shinya Hasegawa | JP | Osaka | 2012-04-05 / 20120083571 - RUBBER COMPOSITION AND PNEUMATIC TIRE | 10 |
Kuniaki Hasegawa | JP | Kariya-Shi | 2013-01-17 / 20130015011 - PEDESTRIAN PROTECTION APPARATUS FOR VEHICLEAANM HASEGAWA; KuniakiAACI Kariya-ShiAACO JPAAGP HASEGAWA; Kuniaki Kariya-Shi JPAANM Noyori; KeisukeAACI Toyota-ShiAACO JPAAGP Noyori; Keisuke Toyota-Shi JP | 5 |
Koichi Hasegawa | JP | Naka-Gun | 2009-07-16 / 20090178460 - GAS AMOUNT MEASUREMENT DEVICE | 1 |
Koichi Hasegawa | JP | Aichi | 2015-10-08 / 20150288300 - MAGNETOSTRICTIVE VIBRATION POWER GENERATION DEVICE | 7 |
Koichi Hasegawa | JP | Atsugi-Shi | 2009-10-15 / 20090256309 - Code wheel manufacturing method, code wheel, rotary encoder, rotation control unit, belt carrier unit, and image forming apparatus | 2 |
Koichi Hasegawa | JP | Soka-Shi | 2013-04-18 / 20130094990 - SPUTTERING TARGET MATERIAL | 4 |
Koichi Hasegawa | JP | Tokyo | 2014-06-26 / 20140174635 - METHOD FOR REPAIRING A MEMBER COMPRISING A FIBER-REINFORCED PLASTIC | 7 |
Koichi Hasegawa | JP | Tokushima | 2014-07-03 / 20140186826 - METHOD OF JUDGING RISK FOR ONSET OF DRUG-INDUCED GRANULOCYTOPENIA | 3 |
Koichi Hasegawa | JP | Kanagawa | 2011-09-29 / 20110238953 - INSTRUCTION FETCH APPARATUS AND PROCESSOR | 5 |
Kenji Hasegawa | JP | Osaka | 2016-01-07 / 20160001524 - MULTIPLE PANE AND PRODUCTION METHOD OF MULTIPLE PANE | 26 |
Yutaka Hasegawa | JP | Kariya-Shi, Aichi-Ken | 2016-03-24 / 20160084939 - RADAR APPARATUS AND INSPECTION SYSTEM | 1 |
Shin Hasegawa | JP | Takasaki-Shi | 2014-03-27 / 20140083930 - DESALINATION TREATMENT MEMBRANE | 2 |
Shin Hasegawa | JP | Chigasaki-Shi | 2013-01-17 / 20130014361 - METHOD OF MANUFACTURING A PIEZOELECTRIC VIBRATOR | 3 |
Shin Hasegawa | JP | Tokyo | 2016-04-28 / 20160119407 - TRANSFER DEVICE, CLIENT APPARATUS, SERVER APPARATUS, REPRODUCTION APPARATUS AND TRANSFER METHOD | 5 |
Shin Hasegawa | JP | Hadano-Shi | 2016-02-11 / 20160044796 - METHOD FOR PRODUCING A MODULE | 8 |
Shin Hasegawa | JP | Mito | 2011-08-18 / 20110200155 - Nuclear Reactor System and Nuclear Reactor Control Method | 3 |
Shin Hasegawa | JP | Ibaraki | 2009-12-31 / 20090325027 - POLYMER ELECTROLYTE MEMBRANE COMPOSED OF AROMATIC POLYMER MEMBRANE BASE AND METHOD FOR PRODUCING THE SAME | 1 |
Shin Hasegawa | JP | Numazu-Shi | 2015-06-04 / 20150152275 - PIGMENT DISPERSION, INKJET INK USING THE PIGMENT DISPERSION, METHOD FOR PREPARING THE PIGMENT DISPERSION AND IMAGE FORMING METHOD USING THE INKJET INK | 2 |
Shin Hasegawa | JP | Kanagawa | 2016-03-03 / 20160062123 - IMAGE DISPLAY DEVICE AND DISPLAY APPARATUS | 10 |
Shin Hasegawa | JP | Shizuoka | 2014-02-06 / 20140036010 - INKJET RECORDING INK SET, INK CARTRIDGE, INKJET RECORDING DEVICE, INKJET RECORDING METHOD, AND IMAGE FORMED MATTER | 14 |
Shin Hasegawa | JP | Nagoya-Shi | 2013-12-05 / 20130321504 - IMAGE RECORDING APPARATUS | 3 |
Toshio Hasegawa | US | Delmar | 2015-05-14 / 20150132939 - METHOD FOR DEPOSITING METAL LAYERS ON GERMANIUM-CONTAINING FILMS USING METAL CHLORIDE PRECURSORS | 8 |
Tsuyoshi Hasegawa | JP | Osaka | 2015-09-24 / 20150266865 - DIHYDROOXAZINE OR OXAZEPINE DERIVATIVES HAVING BACE1 INHIBITORY ACTIVITY | 7 |
Tsuyoshi Hasegawa | JP | Koka-Gun | 2010-08-19 / 20100209716 - LAMINATED GLASS AND INTERLAYER FILM FOR LAMINATED GLASSES | 2 |
Hisashi Hasegawa | JP | Hyogo | 2012-02-09 / 20120035312 - SURFACE-TREATED CALCIUM CARBONATE AND PASTE RESIN COMPOSITION CONTAINING SAME | 1 |
Tsuyoshi Hasegawa | JP | Minamikawachi-Gun | 2010-10-28 / 20100273025 - BRAZING METHOD AND BRAZED STRUCTURE | 1 |
Manabu Hasegawa | JP | Yokohama-Shi | 2016-03-24 / 20160084148 - DIRECT INJECTION DIESEL ENGINE | 1 |
Tsuyoshi Hasegawa | JP | Kawasaki | 2016-04-14 / 20160105294 - RECEIVING APPARATUS AND EQUALIZATION PROCESSING METHOD | 20 |
Tsuyoshi Hasegawa | JP | Kanagawa-Ken | 2011-08-04 / 20110186983 - PACKAGE FOR HOUSING SEMICONDUCTOR ELEMENT AND SEMICONDUCTOR DEVICE USING THE SAME | 4 |
Takahiko Hasegawa | JP | Shizuoka | 2008-12-11 / 20080306653 - Engine Control Apparatus and Straddle-Type Vehicle | 1 |
Hiromu Hasegawa | JP | Osaka | 2016-03-03 / 20160065971 - IMAGE CODING APPARATUS | 39 |
Takahiko Hasegawa | JP | Aichi | 2010-01-21 / 20100013490 - FUEL CELL SYSTEM | 1 |
Takahiko Hasegawa | JP | Toyota-Shi | 2016-05-19 / 20160141664 - FUEL CELL SYSTEM | 21 |
Makoto Hasegawa | JP | Tokyo | 2015-05-28 / 20150146057 - NEAR-INFRARED CUT FILTER AND SOLID-STATE IMAGING DEVICE | 8 |
Takahiko Hasegawa | JP | Aichi-Ken | 2010-01-07 / 20100002477 - MULTI-PHASE VOLTAGE CONVERTING DEVICE, VEHICLE AND CONTROL METHOD OF MULTI-PHASE VOLTAGE CONVERTING DEVICE | 3 |
Satoshi Hasegawa | JP | Yokohama | 2009-09-17 / 20090235244 - SOFTWARE UPDATE SYSTEM FOR INFORMATION EQUIPMENT | 1 |
Tohru Hasegawa | JP | Ebina-Shi | 2011-06-16 / 20110142480 - HEATING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Kimihide Hasegawa | JP | Aichi-Ken | 2016-04-14 / 20160101970 - APPARATUS FOR CONTROLLING LOAD HANDLING DEVICE | 2 |
Masakatsu Hasegawa | JP | Nagoya-Shi | 2010-08-12 / 20100203611 - NOVEL NUCLEAR TRANSLOCATION PEPTIDE | 2 |
Mitsuru Hasegawa | JP | Tokyo | 2011-06-16 / 20110139830 - TIGHT-SEALING CAP FOR LIQUID DRUG-EXPELLING PART | 1 |
Taisuke Hasegawa | JP | Kato-Shi | 2012-05-17 / 20120123127 - PROCESS FOR PRODUCING THIABENZOAZULENE-PROPIONIC ACID DERIVATIVE | 1 |
Kou Hasegawa | JP | Tokyo | 2008-12-25 / 20080313967 - POLISHING PAD AND PRODUCTION METHOD THEREOF | 1 |
Junko Hasegawa | JP | Abiko-Shi | 2011-05-19 / 20110118987 - PREDICTIVE BLOOD SUGAR LEVEL CALCULATING DEVICE, PREDICTIVE BLOOD SUGAR LEVEL CALCULATING METHOD, AND PROGRAM THEREOF | 2 |
Kenichi Hasegawa | JP | Atsugi City | 2015-12-10 / 20150355586 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 12 |
Koji Hasegawa | JP | Ichinomiya-Shi | 2012-05-03 / 20120109551 - POWER CONSUMPTION DISPLAY UNIT FOR MACHINE TOOL | 2 |
Hidefumi Hasegawa | JP | Kyoto-Shi | 2014-06-26 / 20140176074 - Electric Storage Device | 5 |
Koji Hasegawa | JP | Itami-Shi | 2014-05-22 / 20140137797 - COATING DEVICE | 3 |
Koji Hasegawa | JP | Jyoetsu | 2016-04-07 / 20160097973 - SILICONE SKELETON-CONTAINING POLYMER COMPOUND AND METHOD FOR PRODUCING SAME, CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION, PHOTO-CURABLE DRY FILM AND METHOD FOR PRODUCING SAME, PATTERNING PROCESS, LAYERED PRODUCT, AND SUBSTRATE | 11 |
Yuichi Hasegawa | JP | Yokohama-Shi | 2016-02-04 / 20160033486 - SAMPLE ANALYSIS DEVICE AND CAPTURING METHOD FOR EXOSOMES | 2 |
Hiromu Hasegawa | JP | Osaka-Shi | 2012-12-06 / 20120308151 - IMAGE CODING APPARATUS | 3 |
Daijirou Hasegawa | JP | Nishinomiya City | 2014-12-04 / 20140355587 - WIRELESS ACCESS POINT DEVICE AND WIRELESS NETWORK SYSTEM WITH THE SAME | 1 |
Jun Hasegawa | JP | Singapore | 2014-12-04 / 20140356308 - NON-AQUEOUS HAIR OIL COMPOSITION COMPRISING ISOPARAFFIN BASE OIL, SILICONE ELASTOMER AND ITS SOLUBILIZER | 1 |
Toru Hasegawa | JP | Chiyoda-Ku | 2015-05-07 / 20150126186 - Mobile Communication Control Apparatus, Mobile Communication System, Mobile Communication Control Method, and Mobile Communication Control Program | 1 |
Koji Hasegawa | JP | Minato-Ku | 2013-03-21 / 20130069303 - GAME MACHINE | 1 |
Shinji Hasegawa | JP | Kanagawa | 2013-07-25 / 20130189611 - RESIN COMPOSITION, IMAGE-FORMING MATERIAL, AND IMAGE-FORMING METHOD | 17 |
Souji Hasegawa | JP | Miyagi | 2015-12-31 / 20150377056 - GAS TURBINE AND OPERATING METHOD THEREOF | 4 |
Koji Hasegawa | JP | Joetsu-Shi, Niigata-Ken | 2014-02-20 / 20140051024 - FLUORINATED ESTER MONOMER, MAKING METHOD, FLUORINATED ESTER POLYMER, AND DIFLUOROHYDROXYCARBOXYLIC ACID | 1 |
Jun Hasegawa | JP | Shinagawa-Ku | 2015-05-07 / 20150125470 - NOVEL ANTI-SIGLEC-15 ANTIBODY | 1 |
Koji Hasegawa | JP | Kyoto-Shi | 2008-10-16 / 20080251107 - NOZZLE AND A SUBSTRATE PROCESSING APPARATUS INCLUDING THE SAME | 1 |
Akira Hasegawa | US | San Jose | 2015-12-03 / 20150348293 - IMAGE DISPLAY DEVICE, IMAGE DISPLAY METHOD, MEDICAL IMAGE DIAGNOSTIC DEVICE, MEDICAL IMAGE DIAGNOSTIC METHOD, MEDICAL IMAGE DIAGNOSTIC SYSTEM, DATA PREPARATION DEVICE, DATA PREPARATION METHOD, AND NON-TRANSITORY RECORDING MEDIUM | 9 |
Takayoshi Hasegawa | JP | Yokohama-Shi | 2015-05-07 / 20150124060 - STEREO CAMERA AND STEREO CAMERA SYSTEM | 1 |
Yoshinori Hasegawa | JP | Nagoya-Shi | 2015-12-24 / 20150366917 - COMPOSITION FOR PREVENTING OR TREATING INFLAMMATORY DISEASE | 2 |
Takahiro Hasegawa | JP | Yokohama-Shi | 2015-05-07 / 20150123423 - LOAD-TRANSMITTING MEMBER AND VEHICLE DOOR ATTACHMENT STRUCTURE THEREOF | 1 |
Makoto Hasegawa | JP | Nagaokakyo-Shi | 2015-05-14 / 20150129769 - Measurement Method for Object to be Measured | 2 |
Yukihisa Hasegawa | JP | Fukui | 2010-02-04 / 20100024556 - ULTRASONIC INSPECTION METHOD UTILIZING RESONANT PHENOMENA | 1 |
Yukihisa Hasegawa | JP | Tokyo | 2010-03-11 / 20100060213 - MOTOR CONTROLLER, MOTOR DRIVE SYSTEM AND PUMP UNIT | 4 |
Yukihisa Hasegawa | JP | Machida | 2011-03-17 / 20110062903 - MOTOR CONTROL DEVICE AND ELECTRICAL EQUIPMENT PROVIDED WITH THE SAME | 1 |
Junichi Hasegawa | JP | Machida-Shi, Tokyo | 2016-03-17 / 20160077702 - DEVICE, METHOD, AND PROGRAM | 1 |
Takuma Hasegawa | JP | Kanagawa | 2009-10-08 / 20090250855 - Stage for substrate | 1 |
Junko Hasegawa | JP | Atsugi-Shi | 2011-10-27 / 20110261244 - IMAGE PROCESSING APPARATUS, IMAGE REPRODUCING APPARATUS, IMAGING APPARATUS AND PROGRAM RECORDING MEDIUM | 3 |
Yu Hasegawa | JP | Kanagawa | 2016-04-21 / 20160111198 - NEAR-FIELD COMMUNICATIONS ELEMENT AND ELECTRONIC DEVICE HAVING SAME MOUNTED THEREIN | 2 |
Youichi Hasegawa | JP | Kanagawa | 2014-03-13 / 20140071303 - PROCESSING APPARATUS, PROCESSING METHOD, AND PROGRAM | 2 |
Takefumi Hasegawa | JP | Kanagawa | 2016-01-07 / 20160007011 - STEREOSCOPIC IMAGE DISPLAY DEVICE | 5 |
Hayato Hasegawa | JP | Kanagawa | 2016-01-28 / 20160026297 - SENSOR DEVICE, INPUT DEVICE, AND ELECTRONIC APPARATUS | 7 |
Junko Hasegawa | JP | Wako-Shi | 2010-07-01 / 20100163328 - SADDLE-RIDE TYPE VEHICLE | 1 |
Akira Hasegawa | US | San Jose | 2015-12-03 / 20150348293 - IMAGE DISPLAY DEVICE, IMAGE DISPLAY METHOD, MEDICAL IMAGE DIAGNOSTIC DEVICE, MEDICAL IMAGE DIAGNOSTIC METHOD, MEDICAL IMAGE DIAGNOSTIC SYSTEM, DATA PREPARATION DEVICE, DATA PREPARATION METHOD, AND NON-TRANSITORY RECORDING MEDIUM | 9 |
Kenji Hasegawa | JP | Chiyoda-Ku | 2012-11-29 / 20120298308 - Upper Die for Fastening Button | 4 |
Ryuichi Hasegawa | JP | Kanagawa | 2009-11-05 / 20090275742 - COLORING MATTER FOR ANISOTROPIC COLORING MATTER FILM, COMPOSITION COMPRISING SAID COLORING MATTER, ANISOTROPIC COLORING MATTER FILM, AND POLARIZING ELEMENT | 5 |
Takemi Hasegawa | JP | Yokohama-Shi | 2015-11-19 / 20150331191 - OPTICAL PROBE | 14 |
Mamoru Hasegawa | JP | Kamiina-Gun | 2014-05-08 / 20140128741 - ULTRASOUND ELEMENT AND ULTRASOUND ENDOSCOPE | 8 |
Yoshiki Hasegawa | JP | Kanagawa | 2009-12-24 / 20090317733 - Quinone Compound, Electrophotographic Photoconductor and Electrophotographic Apparatus | 1 |
Kiyoshi Hasegawa | JP | Otokuni-Gun | 2015-12-10 / 20150355016 - MEASURING INSTRUMENT USING LIGHT BEAM | 1 |
Tomohiro Hasegawa | JP | Kyoto | 2016-02-04 / 20160032929 - BLOWER FAN | 7 |
Tohru Hasegawa | JP | Kanagawa | 2012-11-15 / 20120290801 - CONTROLLING STORING OF DATA | 3 |
Kazuyuki Hasegawa | JP | Kanagawa | 2010-04-01 / 20100080360 - RADIOGRAPHIC IMAGING TABLE | 1 |
Hisataka Hasegawa | JP | Shizuoka | 2011-06-09 / 20110132304 - CAM FOLLOWER FOR ROCKER ARM AND CAM FOLLOWER DEVICE | 1 |
Naoki Hasegawa | JP | Kanagawa | 2011-11-24 / 20110289453 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING APPARATUS | 4 |
Hideki Hasegawa | JP | Tachikawa | 2015-02-12 / 20150041641 - MASS SPECTROMETER | 21 |
Susumu Hasegawa | JP | Kanagawa | 2010-09-23 / 20100237530 - COMPRESSED PREPARATION | 2 |
Masahiro Hasegawa | JP | Yokohama | 2012-11-08 / 20120279359 - OPTICAL FIBER JACKET REMOVER | 1 |
Taku Hasegawa | JP | Isehara | 2011-03-24 / 20110070722 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Yousuke Hasegawa | JP | Kanagawa | 2011-09-22 / 20110229166 - IMAGE FORMING APPARATUS | 5 |
Masataka Hasegawa | JP | Kanagawa | 2013-08-01 / 20130194367 - DRYING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Robert Hasegawa | US | Novi | 2011-06-02 / 20110130052 - ELECTRICAL FEMALE TERMINAL | 1 |
Kazue Hasegawa | JP | Tokyo | 2011-06-02 / 20110129710 - WOUND ELECTROCHEMICAL DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Hiroyuki Hasegawa | JP | Okayama-Ken | 2011-06-02 / 20110129624 - NOVEL AZOMETHINE COMPOUND AND THERMAL TRANSFER SHEET USING COLORING MATTER OF THE AZOMETHINE COMPOUND | 1 |
Hideaki Hasegawa | US | Meridian | 2009-02-26 / 20090052918 - IMAGE FORMING APPARATUS AND METHOD OF DETECTING AMOUNT OF RESIDUAL DEVELOPER | 1 |
Shuji Hasegawa | JP | Shizuoka | 2011-06-02 / 20110129378 - HORIZONTAL SCROLL COMPRESSOR | 1 |
Nozomi Hasegawa | JP | Tokyo | 2015-12-10 / 20150357824 - SPECIMEN PROCESSING SYSTEM | 1 |
Yasushi Hasegawa | JP | Toyonaka-Shi | 2013-04-25 / 20130102583 - CEPHEM COMPOUND HAVING CATECHOL GROUP | 1 |
Hideaki Hasegawa | JP | Ibaraki | 2014-02-13 / 20140043221 - DEVICE CIRCUIT AND DISPLAY APPARATUS HAVING OPERATIONAL AMPLIFIERS WITH PARASITIC DIODES | 6 |
Masanobu Hasegawa | JP | Tokyo | 2014-07-31 / 20140208864 - TORSION TESTER | 4 |
Noriko Hasegawa | JP | Fukui | 2011-06-02 / 20110127148 - TURNING DIRECTION INDICATOR DEVICE | 1 |
Yoshiro Hasegawa | JP | Tokyo | 2009-12-17 / 20090308317 - CARRIER WITH DEPOSITION SHIELD | 1 |
Hideaki Hasegawa | JP | Susono-Shi | 2010-03-04 / 20100054780 - IMAGE FORMING APPARATUS | 1 |
Hideki Hasegawa | JP | Tokyo | 2016-01-28 / 20160025692 - Analysis System | 9 |
Tatsuji Hasegawa | JP | Kariya-Shi | 2012-05-31 / 20120133159 - DOOR HANDLE APPARATUS | 1 |
Hikaru Hasegawa | JP | Susono-Shi | 2014-10-09 / 20140302419 - MEMBRANE ELECTRODE ASSEMBLY FOR FUEL CELL | 2 |
Naoya Hasegawa | JP | Niigata-Ken | 2011-06-02 / 20110129690 - TUNNELING MAGNETORESISTIVE ELEMENT INCLUDING MULTILAYER FREE MAGNETIC LAYER HAVING INSERTED NONMAGNETIC METAL SUBLAYER | 8 |
Tomooki Hasegawa | JP | Kanagawa | 2012-05-31 / 20120133239 - MAGNET EMBEDDED ROTOR, ELECTRIC MOTOR, AND ASSEMBLY METHOD OF ELECTRIC MOTOR | 1 |
Ayumi Hasegawa | JP | Tokyo | 2013-05-02 / 20130109273 - TOY VEHICLE | 1 |
Hideaki Hasegawa | JP | Kato | 2010-09-30 / 20100250873 - MANAGEMENT APPARATUS FOR MANAGING STORAGE APPARATUS | 1 |
Tsuyoshi Hasegawa | JP | Nishinomiya City | 2012-05-31 / 20120133290 - IMAGE DISPLAY APPARATUS | 1 |
Hideaki Hasegawa | JP | Tokyo | 2015-05-21 / 20150138025 - ANTENNA AND PORTABLE ELECTRONIC INSTRUMENT FOR USE IN NEAR FIELD COMMUNICATION | 14 |
Mitsutoshi Hasegawa | JP | Kanagawa | 2011-03-03 / 20110050087 - MANUFACTURING METHOD OF AIRTIGHT CONTAINER, MANUFACTURING METHOD OF IMAGE DISPLAY DEVICE, AND BONDING METHOD | 1 |
Satoshi Hasegawa | JP | Kanonji-Shi | 2013-11-07 / 20130291324 - CLEANING TOOL AND CLEANING SHEET | 1 |
Tomonori Hasegawa | JP | Kanagawa | 2013-01-31 / 20130030103 - RUBBER COMPOSITION AND USE THEREOF | 2 |
Motonobu Hasegawa | JP | Kariya-Shi | 2015-09-24 / 20150267656 - INTAKE CONTROL VALVE AND INTAKE APPARATUS | 5 |
Takayuki Hasegawa | JP | Toyama | 2013-07-11 / 20130177734 - Woven Tape for Slide Fastener | 3 |
Takayuki Hasegawa | JP | Tochigi | 2012-03-15 / 20120063873 - WORKPIECE TRANSPORTING APPARATUS AND METHOD FOR TRANSPORTING THE SAME | 1 |
Takaomi Hasegawa | JP | Obu-City | 2015-12-17 / 20150363122 - VEHICLE DEVICE | 1 |
Kazuhiro Hasegawa | JP | Abiko-Shi | 2013-12-05 / 20130322897 - IMAGE HEATING APPARATUS | 4 |
Kenichi Hasegawa | JP | Kanagawa | 2014-08-28 / 20140239575 - LIQUID EJECTION HEAD AND IMAGE FORMING APPARATUS INCLUDING SAME | 22 |
Yasuchika Hasegawa | JP | Ikoma-Shi | 2012-07-26 / 20120190123 - STRUCTURAL ANALYSIS DEVICE AND STRUCTURAL ANALYSIS METHOD | 2 |
Takayuki Hasegawa | JP | Echizen-Shi | 2013-08-22 / 20130217307 - METHOD AND APPARATUS FOR MULTIPLE CUTOFF MACHINING OF RARE EARTH MAGNET BLOCK, CUTTING FLUID FEED NOZZLE, AND MAGNET BLOCK SECURING JIG | 7 |
Yasuchika Hasegawa | JP | Nara | 2012-03-15 / 20120063289 - METAL COMPLEX AND USE THEREOF | 2 |
Takayuki Hasegawa | JP | Fukui-Ken | 2009-09-10 / 20090224613 - Corrosion-resistant magnetic circuit and voice coil motor or actuator | 1 |
Toru Hasegawa | JP | Shiga | 2015-01-22 / 20150020659 - GLASS TUBE CLEANING AND CUTTING SYSTEM AND METHOD FOR SAME | 2 |
Takami Hasegawa | JP | Kanagawa | 2009-03-05 / 20090059046 - Field Sequential Color Camera System | 1 |
Takuya Hasegawa | JP | Shizuoka | 2014-01-16 / 20140017927 - CONNECTOR | 2 |
Tsuyoshi Hasegawa | JP | Moriguchi-Shi | 2014-11-27 / 20140347559 - VIDEO DISPLAY APPARATUS | 2 |
Waka Hasegawa | JP | Tokyo | 2016-03-10 / 20160070189 - COMPOUND HAVING AZO SKELETON STRUCTURE, PIGMENT-DISPERSING AGENT, PIGMENT COMPOSITION, PIGMENT DISPERSION, AND TONER | 10 |
Minoru Hasegawa | JP | Suwa-Shi | 2010-09-30 / 20100244579 - COIL UNIT, AND POWER TRANSMISSION DEVICE AND POWER RECEPTION DEVICE USING THE COIL UNIT | 8 |
Akira Hasegawa | JP | Kakegawa-Shi | 2014-08-07 / 20140217714 - CHASSIS STRUCTURE | 1 |
Kiyotaka Hasegawa | JP | Kanagawa | 2012-05-17 / 20120122951 - SKIN-WHITENING AGENT AND COSMETIC METHOD FOR WHITENING SKIN | 3 |
Ryu Hasegawa | JP | Kanagawa | 2009-09-24 / 20090237745 - IMAGE READING APPARATUS, IMAGE FORMING APPARATUS, IMAGE READING METHOD, AND IMAGE FORMING METHOD | 2 |
Mieko Hasegawa | JP | Kanagawa | 2011-09-22 / 20110230051 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Satomi Hasegawa | JP | Osaka-Shi | 2013-12-12 / 20130329175 - LIQUID CRYSTAL DISPLAY APPARATUS | 5 |
Shota Hasegawa | JP | Kanagawa | 2010-07-22 / 20100185836 - ARITHMETIC PROGRAM CONVERSION APPARATUS, ARITHMETIC PROGRAM CONVERSION METHOD, AND PROGRAM | 1 |
Kazutomo Hasegawa | JP | Kanagawa | 2011-01-27 / 20110020000 - COMMUNICATION APPARATUS AT SUBSCRIBER HOME | 1 |
Makoto Hasegawa | JP | Chiyoda-Ku | 2014-02-27 / 20140055652 - OPTICAL FILTER AND SOLID-STATE IMAGING DEVICE | 1 |
Takemi Hasegawa | JP | Kanagawa | 2011-05-05 / 20110102776 - BEAM PATH MONITORING DEVICE AND BEAM PATH MONITORING SYSTEM | 4 |
Koyu Hasegawa | JP | Kanagawa | 2010-05-27 / 20100126417 - DEPOSITION SOURCE UNIT, DEPOSITION APPARATUS AND TEMPERATURE CONTROLLER OF DEPOSITION SOURCE UNIT | 1 |
Mitsuru Hasegawa | JP | Tsukubamirai-Shi | 2016-05-12 / 20160132009 - IMAGE HEATING APPARATUS | 4 |
Noriko Hasegawa | JP | Kanagawa | 2008-10-23 / 20080259371 - IMAGE FILE CREATION DEVICE, METHOD AND PROGRAM STORAGE MEDIUM, IMAGE PROCESSING DEVICE, METHOD AND PROGRAM STORAGE MEDIUM, AND IMAGE PROCESSING SYSTEM | 1 |
Wataru Hasegawa | JP | Saitama | 2009-12-24 / 20090315970 - DRAWING APPARATUS AND METHOD, AND COMPUTER PROGRAM | 1 |
Mineki Hasegawa | JP | Saitama | 2009-10-01 / 20090247782 - NOVEL POLYMERIZABLE COMPOUNDS | 1 |
Takashi Hasegawa | JP | Osaka-Shi | 2015-03-26 / 20150087799 - METHOD TO PRODUCE SEMI-CRYSTALLINE POLYLACTIDES | 2 |
Motoyoshi Hasegawa | JP | Saitama | 2012-09-13 / 20120231854 - MOBILE TERMINAL DEVICE AND FUNCTION SETTING METHOD FOR MOBILE TERMINAL DEVICE | 3 |
Hisakazu Hasegawa | JP | Kanagawa | 2011-10-06 / 20110243975 - TRANSFORMED SOYBEAN PLANT WHICH ACCUMULATES VACCINE, AND USE THEREOF | 2 |
Yasunobu Hasegawa | JP | Saitama | 2012-05-10 / 20120112604 - ULTRASONIC PROBE AND MANUFACTURING METHOD THEREOF | 6 |
Katsuro Hasegawa | JP | Saitama | 2010-08-26 / 20100213403 - THERMALLY CONDUCTIVE THERMOPLASTIC PRESSURE SENSITIVE ADHESIVE COMPOSITION | 1 |
Tadaaki Hasegawa | JP | Saitama | 2012-03-29 / 20120078416 - ROBOT AND BEHAVIOR CONTROL SYSTEM FOR THE SAME | 4 |
Yukio Hasegawa | JP | Saitama | 2011-05-12 / 20110110995 - SURFACE-TREATED POWDER AND COSMETIC COMPRISING THE SAME | 1 |
Hitoshi Hasegawa | JP | Kanagawa | 2012-04-26 / 20120101016 - METAL ROLLING OIL COMPOSITION | 2 |
Naoshi Hasegawa | JP | Hino-Shi | 2012-07-05 / 20120169918 - SMALL INDUSTRIAL ELECTRONIC IMAGING CAMERA | 2 |
Masayasu Hasegawa | JP | Kyoto-Shi, Kyoto | 2015-12-24 / 20150366924 - GINSENOSIDE COMPOSITION | 1 |
Kiyoyasu Hasegawa | JP | Ryugasaki-Shi | 2008-11-06 / 20080271564 - Hydraulic Power Transmission Device and Work Vehicle | 1 |
Wataru Hasegawa | JP | Kanagawa | 2008-08-21 / 20080197132 - Easily-Openable Can Lid | 2 |
Makoto Hasegawa | JP | Wako-Shi | 2016-05-12 / 20160129957 - CONTROL UNIT FOR INVERTED PENDULUM VEHICLE | 10 |
Tadaaki Hasegawa | JP | Wako-Shi | 2012-10-04 / 20120253514 - REINFORCEMENT LEARNING APPARATUS, CONTROL APPARATUS, AND REINFORCEMENT LEARNING METHOD | 5 |
Hideaki Hasegawa | JP | Yokohama | 2015-11-26 / 20150339989 - DISPLAY PANEL DRIVE DEVICE AND DISPLAY PANEL DRIVE METHOD | 6 |
Yoshiei Hasegawa | JP | Kanagawa | 2009-11-19 / 20090284273 - METHOD FOR ASSEMBLING ELECTRICAL CONNECTING APPARATUS | 2 |
Takuya Hasegawa | JP | Yokohama-Shi | 2015-04-30 / 20150118595 - GAS DIFFUSION LAYER WITH FLOWPATHS | 3 |
Takahiro Hasegawa | JP | Tomi-Shi | 2011-11-24 / 20110285799 - INKJET PRINTER | 1 |
Yoshinori Hasegawa | JP | Otsu-City | 2014-05-22 / 20140141258 - DOPANT HOST | 1 |
Kumi Hasegawa | JP | Numazu-Shi | 2011-11-03 / 20110268474 - TONER, DEVELOPER INCLUDING THE TONER, AND DEVELOPING DEVICE AND IMAGE FORMING APPARATUS USING THE TONER | 5 |
Takuya Hasegawa | JP | Kanagawa | 2012-05-17 / 20120122012 - FUEL CELL AND METHOD FOR MANUFACTURING SAME | 3 |
Teruaki Hasegawa | JP | Fukuoka-Shi | 2008-10-02 / 20080242854 - Polysaccharide-Carbon Nanotube Complex | 1 |
Akira Hasegawa | JP | Nagoya-Shi | 2010-02-25 / 20100043956 - METHOD FOR MANUFACTURING FIBER REINFORCED CEMENT BOARD | 1 |
Satoshi Hasegawa | JP | Kanonji-Shi, Kagawa | 2015-12-24 / 20150366429 - CLEANING TOOL | 2 |
Shuji Hasegawa | JP | Kanagawa | 2010-10-21 / 20100266519 - Production Method Of A Powder Cosmetic | 1 |
Takeshi Hasegawa | JP | Kurokawa-Gun | 2009-04-02 / 20090086417 - ENCLOSURE FOR ELECTRIC EQUIPMENT AND IMAGING DEVICE | 1 |
Yukako Hasegawa | JP | Kanagawa | 2011-01-27 / 20110023189 - TRANSFORMED PLANT WITH PROMOTED GROWTH | 2 |
Kunio Hasegawa | JP | Kanagawa | 2016-05-19 / 20160139537 - IMAGE FORMING APPARATUS | 18 |
Aiko Hasegawa | JP | Amagasaki-Shi | 2012-11-01 / 20120277441 - PROCESS FOR THE PREPARATION OF CYCLOHEXANE DERIVATIVES | 1 |
Takahiro Hasegawa | JP | Fujisawa-Shi | 2015-08-06 / 20150217941 - BREAD CONVEYING APPARATUS AND BREAD SLICING APPARATUS | 5 |
Tsuguo Hasegawa | JP | Tokyo | 2009-04-02 / 20090085464 - Resin Composition and Sheet Containing Phosphor, and Light Emitting Element Using Such Composition and Sheet | 1 |
Makoto Hasegawa | JP | Osaka-Shi | 2015-08-20 / 20150235615 - MULTI-PRIMARY COLOR DISPLAY DEVICE | 5 |
Takahiro Hasegawa | JP | Kanagawa-Ken | 2010-08-19 / 20100206184 - DOUGH DIVIDING APPARATUS | 3 |
Yukinobu Hasegawa | JP | Aichi-Ken | 2015-05-14 / 20150130345 - SPARK PLUG AND METHOD OF MANUFACTURING SPARK PLUG | 2 |
Takahiro Hasegawa | JP | Nagano | 2011-11-24 / 20110285801 - INKJET PRINTER | 3 |
Koji Hasegawa | JP | Tokyo | 2015-08-20 / 20150236591 - THREE-PHASE ALTERNATING-CURRENT POWER SUPPLY SWITCHING CIRCUIT | 1 |
Setsu Hasegawa | JP | Gunma-Ken | 2011-06-30 / 20110154840 - REFRIGERATING APPARATUS | 2 |
Masayasu Hasegawa | JP | Kyoto | 2015-12-24 / 20150366924 - GINSENOSIDE COMPOSITION | 1 |
Yoshinori Hasegawa | JP | Nagoya | 2011-06-23 / 20110151474 - METHOD OF ESTIMATING THE RISK OF EXPRESSION OF ADVERSE DRUG REACTION CAUSED BY THE ADMINISTRATION OF A COMPOUND, WHICH IS EITHER METABOLIZED PER SE BY UGT1A1 ENZYME OR WHOSE METABOLIC INTERMEDIATE IS METABOLIZED BY THE ENZYME | 2 |
Setsu Hasegawa | JP | Ora-Gun | 2010-12-02 / 20100300135 - REFRIGERATING APPARATUS | 2 |
Yoshinori Hasegawa | JP | Otsu-Shi | 2012-01-19 / 20120015132 - Sheet roll and sheet-like coupling member | 2 |
Yukinobu Hasegawa | JP | Nagoya | 2015-05-28 / 20150145402 - SPARK PLUG AND MANUFACTURING METHOD THEREOF | 3 |
Yoshinori Hasegawa | JP | Izumisano-Shi | 2011-03-10 / 20110059212 - METHOD FOR PRODUCTION OF DEFATTED SOYMILK PEPTIDE | 1 |
Yoshinori Hasegawa | JP | Shiga | 2016-04-07 / 20160096345 - LAMINATE, METHOD FOR CUTTING LAMINATE, METHOD FOR PROCESSING LAMINATE, AND DEVICE AND METHOD FOR CUTTING BRITTLE PLATE-LIKE OBJECT | 6 |
Yoshinori Hasegawa | JP | Osaka | 2012-06-21 / 20120157395 - ANTI-INFLAMMATORY AGENT FOR ORAL APPLICATION, AND ANTI-INFLAMMATORY PEPTIDE FOR ORAL APPLICATION | 1 |
Takumi Hasegawa | JP | Kanagawa | 2015-11-19 / 20150333139 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 2 |
Tsuyoshi Hasegawa | JP | Toyonaka-Shi | 2013-07-04 / 20130172317 - TRIAZINE DERIVATIVE AND PHARMACEUTICAL COMPOSITION HAVING AN ANALGESIC ACTIVITY COMPRISING THE SAME | 2 |
Hideaki Hasegawa | JP | Kanagawa | 2016-03-10 / 20160072522 - DATA PROCESSING APPARATUS AND METHOD OF PROCESSING DATA | 1 |
Hideaki Hasegawa | US | Yorktown | 2016-04-07 / 20160097988 - IMAGE FORMING APPARATUS | 1 |
Keiichi Hasegawa | JP | Chuo-Ku, Tokyo | 2016-03-17 / 20160075180 - TIRE AND TIRE MANUFACTURING METHOD | 6 |
Toru Hasegawa | JP | Atsugi | 2015-08-06 / 20150221754 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 5 |
Norifumi Hasegawa | JP | Tokyo | 2009-06-25 / 20090162735 - Fuel Cell Reaction Layer, Fuel Cell, and Method for Producing Fuel Cell Reaction Layer | 2 |
Toru Hasegawa | JP | Fujimino-Shi | 2010-06-10 / 20100142385 - Communication system, delay insertion server, backup server and communication control apparatus | 1 |
Mitsuyuki Hasegawa | JP | Niigata | 2009-05-28 / 20090133783 - Colored Pure Titanium or Titanium Alloy Having Low Susceptibility to Discoloration in Atmospheric Environment | 1 |
Ryuichi Hasegawa | JP | Aichi-Gun | 2011-04-21 / 20110092333 - VEHICLE POWER TRANSMISSION DEVICE | 1 |
Takahiro Hasegawa | JP | Toyota-Shi | 2012-06-21 / 20120152674 - SHOCK ABSORBING MEMBER AND SHOCK ABSORBING STRUCTURE | 1 |
Kosuke Hasegawa | JP | Fussa-Shi | 2015-07-16 / 20150198928 - Radio-Controlled Timepiece | 2 |
Motokazu Hasegawa | JP | Kanagawa | 2015-05-14 / 20150132019 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 14 |
Takashi Hasegawa | JP | Fukui-Shi | 2013-10-31 / 20130289680 - BODY TEMPERATURE REGULATION PACK AND PACK HOLDER FOR ATTACHING BODY TEMPERATURE REGULATION PACK | 2 |
Akira Hasegawa | US | Saratoga | 2011-05-26 / 20110123087 - SYSTEMS AND METHODS FOR MEASUREMENT OF OBJECTS OF INTEREST IN MEDICAL IMAGES | 8 |
Tamotsu Hasegawa | JP | Tokyo | 2014-05-22 / 20140139650 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 4 |
Ryouhei Hasegawa | JP | Joetsu-Shi | 2013-08-08 / 20130203324 - MANUFACTURE OF SYNTHETIC QUARTZ GLASS SUBSTRATE | 2 |
Hiroshi Hasegawa | JP | Fukuyama | 2015-07-23 / 20150203947 - HIGH-STRENGTH GALVANIZED STEEL SHEET WITH EXCELLENT FORMABILITY AND SHAPE FIXABILITY AND METHOD FOR MANUFACTURING THE SAME | 2 |
Toshiaki Hasegawa | JP | Kanagawa | 2016-01-28 / 20160026120 - ELECTROSTATIC CHARGE IMAGE DEVELOPING CARRIER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, DEVELOPER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 9 |
Toshiyuki Hasegawa | JP | Yokohama | 2011-10-06 / 20110246835 - MANAGEMENT SERVER AND MANAGEMENT SYSTEM | 1 |
Satoshi Hasegawa | JP | Izunokuni-Shi | 2009-12-31 / 20090324271 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEROF | 1 |
Teppei Hasegawa | JP | Kawasaki-Shi | 2013-08-01 / 20130193641 - IMAGE FORMING APPARATUS, CONTROL METHOD FOR IMAGE FORMING APPARATUS, AND STORAGE MEDIUM | 1 |
Hiroaki Hasegawa | JP | Kawasaki-Shi | 2014-02-06 / 20140035993 - PRINTING APPARATUS AND INSPECTION METHOD | 1 |
Hijiri Hasegawa | JP | Kawasaki-Shi | 2013-10-03 / 20130258480 - PRECURSOR SOL OF ALUMINUM OXIDE AND METHOD FOR MANUFACTURING THE SAME, METHOD FOR MANUFACTURING OPTICAL MEMBER, OPTICAL MEMBER, AND OPTICAL SYSTEM | 1 |
Tetsuya Hasegawa | JP | Kawasaki-Shi | 2011-01-20 / 20110011632 - ELECTRIC CONDUCTOR AND PROCESS FOR ITS PRODUCTION | 3 |
Satoshi Hasegawa | JP | Toyokawa-Shi | 2014-11-27 / 20140348557 - IMAGE FORMING APPARATUS | 5 |
Satoshi Hasegawa | JP | Shizukoka-Ken | 2012-01-05 / 20120002233 - IMAGE FORMING APPARATUS, CONTROL METHOD, AND CONTROL APPARATUS | 1 |
Satoshi Hasegawa | DE | Untergruppenbach | 2014-04-24 / 20140110982 - VEHICLE SEAT | 3 |
Motokazu Hasegawa | JP | Kanagawa | 2015-05-14 / 20150132019 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 14 |
Toru Hasegawa | JP | Kamifukuoka-Shi | 2010-04-22 / 20100098079 - DATA COMMUNICATION SYSTEM, BACKUP SERVER AND COMMUNICATION CONTROL APPARATUS | 1 |
Toru Hasegawa | JP | Saitama | 2010-02-11 / 20100036937 - METHOD FOR CONTROLLING NETWORK COMPRISED OF ENORMOUS NODES, AND NODE AND CONTROL PROGRAM TO PERFORM SAID METHOD | 1 |
Akira Hasegawa | JP | Ichihara-Shi | 2011-03-10 / 20110060096 - CYCLIC OLEFIN RESIN COMPOSITION, AND SUBSTRATE OBTAINED FROM SAID RESIN COMPOSITION | 1 |
Masatomo Hasegawa | JP | Kawasaki-Shi | 2010-12-23 / 20100321983 - SEMICONDUCTOR MEMORY DEVICE CAPABLE OF DRIVING NON-SELECTED WORD LINES TO FIRST AND SECOND POTENTIALS | 2 |
Eiji Hasegawa | JP | Kawasaki | 2016-04-21 / 20160109927 - COMPUTER-READABLE RECORDING MEDIUM AND MOBILE TERMINAL DEVICE | 21 |
Toru Hasegawa | JP | Shinagawa-Ku | 2010-01-07 / 20100004221 - THIAZEPINE DERIVATIVE | 1 |
Toru Hasegawa | JP | Kanagawa | 2012-04-05 / 20120082086 - ROAMING SYSTEM, HLR, AND PACKET COMMUNICATION RESTRICTING METHOD | 4 |
Toru Hasegawa | JP | Nara | 2009-06-04 / 20090139260 - Door opening and closing mechanism | 1 |
Ryuta Hasegawa | JP | Hino Tokyo | 2014-12-25 / 20140375121 - POWER CONVERSION APPARATUS FOR VEHICLE AND VEHICLE | 1 |
Michihiko Hasegawa | JP | Hitachinaka | 2008-10-30 / 20080270007 - Control Apparatus for Direct Injection Type Internal Combustion Engine | 1 |
Masaki Hasegawa | JP | Kanagawa-Ken | 2008-09-11 / 20080220378 - Ferrule for optical wave guide | 1 |
Masaki Hasegawa | JP | Kamakura | 2009-06-11 / 20090148100 - Aligner Apparatus for Connecting Optical Waveguides | 1 |
Masaki Hasegawa | JP | Aichi-Ken | 2010-07-08 / 20100173221 - CATALYST FOR FUEL CELL ELECTRODE, PROCESS FOR PRODUCING CATALYST FOR FUEL CELL ELECTRODE, MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL | 1 |
Masaki Hasegawa | JP | Sayama | 2011-11-24 / 20110284746 - CHARGED PARTICLE BEAM DEVICE | 7 |
Naoki Hasegawa | JP | Kawasaki | 2014-02-06 / 20140040905 - TASK EXECUTION CONTROLLER, TASK EXECUTION CONTROL SYSTEM, AND TASK EXECUTION CONTROL METHOD | 1 |
Izumi Hasegawa | JP | Koshi City | 2015-05-07 / 20150125068 - DEFECT ANALYZING APPARATUS, SUBSTRATE PROCESSING SYSTEM, DEFECT ANALYZING METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 3 |
Etsuko Hasegawa | DE | Berlin | 2015-12-31 / 20150374855 - ORAL CONTRAST MEDIUM FOR THE DIAGNOSTIC INVESTIGATION OF THE GASTROINTESTINAL TRACT BY MEANS OF MPI | 1 |
Sanae Hasegawa | JP | Mie | 2010-02-04 / 20100029914 - NOVEL PACKING MATERIAL WITH EXCELLENT HYDROPHILICITY AND PROCESS FOR PRODUCING THE SAME | 1 |
Taira Hasegawa | JP | Tokyo | 2013-01-10 / 20130008870 - METHOD FOR PROCESSING OUTER PERIPHERY OF SUBSTRATE AND APPARATUS THEREOF | 1 |
Shigeyoshi Hasegawa | JP | Tsukui-Gun | 2011-10-06 / 20110245681 - ULTRASONIC PROBE | 1 |
Satoshi Hasegawa | JP | Kagawa-Ken | 2012-06-28 / 20120159728 - CLEANING SHEET AND CLEANING IMPLEMENT | 1 |
Naohiko Hasegawa | JP | Hiroshima-Shi | 2012-08-23 / 20120214738 - THERAPEUTIC AGENT AND THERAPEUTIC METHOD FOR PERIODONTAL DISEASES AND PULPAL DISEASES | 2 |
Osamu Hasegawa | JP | Tokyo | 2015-11-12 / 20150322965 - CENTRIFUGAL COMPRESSOR | 4 |
Shirou Hasegawa | JP | Tokyo | 2015-11-19 / 20150332841 - LITZ WIRE COIL | 3 |
Toshihiro Hasegawa | JP | Aichi | 2012-06-28 / 20120160282 - APPARATUS FOR WASHING RESIN MOLD | 1 |
Hiroshi Hasegawa | JP | Kanagawa | 2015-09-17 / 20150263401 - MILLIMETER WAVEBAND FILTER AND METHOD OF VARYING RESONANT FREQUENCY THEREOF | 36 |
Hiroto Hasegawa | JP | Mishima-Shi | 2009-10-15 / 20090257794 - IMAGE HEATING APPARATUS AND ENDLESS BELT USED FOR IMAGE HEATING APPARATUS | 2 |
Yasutaka Hasegawa | JP | Nagoya-Shi | 2009-03-12 / 20090068493 - Metallic member being subjected to rust-preventive treatment and coating composition for the same | 1 |
Takuya Hasegawa | JP | Mie | 2012-02-02 / 20120025554 - Decorative Molding and Framed Window Glass Plate for Vehicle | 1 |
Tomoo Hasegawa | JP | Hyogo | 2014-05-15 / 20140134410 - PRODUCT HAVING TRACEABILITY DISPLAYED THEREON AND METHOD FOR DISPLAYING TRACEABILITY OF PRODUCT | 1 |
Isao Hasegawa | JP | Hyogo | 2015-08-13 / 20150228822 - SOLAR CELL | 3 |
Takuya Hasegawa | JP | Kanagawa-Ken | 2012-04-19 / 20120094212 - POLYUREA ELECTROLYTE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Mark Allan Hasegawa-Johnson | US | Champaign | 2013-02-28 / 20130053696 - PROBABILISTIC SEGMENTAL MODEL FOR DOPPLER ULTRASOUND HEART RATE MONITORING | 1 |
Hironori Hasei | JP | Azumino-Shi | 2016-03-03 / 20160063216 - BIOLOGICAL INFORMATION DETECTING APPARATUS, BIOLOGICAL INFORMATION DETECTING SYSTEM, AND CONTROL METHOD FOR BIOLOGICAL INFORMATION DETECTING APPARATUS | 1 |
Hironori Hasei | JP | Okaya-Shi | 2008-11-06 / 20080272388 - Method for fabricating thin film pattern, device and fabricating method therefor, method for fabricating liquid crystal display, liquid crystal display, method for fabricating active matrix substrate, electro-optical apparatus, and electrical apparatus | 1 |
Shoji Hasei | JP | Wako-Shi | 2015-10-01 / 20150271996 - BLADE MOUNTING STRUCTURE OF LAWN MOWER | 4 |
Hironori Hasei | JP | Okaya | 2009-02-26 / 20090051718 - METHOD FOR FORMING DOTS, METHOD FOR FORMING IDENTIFICATION CODE, AND LIQUID EJECTION APPARATUS | 1 |
Noriyasu Hasejima | JP | Tokyo | 2016-03-31 / 20160094806 - External Recognition Apparatus and Excavation Machine Using External Recognition Apparatus | 1 |
Charles A. Hasek | US | Broomfield | 2016-02-04 / 20160037196 - METHODS AND APPARATUS FOR CONTENT DELIVERY AND REPLACEMENT IN A NETWORK | 15 |
Charles A. Hasek | US | Broomfield | 2016-02-04 / 20160037196 - METHODS AND APPARATUS FOR CONTENT DELIVERY AND REPLACEMENT IN A NETWORK | 15 |
Charles A. Hasek | US | Waxhaw | 2015-07-16 / 20150201228 - PERSONAL CONTENT SERVER APPARATUS AND METHODS | 1 |
Charles Hasek | US | Broomfield | 2015-07-09 / 20150195373 - APPARATUS AND METHODS FOR ENSURING DELIVERY OF GEOGRAPHICALLY RELEVANT CONTENT | 18 |
Charles Hasek | US | Broomfield | 2015-07-09 / 20150195373 - APPARATUS AND METHODS FOR ENSURING DELIVERY OF GEOGRAPHICALLY RELEVANT CONTENT | 18 |
Charles Hasek | US | Broomfiled | 2013-03-21 / 20130074132 - METHODS AND APPARATUS FOR PROVIDING VIDEO ON DEMAND AND NETWORK PVR FUNCTIONS USING IP STREAMING | 1 |
Bradley Hasek | US | Presto | 2012-12-20 / 20120321504 - AIR HARDENABLE SHOCK-RESISTANT STEEL ALLOYS, METHODS OF MAKING THE ALLOYS, AND ARTICLES INCLUDING THE ALLOYS | 1 |
Martin Hasek | US | Portola Valley | 2016-05-19 / 20160139413 - WIDE FIELD OF VIEW HEAD MOUNTED DISPLAY APPARATUSES, METHODS AND SYSTEMS | 1 |
Karl L. Hasel | US | Manchester | 2016-03-17 / 20160076445 - GEARED TURBOFAN ENGINE WITH HIGH COMPRESSOR EXIT TEMPERATURE | 33 |
Karl L. Hasel | US | Manchester | 2016-03-17 / 20160076445 - GEARED TURBOFAN ENGINE WITH HIGH COMPRESSOR EXIT TEMPERATURE | 33 |
Claus-Peter Hasel | DE | Memmingen | 2013-04-18 / 20130093548 - SOLENOID | 4 |
Torben Haselau | DE | Hamburg | 2012-11-29 / 20120303183 - NETWORK, IN PARTICULAR FOR AN AIRCRAFT AND SPACECRAFT, METHOD AND AIRCRAFT AND SPACECRAFT | 1 |
Frank Haselbach | GB | Derbyshire | 2014-09-18 / 20140271119 - METHOD AND APPARATUS FOR CONTROLLING MODULATED COOLING OF A GAS TURBINE COMPONENT | 1 |
David Haselbach | DE | Goettingen | 2014-07-24 / 20140206092 - Methods for Analyzing Biological Macromolecular Complexes and use Thereof | 1 |
Liv M. Haselbach | US | Pullman | 2011-12-01 / 20110289901 - Carbon dioxide sequestration in freshly mixed concrete using the exhaust from the concrete truck | 1 |
Frank Haselbach | GB | Melbourne | 2008-09-18 / 20080226441 - Method for impingement air cooling for gas turbines | 1 |
Monika Haselbach | DE | Koln | 2014-10-30 / 20140322544 - COMPOSITE ELEMENTS COMPOSED FROM THERMOPLASTIC POLYMERS AND POLYURETHANES, AND PROCESS FOR PRODUCTION THEREOF | 3 |
Robert Haselbeck | US | San Diego | 2014-06-12 / 20140162327 - MICROORGANISMS AND METHODS FOR THE COPRODUCTION 1,4-BUTANEDIOL AND GAMMA-BUTYROLACTONE | 10 |
Robert Haselbeck | US | San Diego | 2014-06-12 / 20140162327 - MICROORGANISMS AND METHODS FOR THE COPRODUCTION 1,4-BUTANEDIOL AND GAMMA-BUTYROLACTONE | 10 |
Anton Haselbeck | DE | Weilheim | 2010-10-21 / 20100267632 - Treatment of neurodegenerative disorders | 1 |
Johannes Haselberger | DE | Walldorf | 2014-06-19 / 20140173632 - Typed Access of Business Object Data Structures | 2 |
Nikolaus Haselberger | DE | Radolfzell-Markelfingen | 2012-05-17 / 20120118797 - SORTING METHOD AND SORTING CONFIGURATION FOR SORTING TWO TYPES OF ARTICLES TO PRODUCE A SINGLE SUCCESSION | 2 |
Peter Haselberger | DE | Mauth | 2014-07-24 / 20140206493 - DRIVE DEVICE FOR THE ROAD WHEELS OF A VEHICLE | 6 |
Kenneth Haselby | US | Battle Ground | 2015-04-30 / 20150119633 - INTRAVASCULAR DEVICE ATTACHMENT SYSTEM HAVING BIOLOGICAL MATERIAL | 9 |
Kenneth Aaron Haselby | US | Battle Ground | 2013-05-23 / 20130131779 - SILANE BONDED MEDICAL DEVICES AND METHOD OF MAKING SAME | 1 |
Kenneth Haselby | US | Battle Ground | 2015-04-30 / 20150119633 - INTRAVASCULAR DEVICE ATTACHMENT SYSTEM HAVING BIOLOGICAL MATERIAL | 9 |
Kenneth A. Haselby | US | Battle Ground | 2014-09-18 / 20140277052 - TRI-FLUTED VASCULAR ACCESS NEEDLE | 4 |
J. Kirk Haselden | US | Sammamish | 2010-12-02 / 20100306208 - ABSTRACT PIPELINE COMPONENT CONNECTION | 1 |
Stuart Haselden | NZ | Wellington | 2015-05-21 / 20150136939 - SUPPORT SYSTEM | 1 |
Sherril S. Haselden | US | Santa Cruz | 2009-08-27 / 20090214489 - PEPTIDES AND COMPOUNDS THAT BIND TO A RECEPTOR | 1 |
William D. Haselden | US | Birmingham | 2009-04-30 / 20090112756 - METHOD AND SYSTEM FOR PROCESSING NEGOTIABLE INSTRUMENTS | 1 |
Barbara Haselden | US | Cupertino | 2009-12-10 / 20090303787 - NONVOLATILE MEMORIES WITH TUNNEL DIELECTRIC WITH CHLORINE | 1 |
Simon C. Haseler | US | Rochester | 2015-12-10 / 20150352835 - SOLVENT REPLENISHMENT USING DENSITY SENSOR FOR FLEXOGRAPHIC PRINTER | 2 |
Simon C. Haseler | US | 2013-10-24 / 20130278689 - CONTINUOUS INKJET PRINTING METHOD | 1 | |
Gesa Haseleu | CH | Amsterdam | 2013-11-21 / 20130309381 - Organic Compounds | 1 |
Susan Ann Haseley | US | Findlay | 2014-04-10 / 20140100495 - SPEECH THERAPY DEVICE | 1 |
Anselm Haselhoff | DE | Wuppertal | 2011-10-06 / 20110243391 - METHOD AND DEVICE FOR PRODUCING IMAGE INFORMATION | 1 |
Kai Haselhorst | DE | Versmold | 2015-10-08 / 20150283595 - Method for Producing a Chassis Link | 4 |
Kent Harold Haselhorst | US | Byron | 2009-05-07 / 20090119442 - Managing Write-to-Read Turnarounds in an Early Read After Write Memory System | 1 |
Georg Haselhorst | DE | Schmitten | 2016-02-04 / 20160031745 - SEPARATION OF TRANSPARENT WORKPIECES | 3 |
Randall Allan Haselhorst | US | Imperial | 2014-02-20 / 20140052478 - Method and System for Marketing Vehicles for Sale or Lease to Replace Totaled Vehicles | 9 |
Georg Haselhorst | DE | Mainz | 2012-03-15 / 20120060558 - PROCESS AND APPARATUS FOR LASER-SUPPORTED GLASS FORMING | 1 |
Randall Allan Haselhorst | US | Imperial | 2014-02-20 / 20140052478 - Method and System for Marketing Vehicles for Sale or Lease to Replace Totaled Vehicles | 9 |
Kent H. Haselhorst | US | Byron | 2008-09-18 / 20080229007 - Enhancements to an XDR Memory Controller to Allow for Conversion to DDR2 | 1 |
Hugo Haselhuhn | US | Paso Robles | 2012-12-06 / 20120307879 - HOME NETWORK SYSTEM AUGMENTATION WITH REMOTE GUIDANCE AND LOCAL SET UP AND MONITORING | 1 |
Klaus Haselhuhn | DE | Aachen | 2010-10-21 / 20100268286 - METHOD FOR SECURING A MEDICAL ELEMENT IN AN IMPLANT, AND MEDICAL ELEMENT | 3 |
Frank Haselhuhn | DE | Hanau | 2012-12-20 / 20120322893 - COARSE SUPPORT SILICA PARTICLES | 1 |
Kevin Haselhuhn | US | Morrisville | 2014-09-18 / 20140280197 - LOG FILE MANAGEMENT TOOL | 1 |
Frank Haselhuhn | DE | Neuberg | 2011-06-09 / 20110136919 - NOVEL PRECIPITATED SILICIC ACIDS FOR SUPPORT APPLICATIONS | 1 |
Robert Haselkorn | US | Chicago | 2010-02-11 / 20100035892 - METHOD FOR ASSEMBLING HIGH-PURITY CHEMICAL LIBRARIES, COMPOUNDS SUPPRESSING ACETYL COENZYME A CARBOXYLASE ACTIVITIES DISCOVERED BY SAME | 1 |
Mike Haselkorn | US | Fairport | 2014-09-18 / 20140261902 - METHOD AND APPARATUS FOR TREATING A STEEL ARTICLE | 2 |
Michael Haselkorn | US | Fairport | 2009-09-24 / 20090240390 - SYSTEM AND METHOD FOR COMPONENT MONITORING | 1 |
James Haselman | US | Odessa | 2015-05-28 / 20150148473 - APAO-based hot melt adhesives | 1 |
Benjamin Haselman | US | St. Paul | 2015-04-02 / 20150094745 - ATHERECTOMY DEVICE WITH ECCENTRIC CROWN | 2 |
James Haselman | US | Austin | 2012-07-12 / 20120178333 - Bi-modal Poly-alpha-olefin Blend | 1 |
Jim Haselman | US | The Woodlands | 2008-12-11 / 20080306194 - Hot-Melt Adhesive Compositions Based on Olefin Co-Polymers | 1 |
Michael Haselman | US | Renton | 2011-12-08 / 20110301918 - DATA ACQUISITION FOR POSITRON EMISSION TOMOGRAPHY | 2 |
Robert N. Haselmann | US | Eden Prairie | 2009-12-24 / 20090319512 - AGGREGATOR, FILTER, AND DELIVERY SYSTEM FOR ONLINE CONTENT | 1 |
Ralf Haselmeier | DE | Rudersberg | 2014-08-07 / 20140215847 - DOMESTIC CLOTHES DRYER AND METHOD FOR DRIVING SUCH DRYERS | 2 |
Jan Haselmeier | DE | Hemmoor | 2013-12-26 / 20130340364 - FLOOR PANEL WITH INTEGRATED CABLE CHANNEL | 2 |
Ralf Haselmeier | DE | Schorndorf | 2015-09-17 / 20150259848 - METHOD FOR TREATING CLOTHES IN A DRYER | 1 |
Peter G. Haseloh | CA | Kindersley | 2016-02-04 / 20160032915 - SWITCHING ASSEMBLY FOR A HYDRAULIC PUMP JACK | 5 |
Donald A. Haselrig | US | Pittsburgh | 2016-01-28 / 20160023078 - Martial Arts Training Bag | 1 |
Ernst Haselsteiner | AT | Graz | 2014-09-25 / 20140289565 - Process and System for Verifying Computer Program on a Smart Card | 8 |
Ernst Haselsteiner | AT | Graz | 2014-09-25 / 20140289565 - Process and System for Verifying Computer Program on a Smart Card | 8 |
William A. Haseltine | US | Washington | 2014-11-06 / 20140328794 - ALBUMIN FUSION PROTEINS | 19 |
Mark Haseltine | US | Wayland | 2016-04-28 / 20160117789 - LOSING REGISTRAR SELLING A DOMAIN NAME FOR A SELLER | 2 |
Eric Haseltine | US | Silver Spring | 2015-10-29 / 20150306496 - VIDEO TELECONFERENCE OBJECT ENABLE SYSTEM | 2 |
Eric Charles Haseltine | US | Silver Spring | 2015-03-19 / 20150077065 - CHARGING AND COMMUNICATING WITH BATTERY OPERATED TOYS | 2 |
Eric C. Haseltine | US | Burbank | 2016-04-14 / 20160105710 - System for the Delivery and Dynamic Presentation of Large Media Assets over Bandwidth Constrained Networks | 3 |
Cynthia A. Haseltine | US | Davis | 2012-03-08 / 20120058478 - MULTIMERS OF S. SOLFATARICUS SINGLE-STRANDED DNA-BINDING PROTEIN AND METHODS OF USE THEREOF | 1 |
Eric C. Haseltine | US | Silver Spring | 2015-08-27 / 20150238854 - STORYTELLING ENVIRONMENT: INTERACTIVE DEVICES WITH INTEGRATED MEMORY COMPONENT | 6 |
Eric Haseltine | US | Burbank | 2015-03-19 / 20150080121 - METHOD FOR TRACKING PHYSICAL PLAY OBJECTS BY VIRTUAL PLAYERS IN ONLINE ENVIRONMENTS | 1 |
William A. Haseltine | US | 2012-02-23 / 20120046221 - ALBUMIN FUSION PROTEINS | 2 | |
William A. Haseltine | US | New York | 2015-11-19 / 20150329619 - ALBUMIN FUSION PROTEINS | 2 |
Florence P. Haseltine | US | Rockville | 2009-05-21 / 20090132390 - Providing Electronic Access to Consumer-Customized Nonverbal Information Regarding Products and Services | 1 |
Philip John Haseltine | US | Mountain View | 2011-01-13 / 20110005667 - MULTIPLE SEGMENT VACUUM RELEASE HANDLING DEVICE | 1 |
Eric C. Haseltine | US | Odenton | 2012-12-06 / 20120311066 - System for the Delivery and Dynamic Presentation of Large Media Assets over Bandwidth Constrained Networks | 1 |
William R. Haselton | US | Nashua | 2014-11-13 / 20140337295 - PRIORITIZATION OF DATA ITEMS FOR BACKUP IN A COMPUTING ENVIRONMENT | 3 |
Rick Haselton | US | Nashville | 2016-02-25 / 20160054207 - Low Resource Sample Processor Containing Heat-Activated Surface Tension Valves | 3 |
Frederick Haselton | US | Nashville | 2015-04-30 / 20150118696 - LIQUID DIAGNOSTIC ASSAYS UTILIZING MARANGONI FLOW | 1 |
Martie G. Haselton | US | Culver City | 2015-07-02 / 20150186773 - Searching Methods Using Genetic Responsivity Measurements | 8 |
William Robert Haselton | US | Nashua | 2012-03-29 / 20120078852 - Prioritization of Data Items for Backup in a Computing Environment | 1 |
Martie G. Haselton | US | Culver City | 2015-07-02 / 20150186773 - Searching Methods Using Genetic Responsivity Measurements | 8 |
William Robert Haselton | US | Tucson | 2014-08-28 / 20140244593 - Method, System, and Program for Archiving Files | 3 |
Frederick R. Haselton | US | Nashville | 2012-11-01 / 20120276523 - LIQUID DROP DIAGNOSTIC ASSAYS | 4 |
Judith R. Haseman | US | Eagan | 2008-10-23 / 20080261880 - Synthetic approach to designed chemical structures | 1 |
Ludwig Hasemann | DE | Muellheim-Niederweiler | 2010-07-01 / 20100167028 - Stable Liquid Formulation | 2 |
Heinrich Hasemann | DE | Wunstorf | 2013-03-07 / 20130058772 - LAVAL NOZZLE | 1 |
Gottfried W. Hasemann | DE | Kürten | 2010-02-25 / 20100043516 - APPARATUS FOR PRODUCING A STRUCTURED METAL SHEET FOR EXHAUST GAS TREATMENT DEVICES | 1 |
Joerg-Michael Hasemann | DE | Emtinghausen | 2009-10-08 / 20090252307 - Method and System for Incorporating Audio Clips During a Voice Call | 2 |
Gottfried W. Hasemann | DE | Kürten | 2010-02-25 / 20100043516 - APPARATUS FOR PRODUCING A STRUCTURED METAL SHEET FOR EXHAUST GAS TREATMENT DEVICES | 1 |
Philip Hasemann | DE | Butjadingen | 2015-06-04 / 20150151507 - Process for Producing a Fiber Composite Component, as well as a Semi Finished Assembly for Producing a Fiber Composite Component | 1 |
Todd M. Hasen | US | Grayslake | 2012-09-20 / 20120238581 - INHIBITORS OF DIACYLGLYCEROL O-ACYLTRANSFERASE TYPE 1 ENZYME | 1 |
Laszlo Hasenau | DE | Bochum | 2013-10-03 / 20130257595 - DETERMINING A POSITION BY MEANS OF RFID TAGS | 3 |
Charles H. Hasenauer | US | Rochester | 2013-01-10 / 20130011154 - RESONANT-FREQUENCY MEASUREMENT OF ELECTROPHOTOGRAPHIC DEVELOPER DENSITY | 5 |
George Robert Hasenauer | US | Silver Spring | 2009-12-03 / 20090296895 - METHODS AND APPARATUS FOR REDUCING DATA CAPTURE AND STORAGE REQUIREMENTS FOR CALL AND TRANSACTION RELATED MESSAGE MONITORING AND FRAUD DETECTION | 1 |
Robert Hasenbein | US | Enfield | 2011-04-28 / 20110096114 - METHOD AND APPARATUS TO EJECT DROPS HAVING STRAIGHT TRAJECTORIES | 5 |
Robert A. Hasenbein | US | Enfield | 2010-02-18 / 20100039479 - PRINTHEAD | 1 |
Robert Hasenbein | US | Scottsdale | 2014-08-28 / 20140240415 - Recirculation of ink | 1 |
Robert A. Hasenbein | US | Scottsdale | 2016-02-25 / 20160052292 - Recirculation of Ink | 2 |
Mark J. Hasenberg | US | Kenosha | 2015-04-30 / 20150114068 - HAND HELD FLARING TOOL | 2 |
Thomas Charles Hasenberg | US | Campbell | 2015-10-01 / 20150272676 - SURGICAL LASER SYSTEM AND LASER FIBER | 2 |
Thomas Hasenberg | US | Campbell | 2016-05-19 / 20160135892 - SURGICAL LASER SYSTEMS AND LASER DEVICES | 1 |
Winfried Hasenberg | DE | Koeln | 2009-08-06 / 20090195257 - Method and device for monitoring a first voltage value | 1 |
Mark Hasenberg | US | Kenosha | 2012-02-02 / 20120027323 - One Way Valve For Evacuating Air From Food Storage Bags | 1 |
Daniel M. Hasenberg | US | Kingwood | 2015-10-01 / 20150275104 - System and Method for On Stream Catalyst Replacement | 5 |
Dirk Hasenberg | BE | Raeren | 2011-02-17 / 20110039108 - HIGH STRENGTH EPOXY ADHESIVE AND USE THEREOF | 2 |
Daniel M. Hasenberg | US | Humble | 2008-10-02 / 20080242894 - Graded Catalyst Bed for Methyl Mercaptan Synthesis | 1 |
Thomas C. Hasenberg | US | Campbell | 2015-10-15 / 20150289937 - SURGICAL LASER SYSTEMS AND LASER LITHOTRIPSY TECHNIQUES | 4 |
Ronald Hasenbusch | US | Grosse Pointe Park | 2013-08-29 / 20130220573 - MOLDING TOOL WITH CONFORMAL PORTIONS AND METHOD OF MAKING THE SAME | 2 |
Roland Hasenbusch | US | Grosse Pointe Park | 2013-08-29 / 20130220569 - MOLD CORE FOR FORMING A MOLDING TOOL | 1 |
Ronald H. Hasenbusch | US | Grosse Pointe Park | 2014-05-01 / 20140116638 - SAND PRINTED MOLD PACKAGE FOR CASTING A WHEEL ASSEMBLY HAVING DIRECTIONAL SOLIDIFICATION FEATURES | 3 |
Stephen I. Hasenei | US | Silver Spring | 2013-07-25 / 20130191575 - METHODS AND SYSTEMS FOR PROVIDING ALTERNATIVE STORAGE RESOURCES | 2 |
Dennis J. Hasenfang | US | Waterford | 2011-10-27 / 20110263219 - Emergency services for voice over IP telephony (E-VoIP) | 1 |
Luc Hasenfratz | FR | Haguenau | 2010-02-11 / 20100032448 - DEVICE FOR AUTOMATICALLY DISPENSING AND EJECTING PRODUCTS STORED IN PARALLEL LONGITUDINAL ROWS | 2 |
Robert Hasenfratz | DE | Waiblingen | 2016-03-03 / 20160059174 - Filter Element and Filter System | 13 |
Robert Hasenfratz | DE | Waiblingen | 2016-03-03 / 20160059174 - Filter Element and Filter System | 13 |
Luc Hasenfratz | GB | Hempstead | 2010-04-15 / 20100089941 - APPARATUS FOR STORING AND DISPENSING PACKAGES | 1 |
Gary D. Hasenfus | US | Concord | 2009-06-04 / 20090140719 - Smart sensors for solar panels | 1 |
David Hasenfus | US | Plainville | / - | 1 |
Paul Hasenfus | US | New York | 2009-05-21 / 20090132410 - Method and Apparatus for Prime Brokering Financial Transactions | 2 |
Peter Hasenfuss | CH | Kreuzlingen | 2011-09-15 / 20110220445 - Fully automatic traffic system | 1 |
Sabine Hasenfuss | DE | Stuttgart | 2012-04-12 / 20120085466 - Method For Producing A Steel Component By Hot Forming And Steel Component Produced By Hot Forming | 2 |
Gerd Hasenfuss | DE | Gottingen | 2010-02-11 / 20100034779 - COMPOSITIONS AND METHODS FOR PRODUCING PLURIPOTENT CELLS FROM ADULT TESTIS | 1 |
Martina Hasenjaeger | DE | Offenbach | 2009-02-05 / 20090035130 - SHAPE OF GAS PASSAGE IN AXIAL-FLOW GAS TURBINE ENGINE | 1 |
John Michael Hasenkam | DK | Aarhus V | 2010-11-11 / 20100286769 - System For Implanting a Valve Prosthesis | 1 |
John Michael Hasenkam | DK | Aarhus | 2010-01-07 / 20100004727 - SYSTEM FOR IMPLANTING A VALVE PROSTHESIS | 1 |
John Michael Hasenkam | DK | Harlev J | 2012-06-07 / 20120143323 - METHOD FOR ALTERING THE GEOMETRY OF THE HEART | 2 |
Johannes Hasenkam | DE | Ludwigsburg | 2010-01-07 / 20100000982 - Composite Conductor, in Particular for Glow Plugs for Diesel Engines | 1 |
John M. Hasenkam | DK | Harlev J | 2014-03-20 / 20140081393 - METHOD FOR ALTERING THE GEOMETRY OF THE HEART | 1 |
Katrin Hasenkopf | DE | Freising | 2011-07-28 / 20110183054 - PROCESS FOR THE PREPARATION OF A MOIST PROTEIN BIOMASS AND ITS USE | 5 |
Anke Hasenkox | DE | Viersen | 2012-05-31 / 20120132059 - WEAPON WITH RECOIL AND BRAKING DEVICE, DAMPING THIS RECOIL | 2 |
Kim J. Hasenkrug | US | Victor | 2015-12-31 / 20150376288 - CD47 Targeted Therapies for the Treatment of Infectious Disease | 1 |
Erik John Hasenoehrl | US | Loveland | 2016-03-03 / 20160065412 - HOME NETWORK OF CONNECTED CONSUMER DEVICES | 28 |
Erik John Hasenoehrl | US | Loveland | 2016-03-03 / 20160065412 - HOME NETWORK OF CONNECTED CONSUMER DEVICES | 28 |
William Hasenplaugh | US | Boston | 2011-05-05 / 20110106872 - Method and apparatus for providing an area-efficient large unsigned integer multiplier | 2 |
William C. Hasenplaugh | US | Jamaica Plain | 2011-10-27 / 20110264720 - CRYPTOGRAPHIC SYSTEM, METHOD AND MULTIPLIER | 2 |
William C. Hasenplaugh | US | Boston | 2016-03-31 / 20160092354 - HARDWARE APPARATUSES AND METHODS TO CONTROL CACHE LINE COHERENCY | 17 |
William C. Hasenplaugh | US | Cambridge | 2014-07-03 / 20140188968 - VARIABLE PRECISION FLOATING POINT MULTIPLY-ADD CIRCUIT | 2 |
William C. Hasenplaugh | US | Boston | 2016-03-31 / 20160092354 - HARDWARE APPARATUSES AND METHODS TO CONTROL CACHE LINE COHERENCY | 17 |
Julie M. Hasenwinkel | US | Manlius | 2011-09-01 / 20110212136 - Nanosphere/Microsphere Delivery System for the Treatment of Spinal Cord Injury | 3 |
Tom E. Hasenyager | US | Mill City | 2011-06-02 / 20110130207 - PERSONAL DEFENSE DEVICE | 1 |
Thomas Hasenzahl | DE | Darmstadt | 2008-09-25 / 20080233536 - Dental machining device and method for monitoring sealing elements in dental machining devices | 2 |
Markus Hasenzahl | DE | Riedstadt | 2015-05-07 / 20150124080 - Method and device for scanning a film | 3 |
Steffen Hasenzahl | DE | Hanau | 2014-07-31 / 20140208981 - COMPOSITION CONTAINING QUATERNARY AMINO-FUNCTIONAL ORGANOSILICON COMPOUNDS AND PRODUCTION AND USE THEREOF | 5 |
Sieghard Hasenzahl | DE | Riedstadt | 2008-12-18 / 20080312585 - METHOD OF OPERATING A MEDICAL DEVICE AND AT LEAST A REMOTE CONTROLLER FOR SUCH MEDICAL DEVICE | 2 |
Steffen Hasenzahl | DE | Maintal | 2008-09-04 / 20080213325 - POWDER MIXTURE CONSISTING OF TITANIUM DIOXIDE, ZINC OXIDE AND ZINC/TITANIUM MIXED OXIDE | 1 |
Torsten Hasenzahl | DE | Dillingen | 2013-03-28 / 20130079167 - Carnival Ride And Method For Operating the Carnival Ride | 4 |
Yasuyuki Haseo | JP | Nishio-Shi | 2014-05-01 / 20140119081 - POWER CONVERSION APPARATUS | 4 |
Yasuyuki Haseo | JP | Nishio-City | 2009-10-29 / 20090270701 - DEVICE FOR MEASURING CONCENTRATION OF CONSTITUENT IN BLOOD ANDMEASURING METHOD | 1 |
Shinji Haseo | JP | Toyoake | 2015-10-01 / 20150281037 - MONITORING OMISSION SPECIFYING PROGRAM, MONITORING OMISSION SPECIFYING METHOD, AND MONITORING OMISSION SPECIFYING DEVICE | 2 |
Kurt H. Haserodt | US | Westminster | 2016-04-07 / 20160099850 - ABSTRACT ACTIVITY COUNTER | 4 |
Kurt Haserodt | US | Westminster | 2016-04-21 / 20160112539 - SERVICES VERSIONING | 16 |
Kiyomi Hasesaka | JP | Yokohama | 2015-10-01 / 20150281933 - WIRELESS COMMUNICATION DEVICE, WIRELESS COMMUNICATION NETWORK SYSTEM, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM RECORDING INFORMATION PROCESSING PROGRAM | 1 |
Kiyomi Hasesaka | JP | Kawasaki | 2013-06-27 / 20130163603 - SYSTEM AND METHOD FOR SWITCHING A COMMUNICATION PATH BASED ON DISASTER INFORMATION | 3 |
Wolfram Hasewend | AT | Graz | 2013-12-19 / 20130337951 - POWER TRANSFER DEVICE WITH LOW EFFORT MODE SHIFT SYSTEM | 3 |
Todd Haseyama | US | Encinitas | 2012-02-09 / 20120036473 - METHOD AND SYSTEM TO CONTROL THE DISPLAY OF INFORMATION | 2 |
Shuetsu Haseyama | JP | Higashimatsuyama-Shi | 2015-01-15 / 20150014157 - OXIDE SINTERED COMPACT AND SPUTTERING TARGET, AS WELL AS ITS PRODUCTION PROCESSES | 1 |
Tomomi Haseyama | JP | Tokyo | 2014-09-18 / 20140279284 - NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM, AND INFORMATION PROCESSING DEVICE | 1 |
Takashi Haseyama | JP | Kanagawa | 2010-02-04 / 20100024395 - CONTROL METHOD OF EXHAUST EMISSION PURIFICATION SYSTEM AND EXHAUST EMISSION PURIFICATION SYSTEM | 9 |
Takashi Haseyama | JP | Fujisawa-Shi | 2013-03-28 / 20130074458 - EXHAUST GAS PURIFICATION SYSTEM | 3 |
Miki Haseyama | JP | Sapporo-Shi | 2011-09-15 / 20110225196 - MOVING IMAGE SEARCH DEVICE AND MOVING IMAGE SEARCH PROGRAM | 6 |
Makoto Haseyama | JP | Kawasaki | 2013-07-04 / 20130171748 - METHOD OF TESTING A SEMICONDUCTOR DEVICE AND SUCTIONING A SEMICONDUCTOR DEVICE IN THE WAFER STATE | 1 |
Seiichiro Hasezawa | JP | Tokyo | 2010-07-08 / 20100172555 - FEATURE QUANTITY SELECTION METHOD, FEATURE QUANTITY SELECTION APPARATUS, IMAGE CLASSIFICATION METHOD, IMAGE CLASSIFICATION APPARATUS, COMPUTER PROGRAM, AND RECORDING MEDIUM | 1 |
Lawrence D. Hasfjord | US | Chillicothe | 2011-01-20 / 20110015038 - MOTOR VEHICLE BRAKING SYSTEM AND METHOD | 1 |
Eileen S. Hash | US | Kirkland | 2012-09-20 / 20120240202 - Communication Abuse Prevention | 3 |
Gregory David Hash | US | Broken Arrow | 2014-03-27 / 20140086774 - FLUID END OF A HIGH PRESSURE PLUNGER PUMP HAVING A GROOVE ADAPTED TO RECEIVE A SPRING RETAINER OF A SUCTION VALVE | 2 |
Rebekah Hash | US | Los Angeles | 2014-02-06 / 20140040870 - Installing One or More Programs, and at Least a Portion of Their Environment | 1 |
Sara Ingegard Hash | US | San Marcos | 2014-12-11 / 20140363536 - ANIMAL MEAL THAT INCLUDES FUNGAL BIOMASS | 1 |
Ronald J. Hash | US | Palm Bay | 2014-09-04 / 20140247092 - WIDEBAND DISTRIBUTED AMPLIFIER WITH INTEGRAL BYPASS | 6 |
Anthony Hash | US | Olathe | 2015-10-29 / 20150305985 - ENTERAL FEEDING WARMING SYSTEM | 2 |
Kirk Hash | US | Drummond | 2016-02-11 / 20160039735 - NITRIC ACID OXIDATION PROCESSES | 3 |
Lawrence A. Hash | US | Sand Springs | 2009-12-17 / 20090309446 - ELECTRIC ARC WELDING SYSTEM POWERED BY PERMANENT MAGNET ELECTRIC GENERATOR | 2 |
Kirk R. Hash | US | Drummond | 2015-12-31 / 20150376104 - HYDROXYCARBOXYLIC ACIDS AND SALTS | 5 |
Stephen M. Hash | US | Austin | 2013-11-07 / 20130295133 - RECOMBINANT VIRUS EXPRESSING FOREIGN DNA ENCODING FELINE CD80, FELINE CD86, FELINE CD28, OR FELINE CTLA-4 AND USES THEREOF | 1 |
Mark C. Hash | US | Chelsea | 2015-11-19 / 20150330753 - LEAD-FREE, CORROSION-RESISTANT PROJECTILES AND METHODS OF MANUFACTURE | 5 |
Gary Hash | US | Brooklyn | 2015-08-06 / 20150218984 - MOTORCYCLE MUFFLER BAFFLE | 1 |
Alexander Hasha | US | Brooklyn | 2014-12-18 / 20140372338 - SYSTEMS AND METHODS FOR RECOMMENDING MERCHANTS TO A CONSUMER | 1 |
Alex Hasha | US | Brooklyn | 2014-12-18 / 20140372169 - SYSTEMS AND METHODS FOR PROVIDING BUSINESS RATINGS | 1 |
Brian Hasha | US | Deer Park | 2012-03-22 / 20120068415 - SEALING ARRANGEMENTS | 1 |
Richard Hasha | US | Seattle | 2013-12-12 / 20130332517 - METHOD AND SYSTEM FOR DISTRIBUTING ART | 1 |
Dennis L. Hasha | US | Woodstock | 2012-08-16 / 20120207805 - Wipe for Use with a Germicidal Solution | 1 |
Richard L. Hasha | US | Seattle | 2015-08-27 / 20150244602 - Maintaining Consistency within a Federation Infrastructure | 17 |
Tal Hashai | IL | Tel-Aviv | 2013-06-27 / 20130166376 - TRACKING CONVERSIONS OF APPLICATION SOFTWARE ADVERTISEMENTS | 2 |
Eyal Hashai | IL | Natanya | 2011-08-25 / 20110208992 - Universal Resource Locator Watchdog | 1 |
Raed Hashaikeh | AE | Abu Dhabi | 2014-11-06 / 20140326029 - Cellulose Blends with Enhanced Water Retention and Their Use in Irrigation | 6 |
Ahmad Hashasb | US | Pleasant Hill | 2011-04-07 / 20110082169 - Stable SNS-595 Compositions and Methods of Preparation | 1 |
Youssef Hashash | US | Urbana | 2009-01-29 / 20090030300 - ACCURATE DETERMINATION OF INTRAOCULAR PRESSURE AND CHARACTERIZATION OF MECHANICAL PROPERTIES OF THE CORNEA | 1 |
Youssef M.a. Hashash | US | Urbana | 2014-12-04 / 20140358505 - COLLISION IMPULSE DERIVED DISCRETE ELEMENT CONTACT FORCE DETERMINATION ENGINE, METHOD, SOFTWARE AND SYSTEM | 2 |
Ahmad Hashash | US | Pleasant Hill | 2014-09-18 / 20140275547 - STABLE SNS-595 COMPOSITIONS AND METHODS OF PREPARATION | 6 |
Ahmad Hashash | US | Shrewsbury | 2014-10-16 / 20140309187 - SOLID FORMS OF AN ANTIVIRAL COMPOUND | 2 |
Anat Hashavit | IL | Haifa | 2016-03-03 / 20160063071 - SCALABLE TREND DETECTION IN A PERSONALIZED SEARCH CONTEXT | 2 |
Frank E. Hashberger | US | Snohomish | 2014-11-13 / 20140332629 - WALL MOUNTED STOWAGE COMPARTMENT | 7 |
Ghazi J. Hashem | US | Searook | 2013-04-25 / 20130098690 - REPAIRED WEAR AND BUCKLE RESISTANT DRILL PIPE AND RELATED METHODS | 1 |
Ghazi J. Hashem | US | Houston | 2011-01-13 / 20110005775 - APPARATUS AND METHODS TO PROTECT CONNECTIONS | 2 |
Heba Abdulmohsen Hashem | US | Alexandria | 2015-10-01 / 20150276419 - TRANSPORTATION PLANNER AND ROUTE CALCULATOR FOR ALTERNATIVE TRAVEL METHODS | 1 |
Rayyan G. Hashem | LB | Saida | 2010-07-29 / 20100186294 - Process for enhancing plant growth | 1 |
Ghazi J. Hashem | US | Pasadena | 2014-07-31 / 20140209326 - METHODS AND APPARATUS RELATING TO EXPANSION TOOLS FOR TUBULAR STRINGS | 3 |
Ghazi J. Hashem | US | Seabrook | 2013-04-25 / 20130098687 - WEAR AND BUCKLING RESISTANT DRILL PIPE | 1 |
Michael Paul Hashem | US | Wooster | 2015-06-25 / 20150176595 - Dual-Sided Centrifugal Fan | 1 |
Michael Hashem | US | Wooster | 2010-05-27 / 20100125945 - EXTERIOR RIM WASH BOWL | 1 |
Nader Mohamed Hashem | US | Burbank | 2014-01-09 / 20140012670 - SYSTEM AND METHOD FOR ACQUIRING DOMAIN VISITORS ON A PARKING SERVICE AND REDIRECTING TO OPTIMAL ADVERTISERS | 1 |
Mohamed Naguib Hashem | NL | Rijswijk | 2010-09-30 / 20100242586 - IN-SITU FLUID COMPATIBILITY TESTING USING A WIRELINE FORMATION TESTER | 1 |
Samir Hashem | US | San Diego | 2015-09-24 / 20150268833 - COMMENT SYSTEM FOR INTERACTIVE GRAPHICAL DESIGNS | 2 |
Sam Hashemi | US | Farmington Hills | 2015-08-13 / 20150226112 - METHOD AND SYSTEM FOR IMPROVING STOPPING AND STARTING OF A TURBOCHARGED ENGINE | 8 |
Vahideh Hashemi | CA | Richmond Hill | 2012-01-26 / 20120018689 - Patient Lift Device | 2 |
Behzad Hashemi | US | Irvine | 2014-12-04 / 20140356206 - PUMP ROLLER ASSEMBLY WITH INDEPENDENTLY SPRUNG ROLLERS | 2 |
Siamak Hashemi | US | Farmington Hills | 2016-02-25 / 20160053697 - SKIP FIRE TRANSITION CONTROL | 8 |
Fardad A. Hashemi | US | Moraga | 2015-05-07 / 20150122412 - SYSTEM AND METHOD FOR CLAMPING WAFERS TOGETHER IN ALIGNMENT USING PRESSURE | 4 |
Hossein Hashemi | US | Laguna Niguel | 2015-10-29 / 20150309742 - APPARATUS, SYSTEM, AND METHOD FOR NON-VOLATILE DATA STORAGE AND RETRIEVAL | 1 |
Fardad Hashemi | US | Boston | 2011-05-26 / 20110121682 - SIGNAL AMPLIFICATION BY HIERARCHAL RESONATING STRUCTURES | 1 |
Fardad Hashemi | US | Moraga | 2013-09-26 / 20130250271 - STAGE ASSEMBLY WITH SECURE DEVICE HOLDER | 3 |
Darush David Hashemi | US | Trabuco Canyon | 2014-09-18 / 20140265790 - SLIM DRAWER SLIDE | 4 |
Mona Hashemi | SE | Goteborg | 2016-05-19 / 20160142989 - CELL SEARCH PROCEDURE FRAME FORMAT | 2 |
Hila Hashemi | US | Cambridge | 2011-07-28 / 20110181942 - NONLINEAR HARMONIC GENERATION AND DEVICES IN DOUBLY-RESONANT KERR CAVITIES | 1 |
Paul Hashemi | US | Sterrett | 2012-03-22 / 20120071307 - APPARATUS FOR AN ABDOMINAL EXERCISER DEVICE | 1 |
Sayed Bahawaddin Hashemi | US | Herndon | / - | 1 |
Tanaz Hashemi | US | Kenilworth | 2009-07-09 / 20090176254 - MAMMALIAN GALANIN RECEPTORS | 2 |
Darush David Hashemi | US | Santa Fe Springs | 2014-01-23 / 20140021843 - DRAWER SLIDE AND ELECTRONICALLY ACTUATED LOCKING MECHANISM | 1 |
Hossein Hashemi | US | Pacific Palisades | 2015-08-20 / 20150236842 - HYBRID-BASED CANCELLATION IN PRESENCE OF ANTENNA MISMATCH | 6 |
Reza Hashemi | DE | Hanstedt | 2015-11-12 / 20150324104 - LABORATORY APPARATUS WITH A CONTROL DEVICE | 1 |
Ali Hashemi | US | Randolph | 2015-12-17 / 20150360220 - CELL CULTURE SCRAPING DEVICE | 1 |
Seyede Zohre Hashemi | CA | Edmonton | 2016-03-03 / 20160058813 - Pea (Pisum sativum L.) Seed Coats and Seed Coat Fractions | 1 |
Masoud Hashemi | US | Canton | 2010-08-05 / 20100195336 - ACTUATOR SYSTEM FOR A LIGHTING SYSTEM | 1 |
Mohammed Reza Mahmoodi Hashemi | US | Ann Arbor | 2014-11-27 / 20140346357 - PHOTOCONDUCTIVE DEVICE WITH PLASMONIC ELECTRODES | 1 |
Noosheen Hashemi | US | Menlo Park | 2016-03-17 / 20160080855 - Jewelry Having Electronic Modules | 1 |
S.j. Hashemi | CA | Pierrefonds | 2014-11-27 / 20140345818 - METHOD AND APPARATUS FOR MANUFACTURING LIGNOCELLULOSIC MATERIALS WITH IMPROVED PROPERTIES | 1 |
Pouya Hashemi | US | White Plains | 2016-05-19 / 20160141395 - SiGe and Si FinFET Structures and Methods for Making the Same | 72 |
Siamak Hashemi | US | Farmington Hills | 2016-02-25 / 20160053697 - SKIP FIRE TRANSITION CONTROL | 8 |
Hossein Hashemi | US | Los Angeles | 2012-11-29 / 20120299651 - FEED-BACK AND FEED-FORWARD SYSTEMS AND METHODS TO REDUCE OSCILLATOR PHASE-NOISE | 4 |
Arman Hashemi | GB | Staffordshire | 2014-01-02 / 20140000164 - THERMAL SHUTTER SYSTEM | 1 |
Sam Hashemi | US | Farmington Hills | 2015-08-13 / 20150226112 - METHOD AND SYSTEM FOR IMPROVING STOPPING AND STARTING OF A TURBOCHARGED ENGINE | 8 |
Pouya Hashemi | US | White Plains | 2016-05-19 / 20160141395 - SiGe and Si FinFET Structures and Methods for Making the Same | 72 |
Sepideh Hashemi | US | Weston | 2012-09-13 / 20120231047 - THERAPEUTIC AGENT DELIVERY FOR THE TREATMENT OF ASTHMA VIA IMPLANTABLE AND INSERTABLE MEDICAL DEVICES | 2 |
Shohreh Hamed Hashemian | US | Knoxville | 2010-12-02 / 20100299796 - Adhesive Underarm Perspiration Pad | 1 |
Seyyed Vahid Hashemian | US | Redwood City | 2016-05-05 / 20160124777 - RESOURCE SUBSTITUTION AND REALLOCATION IN A VIRTUAL COMPUTING ENVIRONMENT | 1 |
Shervin Tony Hashemian | US | Scottsdale | 2015-05-07 / 20150127377 - COLOR MATCHING FOR HEALTH MANAGEMENT | 1 |
Hashem M. Hashemian | US | Knoxville | 2014-12-18 / 20140369456 - ROD MOVEMENT DIAGNOSTICS FOR NUCLEAR POWER PLANT USING ADVANCED DATA FITTING | 11 |
Laddan Hashemian | US | La Jolla | 2010-02-18 / 20100040178 - Transceiver with Receive Path Performance Diversity and Combiner with Jammer Detect Feedback | 1 |
Hashem M. Hashemian | US | Knoxville | 2014-12-18 / 20140369456 - ROD MOVEMENT DIAGNOSTICS FOR NUCLEAR POWER PLANT USING ADVANCED DATA FITTING | 11 |
Seyyed Vahid Hashemian | US | San Mateo | 2016-04-07 / 20160099884 - RESOURCE SUBSTITUTION AND REALLOCATION IN A VIRTUAL COMPUTING ENVIRONMENT | 1 |
Hassan Hashemipour Rafsanjani | IR | Kerman | 2010-12-02 / 20100304158 - SURFACE PRE-TREATMENT COATING FILM AND PROCESS FOR METALLIC SUBSTRATES | 1 |
Shahrokh Hashemi-Yageneh | US | Rancho Palos Verdes | 2015-10-08 / 20150288075 - ARRAY ANTENNA | 1 |
Shahrokh Hashemi-Yeganeh | US | Rancho Palos Verdes | 2013-07-25 / 20130188328 - QUASI-ELECTRIC SHORT WALL | 3 |
Hussain Hashemizadeh | GB | Aberdeenshire | 2014-01-02 / 20140001390 - Split Seat Shear Valve | 1 |
Hussain Hashemizadeh | GB | Aberdeen | 2013-12-12 / 20130327536 - ROTATIONAL SHEAR VALVE | 1 |
Abdulmajid Hashemzadeh | DE | Burgkirchen | 2013-12-19 / 20130337266 - MODIFIED COMPOSITE PARTICLES | 11 |
Parham Hashemzadeh | SE | Goteborg | 2010-03-18 / 20100067770 - SYSTEM AND METHOD RELATING TO EXAMINATION OF AN OBJECT | 1 |
Parham Hashemzdaeh | SE | Goteborg | 2010-07-08 / 20100174179 - SOLUTION FOR INTERNAL MONITORING OF BODY | 1 |
Behzad Hashenas | US | San Diego | 2013-02-14 / 20130036722 - FUEL SYSTEM HAVING FUEL CONTROL UNIT AND HEAT EXCHANGER | 1 |
Mayuki Hashi | JP | Kanagawa | 2012-10-11 / 20120257106 - VIDEO PROCESSING APPARATUS AND VIDEO PROCESSING METHOD | 2 |
Akiko Hashi | JP | Kanagawa | 2009-07-02 / 20090165824 - Cleaning apparatus for cleaning component part of magnetic disk drive and cleaning method of cleaning component part of magnetic disk drive | 1 |
Nobuyuki Hashi | JP | Kanagawa | 2013-10-03 / 20130256281 - SOLDER-JET NOZZLE, LASER-SOLDERING TOOL, AND METHOD, FOR LASERSOLDERING HEAD-CONNECTION PADS OF A HEAD-STACK ASSEMBLY FOR A HARD-DISK DRIVE | 1 |
Akihide Hashi | JP | Kariya-City | 2009-01-15 / 20090013970 - Fuel feed apparatus | 1 |
Kunihiko Hashi | JP | Muroran-Shi | 2009-10-29 / 20090269275 - PRODUCING METHOD OF HYDROGEN STORAGE ALLOY | 1 |
Yoshihisa Hashi | JP | Shizuoka-Ken | 2010-01-14 / 20100009300 - INDUSTRIAL X-RAY PHOTOSENSITIVE MATERIAL | 1 |
Yasumichi Hashi | JP | Fuchu Tokyo | 2015-10-15 / 20150295957 - DATA TRANSMITTING DEVICE, DATA TRANSMITTING METHOD, AND COMMUNICATION DEVICE | 1 |
Yukihiro Hashi | JP | Shiojiri | 2016-05-05 / 20160126965 - ATOMIC CELL MANUFACTURING METHOD, ATOMIC CELL, QUANTUM INTERFERENCE DEVICE, ATOMIC OSCILLATOR, ELECTRONIC DEVICE, AND MOVING OBJECT | 8 |
Yukihiro Hashi | JP | Shiojiri | 2016-05-05 / 20160126965 - ATOMIC CELL MANUFACTURING METHOD, ATOMIC CELL, QUANTUM INTERFERENCE DEVICE, ATOMIC OSCILLATOR, ELECTRONIC DEVICE, AND MOVING OBJECT | 8 |
Hideyuki Hashi | JP | Osaka | 2015-07-09 / 20150193643 - INFORMATION READER | 16 |
Kazuo Hashi | JP | Sapporo-Shi | 2015-11-26 / 20150337265 - MESENCHYMAL STEM CELL AND THE METHOD OF USE THEREOF | 8 |
Yasumichi Hashi | JP | Tokyo | 2014-03-20 / 20140082680 - CONTENT DISTRIBUTION SERVER SYSTEM AND METHOD FOR CONTROLLING THE SAME | 2 |
Hiroki Hashi | JP | Tokyo | 2015-04-30 / 20150121425 - REMOTE CONTROL SYSTEM, REMOTE CONTROL COMMANDER, REMOTE CONTROL SERVER | 1 |
Shigeyoshi Hashi | JP | Tokyo | 2014-07-10 / 20140195039 - LIBRARY DEVICE AND LIBRARY DEVICE CONTROL METHOD | 2 |
Toshio Hashi | JP | Shinagawa | 2010-08-26 / 20100215312 - OPTICAL CONNECTOR | 1 |
Kazuo Hashi | JP | Sapporo-Shi | 2015-11-26 / 20150337265 - MESENCHYMAL STEM CELL AND THE METHOD OF USE THEREOF | 8 |
Seiichi Hashi | JP | Tokyo | 2010-12-23 / 20100319893 - HEAT EXCHANGE DEVICE | 3 |
Hiroshi Hashi | JP | Tokyo | 2011-02-03 / 20110025751 - INKJET PRINTER WITH INK TEMPERATURE ADJUSTMENT MECHANISM | 3 |
Yasumichi Hashi | JP | Fuchu-Shi | 2012-10-11 / 20120257620 - PACKET DISTRIBUTION APPARATUS AND PACKET DISTRIBUTION METHOD | 1 |
Ahmed Hashi | CA | Waterloo | 2010-06-24 / 20100156865 - AUTOMATIC SCREEN AND KEYPAD BRIGHTNESS ADJUSTMENT ON A MOBILE HANDHELD ELECTRONIC DEVICE | 1 |
Kiyoshi Hashiba | BR | Sao Paulo | 2013-11-21 / 20130310640 - FLEXIBLE GASTROINTESTINAL VIDEOENDOSCOPE | 8 |
Shigeto Hashiba | JP | Kanagawa | 2015-09-24 / 20150268569 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 9 |
Yoshiaki Hashiba | JP | Takaoka-Shi | 2011-09-22 / 20110226418 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Masanori Hashiba | JP | Aichi-Ken | 2010-01-07 / 20100004358 - METHOD FOR PRODUCTION OF MOLDED ARTICLE OF PLANT- DERIVED COMPOSITE MATERIAL, MOLDED ARTICLE OF PLANT-DERIVED COMPOSITE MATERIAL, METHOD FOR PRODUCTION OF PLANT-DERIVED COMPOSITE MATERIAL, AND PLANT-DERIVED COMPOSITE MATERIAL | 1 |
Masashi Hashiba | JP | Gunma | 2016-03-03 / 20160059220 - Catalyst For Producing Unsaturated Aldehyde And/or Unsaturated Carboxylic Acid, Method For Producing The Catalyst, And Method For Producing Unsaturated Aldehyde and/or Unsaturated Carboxylic Acid Using The Catalyst | 2 |
Michi Hashiba | JP | Gifu-Ken | 2009-10-22 / 20090263697 - FUEL CELL | 1 |
Hideyasu Hashiba | JP | Kawasaki | 2009-09-24 / 20090236261 - ELECTRONIC COMPONENT ACCOMMODATING DEVICE | 1 |
Hiroki Hashiba | JP | Sakura-Shi | 2009-09-03 / 20090217522 - PROCESS FOR PRODUCING MULTILAYER PRINTED WIRING BOARD | 1 |
Masanori Hashiba | JP | Gifu-Ken | 2009-08-06 / 20090197079 - MOLDED ARTICLE AND PROCESS FOR PRODUCING THE SAME | 1 |
Ayumi Hashiba | JP | Tsurugashima-Shi | 2014-06-05 / 20140154366 - IMPREGNATION TYPE PUFFED FOOD AND METHOD FOR PRODUCING SAME | 1 |
Hirofumi Hashiba | JP | Chita-Gun | 2011-08-04 / 20110186171 - DELIVERY PIPE | 1 |
Masanori Hashiba | JP | Gifu | 2014-10-09 / 20140302284 - THERMOPLASTIC RESIN MOLDING AND MANUFACTURING METHOD THEREFOR | 1 |
Shuhei Hashiba | JP | Wako | 2012-12-27 / 20120326022 - MASS SPECTROMETER AND MASS ANALYZING METHOD | 4 |
Yutaka Hashiba | JP | Yokosuka | 2016-02-18 / 20160049835 - SYNCHRONOUS RELUCTANCE MOTOR AND ROTOR FOR SYNCHRONOUS RELUCTANCE MOTOR | 4 |
Yoshiaki Hashiba | JP | Toyama | 2009-04-23 / 20090104740 - Semiconductor device producing method | 1 |
Mitsuharu Hashiba | JP | Chiyoda-Ku | 2014-12-04 / 20140354204 - CONTROL DEVICE AND CONTROL METHOD FOR PERMANENT MAGNET MOTOR | 2 |
Yuji Hashiba | JP | Tokyo | 2016-03-03 / 20160060158 - LEAD-FREE LOW-MELTING GLASS COMPOSITION, LOW-TEMPERATURE SEALING GLASS FRIT, LOW-TEMPERATURE SEALING GLASS PASTE, CONDUCTIVE MATERIAL, AND CONDUCTIVE GLASS PASTE CONTAINING GLASS COMPOSITION, AND GLASS-SEALED COMPONENT AND ELECTRIC/ELECTRONIC COMPONENT PREPARED USING THE SAME | 3 |
Rumiko Hashiba | JP | Kunitachi-Shi | 2014-07-03 / 20140189594 - ELECTRONIC DEVICE AND DISPLAY METHOD | 1 |
Kunio Hashiba | JP | Tokyo | 2015-12-10 / 20150351723 - ULTRASOUND IMAGING DEVICE AND ULTRASOUND IMAGING METHOD | 22 |
Masahiro Hashiba | JP | Tokyo | 2012-07-26 / 20120189087 - OSCILLATION POWER RANGE MONITOR AND METHOD OF CHECKING SOUNDNESS THEREOF | 1 |
Shoichi Hashiba | JP | Chiryu-Shi | 2011-01-20 / 20110013019 - DISPLAY APPARATUS DISPLAYING IMAGE OF SURROUNDINGS OF VEHICLE | 1 |
Yuji Hashiba | JP | Narita | 2013-12-19 / 20130333748 - LOW SOFTENING POINT GLASS COMPOSITION, BONDING MATERIAL USING SAME ADN ELECTRONIC PARTS | 7 |
Yuji Hashiba | JP | Kizugawa-City | 2011-08-18 / 20110199590 - PROJECTION DISPLAY DEVICE, TERMINAL COVER, AND COVER UNIT | 5 |
Yuji Hashiba | JP | Osaka | 2011-07-28 / 20110181843 - PROJECTION DISPLAY DEVICE | 1 |
Yoshiaki Hashiba | JP | Kanagawa-Ken | 2009-03-26 / 20090080281 - NEGATIVE VOLTAGE DETECTION CIRCUIT AND SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Yoshimichi Hashiba | JP | Toyohasi-Shi | 2016-05-19 / 20160135608 - CUSHION FOR CO-SLEEPING | 1 |
Masanori Hashiba | JP | Mizunami-Shi | 2010-12-23 / 20100323182 - INTERIOR MATERIAL FOR VEHICLE | 2 |
Yuji Hashiba | JP | Chiba | 2012-12-27 / 20120325297 - GLASS COMPOSITION AND CONDUCTIVE PASTE FOR ALUMINUM ELECTRODE WIRING, ELECTRONIC COMPONENT PROVIDED WITH THAT ALUMINUM ELECTRODE WIRING AND METHOD FOR PRODUCING THIS ELECTRONIC COMPONENT | 1 |
Michitaro Hashiba | JP | Takasaki-Shi | 2016-03-03 / 20160061533 - HEAT STORAGE SYSTEM | 2 |
Hideki Hashiba | JP | Tokyo | 2011-08-04 / 20110185999 - Starter for Small Engine | 2 |
Kunio Hashiba | JP | Tokyo | 2015-12-10 / 20150351723 - ULTRASOUND IMAGING DEVICE AND ULTRASOUND IMAGING METHOD | 22 |
Tomohiko Hashiba | JP | Tokyo | 2010-02-04 / 20100025872 - PROCESS AND APPARATUS FOR PRODUCING LIPOSOME DISPERSION | 4 |
Makoto Hashiba | JP | Tokyo | 2011-02-24 / 20110045213 - THERMAL TRANSFER SHEET | 2 |
Mitsuharu Hashiba | JP | Tokyo | 2009-09-17 / 20090230794 - Magneto Generator | 1 |
Hiroshi Hashiba | JP | Osaka | 2016-03-03 / 20160060773 - METHOD FOR REDUCING CARBON DIOXIDE AND DEVICE USED THEREFOR | 10 |
Yutaka Hashiba | JP | Kanagawa | 2016-03-24 / 20160087495 - ROTARY ELECTRIC MACHINE USING PERMANENT MAGNET | 7 |
Takashi Hashiba | JP | Nishio-Shi | 2015-06-04 / 20150152865 - COMPACT STRUCTURE OF GEAR PUMP DESIGNED TO MINIMIZE LOSS OF PUMPING TORQUE | 1 |
Michi Hashiba | JP | Mizunami-Shi | 2009-03-19 / 20090075140 - ELECTRODE STRUCTURE OF FUEL CELL | 1 |
Naohiro Hashiba | JP | Kanazawa-Shi | 2009-03-05 / 20090057372 - CONDUCTIVE BALL MOUNTING APPARATUS | 1 |
Kiyoshi Hashiba | BR | Sao Paulo | 2013-11-21 / 20130310640 - FLEXIBLE GASTROINTESTINAL VIDEOENDOSCOPE | 8 |
Rumiko Hashiba | JP | Kunitachi Tokyo | 2016-05-19 / 20160139802 - ELECTRONIC DEVICE AND METHOD FOR PROCESSING HANDWRITTEN DOCUMENT DATA | 1 |
Masashi Hashiba | JP | Kiyosu-Shi | 2013-12-05 / 20130320656 - AIRBAG FOR A FRONT PASSENGER'S SEAT | 1 |
Yu Hashiba | JP | Shizuoka-Ken | 2013-12-12 / 20130328353 - FLOOR STRUCTURE OF VEHICLE BODY CENTER SECTION | 2 |
Masanori Hashiba | JP | Aichi | 2011-05-12 / 20110109013 - PROCESS FOR PRODUCING THERMOPLASTIC RESIN COMPOSITION AND PROCESS FOR PRODUCING MOLDED THERMOPLASTIC RESIN | 2 |
Rumiko Hashiba | JP | Kawasaki-Shi | 2014-05-08 / 20140129931 - ELECTRONIC APPARATUS AND HANDWRITTEN DOCUMENT PROCESSING METHOD | 7 |
Tomokazu Hashiba | JP | Oizumi-Machi | 2012-05-03 / 20120104922 - HINGE DEVICE AND ULTRA-DEEP FREEZER USING THE SAME | 1 |
Katsuhito Hashiba | JP | Kasugai | 2009-03-05 / 20090058486 - MASTER-SLAVE CIRCUIT AND CONTROL METHOD OF THE SAME | 1 |
Jiro Hashiba | JP | Chiyoda-Ku | 2009-01-01 / 20090006275 - Method and apparatus for calculating credit risk of portfolio | 1 |
Shigeto Hashiba | JP | Kanagawa | 2015-09-24 / 20150268569 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 9 |
Shoichi Hashiba | JP | Aichi-Ken | 2011-07-07 / 20110162283 - DOOR OPENING AND CLOSING APPARATUS FOR VEHICLE | 1 |
Makoto Hashiba | JP | Tokyo-To | 2015-05-28 / 20150145939 - METHOD FOR PRODUCING A PRINT | 1 |
Yutaka Hashiba | JP | Yokosuka-Shi | 2015-01-15 / 20150015108 - AXIAL GAP TYPE PERMANENT MAGNET ELECTRIC ROTATING APPARATUS AND METHOD OF MANUFACTURING THE SAME | 2 |
Hiroshi Hashiba | JP | Osaka | 2016-03-03 / 20160060773 - METHOD FOR REDUCING CARBON DIOXIDE AND DEVICE USED THEREFOR | 10 |
Masashi Hashiba | JP | Aichi-Ken | 2010-09-23 / 20100237595 - Side airbag apparatus and method for folding airbag | 1 |
Kiyoshi Hashiba | JP | Saitama | 2009-12-31 / 20090322451 - Signal Output Device, Signal Output Control Method, Program and Recording Medium | 1 |
Toshifumi Hashiba | JP | Chiba-Shi | 2010-09-02 / 20100222477 - FILLER FOR SUBSTRATE AND COMPOSITION FOR USE AS MATERIAL FOR INORGANIC/ORGANIC COMPOSITE SUBSTRATE FORMATION | 2 |
Masahiko Hashida | JP | Osaka-Shi | 2016-02-04 / 20160030198 - FEMORAL COMPONENT TRIAL FOR KNEE JOINT | 5 |
Masahide Hashida | JP | Hasuda-Shi | 2013-03-14 / 20130066508 - HYBRID VEHICLE ACCELERATOR PEDAL DEPRESSING FORCE CONTROL DEVICE | 1 |
Naoki Hashida | JP | Tokyo | 2015-11-12 / 20150324458 - Information-Processing Device, Server Device, Interaction System, and Program | 12 |
Tatsuhiro Hashida | JP | Susono-Shi | 2016-05-05 / 20160123920 - NITROGEN OXIDE DECOMPOSITION MATERIAL AND USE THEREOF | 9 |
Noriyasu Hashida | JP | Osaka | 2015-03-26 / 20150087624 - METHOD FOR PRODUCING AN AQUEOUS DISPERSION OF DRUG NANOPARTICLES AND USE THEREOF | 1 |
Masaru Hashida | JP | Fujisawa-Shi | 2010-08-19 / 20100209031 - HUB UNIT BEARING | 1 |
Masamichi Hashida | JP | Shiga | 2015-03-12 / 20150068401 - GAS ADSORBING DEVICE AND HOLLOW BODY HOUSING THE SAME | 11 |
Masaki Hashida | JP | Kyoto | 2010-11-18 / 20100290108 - LIGHT AMPLIFIER | 3 |
Masaki Hashida | JP | Osaka-Shi | 2012-12-13 / 20120312987 - ULTRAFAST ELECTRON DIFFRACTION DEVICE | 1 |
Takushi Hashida | JP | Kawasaki | 2015-11-12 / 20150326385 - RECEPTION CIRCUIT | 6 |
Shigetaka Hashida | JP | Kashiwara-Shi | 2015-05-14 / 20150130246 - ANGLE ADJUSTER | 1 |
Koichi Hashida | JP | Kariya-Shi | 2015-11-26 / 20150337868 - HYDRAULIC DAMPER | 2 |
Tatsuhiro Hashida | JP | Susono-Shi | 2016-05-05 / 20160123920 - NITROGEN OXIDE DECOMPOSITION MATERIAL AND USE THEREOF | 9 |
Yasunori Hashida | JP | Taito-Ku | 2016-01-28 / 20160026298 - ELECTRODES FOR A TOUCH SENSOR, TOUCH PANEL AND DISPLAY UNIT | 3 |
Naoki Hashida | JP | Tokyo | 2015-11-12 / 20150324458 - Information-Processing Device, Server Device, Interaction System, and Program | 12 |
Kenji Hashida | JP | Zama-Shi | 2015-10-01 / 20150274139 - ELECTRIC BRAKE APPARATUS | 2 |
Miyuki Hashida | JP | Ibaraki | 2010-09-23 / 20100240557 - PERFLUOROPOLYETHER OIL COMPOSITION | 3 |
Minoru Hashida | JP | Hitachinaka | 2015-08-20 / 20150233332 - High-Pressure Fuel Pump | 6 |
Toshiyuki Hashida | JP | Sendai-Shi | 2009-10-29 / 20090269573 - High-Performance Composite Material and Manufacturing Method thereof | 1 |
Naoki Hashida | JP | Kawasaki-Shi | 2013-07-18 / 20130185386 - INFORMATION-PROCESSING DEVICE, INFORMATION-PROCESSING METHOD, AND PROGRAM | 4 |
Masamichi Hashida | JP | Shiga | 2015-03-12 / 20150068401 - GAS ADSORBING DEVICE AND HOLLOW BODY HOUSING THE SAME | 11 |
Hisao Hashida | JP | Yamaguchi | 2009-03-12 / 20090069515 - Solid Titanium Catalyst Component, Catalyst for Polymerization of Olefin and Process for Producing Olefin Polymer | 2 |
Tatsuhiro Hashida | JP | Shizuoka-Ken | 2016-03-03 / 20160061771 - GAS CONCENTRATION DETECTING DEVICE | 2 |
Kohji Hashida | JP | Hiroshima | 2012-02-09 / 20120032468 - SUPPORT STRUCTURE OF WINDSHIELD | 1 |
Masamichi Hashida | JP | Osaka | 2009-01-29 / 20090029095 - Vaccum Heat Insulator and Testing Method for the Glass Fiber Laminated to be Used in the Inslulator | 1 |
Koichi Hashida | JP | Aichi | 2009-01-08 / 20090008200 - Negative Pressure Type Booster Device | 1 |
Takushi Hashida | JP | Kobe-Shi | 2012-01-05 / 20120001785 - TIMING SIGNAL GENERATOR CIRCUIT FOR USE IN SIGNAL WAVEFORM MEASUREMENT SYSTEM FOR MEASURING MULTI-CHANNEL ON-CHIP SIGNALS FLOWING ON VLSI | 1 |
Osamu Hashida | JP | Nishi-Ku | 2008-10-09 / 20080248377 - Battery Module and Battery Assembly | 1 |
Masaya Hashida | JP | Itabashi | 2013-09-05 / 20130231861 - TERMINAL DEVICE, IMAGE DISPLAYING METHOD AND IMAGE DISPLAYING PROGRAM EXECUTED BY TERMINAL DEVICE | 1 |
Takayuki Hashida | JP | Hiroshima | 2010-09-30 / 20100244497 - CONNECTION STRUCTURE AND ASSEMBLY METHOD OF TUBE-SHAPED FRAMES | 2 |
Mitsuru Hashida | JP | Kyoto-Shi | 2014-09-11 / 20140255317 - PREPARATION COMPRISING HEXOSE-6-PHOSPHATE-MODIFIED CHOLESTEROL DERIVATIVE | 2 |
Toshiki Hashida | JP | Kanagawa | 2016-03-31 / 20160089085 - SENSOR DEVICE | 1 |
Koichi Hashida | JP | Kariya-City | 2008-10-02 / 20080236959 - Vehicle brake system | 1 |
Misturu Hashida | JP | Kyoto-Shi | 2013-04-18 / 20130096097 - PHARMACEUTICAL MULTIMERIC PARTICLES, AND MANUFACTURING METHOD FOR SAME | 1 |
Junji Hashida | JP | Fukushima-Ken | 2008-09-18 / 20080225015 - INPUT DEVICE | 1 |
Masaki Hashida | JP | Uji-Shi | 2011-12-29 / 20110318530 - PRODUCT HAVING THROUGH-HOLE AND LASER PROCESSING METHOD | 1 |
Akitake Hashidate | JP | Hyogo | 2015-11-26 / 20150336347 - SLIDE LOCK APPARATUS FOR PRESS MACHINE | 1 |
Shuichi Hashidate | JP | Yokohama | 2014-01-30 / 20140028386 - OUTPUT BUFFER AND SEMICONDUCTOR DEVICE | 1 |
Tomonori Hashidate | JP | Hachioji-Shi | 2013-08-29 / 20130224367 - PROCESS FOR PRODUCTION OF PROTEIN-CONTAINING FOOD EMPLOYING CONTINUOUS HEATING METHOD BY INTERNAL HEATING | 1 |
Tadayuki Hashidate | JP | Sagamihara-Shi | 2014-09-18 / 20140271140 - STEAM TURBINE | 1 |
Shuichi Hashidate | JP | Tokyo | 2013-07-18 / 20130185575 - SEMICONDUCTOR DEVICE FOR SUPPLYING POWER SUPPLY VOLTAGE TO SEMICONDUCTOR DEVICE | 3 |
Kento Hashido | JP | Hachioji-Shi | 2008-12-04 / 20080299558 - METHOD FOR DETECTING NUCLEIC ACID | 1 |
Ryuichi Hashido | JP | Tokyo | 2014-06-26 / 20140176840 - ELECTRONIC EQUIPMENT AND FLEXIBLE PRINTED CIRCUIT | 1 |
Hiroaki Hashido | JP | Tokyo | 2010-11-11 / 20100282497 - CIRCUIT BOARD | 1 |
Kazuo Hashido | JP | Tokyo | 2015-07-30 / 20150211069 - MARKER FOR DETECTING MYOGENIC DISEASE AND DETECTION METHOD USING THE SAME | 2 |
Tatsuya Hashido | JP | Kiyosu-Shi, Aichi-Ken | 2015-03-26 / 20150084316 - HEAD PROTECTION AIRBAG APPARATUS | 1 |
Tatsuya Hashido | JP | Kiyosu-Shi | 2013-04-04 / 20130082457 - SEAT CUSHION AIRBAG DEVICE | 2 |
Hiroaki Hashido | JP | Akita | 2012-08-30 / 20120220902 - INNER DIAMETER MEASUREMENT INSTRUMENT AND PRIMING METHOD THEREFOR | 2 |
Kento Hashido | JP | Tokyo | 2009-11-19 / 20090286325 - METHOD OF DETECTING TARGET NUCLEIC ACID AND CONTAINER USED FOR THE DETECTING METHOD | 1 |
Hiroshi Hashidume | JP | Tokyo | 2016-04-21 / 20160110142 - IMAGE FORMING SYSTEM AND IMAGE FORMING METHOD | 9 |
Kenji Hashidume | JP | Fukuoka-Ken | 2010-07-01 / 20100162475 - SANITARY WASHING TOILET SEAT DEVICE AND TOILET DEVICE | 1 |
Takuo Hashidume | JP | Ueda-Shi | 2013-02-21 / 20130045369 - CARBON-FIBER-REINFORCED PLASTIC MOLDED OBJECT | 1 |
Hiroshi Hashidume | JP | Hino Tokyo | 2016-05-19 / 20160139538 - TONER CARTRIDGE WITH MEMORY FOR IMAGE FORMING APPARATUS | 2 |
Hiroshi Hashidume | JP | Hino | 2013-03-28 / 20130077981 - IMAGE FORMING APPARATUS | 1 |
Jiro Hashidume | JP | Tokyo | 2015-08-13 / 20150228299 - Recording Device | 1 |
Hiroshi Hashidume | JP | Hino-Shi | 2014-01-02 / 20140003826 - TONER CARTRIDGE WITH MEMORY FOR IMAGE FORMING APPARATUS | 6 |
Hiroshi Hashidume | JP | Tokyo | 2016-04-21 / 20160110142 - IMAGE FORMING SYSTEM AND IMAGE FORMING METHOD | 9 |
Hiroshi Hashidume | JP | Tokyo-To | 2015-03-05 / 20150061838 - MONITORING SYSTEM, NOTIFICATION APPARATUS AND MONITORING METHOD | 1 |
Tooru Hashigami | JP | Tokyo | 2013-03-07 / 20130057996 - CURRENT GENERATING DEVICE | 1 |
Takumi Hashigami | JP | Gunma | 2013-03-21 / 20130068834 - AUTOMATIC TRANSACTION APPARATUS | 1 |
Hiroyuki Hashigami | JP | Osaka City | 2009-04-02 / 20090085059 - SEMICONDUCTOR DEVICE INCLUDING ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT | 1 |
Hiroshi Hashigami | JP | Annaka-Shi | 2016-03-17 / 20160079466 - SOLAR CELL AND SOLAR-CELL MODULE | 5 |
Koji Hashigami | JP | Osaka | 2011-10-27 / 20110262124 - CAMERA BODY AND IMAGING APPARATUS | 5 |
Toru Hashigami | JP | Aichi | 2015-12-03 / 20150344151 - LIGHTNING-RESISTANT FASTENER, CAP, AND METHOD OF MOUNTING LIGHTNING-RESISTANT FASTENER | 9 |
Toru Hashigami | JP | Aichi | 2015-12-03 / 20150344151 - LIGHTNING-RESISTANT FASTENER, CAP, AND METHOD OF MOUNTING LIGHTNING-RESISTANT FASTENER | 9 |
Tooru Hashigami | JP | Aichi | 2014-07-31 / 20140209736 - JIG FOR FORMING SEALANT LAYER FOR LIGHTNING PROTECTION FASTENER, METHOD FOR FORMING SEALANT LAYER FOR LIGHTNING PROTECTION FASTENER, AND WING OF AIRCRAFT | 4 |
Hideki Hashigaya | JP | Shizuoka | 2013-11-07 / 20130292482 - AIR CONDITIONING SYSTEM FOR VEHICLES | 6 |
Hideki Hashigaya | JP | Shizuoka-Ken | 2012-09-27 / 20120240608 - AIR-CONDITIONING CONTROL APPARATUS | 2 |
Manabu Hashigaya | JP | Haibara-Gun | 2013-05-30 / 20130137040 - LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD OF PRODUCING THEREOF | 2 |
Hideki Hashigaya | JP | Hamamatsu-Shi | 2012-12-20 / 20120318880 - VEHICLE AIR-CONDITIONING SYSTEM | 1 |
Atsuhiko Hashigaya | JP | Kanagawa | 2012-09-06 / 20120224619 - WIRELESS RECEIVER DEVICE AND DIRECTIVITY CONTROL METHOD | 2 |
Shouichi Hashiguchi | JP | Chiba-Ken | 2012-01-26 / 20120018664 - METHOD OF PRODUCING A LEAD ZIRCONIUM TITANATE-BASED SINTERED BODY, LEAD ZIRCONIUM TITANATE-BASED SINTERED BODY, AND LEAD ZIRCONIUM TITANATE-BASED SPUTTERING TARGET | 1 |
Osamu Hashiguchi | JP | Tokyo | 2016-05-19 / 20160141784 - SOCKET CONTACT, INTER-CONNECTOR AND CONNECTOR DEVICE | 50 |
Kohei Hashiguchi | JP | Shiga | 2011-09-22 / 20110228932 - DATA TRANSMISSION CIRCUIT | 1 |
Teppei Hashiguchi | JP | Fuji-Shi | 2015-08-06 / 20150218736 - INDUSTRIAL TWO-LAYER FABRIC | 1 |
Takaaki Hashiguchi | JP | Tokyo | 2016-01-07 / 20160006269 - WIRELESS POWER SUPPLYING SYSTEM | 9 |
Takeya Hashiguchi | JP | Tokyo | 2013-01-31 / 20130025826 - PHASE CHANGE COOLER AND ELECTRONIC EQUIPMENT PROVIDED WITH SAME | 7 |
Yuji Hashiguchi | JP | Tokyo | 2010-03-11 / 20100062478 - DRUG FOR ANALYSIS OF WATER TRANSPORT FUNCTION OF MEMBRANE PROTEIN IN BIOLOGICAL TISSUE | 1 |
Atsushi Hashiguchi | JP | Tokyo | 2014-04-10 / 20140101224 - COMMUNICATION CONTROL DEVICE | 3 |
Takafumi Hashiguchi | JP | Tokyo | 2016-04-14 / 20160103378 - ARRAY SUBSTRATE AND LIQUID CRYSTAL DISPLAY PANEL INCLUDING THE SAME | 5 |
Itsuro Hashiguchi | JP | Chiryu-Shi | 2013-03-14 / 20130064648 - WATER PUMP | 1 |
Brian G. Hashiguchi | US | Palm Beach Gardens | 2016-01-07 / 20160002139 - OXIDATION OF ALKANES TO ALCOHOLS | 1 |
Jumpei Hashiguchi | JP | Kyoto | 2009-07-16 / 20090179829 - PLASMA DISPLAY PANEL DRIVING CIRCUIT AND PLASMA DISPLAY APPARATUS | 3 |
Masafumi Hashiguchi | JP | Yokohama-Shi | 2013-01-24 / 20130022363 - PHOTOSENSOR AND IMAGE FORMING DEVICE INCORPORATING THE SAME | 5 |
Yuichi Hashiguchi | JP | Tokyo | 2009-10-01 / 20090247798 - NORBORNENE DERIVATIVE, NORBORNENE POLYMER PRODUCED BY RING-OPENING (CO)POLYMERIZATION, AND PROCESS FOR PRODUCING THE POLYMER BY RING-OPENING (CO)POLYMERIZATION | 1 |
Masanao Hashiguchi | JP | Tokyo | 2016-04-21 / 20160106519 - Dental Powder/Liquid Material-Containing Preparation Accommodation Bag and Dispensing Method for Same | 2 |
Kentaro Hashiguchi | JP | Tsushima-Shi | 2016-03-24 / 20160088235 - VIDEO SIGNAL AND TALLY SIGNAL SWITCHER SYSTEM | 8 |
Gen Hashiguchi | JP | Shizuoka | 2011-06-16 / 20110138931 - DETECTION SENSOR | 1 |
Masahiro Hashiguchi | JP | Tokyo | 2016-05-19 / 20160139580 - INPUT-OUTPUT APPARATUS | 1 |
Naoki Hashiguchi | JP | Yokohama | 2015-08-13 / 20150229551 - MANAGEMENT METHOD, MANAGEMENT DEVICE, AND MANAGEMENT SYSTEM | 3 |
Terutaka Hashiguchi | JP | Kumamoto | 2014-04-24 / 20140113127 - CARBON NANOTUBE COMPOSITE ELECTRODE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Akira Hashiguchi | JP | Osaka | 2013-05-23 / 20130133060 - COMMUNICATION SYSTEM, CONTROL DEVICE AND CONTROL PROGRAM | 1 |
Tomohiro Hashiguchi | JP | Kawasaki | 2013-04-25 / 20130101286 - DEMAND ACCOMMODATION DESIGNING SYSTEM AND METHOD | 18 |
Akihiro Hashiguchi | JP | Kanagawa | 2012-08-23 / 20120210892 - PARTICLE DISPERSION SUPPLY APPARATUS AND IMAGE FORMING DEVICE | 1 |
Masafumi Hashiguchi | JP | Kawasaki | 2011-09-29 / 20110238940 - OPERATION PROCESSING DEVICE AND METHOD OF DETECTING MEMORY LEAK | 1 |
Yukio Hashiguchi | JP | Kitakyushu-Shi | 2015-12-03 / 20150343639 - GEAR INCORPORATION SYSTEM AND GEAR INCORPORATION METHOD | 10 |
Tetsuro Hashiguchi | JP | Shiga | 2013-04-18 / 20130091709 - HAIR REMOVER | 3 |
Teruto Hashiguchi | JP | Kagoshima-Shi | 2014-09-18 / 20140273049 - DIAGNOSTIC MARKER FOR DIGESTIVE ORGAN CANCER AND INSPECTION METHOD FOR DIGESTIVE ORGAN CANCER | 2 |
Norio Hashiguchi | JP | Yokohama | 2015-10-22 / 20150304651 - METHOD OF DETECTING TILT OF IMAGE CAPTURING DIRECTION AND APPARATUS OF DETECTING TILT OF IMAGE CAPTURING DIRECTION | 5 |
Takafumi Hashiguchi | JP | Kumamoto | 2015-02-05 / 20150034955 - THIN FILM TRANSISTOR ARRAY SUBSTRATE | 1 |
Kentaro Hashiguchi | JP | Tsushima-Shi | 2016-03-24 / 20160088235 - VIDEO SIGNAL AND TALLY SIGNAL SWITCHER SYSTEM | 8 |
Shinji Hashiguchi | JP | Mishima-Shi | 2016-01-28 / 20160026132 - HEAT-FIXING DEVICE | 10 |
Hidenori Hashiguchi | JP | Utsunomiya-Shi | 2010-10-07 / 20100252941 - OPTICAL ELEMENT MANUFACTURING METHOD | 1 |
Shinji Hashiguchi | JP | Izumiotsu-Shi | 2012-07-26 / 20120186650 - SURFACE-MODIFIED FILM, PROCESS FOR PRODUCING SAME, AND LAMINATED FILM AND PROCESS FOR PRODUCING SAME | 2 |
Gen Hashiguchi | JP | Takamatsu | 2010-05-27 / 20100129610 - PRISMATIC SILICON AND METHOD OF PRODUCING SAME | 1 |
Taiki Hashiguchi | JP | Osaka | 2016-03-31 / 20160093754 - SOLAR CELL | 5 |
Hisashi Hashiguchi | JP | Mie-Ken | 2014-08-21 / 20140231251 - GAS SUPPLY MEMBER, PLASMA PROCESSING APPARATUS AND METHOD OF FABRICATING GAS SUPPLY MEMBER | 2 |
Tomoyo Hashiguchi | JP | Kobe | 2010-04-15 / 20100092994 - High specificity monoclonal antibody against a protein or a polypeptide having oxidative modification | 1 |
Akinori Hashiguchi | JP | Tokyo | 2013-05-30 / 20130136325 - PATHOLOGICAL DIAGNOSIS ASSISTING APPARATUS, PATHOLOGICAL DIAGNOSIS ASSISTING METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PATHOLOGICAL DIAGNOSIS ASSISTING PROGRAM | 2 |
Osamu Hashiguchi | JP | Tokyo | 2016-05-19 / 20160141784 - SOCKET CONTACT, INTER-CONNECTOR AND CONNECTOR DEVICE | 50 |
Shouichi Hashiguchi | JP | Tomisato-Shi | 2012-12-06 / 20120305392 - MANUFACTURING METHOD FOR LiCoO2, SINTERED BODY AND SPUTTERING TARGET | 4 |
Shuichi Hashiguchi | JP | Chiba | 2012-06-07 / 20120138595 - FLEXIBLE HEATER AND METHOD FOR MANUFACTURING SAME | 1 |
Mitsuhiro Hashiguchi | JP | Kagoshima | 2015-06-04 / 20150156842 - HIGH-STABILITY DIMMER | 2 |
Tetsuro Hashiguchi | JP | Kadoma-Shi | 2013-04-25 / 20130098386 - HAIR SHAPING DEVICE | 1 |
Tomohiro Hashiguchi | JP | Inagi | 2016-02-04 / 20160036522 - NETWORK DESIGN APPARATUS, NETWORK DESIGN METHOD, AND STORAGE MEDIUM STORING NETWORK DESIGN PROGRAM | 16 |
Tetsuro Hashiguchi | JP | Hikone-Shi | 2010-03-25 / 20100076457 - DEPILATORY DEVICE | 2 |
Gen Hashiguchi | JP | Hamamatsu-Shi | 2015-03-12 / 20150070941 - Electrostatic Induction Conversion Device and DC-DC Converter | 1 |
Tsunenori Hashiguchi | JP | Takaishi-Shi | 2011-05-12 / 20110111660 - DOUBLE-SIDED ADHESIVE TAPE | 1 |
Tadashi Hashiguchi | JP | Kagawa | 2013-01-03 / 20130001816 - METHOD FOR RECOVERING SILICON AND METHOD FOR PRODUCING SILICON | 1 |
Hiroyuki Hashiguchi | JP | Kagoshima-Shi | 2011-05-12 / 20110110610 - IMAGE READING APPARATUS, IMAGE READING SYSTEM, AND IMAGE READING METHOD | 3 |
Yukio Hashiguchi | JP | Fukuoka | 2014-05-01 / 20140121837 - ROBOT SYSTEM, CONTROL DEVICE OF ROBOT, AND ROBOT CONTROL DEVICE | 5 |
Tsuyoshi Hashiguchi | JP | Yokohama-Shi | 2013-06-06 / 20130142473 - OPTICAL DEVICE, OPTICAL DEFLECTION DEVICE, AND OPTICAL MODULATION DEVICE | 2 |
Tetsuro Hashiguchi | JP | Osaka | 2013-04-25 / 20130098387 - HAIR SHAPING DEVICE | 1 |
Masafumi Hashiguchi | JP | Numazu | 2014-01-02 / 20140006759 - RECORDING MEDIUM STORING ADDRESS MANAGEMENT PROGRAM, ADDRESS MANAGEMENT METHOD, AND APPARATUS | 1 |
Takuji Hashiguchi | JP | Kyoto-Shi | 2013-01-17 / 20130016146 - DRIVING DEVICE FOR DRIVING LIQUID DELIVERY HEAD, RECORDING APPARATUS, AND RECORDING METHODAANM Hashiguchi; TakujiAACI Kyoto-shiAACO JPAAGP Hashiguchi; Takuji Kyoto-shi JP | 1 |
Noriyasu Hashiguchi | JP | Kawasaki-Shi | 2016-04-07 / 20160098843 - IMAGE PROCESSING APPARATUS AND METHOD OF CONTROLLING THE SAME | 10 |
Hirofumi Hashiguchi | JP | Kanagawa | 2011-06-23 / 20110153532 - DRIVING MANEUVER ASSISTING APPARATUS AND METHOD FOR ASSISTING DRIVING MANEUVER | 2 |
Masayuki Hashiguchi | JP | Obu-Shi | 2016-04-07 / 20160096544 - ELECTRIC STEERING DEVICE | 1 |
Tetsuro Hashiguchi | JP | Shiga-Ken | 2015-05-14 / 20150132041 - COSMETIC DEVICE | 1 |
Taichi Hashiguchi | JP | Kamisu-Shi | 2016-02-25 / 20160053126 - PHTHALOCYANINE PIGMENT COMPOSITION AND METHOD FOR PRODUCING THE SAME, AND INK | 1 |
Tsuyoshi Hashiguchi | JP | Kanagawa | 2016-04-21 / 20160109697 - LIGHT DEFLECTOR, TWO-DIMENSIONAL IMAGE DISPLAY APPARATUS, OPTICAL SCANNER, AND IMAGE FORMING APPARATUS | 4 |
Takeya Hashiguchi | JP | Minato-Ku | 2011-05-19 / 20110116016 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Tomoharu Hashiguchi | JP | Yokkaichi | 2008-09-04 / 20080211006 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Tetsuro Hashiguchi | JP | Hikone | 2008-11-27 / 20080294176 - HAIR REMOVAL APPARATUS | 2 |
Takashi Hashiguchi | JP | Okazaki-Shi | 2008-12-25 / 20080316594 - Infrared-Transmitting Cover | 1 |
Takaaki Hashiguchi | JP | Tokyo | 2016-01-07 / 20160006269 - WIRELESS POWER SUPPLYING SYSTEM | 9 |
Tomohiro Hashiguchi | JP | Inagi | 2016-02-04 / 20160036522 - NETWORK DESIGN APPARATUS, NETWORK DESIGN METHOD, AND STORAGE MEDIUM STORING NETWORK DESIGN PROGRAM | 16 |
Kenichi Hashiguchi | JP | Kawasaki-Shi | 2011-02-10 / 20110033898 - METHOD FOR PRODUCING 5'-GUANYLIC ACID | 2 |
Noriyasu Hashiguchi | JP | Kawasaki-Shi | 2016-04-07 / 20160098843 - IMAGE PROCESSING APPARATUS AND METHOD OF CONTROLLING THE SAME | 10 |
Shinji Hashiguchi | JP | Osaka | 2012-07-05 / 20120172210 - CATALYST COMPRISING PLATINUM BLACK AND FLUORINE | 5 |
Satoshi Hashiguchi | JP | Kyoto | 2009-01-01 / 20090000949 - Method And Apparatus Of Concentration And Purification Of Nucleic Acid | 2 |
Hiroshi Hashiguchi | JP | Osaka-Shi | 2013-09-05 / 20130228213 - SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Masahiko Hashiguchi | JP | Fukuoka | 2011-01-13 / 20110005597 - PHOTOELECTRIC CONVERTER AND SOLAR CELL USING THE SAME | 1 |
Hisashi Hashiguchi | JP | Yokkaichi-Shi | 2014-08-07 / 20140217891 - ELECTRODE FOR PLASMA PROCESSING APPARATUS, METHOD FOR MANUFACTURING THE SAME, AND PLASMA PROCESSING APPARATUS | 1 |
Masahiro Hashiguchi | JP | Toyota-Shi | 2014-05-22 / 20140137622 - ROLL FORMING METHOD AND ROLL FORMING APPARATUS | 2 |
Yukiko Hashiguchi | JP | Fukuoka | 2014-07-03 / 20140188281 - ROBOT TEACHING SYSTEM, ROBOT TEACHING ASSISTANT DEVICE, ROBOT TEACHING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Kazuo Hashiguchi | JP | Nara | 2009-02-19 / 20090046180 - Fixed-Pattern Noise Elimination Apparatus, Solid-State Image Sensing Apparatus, Electronic Appliance, and Fixed-Pattern Noise Elimination Program | 1 |
Toshihiko Hashiguchi | JP | Sagamihara-Shi | 2015-01-22 / 20150025315 - TREATMENT INSTRUMENT INSERTION AUXILIARY AND TREATMENT INSTRUMENT INSERTION METHOD | 2 |
Teruto Hashiguchi | JP | Kagoshima | 2013-04-04 / 20130086703 - PERIODONTAL-DISEASE-SPECIFIC PEPTIDE, AND TREATMENT AND DIAGNOSIS OF PERIODONTAL DISEASE USING SAME | 1 |
Hisashi Hashiguchi | JP | Mie | 2012-10-04 / 20120247667 - PLASMA TREATMENT APPARATUS | 2 |
Sotaro Hashiguchi | JP | Wako-Shi | 2014-10-23 / 20140312649 - SIDE DOOR STRUCTURE FOR VEHICLE | 1 |
Gen Hashiguchi | JP | Kagawa | 2009-01-01 / 20090000362 - Nanotweezer And Scanning Probe Microscope Equipped With Nanotweezer | 1 |
Yuuichi Hashiguchi | JP | Ibaraki-Ken | 2009-05-14 / 20090124172 - AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING, CHEMICAL MECHANICAL POLISHING METHOD, KIT FOR CHEMICAL MECHANICAL POLISHING, AND KIT FOR PREPARING AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING | 1 |
Nobuki Hashiguchi | JP | Shiga | 2010-10-07 / 20100253472 - ELECTRONIC SHELF LABEL SYSTEM | 1 |
Kohei Hashiguchi | JP | Kyoto | 2009-04-02 / 20090086089 - VIDEO/AUDIO OUTPUT APPARATUS | 1 |
Gen Hashiguchi | JP | Fussa-Shi | 2012-03-15 / 20120062213 - MICROPROBE, RECORDING APPARATUS, AND METHOD OF MANUFACTURING MICROPROBE | 2 |
Takuya Hashiguchi | JP | Chiyoda-Ku | 2014-10-16 / 20140308017 - IMAGING DEVICE, VIDEO RECORDING DEVICE, VIDEO DISPLAY DEVICE, VIDEO MONITORING DEVICE, VIDEO MONITORING SYSTEM, AND VIDEO MONITORING METHOD | 1 |
Yoshiharu Hashiguchi | JP | Kakogawa-Shi | 2010-04-08 / 20100084102 - PAPERMAKING ADDITIVE AND FILLED PAPER | 3 |
Akihiro Hashiguchi | JP | Shinagawa-Ku | 2010-03-04 / 20100055056 - AGENT FOR IMPROVING PERMEATION OF A DRUG INTO A NAIL AND AN EXTERNAL TREATING AGENT CONTAINING THE SAME | 1 |
Hiroyuki Hashiguchi | JP | Osaka | 2009-06-18 / 20090156705 - Malti-layered object comprising hard coat layer and light transmitting layer, and method for producing the same | 2 |
Yuuichi Hashiguchi | JP | Tsuchiura-Shi | 2011-10-13 / 20110250756 - AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING, CHEMICAL MECHANICAL POLISHING METHOD, KIT FOR CHEMICAL MECHANICAL POLISHING, AND KIT FOR PREPARING AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING | 1 |
Satoshi Hashiguchi | JP | Kyoto-Shi | 2009-12-17 / 20090311770 - Method of collecting microorganisms using fine particles, method of collecting nucleic acids using fine particles, and kits for use in the these methods | 1 |
Yukio Hashiguchi | JP | Kitakyushu-Shi | 2015-12-03 / 20150343639 - GEAR INCORPORATION SYSTEM AND GEAR INCORPORATION METHOD | 10 |
Hiroaki Hashiguchi | JP | Tokyo | 2014-03-13 / 20140071974 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 2 |
Shinji Hashiguchi | JP | Izumiotsu | 2013-08-01 / 20130196157 - METHOD FOR PRODUCING HOLLOW STRUCTURAL BODY | 3 |
Shinji Hashiguchi | JP | Mishima-Shi | 2016-01-28 / 20160026132 - HEAT-FIXING DEVICE | 10 |
Itsuro Hashiguchi | JP | Kariya-Shi | 2015-01-22 / 20150023798 - BLADE MEMBER FOR FLUID PUMP | 1 |
Naoki Hashiguchi | JP | Tokyo | 2011-05-12 / 20110108366 - ELEVATOR APPARATUS | 6 |
Kazuo Hashiguchi | JP | Osaka | 2012-01-05 / 20120001082 - RADIOGRAPHIC IMAGING SYSTEM | 2 |
Takashi Hashihayata | JP | Toshima-Ku | 2015-05-21 / 20150141669 - PRODRUG OF FLUORINE-CONTAINING AMINO ACID | 1 |
Takashi Hashihayata | JP | Tsukuba-Shi | 2008-12-11 / 20080305081 - Novel aminopyrimidine derivatives as PLK1 inhibitors | 1 |
Takashi Hashihayata | JP | Saitama-Shi | 2012-03-22 / 20120071496 - Aminopyrimidinamides As Pest Control Agents | 1 |
Takashi Hashihayata | JP | Ibaraki | 2011-11-24 / 20110288090 - Inhibitors of AKT Activity | 2 |
Yusuke Hashii | JP | Tokyo | 2016-02-18 / 20160048724 - APPARATUS, IMAGE PROCESSING METHOD AND STORAGE MEDIUM STORING PROGRAM | 22 |
Naoya Hashii | JP | Chiyoda-Ku | 2015-05-21 / 20150136877 - FUEL INJECTION VALVE | 9 |
Yusuke Hashii | JP | Chofu-Shi | 2012-01-19 / 20120013954 - IMAGE PROCESSING METHOD | 3 |
Makoto Hashii | JP | Setagaya-Ku | 2012-09-13 / 20120228945 - POWER CONVERTER | 1 |
Yusuke Hashii | JP | Kanagawa-Ken | 2009-01-01 / 20090002730 - Adaptor, Image Supply Device, Printing System, and Control Method Therefor | 2 |
Tomohiro Hashii | JP | Imari-Shi | 2015-10-22 / 20150303049 - METHOD FOR PROCESSING SEMICONDUCTOR WAFER | 1 |
Kazuhiro Hashii | JP | Matsumoto-Shi | 2009-09-24 / 20090237480 - FLUID EJECTING APPARATUS | 1 |
Naoya Hashii | JP | Tokyo | 2015-11-26 / 20150337785 - FUEL INJECTION VALVE | 5 |
Naoya Hashii | JP | Chiyoda-Ku | 2015-05-21 / 20150136877 - FUEL INJECTION VALVE | 9 |
Tomohiro Hashii | JP | Tokyo | 2012-12-13 / 20120315739 - MANUFACTURING METHOD FOR SEMICONDUCTOR WAFER | 22 |
Yusuke Hashii | JP | Kawasaki-Shi | 2012-10-25 / 20120268759 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 12 |
Kazuhiro Hashii | JP | Nagano-Ken | 2009-10-08 / 20090251518 - PRINTING APPARATUS AND INK CARTRIDGE THEREFOR | 2 |
Tomohiro Hashii | JP | Minato-Ku | 2011-01-27 / 20110021025 - METHOD FOR PRODUCING LASER-MARKED SEMICONDUCTOR WAFER | 1 |
Tomohiro Hashii | JP | Saga | 2009-12-03 / 20090298396 - METHOD OF GRINDING SEMICONDUCTOR WAFERS, GRINDING SURFACE PLATE, AND GRINDING DEVICE | 1 |
Hiroki Hashii | JP | Yokohama-Shi | 2016-01-07 / 20160004203 - IMAGE FORMING APPARATUS HAVING A CONVEYING PATH, OPTION APPARATUS AND IMAGE FORMING SYSTEM | 2 |
Hidenobu Hashikami | JP | Kanagawa | 2015-03-12 / 20150070249 - DISPLAY SYSTEM AND REPRODUCTION CONTROL METHOD | 2 |
Tomohito Hashikawa | US | West Bloomfield | 2011-03-31 / 20110074184 - INTERIOR BODY TRIM WITH INTEGRATED HVAC DUCT | 3 |
Tomoko Hashikawa | JP | Osaka | 2011-06-16 / 20110142810 - CELL PREPARATION FOR BONE TISSUE REGENERATION | 2 |
Kiyoko Hashikawa | JP | Hiroshima-Shi | 2016-02-18 / 20160047074 - CROCHET HOOK AND GRIP BODY FOR CROCHET HOOK | 1 |
Nobuko Hashikawa | JP | Wako-Shi | 2010-05-27 / 20100131190 - NAVIGATION APPARATUS | 1 |
Nobuko Hashikawa | JP | Saitama-Shi | 2012-08-30 / 20120218295 - DISPLAY DEVICE FOR VEHICLE | 1 |
Yoshito Hashikawa | JP | Sasebo-Shi | 2015-04-16 / 20150102153 - SUCTION ROLL DEVICE | 1 |
Naohiro Hashikawa | JP | Himeji-Shi, Hyogo | 2016-05-19 / 20160136097 - ULTRAFAST-DISINTEGRATING TABLET AND METHOD FOR MANUFACTURING SAME | 1 |
Naohiro Hashikawa | JP | Hyogo | 2015-08-27 / 20150238424 - DISINTEGRATING PARTICLE COMPOSITION CONTAINING ACID-TYPE CARBOXYMETHYLCELLULOSE AND CRYSTALLINE CELLULOSE, AND ORALLY DISINTEGRATING TABLET CONTAINING SAID COMPOSITION | 3 |
Tomohito Hashikawa | US | Farmington Hills | 2012-01-05 / 20120000954 - COLLAPSIBLE STORAGE CONTAINER | 4 |
Yoshito Hashikawa | JP | Sasebo-City | 2012-04-12 / 20120085853 - MULTIPLE ENDLESS BELT TYPE BAND SHEET COILING TENSION APPLYING APPARATUS | 2 |
Hirokazu Hashikawa | JP | Kofu-Shi | 2011-07-28 / 20110181949 - FLOATING IMAGE DISPLAY DEVICE | 1 |
Yuichi Hashikawa | JP | Osaka-Shi | 2013-01-24 / 20130023704 - METHOD FOR PRODUCING 3-CHLORO-PENTAFLUOROPROPENE | 1 |
Manabu Hashikura | JP | Yokkaichi-Shi | 2014-09-11 / 20140254068 - ELECTRICAL JUNCTION BOX | 6 |
Manabu Hashikura | JP | Itami-Shi | 2009-06-04 / 20090142479 - Method of Manufacturing Semiconductor Device-Fabrication Wafer Holder | 1 |
Manabu Hashikura | JP | Yokkaichi | 2015-08-13 / 20150230352 - ELECTRICAL JUNCTION BOX | 2 |
Khairudin Hashim | MY | Selangor | 2008-12-18 / 20080312342 - Process for the Production of Diacylglycerol | 1 |
Sami A. Hashim | US | Dobbs Ferry | 2009-08-06 / 20090197952 - Glyceride Esters for the Treatment of Diseases Associated with Reduced Neuronal Metabolism of Glucose | 1 |
Tariq O. Hashim | US | Bloomfield Hills | 2014-12-25 / 20140373812 - Wideband Diesel Fuel Rail Control Using Active Pressure Control Valve | 2 |
Mohd Azri Hashim | MY | Selangor | 2015-10-22 / 20150300962 - Assembly For Inspecting Machine Parts Used In The Production Of Semiconductor Components | 1 |
Smita Hashim | US | Saratoga | 2015-08-27 / 20150242898 - LOCATION BASED CONTENT MATCHING IN A COMPUTER NETWORK | 6 |
Mir Hashim | US | Fremont | 2015-12-31 / 20150374980 - SYSTEM AND METHOD FOR BIPHASIC TRANSDERMAL IONTOPHORETIC DELIVERY OF THERAPEUTIC AGENTS | 18 |
Paul R. Hashim | US | Bakersfield | 2009-06-11 / 20090146353 - Carve smart | 2 |
Safaa H. Hashim | US | Antioch | 2009-04-02 / 20090089101 - Techniques for underwriting insurance policies using web-centric insurance management system | 3 |
Khairudin Hashim | MY | Selangor Darul Ehsan | 2014-12-04 / 20140357888 - PROCESS FOR REFINING GLYCERIDE OIL AND PURIFYING TRIGLYCERIDE OIL OBTAINED BY SUCH PROCESS | 1 |
Hasdi R. Hashim | US | Ann Arbor | 2015-10-08 / 20150288211 - Linear Current Regulator For High Voltage Bus Precharging | 12 |
Daniel Paul Hashim | US | Deer Park | 2014-03-20 / 20140077138 - BORON NITRIDE-BASED FLUID COMPOSITIONS AND METHODS OF MAKING THE SAME | 2 |
Mir Hashim | US | Fremont | 2015-12-31 / 20150374980 - SYSTEM AND METHOD FOR BIPHASIC TRANSDERMAL IONTOPHORETIC DELIVERY OF THERAPEUTIC AGENTS | 18 |
Rauzah Hashim | MY | Kuala Lumpur | 2013-06-13 / 20130150567 - GLYCOLIPIDS OF BRANCHED CHAIN ALKYL OLIGOSACCHARIDES FOR LIQUID CRYSTAL AND RELATED APPLICATIONS | 2 |
Hasdi R. Hashim | US | Ann Arbor | 2015-10-08 / 20150288211 - Linear Current Regulator For High Voltage Bus Precharging | 12 |
Hani Mustafa Elsayed Abdelkader Hashim | NO | Oslo | 2015-10-29 / 20150312522 - Video Conference Virtual Endpoints | 3 |
Mohamad Faizal Hashim | MY | Kajang | 2013-11-21 / 20130309990 - METHOD OF ADJUSTING THE RECEIVE FREQUENCY OF AN RF RECEIVER DIE | 1 |
Dzulkifly M. Hashim | MY | Selangor Darul Ehsan | 2014-05-29 / 20140147400 - COLLAGEN EXTRACTION FROM AQUATIC ANIMALS | 1 |
Amid I. Hashim | US | Plano | 2016-02-04 / 20160036166 - Communications Connectors Including Low Impedance Transmission Line Segments that Improve Return Loss and Related Methods | 20 |
Amid Hashim | US | Plano | 2014-03-13 / 20140073196 - High Performance Communications Jacks Having Crosstalk Compensation and/or Return Loss Improvement Circuitry | 6 |
Amid I. Hashim | US | Plano | 2016-02-04 / 20160036166 - Communications Connectors Including Low Impedance Transmission Line Segments that Improve Return Loss and Related Methods | 20 |
Khairuddin Hashim | MY | Selangor | 2011-11-10 / 20110275843 - REFINING OF EDIBLE OIL | 1 |
Isameldin Hashim | AE | Al-Ain | 2014-03-20 / 20140075828 - BIODIESEL PRODUCTION | 1 |
Imran Hashim | US | Saratoga | 2016-03-31 / 20160093625 - Method to Improve DRAM Performance | 91 |
Asaad Hashim | SA | Al Khobar | 2011-12-22 / 20110313739 - HYBRID MODELS OF MULTI-COMPONENT VAPOR LIQUID SEPARATION EQUIPMENT | 1 |
Masayoshi Hashima | JP | Kawasaki | 2016-05-05 / 20160127213 - INFORMATION PROCESSING DEVICE AND METHOD | 15 |
Hiroaki Hashima | JP | Amagasaki | 2013-10-10 / 20130268165 - WORK VEHICLE | 2 |
Takashi Hashima | JP | Kobe-Shi | 2015-07-23 / 20150202684 - METHOD FOR MOLDING AMORPHOUS ALLOY, AND MOLDED OBJECT PROUDUCED BY SAID MOLDING METHOD | 1 |
Takashi Hashima | JP | Hyogo | 2013-05-02 / 20130108412 - UNIAXIAL ECCENTRIC SCREW PUMP | 1 |
Yuji Hashima | JP | Chiba | 2014-11-20 / 20140339735 - RESIN FOR THERMAL IMPRINTING | 6 |
Kazuhiro Hashima | JP | Chiba-Shi | 2016-04-28 / 20160115360 - BASE POLYMER FOR HOT-MELT ADHESIVE AGENT, AND HOT-MELT ADHESIVE AGENT | 5 |
Hiroaki Hashima | JP | Amagasaki-Shi | 2013-01-24 / 20130019707 - ELECTRIC TRANSAXLE | 1 |
Takashi Hashima | JP | Gotenba-Shi | 2012-12-20 / 20120323463 - EXHAUST DEVICE OF INTERNAL COMBUSTION ENGINE | 1 |
Hidekazu Hashima | JP | Hyogo | 2011-07-14 / 20110172078 - OPTICAL GLASS | 2 |
Masayoshi Hashima | JP | Kawasaki | 2016-05-05 / 20160127213 - INFORMATION PROCESSING DEVICE AND METHOD | 15 |
Osama S. Hashimi | CA | Toronto | 2009-07-02 / 20090172534 - Visualizing a Mixture of Automated and Manual Steps in a Procedure | 1 |
Sayed Yousef Hashimi | US | Pittsburgh | 2013-05-02 / 20130110867 - DATA COLLECTION FOR USAGE BASED INSURANCE | 1 |
Sayed Ibrahim Hashimi | US | Seattle | 2015-11-05 / 20150319228 - PROVISIONING A WEB HOSTING RESOURCE USING A CLOUD SERVICE | 2 |
Kazuo Hashimi | JP | Kawasaki | 2012-07-19 / 20120181671 - METHOD FOR EVALUATING IMPURITY DISTRIBUTION UNDER GATE ELECTRODE WITHOUT DAMAGING SILICON SUBSTRATE | 4 |
Farshid Hashimi | US | Oakland | 2013-07-11 / 20130176242 - Hybrid Inertial and Touch Sensing Input Device | 1 |
Kazuo Hashimi | JP | Kuwana | 2012-11-15 / 20120288969 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS | 1 |
Mir H. Hashimi | US | Everett | 2009-07-30 / 20090192659 - AIRCRAFT MAINTENANCE LAPTOP | 1 |
Jamil R. Hashimi | US | Hermosa Beach | 2015-10-22 / 20150305053 - SYSTEM AND METHODS FOR USING COMMUNICATION RESOURCES | 3 |
Sumio Hashimoto | JP | Kanagawa-Ken | 2010-08-05 / 20100195329 - LIGHTING APPARATUS | 4 |
Kohji Hashimoto | JP | Tokyo | / - | 1 |
Munenori Hashimoto | JP | Tokyo | 2009-04-16 / 20090095513 - SOLDER LAYER, SUBSTRATE FOR DEVICE JOINING UTILIZING THE SAME AND METHOD OF MANUFACTURING THE SUBSTRATE | 1 |
Katsuyuki Hashimoto | JP | Tokyo | 2016-04-21 / 20160109694 - LASER MICROSCOPE | 2 |
Hidenori Hashimoto | JP | Tokyo | 2013-07-04 / 20130169843 - IMAGE PICKUP APPARATUS | 7 |
Sho Hashimoto | JP | Tatsuno-Shi | 2008-10-02 / 20080241522 - THERMAL SPRAYING POWDER, THERMAL SPRAY COATING, AND HEARTH ROLL | 1 |
Hideto Hashimoto | JP | Tokyo | 2009-01-22 / 20090019911 - Dowel Forming Method for Buckle Base Member | 1 |
Masahiro Hashimoto | JP | Iyo-Gun, Ehime | 2014-04-03 / 20140094555 - CARBON-FIBER-REINFORCED PLASTIC AND PROCESS FOR PRODUCING SAME | 1 |
Takuya Hashimoto | JP | Hyogo | 2012-03-15 / 20120061381 - INDUCTION COOKING DEVICE | 2 |
Akinori Hashimoto | JP | Yokohama | 2011-12-22 / 20110314197 - DATA PROCESSING SYSTEM | 3 |
Tsuyoshi Hashimoto | JP | Kawasaki | 2016-04-21 / 20160112506 - COMPUTER SYSTEM, PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING JOB PROCESSING PROGRAM | 15 |
Yasushi Hashimoto | JP | Tokyo | 2008-08-28 / 20080204036 - Pulse-generating apparatus and a method for adjusting levels of pulses outputted from pulse-generating apparatus | 1 |
Akira Hashimoto | JP | Miyagi-Ken | 2011-12-01 / 20110292298 - INPUT TUNING CIRCUIT OF TELEVISION TUNER | 1 |
Kiyoshi Hashimoto | JP | Tokyo | 2011-12-01 / 20110291723 - STREAM SIGNAL TRANSMISSION DEVICE AND TRANSMISSION METHOD | 1 |
Masahiko Hashimoto | JP | Kasai-Shi | 2012-01-05 / 20120001640 - POWER SUPPLY DEVICE CAPABLE OF DETECTING DISCONNECTION OF GROUND LINE | 1 |
Takashi Hashimoto | JP | Miyagi | 2012-01-05 / 20120002019 - MULTIPLE VIEWPOINT IMAGING CONTROL DEVICE, MULTIPLE VIEWPOINT IMAGING CONTROL METHOD AND CONPUTER READABLE MEDIUM | 1 |
Masayuki Hashimoto | JP | Tokyo | 2015-10-22 / 20150301117 - PARAMETER ESTIMATING DEVICE, PARAMETER ESTIMATING METHOD, ELECTRICITY STORAGE SYSTEM, AND PROGRAM | 8 |
Naoki Hashimoto | JP | Nagoya-Shi | 2014-04-03 / 20140092437 - PRINTING APPARATUS AND LOG RECORDING METHOD | 8 |
Hiroyuki Hashimoto | JP | Hara-Mura | 2016-03-03 / 20160065878 - DISPLAY SYSTEM, TRANSMITTING DEVICE, AND METHOD OF CONTROLLING DISPLAY SYSTEM | 2 |
Jun Hashimoto | JP | Tokyo | 2016-05-12 / 20160133867 - ORGANIC EL ELEMENT AND ORGANIC EL DISPLAY PANEL | 17 |
Masakazu Hashimoto | JP | Shizuoka | 2009-07-16 / 20090181844 - Ceramic Material | 1 |
Nobuhiro Hashimoto | JP | Shizuoka | 2011-08-18 / 20110198035 - ADHERING JIG FOR ADHESIVE CONNECTING MEMBER | 3 |
Ryuji Hashimoto | JP | Shizuoka | 2011-12-01 / 20110292561 - TRAY FOR TRANSPORTING WAFERS AND METHOD FOR FIXING WAFERS ONTO THE TRAY | 1 |
Tetsuya Hashimoto | JP | Shizuoka | 2010-11-04 / 20100278468 - WHEEL SUPPORT BEARING ASSEMBLY AND METHOD OF MAKING THE SAME | 3 |
Yukari Hashimoto | JP | Higashiomi-Shi | 2012-01-12 / 20120006389 - Method of Manufacturing Photoelectric Conversion Device, Apparatus for Manufacturing Photoelectric Conversion Device, and Photoelectric Conversion Device | 1 |
Koji Hashimoto | JP | Wako | 2012-01-12 / 20120007401 - VEHICLE-SEAT CONTROL APPARATUS | 1 |
Koji Hashimoto | JP | Matsumoto-Shi | 2012-01-12 / 20120007932 - DRIVE CONTROL METHOD FOR A MEDIA PROCESSING DEVICE, AND A MEDIA PROCESSING SYSTEM | 1 |
Toshikazu Hashimoto | JP | Kanagawa | 2008-11-06 / 20080273829 - Planar Lightwave Circuit, Design Method for Wave Propagation Circuit, and Computer Program | 1 |
Mitsuo Hashimoto | JP | Aomori | 2012-01-12 / 20120007596 - EDDY CURRENT FLAW DETECTION PROBE | 1 |
Shogo Hashimoto | JP | Kanagawa | 2014-12-18 / 20140371398 - TWO-PACK TYPE CURABLE RESIN COMPOSITION | 2 |
Kousuke Hashimoto | JP | Kanagawa | 2009-02-26 / 20090051856 - CELLULOSE ACYLATE FILM, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Katsuo Hashimoto | JP | Kanagawa | 2010-07-01 / 20100168253 - Method For Selecting Perfume Ingredient, Method For Formulating Fragrance, And Preference-Enhancing Agent | 1 |
Takaaki Hashimoto | JP | Kanagawa | 2010-09-02 / 20100220872 - Recording apparatus, recording method, audio signal correction circuit, and program | 1 |
Seiji Hashimoto | JP | Kanagawa | 2014-11-13 / 20140332669 - ARRANGEMENT OF CIRCUITS IN PIXELS, EACH CIRCUIT SHARED BY A PLURALITY OF PIXELS, IN IMAGE SENSING APPARATUS | 5 |
Yasuo Hashimoto | JP | Kanagawa | 2011-11-24 / 20110287281 - COMPOSITE COMPONENT OF CLAD MATERIAL AND SYNTHETIC RESIN PART AND MANUFACTURING METHOD OF THE SAME | 1 |
Etsu Hashimoto | JP | Kanagawa | 2012-04-19 / 20120093458 - OPTICAL SWITCH | 2 |
Yasunari Hashimoto | JP | Kanagawa | 2008-12-25 / 20080317294 - AUTHENTICATION APPARATUS, ENTRY MANAGEMENT APPARATUS, ENTRY AND EXIT MANAGEMENT APPARATUS, ENTRY MANAGEMENT SYSTEM, ENTRY AND EXIT MANAGEMENT SYSTEM, AND PROCESSING METHODS AND PROGRAMS FOR THESE APPARATUSES AND SYSTEMS | 1 |
Masataka Hashimoto | JP | Kanagawa | 2009-01-01 / 20090007163 - Optical Disc Device | 1 |
Gakuji Hashimoto | JP | Kanagawa | 2014-10-02 / 20140293273 - OPTICAL MEASURING DEVICE AND OPTICAL MEASURING METHOD | 8 |
Mikio Hashimoto | JP | Kanagawa | 2013-08-22 / 20130219408 - COMPUTER PROGRAM PRODUCT, AND INFORMATION PROCESSING APPARATUS AND METHOD | 4 |
Tomohiro Hashimoto | JP | Kanagawa | 2009-08-13 / 20090203513 - Glass member for optical parts and glass composition used therefor | 1 |
Tatsuaki Hashimoto | JP | Kanagawa | 2009-09-03 / 20090218449 - ATTITUDE CONTROL DATA CREATING METHOD, AND ATTITUDE CONTROL SYSTEM APPLYING THE METHOD | 1 |
Junko Hashimoto | JP | Kanagawa | 2009-10-29 / 20090269848 - TECHNIQUE FOR CULTURE OF MESENCHYMAL STEM CELL UTILIZING LAMININ-5 | 1 |
Yosuke Hashimoto | JP | Kanagawa | 2009-12-17 / 20090312378 - ECTOPARASITICIDE COMPOSITION AND A METHOD FOR EXTERMINATING ECTOPARASITES | 1 |
Fumio Hashimoto | JP | Kanagawa | 2009-12-17 / 20090310316 - CIRCUIT BOARD AND MOBILE ELECTRONIC APPARATUS | 1 |
Yuichi Hashimoto | JP | Tokyo | 2015-07-16 / 20150197616 - METHOD FOR PRODUCING A RESIN COMPOSITION HAVING A POROUS STRUCTURE WITH INDEPENDENT POROSITIES | 13 |
Takeaki Hashimoto | JP | Kanagawa | 2010-03-04 / 20100054768 - BELT DRIVING CONTROL DEVICE, BELT DEVICE, IMAGE FORMING APPARATUS, BELT DRIVING CONTROL METHOD, COMPUTER PROGRAM, AND RECORDING MEDIUM | 2 |
Tatsunori Hashimoto | JP | Kanagawa | 2010-03-11 / 20100060378 - FILTER CIRCUIT AND RADIO COMMUNICATION DEVICE | 1 |
Sakae Hashimoto | JP | Kanagawa | 2014-02-06 / 20140035085 - PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Hidenao Hashimoto | JP | Kanagawa | 2010-08-12 / 20100202155 - LAMP INSTALLATION STRUCTURE FOR VEHICLE | 1 |
Tsuyoshi Hashimoto | JP | Kanagawa | 2010-08-26 / 20100213176 - SINKER ELECTRIC DISCHARGE MACHINING METHOD, AND SINKER ELECTRIC DISCHARGE MACHINING APPARATUS | 1 |
Yukinori Hashimoto | JP | Kanagawa | 2014-04-10 / 20140098323 - SURFACE LIGHT-EMITTING DEVICE | 3 |
Masao Hashimoto | JP | Kanagawa | 2010-09-16 / 20100233453 - INTERMEDIATE FILM FOR LAMINATED GLASS, LAMINATED GLASS USING THE INTERMEDIATE FILM, AND PROCESS FOR THE PREPARATION OF THE LAMINATED GLASS | 1 |
Mitsuru Hashimoto | JP | Kanagawa | 2010-09-23 / 20100239951 - FUEL CELL COMPRISING OXYGEN ELECTRODE WITH SURFACE NANOSTRUCTURE | 2 |
Keiichi Hashimoto | JP | Kanagawa | 2010-09-30 / 20100248425 - Chip-size-package semiconductor chip and manufacturing method | 1 |
Kenichiroh Hashimoto | JP | Kanagawa | 2010-09-30 / 20100245490 - PIEZOELECTRIC ACTUATOR AND MANUFACTURING METHOD THEREOF, LIQUID EJECTING HEAD, AND IMAGE FORMING APPARATUS | 3 |
Hiromu Hashimoto | JP | Kanagawa | 2010-09-30 / 20100243698 - WEB CARRIER, WEB CARRYING METHOD, AND WEB CARRIAGE CONTROL PROGRAM | 1 |
Masaki Hashimoto | JP | Tokyo | 2015-10-22 / 20150296720 - PLANTING CONTAINER | 1 |
Junichi Hashimoto | JP | Kanagawa | 2010-12-09 / 20100310673 - SUBSTANCE AND COMPOSITION BOTH CAPABLE OF IMPARTING HEAT RESISTANCE | 1 |
Yasuaki Hashimoto | JP | Kanagawa | 2015-09-24 / 20150268576 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, DEVELOPER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 7 |
Chikako Hashimoto | JP | Kanagawa | 2012-01-12 / 20120010371 - METHOD OF ACCELERATING METHANOL CARBONYLATION | 1 |
Kikuo Hashimoto | JP | Kanagawa | 2010-12-30 / 20100326038 - HIGH-STRENGTH CABLE | 1 |
Takasuke Hashimoto | JP | Kanagawa | 2015-03-05 / 20150061660 - SENSOR DEVICE | 8 |
Tomoaki Hashimoto | JP | Kanagawa | 2013-03-07 / 20130059417 - METHOD FOR MANUFACTURING A PACKAGE-ON-PACKAGE TYPE SEMICONDUCTOR DEVICE | 3 |
Tetsuya Hashimoto | JP | Kanagawa | 2010-05-06 / 20100110255 - Chracteristic value generating circuit and imaging device | 1 |
Katsumi Hashimoto | JP | Kanagawa | 2016-03-24 / 20160083595 - INK FOR INK-JET PRINTING AND METHOD OF INK-JET PRINTING | 6 |
Akiyoshi Hashimoto | JP | Kawasaki | 2013-05-02 / 20130111299 - NON-VOLATILE STORAGE SYSTEM COMPENSATING PRIOR PROBABILITY FOR LOW-DENSITY PARITY CHECK CODES | 8 |
Yuki Hashimoto | JP | Kanagawa | 2010-05-20 / 20100123970 - DISK DRIVE, METHOD FOR MEASURING CLEARANCE AND METHOD FOR WRITING SERVO PATTERNS | 1 |
Mitsuhiro Hashimoto | JP | Kanagawa | 2010-02-11 / 20100033865 - MAGNETIC RECORDING METHOD AND MAGNETIC RECORDING APPARATUS | 1 |
Yoshimasa Hashimoto | JP | Kanagawa | 2010-08-05 / 20100193096 - PNEUMATIC TIRE | 1 |
Makoto Hashimoto | JP | Kanagawa | 2014-10-02 / 20140291670 - IMAGE PICKUP DEVICE AND IMAGE PICKUP DISPLAY SYSTEM | 4 |
Mitsuo Hashimoto | JP | Kanagawa | 2015-07-02 / 20150183636 - FUNCTIONAL DEVICE, ACCELERATION SENSOR, AND SWITCH | 11 |
Masanori Hashimoto | JP | Tokyo | 2013-03-14 / 20130061906 - BACKSIDE PROTECTIVE FILM FOR SOLAR CELL, METHOD FOR PRODUCING SAME, AND SOLAR CELL MODULE | 11 |
Seiji Hashimoto | JP | Osaka | 2015-05-21 / 20150136004 - MULTI-THREAD CHAIN STITCH SEWING MACHINE WITH SEAM RAVEL PREVENTING APPARATUS | 2 |
Takeshi Hashimoto | JP | Gunma | 2012-01-19 / 20120012153 - CONNECTION SHEET FOR SOLAR BATTERY CELL ELECTRODE, PROCESS FOR MANUFACTURING SOLAR CELL MODULE, AND SOLAR CELL MODULE | 1 |
Masaya Hashimoto | JP | Ibaraki | 2012-02-02 / 20120029004 - NOVEL AMINOPYRIDINE DERIVATIVES HAVING AURORA A SELECTIVE INHIBITORY ACTION | 2 |
Atsuki Hashimoto | JP | Tokyo | 2011-11-24 / 20110284109 - AIR PUMP | 2 |
Hisashi Hashimoto | JP | Aichi-Ken | 2012-01-19 / 20120016781 - COOKING SUPPORT SYSTEM, PROGRAM, RECORDING MEDIUM, AND METHOD FOR SUPPORTING PURCHASE AND INVENTORY MANAGEMENT OF COOKING INGREDIENTS | 1 |
Takahiro Hashimoto | JP | Echizen-Shi | 2015-10-15 / 20150294788 - METHOD FOR PREPARING RARE EARTH SINTERED MAGNET | 2 |
Jun Hashimoto | US | New York | 2015-05-21 / 20150139881 - WET TYPE FLUE-GAS DESULFUIZATION APPARATUS AND METHOD FOR ADJUSTING OXIDATION REDUCTION POTENTIAL OF ABSORBENT THEREFOR | 3 |
Mai Hashimoto | JP | Tokyo | 2015-12-31 / 20150376486 - WORKING FLUID FOR HEAT CYCLE | 2 |
Toshihiro Hashimoto | JP | Wako | 2011-11-17 / 20110279896 - VEHICLE REAR VIEWING DEVICE | 2 |
Toshihiro Hashimoto | JP | Kirishima-Shi | 2010-05-06 / 20100112394 - Reaction Apparatus, Fuel Cell System and Electronic Device | 3 |
Toshihiro Hashimoto | JP | Kagoshima | 2011-02-03 / 20110024167 - Multilayer Circuit Board | 3 |
Minoru Hashimoto | JP | Kyoto-Shi | 2015-09-17 / 20150260876 - MULTIPLE-OPTICAL-AXIS PHOTOELECTRIC SENSOR SYSTEM, MULTIPLE-OPTICAL-AXIS PHOTOELECTRIC SENSOR SYSTEM CONTROL METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Fumiyoshi Hashimoto | JP | Yokohama | 2010-03-04 / 20100054891 - FASTENING APPARATUS AND SYSTEM FOR DETECTING AXIAL FORCE THEREOF | 1 |
Tatsuya Hashimoto | JP | Osaka | 2016-05-19 / 20160141628 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND METHOD OF MANUFACTURING THE SAME | 4 |
Yoshio Hashimoto | JP | Osaka | 2012-01-26 / 20120021125 - ACRYLIC-FIBER FINISH, ACRYLIC FIBER FOR CARBON-FIBER PRODUCTION, AND CARBON-FIBER PRODUCTION METHOD | 1 |
Nozomu Hashimoto | JP | Naruto-Shi | 2011-08-04 / 20110186257 - METHOD FOR MANUFACTURING MOLD | 2 |
Haruo Hashimoto | JP | Tokyo | 2011-11-10 / 20110272870 - BUSH FOR ISOLATING STABILIZER FROM VIBRATION | 1 |
Takaaki Hashimoto | JP | Hyogo | 2012-01-26 / 20120022277 - CATALYST FOR ETHYLENE OXIDE PRODUCTION AND METHOD FOR PRODUCING ETHYLENE OXIDE | 1 |
Rei Hashimoto | JP | Yokohama | 2009-10-22 / 20090262556 - H-BRIDGE BUCK-BOOST CONVERTER | 1 |
Jun-Ichi Hashimoto | JP | Yokohama-Shi | 2014-12-25 / 20140376853 - MACH-ZEHNDER INTERFEROMETER TYPE OPTICAL MODULATOR | 15 |
Daisuke Hashimoto | US | Cupertino | 2016-04-28 / 20160117104 - RECONSTRUCT DRIVE FOR DYNAMIC RESIZING | 4 |
Yohei Hashimoto | JP | Fukuoka | 2015-09-10 / 20150255761 - PACKAGING MATERIAL FOR BATTERY | 1 |
Miho Hashimoto | JP | Gunma | 2010-06-10 / 20100143310 - SPINAL NERVE REPAIR PROMOTING THERAPEUTICS CONTAINING GHRELIN OR ITS DERIVATIVES OR SUBSTANCES THAT ACT ON GHS-R1a AS AN ACTIVE INGREDIENT | 1 |
Koji Hashimoto | JP | Suntou-Gun | 2012-01-05 / 20120003002 - DEVELOPING APPARATUS, PROCESS CARTRIDGE AND ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS | 2 |
Takashi Hashimoto | JP | Ashikaga-Shi | 2012-02-02 / 20120025856 - TEMPERATURE CONTROL DEVICE AND TEMPERATURE CONTROL METHOD | 1 |
Mitsufumi Hashimoto | JP | Tokyo | 2011-11-03 / 20110266828 - LUGGAGE SPACE ARRANGEMENT FOR STOWING AWAY A RETRACTABLE TONNEAU COVER ASSEMBLY | 1 |
Shun Hashimoto | JP | Hyogo | 2014-03-06 / 20140061532 - RADICAL COMPOSITION AND BATTERY USING SAME | 1 |
Yasuji Hashimoto | JP | Wako-Shi | 2013-09-12 / 20130233579 - BEACH CLEANER | 5 |
Shingo Hashimoto | JP | Kitakyushu-Shi | 2015-09-10 / 20150252557 - Remote Control Device | 1 |
Haruo Hashimoto | JP | Osaka | 2014-10-23 / 20140314440 - IMAGE FORMING APPARATUS | 10 |
Yuuki Hashimoto | JP | Wakayama-Shi | 2012-02-02 / 20120029164 - ESTER GROUP-CONTAINING TETRACARBOXYLIC ACID DIANHYDRIDE, POLYESTER POLYIMIDE PRECURSOR, POLYESTERIMIDE, AND METHODS FOR PRODUCING SAME | 1 |
Chie Hashimoto | JP | Bunkyo-Ku | 2014-02-27 / 20140056935 - PEPTIDE WHICH CAN INDUCE ANTIBODY CAPABLE OF RECOGNIZING STEREOSTRUCTURE OF HIV | 1 |
Koichi Hashimoto | JP | Sendai-Shi | 2015-09-10 / 20150251314 - ROBOT, ROBOT SYSTEM, CONTROL DEVICE, AND CONTROL METHOD | 1 |
Koji Hashimoto | JP | Ehime | 2015-09-10 / 20150250925 - ARTIFICIAL SKIN TISSUE, ARTIFICIAL SKIN MODEL AND MANUFACTURING METHOD THEREFOR | 1 |
Tatsuya Hashimoto | JP | Kagawa | 2014-04-17 / 20140102618 - METHOD FOR MANUFACTURING STRETCH SHEET | 10 |
Takashi Hashimoto | JP | Chiyoda-Ku | 2014-05-01 / 20140116990 - ELECTRIC DISCHARGE MACHINING APPARATUS | 8 |
Yoko Hashimoto | JP | Yokohama | 2013-03-07 / 20130061043 - METHOD OF VALIDATION PUBLIC KEY CERTIFICATE AND VALIDATION SERVER | 7 |
Takaki Hashimoto | JP | Yokohama | 2010-08-12 / 20100202181 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Akihiro Hashimoto | JP | Shiga | 2010-12-16 / 20100318258 - DRIVING RECORDER | 2 |
Shinji Hashimoto | JP | Kadoma | 2012-02-09 / 20120033913 - OPTICAL WAVEGUIDE-FORMING EPOXY RESIN COMPOSITION, OPTICAL WAVEGUIDE-FORMING CURABLE FILM, OPTICAL-TRANSMITTING FLEXIBLE PRINTED CIRCUIT, AND ELECTRONIC INFORMATION DEVICE | 4 |
Shinji Hashimoto | JP | Kadoma-Shi | 2010-12-09 / 20100310841 - TRANSPARENT FILM | 4 |
Akihiro Hashimoto | US | Branford | 2016-04-28 / 20160115157 - SUBSTITUTED ALIPHANES, CYCLOPHANES, HETERAPHANES, HETEROPHANES, HETERO-HETERAPHANES AND METALLOCENES USEFUL FOR TREATING HCV INFECTIONS | 27 |
Tatsuya Hashimoto | US | 2015-09-10 / 20150255786 - POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERIES, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 | |
Akihiro Hashimoto | JP | Fukui | 2010-12-23 / 20100323164 - Graphene wafer, method for manufacturing the graphene wafer, method for releasing a graphene layer, and method for manufacturing a graphene device | 2 |
Hiroaki Hashimoto | JP | Kobe-Shi | 2015-10-22 / 20150297366 - DETECTION DEVICE OF LOAD AND MOMENT, AND ARTIFICIAL LIMB INCLUDING THE DETECTION DEVICE | 2 |
Jun Hashimoto | JP | Kanagawa | 2011-10-27 / 20110261798 - MOBILE WIRELESS COMMUNICATION TERMINAL AND WIRELESS COMMUNICATION METHOD | 1 |
Toshihiro Hashimoto | JP | Hiratsuka-Shi | 2015-09-03 / 20150248794 - Control Device of Aftertreatment Device, Working Vehicle, Conrol System, and Control Method of Aftertreatment Device | 1 |
Akihiro Hashimoto | JP | Kyoto | 2010-06-17 / 20100152964 - DRIVING RECORDER | 1 |
Hideyuki Hashimoto | JP | Toyokawa-Shi | 2013-12-19 / 20130339002 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM | 5 |
Hideyuki Hashimoto | JP | Niigata-Ken | 2011-09-08 / 20110214505 - SEMICONDUCTOR PRESSURE SENSOR | 1 |
Hideyuki Hashimoto | JP | Saitama-Shi | 2012-05-24 / 20120127445 - ISOLATION SYSTEM FOR AN OPTICAL ELEMENT OF AN EXPOSURE APPARATUS | 1 |
Hideyuki Hashimoto | JP | Hitachinaka | 2014-03-27 / 20140082882 - PORTABLE CLEANER PROVIDED WITH EXHAUST REGULATING UNIT | 1 |
Hideyuki Hashimoto | JP | Aichi-Ken | 2013-10-03 / 20130260121 - FLEXOGRAPHIC PRINTING PLATE PRECURSOR | 1 |
Seizo Hashimoto | JP | Odawara-Shi | 2008-11-06 / 20080274890 - Method of Producing Suspended Agricultural Chemical Composition | 1 |
Kazuhiko Hashimoto | JP | Osaka | 2011-07-28 / 20110183264 - RESIST PROCESSING METHOD AND USE OF POSITIVE TYPE RESIST COMPOSITION | 8 |
Kazuhiko Hashimoto | JP | Toyonaka-Shi | 2011-04-21 / 20110091807 - PHOTORESIST COMPOSITION | 5 |
Syu Hashimoto | JP | Kanagawa | 2013-01-24 / 20130020836 - COUPLING STRUCTURE BETWEEN FRONT PILLAR AND SIDE SILL OF AUTOMOBILE | 1 |
Shota Hashimoto | JP | Toyota-Shi | 2015-09-03 / 20150246651 - VEHICLE FRONT SECTION STRUCTURE | 1 |
Masanori Hashimoto | JP | Kawasaki | 2013-02-21 / 20130044584 - Data Transfer Method | 11 |
Fabio Hashimoto | US | San Diego | 2012-05-10 / 20120117262 - SERVICE BASED MEDIA PLAYER | 1 |
Mitsuhiro Hashimoto | US | San Jose | 2012-01-12 / 20120008231 - MAGNETIC HEAD SLIDER AND MAGNETIC DISK UNIT USING SAME | 1 |
Mitsuru Hashimoto | US | Boise | 2013-04-04 / 20130084707 - DRY CLEANING METHOD FOR RECOVERING ETCH PROCESS CONDITION | 1 |
Hisajiro Hashimoto | JP | Aichi | 2011-10-27 / 20110258816 - PIN FASTENER | 1 |
Paul Hashimoto | US | Los Angeles | 2009-10-08 / 20090250725 - OHMIC METAL CONTACT PROTECTION USING AN ENCAPSULATION LAYER | 1 |
Roy Tadashi Hashimoto | US | Redwood City | 2009-02-12 / 20090040222 - Multi-pass shading | 1 |
Yoshio Hashimoto | US | Duncan | 2014-02-27 / 20140056553 - SENSING CABLE | 1 |
Kazuya Hashimoto | JP | Osaka | 2015-08-27 / 20150243878 - NBT-BT CRYSTAL PIEZOELECTRIC FILM AND PIEZOELECTRIC STACKING STRUCTURE COMPRISING THE SAME | 1 |
Yoshio Hashimoto | US | Greer | 2015-08-20 / 20150234139 - ROUND AND SMALL DIAMETER OPTICAL CABLES WITH A RIBBON-LIKE OPTICAL FIBER STRUCTURE | 5 |
Kazunori Hashimoto | JP | Saitama | 2011-11-03 / 20110270935 - COMMUNICATION DEVICE, INFORMATION COMMUNICATION SYSTEM, METHOD FOR CONTROLLING COMMUNICATION OF COMMUNICATION DEVICE AND PROGRAM THEREFOR | 2 |
Yoshiki Hashimoto | JP | Kyoto | 2009-05-14 / 20090122467 - ELECTRIC DOUBLE-LAYER CAPACITOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tamotsu Hashimoto | JP | Kyoto | 2010-02-25 / 20100050277 - KNOCKOUT ANIMAL EXHIBITING ANXIETY-LIKE BEHAVIOR | 1 |
Takao Hashimoto | JP | Kyoto | 2012-05-10 / 20120113054 - RESISTIVE FILM TYPE TOUCH PANEL WITH PRESSING DETECTION FUNCTION | 8 |
Keiko Hashimoto | JP | Kyoto | 2010-09-09 / 20100226068 - ELECTRIC DOUBLE LAYER CAPACITOR AND METHOD FOR MANUFACTURING SAME | 1 |
Tetsuro Hashimoto | JP | Kyoto | 2009-04-30 / 20090108827 - UNDER VOLTAGE LOCK OUT CIRCUIT AND METHOD | 1 |
Masao Hashimoto | JP | Kyoto | 2009-06-18 / 20090151478 - ARRAY TYPE CAPACITANCE SENSOR | 1 |
Ken Hashimoto | JP | Kyoto | 2014-08-07 / 20140217426 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, ELECTRONIC APPARATUS, AND DISPLAY APPARATUS | 2 |
Hiroyuki Hashimoto | JP | Tokyo | 2015-10-29 / 20150306937 - VEHICLE AIR CONDITIONING CONTROL DEVICE | 1 |
Tomohiro Hashimoto | JP | Sagamihara-Shi | 2009-05-14 / 20090122407 - Structure and Manufacturing Method of the Same | 1 |
Osamu Hashimoto | JP | Sagamihara-Shi | 2013-05-16 / 20130120959 - DIELECTRIC MATERIAL SHEET AND PROCESS FOR PRODUCTION THEREOF, AND ELECTROMAGNETIC WAVE ABSORBER | 3 |
Hidenori Hashimoto | JP | Sagamihara-Shi | 2016-03-10 / 20160066769 - IMAGING DEVICE | 5 |
Eiichiro Hashimoto | JP | Kyoto | 2010-03-11 / 20100060211 - Inverter Control Apparatus And Motor Drive System | 1 |
Masashi Hashimoto | JP | Kyoto | 2010-11-18 / 20100289932 - SOLID-STATE IMAGING DEVICE | 1 |
Sunao Hashimoto | JP | Kyoto | 2011-01-13 / 20110007121 - RECORDING HEAD AND RECORDING DEVICE | 1 |
Yoshimi Hashimoto | JP | Kyoto | 2011-03-24 / 20110069136 - APPARATUS FOR GENERATING SPATIALLY MODULATED LIGHT AND IMAGE RECORDING APPARATUS | 2 |
Nobuo Hashimoto | JP | Kyoto | 2011-04-21 / 20110092484 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR CEREBRAL ANEURYSM | 1 |
Hiroki Hashimoto | JP | Toride-Shi | 2016-01-07 / 20160002375 - METHOD FOR PRODUCING HYDROGENATED UNSATURATED POLYHYDROXYHYDROCARBON POLYMER | 3 |
Mitsuo Hashimoto | JP | Iwaki-Shi ,fukushima | 2014-02-20 / 20140050925 - HEXAGONAL PLATE-SHAPED ZINC OXIDE PARTICLES, METHOD FOR PRODUCTION OF THE SAME, AND COSMETIC, HEAT RELEASING FILLER, HEAT RELEASING RESIN COMPOSITION, HEAT RELEASING GREASE, AND HEAT RELEASING COATING COMPOSITION COMPRISING THE SAME | 1 |
Norihisa Hashimoto | JP | Yokohama | 2012-02-09 / 20120033960 - AUXILIARY DEVICE FOR FULL-CIRCLE PANORAMIC VIDEO IMAGING | 1 |
Yasuharu Hashimoto | JP | Osaka | 2011-10-20 / 20110256283 - Method for Preventing Decomposition/Deterioration of Lipophilic Component in the Presence of Water | 1 |
Hideki Hashimoto | JP | Okayama | 2014-12-11 / 20140361226 - Negative Electrode Active Material and Use of Same | 3 |
Junji Hashimoto | JP | Chiba | 2011-10-20 / 20110255778 - IMAGE PROCESSING APPARATUS AND METHOD OF PROCESSING COLOR IMAGE DATA THAT PERFORM OVERDRIVE | 1 |
Akhiro Hashimoto | US | Branford | 2012-02-16 / 20120040959 - 8-METHOXY-9H-ISOTHIAZOLO[5,4-B]QUINOLINE-3,4-DIONES AND RELATED COMPOUNDS AS ANTI-INFECTIVE AGENTS | 1 |
Koukichi Hashimoto | JP | Tokyo | 2012-02-16 / 20120042105 - BUS ARBITRATION APPARATUS | 1 |
Toshifumi Hashimoto | JP | Fujisawa-Shi | 2013-08-01 / 20130194868 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Hiroyuki Hashimoto | JP | Nishinomiya-Shi | 2010-04-01 / 20100077597 - PROBE NEEDLE, METHOD FOR MANUFACTURING THE PROBE NEEDLE AND METHOD FOR CONSTRUCTING A THREE-DIMENSIONAL STRUCTURE | 1 |
Hiroyuki Hashimoto | JP | Kanagawa | 2011-06-23 / 20110146202 - METAL MOLD FOR COMPRESSION-MOLDING PREFORM, PREFORM, SYSTEM FOR ASEPTICALLY FILLING BEVERAGES AND FOODS USING THE SAME AND METHOD OF PRODUCING BLOW-MOLDED CONTAINERS | 4 |
Sadaharu Hashimoto | JP | Tokyo | 2012-04-12 / 20120088423 - COMPOSITION FOR STRETCHABLE FILM | 3 |
Hiroyuki Hashimoto | JP | Saitama | 2010-09-02 / 20100221547 - ELECTROLUMINESCENT ELEMENT | 1 |
Hiroyuki Hashimoto | JP | Osaka | 2011-02-24 / 20110042414 - BEVERAGE DISPENSER | 4 |
Hiroyuki Hashimoto | JP | Chiyoda-Ku | 2015-02-12 / 20150045967 - AIR-CONDITIONING UNIT CONTROL DEVICE AND AIR-CONDITIONING UNIT CONTROL PROGRAM | 2 |
Hiroyuki Hashimoto | JP | Suwa-Gun | 2009-08-20 / 20090210567 - HOST APPARATUS AND METHOD FOR CONTROLLING THE SAME | 2 |
Hiroyuki Hashimoto | JP | Kawasaki-Shi | 2009-10-08 / 20090253958 - ENDOSCOPE, CONNECTION METHOD OF BENDING SECTION AND FLEXIBLE SECTION IN ENDOSCOPE, PRODUCTION METHOD OF ENDOSCOPE PROVIDED FOR THIS CONNECTION METHOD, ENDOSCOPE OVERTUBE, CONNECTION METHOD OF BENDING SECTION AND FLEXIBLE SECTION IN ENDOSCOPE OVERTUBE AND PRODUCTION METHOD OF ENDOSCOPE OVERTUBE PROVIDED FOR THIS CONNECTION METHOD | 1 |
Hiroyuki Hashimoto | JP | Nirasaki City | 2011-01-06 / 20110003078 - APPARATUS FOR TREATING SURFACE AND METHOD OF TREATING SURFACE | 1 |
Takehisa Hashimoto | JP | Kitakatsuragi-Gun | 2013-05-16 / 20130119092 - CHECK VALVE, MANUFACTURING METHOD THEREOF AND CONTAINER HAVING CHECK VALVE | 1 |
Yuichiro Hashimoto | JP | Tachikawa | 2015-08-20 / 20150235831 - ANALYSIS DEVICE AND ANALYSIS METHOD | 32 |
Fumiko Hashimoto | JP | Fukushima | 2012-08-16 / 20120208056 - SECONDARY BATTERY | 3 |
Shin Hashimoto | JP | Itami-Shi | 2014-02-27 / 20140054680 - METHOD OF FORMING GROUP III NITRIDE SEMICONDUCTOR, METHOD OF FABRICATING SEMICONDUCTOR DEVICE, GROUP III NITRIDE SEMICONDUCTOR DEVICE, METHOD OF PERFORMING THERMAL TREATMENT | 13 |
Shin Hashimoto | JP | Osaka | 2010-02-25 / 20100048004 - SEMICONDUCTOR DEVICE AND PROCESS FOR MANUFACTURING THE SAME | 3 |
Hideki Hashimoto | JP | Saitama | 2013-01-03 / 20130004021 - VEHICLE PERIMETER MONITORING DEVICE | 9 |
Shin Hashimoto | JP | Hyogo | 2012-03-22 / 20120070929 - METHOD FOR FABRICATING WAFER PRODUCT AND METHOD FOR FABRICATING GALLIUM NITRIDE BASED SEMICONDUCTOR OPTICAL DEVICE | 2 |
Shin Hashimoto | JP | Tokyo | 2009-06-11 / 20090147793 - PACKET COMMUNICATION NETWORK AND PACKET COMMUNICATION METHOD | 1 |
Sakae Hashimoto | JP | Sagamihara-Shi | 2016-05-05 / 20160126280 - SOLID-STATE IMAGE SENSOR AND IMAGING SYSTEM | 9 |
Sakae Hashimoto | JP | Machida-Shi | 2010-08-19 / 20100207014 - PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Keita Hashimoto | JP | Toyota-Shi | 2015-08-13 / 20150224878 - ELECTRICALLY-POWERED VEHICLE | 12 |
Seiji Hashimoto | JP | Ome-Shi | 2014-11-27 / 20140347569 - TELEVISION AND ELECTRONIC APPARATUS | 11 |
Ryuichi Hashimoto | JP | Yamaga-Shi | 2013-11-28 / 20130313915 - RELAY UNIT AND PRODUCING METHOD THEREOF | 13 |
Yasuhiro Hashimoto | JP | Mishima-Shi | 2015-08-27 / 20150241806 - TONER | 12 |
Yasuhiro Hashimoto | JP | Wako-Shi | 2011-03-31 / 20110076704 - Method for diagnosing alzheimer's disease using serum glycoprotein as biomarker | 1 |
Yasuhiro Hashimoto | JP | Nara | 2014-04-03 / 20140093773 - ELECTRODE, METHOD FOR PRODUCING ELECTRODE, AND ENERGY DEVICE, ELECTRONIC DEVICE, AND TRANSPORTATION DEVICE INCLUDING ELECTRODE | 6 |
Yasuhiro Hashimoto | JP | Kawasaki | 2010-01-14 / 20100007382 - Inverter circuit and balanced input inverter circuit | 2 |
Yasuhiro Hashimoto | JP | Hyogo | 2009-12-24 / 20090314001 - METHOD OF STARTING AND STOPPING GAS TURBINE AND START-AND-STOP CONTROL DEVICE | 1 |
Yasuhiro Hashimoto | JP | Souraku-Gun | 2009-04-09 / 20090090618 - SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yoshinori Hashimoto | JP | Susono-Shi | 2012-12-06 / 20120305726 - IMAGE FORMING APAPRATUS | 2 |
Syouta Hashimoto | JP | Susono-Shi | 2015-11-05 / 20150314742 - VEHICLE BODY FRONT SECTION STRUCTURE | 3 |
Masaya Hashimoto | JP | Sukagawa-City | 2016-05-05 / 20160124191 - IMAGING LENS | 23 |
Ryota Hashimoto | JP | Kyoto | 2014-09-04 / 20140247105 - ELECTRONIC COMPONENT | 1 |
Kazuyoshi Hashimoto | JP | Susono-Shi | 2013-07-11 / 20130178059 - MANUFACTURING METHOD AND MANUFACTURING APPARATUS OF DEVICE | 1 |
Hiroto Hashimoto | JP | Susono-Shi | 2016-05-12 / 20160131203 - ELECTROMAGNETIC ACTUATOR | 7 |
Kosaku Hashimoto | JP | Nagoya | 2015-08-20 / 20150231866 - IMPACT-ABSORBING MEMBER | 1 |
Michikazu Hashimoto | JP | Higashimatsuyama | 2010-04-29 / 20100103491 - METHOD FOR DETECTING POSITION OF REPRODUCED HOLOGRAM IMAGE AND HOLOGRAM APPARATUS | 1 |
Toshiya Hashimoto | JP | Ichihara-Shi, Chiba | 2015-12-24 / 20150370094 - OPTICAL MATERIAL, COMPOSITION FOR OPTICAL MATERIAL, AND USE THEREOF | 2 |
Daisuke Hashimoto | JP | Kanagawa | 2016-02-11 / 20160041858 - INFORMATION PROCESSING DEVICE, EXTERNAL STORAGE DEVICE, HOST DEVICE, RELAY DEVICE, CONTROL PROGRAM, AND CONTROL METHOD OF INFORMATION PROCESSING DEVICE | 13 |
Eiji Hashimoto | JP | Tsukuba-Shi | 2014-02-06 / 20140037710 - METHOD FOR PRODUCING PATCH, AND PATCH | 1 |
Koichi Hashimoto | JP | Miyagi | 2011-10-06 / 20110242308 - MICROSCOPE AND A FLUORESCENT OBSERVATION METHOD USING THE SAME | 1 |
Tsuneyuki Hashimoto | JP | Tokyo | 2015-11-05 / 20150318062 - Structure, Electronic Element Module, Heat Exchanger, Fuel Rod, and Fuel Assembly | 1 |
Tadao Hashimoto | JP | Kyoto | 2011-10-06 / 20110239880 - PRINTER | 1 |
Yohei Hashimoto | JP | Yamagata | 2012-03-08 / 20120056166 - Organic semiconductor material, organic semiconductor thin film, and organic thin-film transistor | 1 |
Akira Hashimoto | US | Dallas | 2012-03-08 / 20120056473 - ROLLER WHEEL FOR TRACK-TYPE TRAVELLING VEHICLE | 1 |
Atsushi Hashimoto | JP | Otawara | 2016-02-04 / 20160033657 - X-RAY COMPUTED TOMOGRAPHY APPARATUS AND X-RAY DETECTOR | 2 |
Yasuhiro Hashimoto | JP | Shunan-Shi | 2012-03-08 / 20120057222 - SINGLE CRYSTAL OF MAGNESIUM FLUORIDE, OPTICAL MEMBER AND OPTICAL ELEMENT COMPRISING THE SAME | 1 |
Kohichi Hashimoto | JP | Nagano | 2011-09-15 / 20110221741 - COLOR CONVERSION FILTER PANEL FOR COLOR ORGANIC ELECTROLUMINESCENT DISPLAY AND COLOR ORGANIC ELECTROLUMINESCENT DISPLAY | 2 |
Masanori Hashimoto | JP | Yokohama | 2016-03-03 / 20160066310 - BASE STATION DEVICE, RADIO TERMINAL DEVICE, NETWORK APPARATUS, AND COMMUNICATION METHOD | 9 |
Naoki Hashimoto | JP | Nagoya | 2012-01-26 / 20120020716 - PRINTING DEVICE | 3 |
Naoki Hashimoto | JP | Ibaraki-Shi | 2016-04-28 / 20160115340 - HARD COAT FILM AND HARD COAT FILM WOUND BODY | 9 |
Toshikazu Hashimoto | JP | Yamato-Shi | 2012-12-06 / 20120311516 - Planar Lightwave Circuit, Design Method for Wave Propagation Circuit, and Computer Program | 4 |
Toru Hashimoto | JP | Yokohama-Shi | 2009-02-12 / 20090042521 - RADIO TRANSMITTER USING CARTESIAN LOOP | 3 |
Takayuki Hashimoto | JP | Yokohama-Shi | 2013-10-03 / 20130257814 - COORDINATE INPUT APPARATUS | 5 |
Takaki Hashimoto | JP | Yokohama-Shi | 2015-03-12 / 20150070681 - PATTERN GENERATING METHOD, PATTERN FORMING METHOD, AND PATTERN GENERATING PROGRAM | 3 |
Toshifumi Hashimoto | JP | Yokohama-Shi | 2011-01-27 / 20110019477 - NAND TYPE FLASH MEMORY | 5 |
Kazushige Hashimoto | JP | Yokohama-Shi | 2014-06-19 / 20140168648 - ALIGNMENT DEVICE FOR EXPOSURE DEVICE, AND ALIGNMENT MARK | 5 |
Hideaki Hashimoto | JP | Yokohama-Shi | 2008-09-11 / 20080219676 - Transmitting device, receiving device, and optical communication method | 1 |
Kenichiroh Hashimoto | JP | Yokohama-Shi | 2010-03-04 / 20100053269 - LIQUID EJECTION HEAD, IMAGE FORMING APPARATUS EMPLOYING THE LIQUID EJECTION HEAD, AND METHOD OF MANUFACTURING THE LIQUID EJECTION HEAD | 2 |
Naoki Hashimoto | JP | Kawasaki | 2010-10-07 / 20100254715 - Driving method and driving apparatus for optical modulator, and optical transmitter using same | 6 |
Shinya Hashimoto | JP | Tokyo | 2016-04-21 / 20160108930 - GAS GUIDING DEVICE AND FACILITY INCLUDING THE SAME | 9 |
Kazuya Hashimoto | JP | Yokohama-Shi | 2010-07-15 / 20100178807 - CARD CONNECTOR | 1 |
Naoki Hashimoto | JP | Toride-Shi | 2009-06-25 / 20090162116 - IMAGE HEATING APPARATUS | 1 |
Shigeo Hashimoto | JP | Yokohama-Shi | 2010-09-09 / 20100227693 - SERVER SYSTEM, GAME DEVICE, CONTROL METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Yasuharu Hashimoto | JP | Kamiina-Gun | 2013-11-07 / 20130296775 - FLUID INJECTION SYSTEM | 2 |
Daisuke Hashimoto | JP | Yokohama-Shi | 2016-02-25 / 20160054936 - INFORMATION PROCESSING SYSTEM AND NONVOLATILE STORAGE UNIT | 12 |
Reiji Hashimoto | JP | Yokohama-Shi | 2012-02-09 / 20120033007 - PRINTING POSITION ALIGNMENT METHOD AND PRINTING APPARATUS | 3 |
Takeshi Hashimoto | JP | Nagoya-Shi Aichi | 2015-11-05 / 20150318550 - CARBON NANOTUBE DISPERSION AND METHOD FOR MANUFACTURING DISPERSION | 1 |
Naoki Hashimoto | JP | Nagoya-Shi | 2014-04-03 / 20140092437 - PRINTING APPARATUS AND LOG RECORDING METHOD | 8 |
Ayumi Hashimoto | JP | Ome-Shi | 2012-04-05 / 20120083214 - ELECTRONIC DEVICE AND COMMUNICATION CONTROL METHOD | 2 |
Ayumi Hashimoto | JP | Akishima-Shi | 2012-04-05 / 20120084771 - Communication Device and Management Method | 3 |
Junichi Hashimoto | JP | Chigasaki-Shi | 2015-11-05 / 20150318668 - QUANTUM CASCADE LASER AND METHOD FOR MANUFACTURING QUANTUM CASCADE LASER | 1 |
Toshiya Hashimoto | JP | Aichi-Ken | 2012-03-08 / 20120059544 - SPRUNG MASS DAMPING CONTROL SYSTEM OF VEHICLE | 1 |
Takayuki Hashimoto | JP | Naka-Gun | 2010-12-30 / 20100327348 - SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME AND POWER-SUPPLY DEVICE USING THE SAME | 3 |
Takeshi Hashimoto | JP | Saitama | 2009-11-26 / 20090290150 - LASER MICROSCOPE APPARATUS | 1 |
Takeshi Hashimoto | JP | Nishinomiya-Shi | 2010-07-22 / 20100182111 - MICRO RELAY | 1 |
Takeshi Hashimoto | JP | Nagoya-Shi | 2012-01-12 / 20120009344 - PROCESS AND APPARATUS FOR PRODUCING COMPOSITE MATERIAL | 2 |
Takayuki Hashimoto | JP | Tokyo | 2016-04-28 / 20160118891 - APPARATUS FOR CONTROLLING INSULATING GATE-TYPE SEMICONDUCTOR ELEMENT, AND POWER CONVERSION APPARATUS USING APPARATUS FOR CONTROLLING INSULATING GATE-TYPE SEMICONDUCTOR ELEMENT | 5 |
Naotaka Hashimoto | JP | Osaka | 2013-06-13 / 20130146926 - ILLUMINATING APPARATUS | 11 |
Takao Hashimoto | JP | Osaka | 2011-11-17 / 20110280323 - ISOLATED COMMUNICATION SYSTEM, AND TRANSMISSION UNIT AND RECEIVING UNIT APPLIED TO ISOLATED COMMUNICATION SYSTEM | 2 |
Takeshi Hashimoto | JP | Kanagawa | 2015-07-30 / 20150215575 - RELAY APPARATUS | 4 |
Takayuki Hashimoto | JP | Kawasaki-Shi | 2015-11-12 / 20150324026 - PROCESSING APPARATUS, COMMAND GENERATION METHOD AND STORAGE MEDIUM | 1 |
Koji Hashimoto | JP | Kawasaki | 2013-04-04 / 20130086640 - INFORMATION PROCESSING APPARATUS AND METHOD | 2 |
Takeshi Hashimoto | JP | Tokyo | 2015-12-10 / 20150356018 - INFORMATION PROCESSING DEVICE AND METHOD FOR MANAGING FILE | 8 |
Takeshi Hashimoto | JP | Nagoya | 2010-10-28 / 20100273263 - CELL CULTURE VESSEL AND METHOD OF PRODUCTION THEREOF | 1 |
Takashi Hashimoto | JP | Saitama-Shi | 2013-05-02 / 20130107020 - IMAGE CAPTURE DEVICE, NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM, IMAGE CAPTURE METHOD | 2 |
Takashi Hashimoto | JP | Kanuma-Shi | 2011-09-29 / 20110232964 - CABLE ASSEMBLY | 1 |
Takeshi Hashimoto | JP | Kobe-Shi | 2015-10-08 / 20150285168 - THROTTLE CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE AND THROTTLE CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 2 |
Takeshi Hashimoto | JP | Shizuoka-Shi | 2015-12-24 / 20150371743 - COMPOSITE MAGNETIC MATERIAL | 4 |
Takeshi Hashimoto | JP | Okazaki-Shi | 2010-12-30 / 20100329731 - FITTING STRUCTURE FOR PROCESS CARTRIDGES AND IMAGE FORMING APPARATUS BODY, AND IMAGE FORMING APPARATUS HAVING THIS STRUCTURE | 5 |
Yutaka Hashimoto | JP | Tottori-Shi | 2010-06-24 / 20100156311 - Electronic Device and Light Emission Control Method For Electronic Device | 1 |
Yutaka Hashimoto | JP | Sunto-Gun | 2010-06-10 / 20100142202 - LUMINAIRE | 1 |
Takeshi Hashimoto | JP | Fukushima | 2011-04-07 / 20110081029 - ACOUSTIC PROCESSING DEVICE | 1 |
Kazunori Hashimoto | JP | Tokyo | 2011-09-29 / 20110237184 - ON-BOARD DEVICE, INFORMATION COMMUNICATION SYSTEM, METHOD FOR CONTROLLING COMMUNICATION OF ON-BOARD DEVICE, AND COMPUTER PROGRAM THEREFOR | 2 |
Kazunori Hashimoto | JP | Kawagawa | 2011-07-28 / 20110183627 - COMMUNICATION DEVICE, INFORMATION PRESENTATION DEVICE, COMMUNICATION METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Kazunori Hashimoto | JP | Numazu-Shi | 2015-02-26 / 20150055991 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 5 |
Kazunori Hashimoto | JP | Fujisawa | 2010-10-21 / 20100266121 - IC CHIP AND INFORMATION PROCESSING APPARATUS MOUNTING IC CHIP | 2 |
Takeshi Hashimoto | JP | Motomiya-Shi | 2015-01-29 / 20150030171 - ACOUSTIC SIGNAL PROCESSING DEVICE AND ACOUSTIC SIGNAL PROCESSING METHOD | 3 |
Kanako Hashimoto | JP | Miyagi | 2012-03-15 / 20120062820 - DIFFUSION SHEET, BACKLIGHT, LIQUID CRYSTAL DISPLAY APPARATUS AND METHOD OF MANUFACTURING DIFFUSION SHEET | 3 |
Yoshifumi Hashimoto | US | Ithaca | 2010-08-19 / 20100209395 - Solenopsis invicta virus | 1 |
Yutaka Hashimoto | JP | Yokosuka-Shi | 2013-10-31 / 20130285548 - Lighting Control Device for Vehicle, Lighting Control System for Vehicle, and Lighting Control Method for Vehicle | 1 |
Yutaka Hashimoto | JP | Kimitsu-Shi | 2014-09-25 / 20140284735 - MAGNETORESISTANCE EFFECT ELEMENT | 1 |
Yutaka Hashimoto | KR | Seoul | 2016-04-14 / 20160104834 - MAGNETORESISTIVE MEMORY DEVICE AND MANUFACTURING METHOD OF THE SAME | 5 |
Yasuhiro Hashimoto | JP | Fukushima-Shi | 2015-08-06 / 20150219644 - MARKER FOR ACUTE CORONARY SYNDROME, AND USE THEREOF | 1 |
Hiromi Hashimoto | JP | Tokyo | 2011-09-22 / 20110231364 - ID MANAGEMENT METHOD, ID MANAGEMENT SYSTEM, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Shinichi Hashimoto | JP | Kanagawa | 2015-11-12 / 20150320652 - EMULSION COMPOSITION | 8 |
Koji Hashimoto | JP | Kanagawa | 2012-03-22 / 20120070767 - SET OF MASKS, METHOD OF GENERATING MASK DATA AND METHOD FOR FORMING A PATTERN | 6 |
Koji Hashimoto | JP | Hyogo | 2009-10-15 / 20090256645 - COMPOSITE DUPLEXER | 1 |
Koji Hashimoto | JP | Suntoh-Gun | 2015-12-17 / 20150362891 - PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 10 |
Koji Hashimoto | JP | Kanagawa-Ken | 2011-04-14 / 20110086512 - SEMICONDUCTOR DEVICE FABRICATION METHOD AND SEMICONDUCTOR DEVICE | 3 |
Youhei Hashimoto | JP | Toyota-Shi | 2012-03-15 / 20120061920 - OIL RING MECHANISM OF A PISTON | 1 |
Koji Hashimoto | JP | Kyoto | 2015-04-02 / 20150090694 - SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD | 10 |
Koji Hashimoto | US | Bellevue | 2011-03-17 / 20110066814 - CONTROL SOFTWARE FOR DISTRIBUTED CONTROL, AND ELECTRONIC CONTROL DEVICE | 2 |
Koji Hashimoto | JP | Kyoto-Shi | 2016-03-31 / 20160091306 - SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHODS | 6 |
Koji Hashimoto | JP | Tokyo | 2014-10-23 / 20140317560 - MAP DISPLAY CONTROL DEVICE AND NAVIGATION DEVICE USING THE SAME | 8 |
Koji Hashimoto | JP | Anjo-City | 2014-07-24 / 20140202436 - METHOD FOR SETTING SENSOR OUTPUT | 7 |
Yoshihiro Hashimoto | JP | Osaka | 2011-12-22 / 20110310330 - THIN BACKLIGHT SYSTEM AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 2 |
Takashi Hashimoto | JP | Nara-Ken | 2015-08-06 / 20150218575 - INCREASING LEVELS OF NICOTINIC ALKALOIDS IN PLANTS | 1 |
Koji Hashimoto | US | Fairfax | 2009-07-23 / 20090187605 - Vehicle Control Apparatus | 1 |
Toru Hashimoto | JP | Kanagawa-Ken | 2011-09-22 / 20110227601 - TEST METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT AND TEST SYSTEM, AND SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Sunao Hashimoto | JP | Kanagawa | 2013-01-31 / 20130029731 - PORTABLE DEVICE, CONTROL PROGRAM AND CONTROL METHOD OF THE PORTABLE DEVICE | 1 |
Koji Hashimoto | JP | Izumi | 2009-04-23 / 20090102756 - Method, circuit and program for driving plasma display panel | 2 |
Kenji Hashimoto | JP | Yokohama | 2011-09-22 / 20110227127 - ELECTRO-STATIC DISCHARGE PROTECTION CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Koji Hashimoto | JP | Miyagi-Ken | 2009-02-12 / 20090042998 - CATALYST FOR METHANATION OF CARBON OXIDES, PREPARATION METHOD OF THE CATALYST AND PROCESS FOR THE METHANATION | 1 |
Jun Hashimoto | US | Albany | 2012-03-15 / 20120064726 - PLASMA ETCHING APPARATUS, PLASMA ETCHING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Koji Hashimoto | JP | Futtsu-Shi | 2008-12-18 / 20080308200 - Steel Sheet Excellent in Workability and Method for Producing the Same | 2 |
Koji Hashimoto | JP | Chuo-Shi | 2008-11-20 / 20080284686 - METHOD OF DRIVING PLASMA DISPLAY PANEL | 4 |
Koji Hashimoto | JP | Hitachinaka | 2009-04-02 / 20090089753 - SOFTWARE PRODUCT LINE ANALYZER | 2 |
Masahiro Hashimoto | JP | Osaka | 2015-02-12 / 20150042541 - HEAD-UP DISPLAY DEVICE AND DISPLAY METHOD OF HEAD-UP DISPLAY DEVICE | 4 |
Haruo Hashimoto | JP | Ikeda City | 2010-09-23 / 20100239307 - Interlock Device And Image Forming Apparatus Incorporating Same | 1 |
Daisuke Hashimoto | JP | Yamaguchi | 2011-09-15 / 20110223056 - COPPER ALLOY SHEET | 1 |
Keiji Hashimoto | JP | Kariya-Shi | 2016-02-11 / 20160043412 - GAS CHANNEL FORMING MEMBER FOR FUEL CELLS, AND FUEL CELL | 12 |
Atsushi Hashimoto | JP | Yaita-Shi | 2013-01-10 / 20130010921 - X-RAY COMPUTED TOMOGRAPHY APPARATUS AND RADIATION DETECTOR | 3 |
Hidenori Hashimoto | JP | Chiba | 2011-12-29 / 20110318590 - METAL LAYER-ATTACHED FILM FOR ELECTRONIC COMPONENT, METHOD FOR PRODUCING THE FILM, AND USE THEREOF | 2 |
Terry G. Hashimoto | CA | Headingly | 2011-09-15 / 20110224012 - Game Device Swing Detector | 1 |
Yoshiaki Hashimoto | JP | Shizuoka | 2014-01-02 / 20140005952 - AUTOMATED ANALYZER | 1 |
Yoshiaki Hashimoto | JP | Tokyo | 2013-10-03 / 20130257032 - BOOKLET HAVING ANTI-COUNTERFEITING FUNCTION, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yuuta Hashimoto | JP | Nishio-City | 2016-04-21 / 20160108877 - FUEL INJECTION NOZZLE | 3 |
Koji Hashimoto | JP | Toon-Shi | 2014-01-23 / 20140024010 - METHOD FOR PRODUCING ARTIFICIAL SKIN MODEL, AND ARTIFICIAL SKIN MODEL | 1 |
Shin Hashimoto | JP | Kawasaki-Shi | 2015-07-30 / 20150211414 - DRIVE DEVICE FOR VEHICLE AUXILIARY MACHINE | 1 |
Yoshiaki Hashimoto | JP | Okayama-Shi | 2014-01-23 / 20140023547 - MAGNESIUM ALLOY CHIPS AND PROCESS FOR MANUFACTURING MOLDED ARTICLE USING SAME | 1 |
Yuhko Hashimoto | JP | Matsusaka-Shi | 2008-12-18 / 20080309859 - COLOR FILTER SUBSTRATE, METHOD OF MAKING THE COLOR FILTER SUBSTRATE AND DISPLAY DEVICE INCLUDING THE COLOR FILTER SUBSTRATE | 1 |
Kazuma Hashimoto | JP | Nukata-Gun | 2011-09-08 / 20110216944 - In-vehicle white line recognition apparatus | 1 |
Tomihiko Hashimoto | JP | Nishiwaki-City | 2009-07-02 / 20090167106 - Inductor motor and manufacturing method of inductor motor | 1 |
Kazunobu Hashimoto | JP | Kawasaki | 2011-11-10 / 20110272850 - MOLD AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shinichi Hashimoto | JP | Otawara-Shi | 2013-10-24 / 20130281855 - ULTRASOUND DIAGNOSIS APPARATUS AND CONTROLLING METHOD THEREFOR | 15 |
Isamu Hashimoto | JP | Shizuoka | 2010-08-12 / 20100202084 - DISK DRIVE DEVICE | 1 |
Rei Hashimoto | JP | Tokyo | 2015-11-26 / 20150340348 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 21 |
Taizo Hashimoto | JP | Kanagawa | 2011-09-01 / 20110212609 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Takahiro Hashimoto | JP | Kanagawa | 2016-04-07 / 20160099176 - METHOD FOR MANUFACTURING SEMICONDUCTOR CHIP | 9 |
Kazunobu Hashimoto | JP | Saitama | 2014-03-06 / 20140067181 - TORQUE DISTRIBUTION APPARATUS, TORQUE DISTRIBUTION METHOD, TORQUE DISTRIBUTION VALUE GENERATION METHOD, AND PROGRAM | 5 |
Jun Hashimoto | JP | Minato-Ku, Tokyo | 2015-12-03 / 20150350222 - ACCESS CONTROL DEVICE, ACCESS CONTROL METHOD, AND PROGRAM | 2 |
Akinori Hashimoto | JP | Osaka | 2016-04-14 / 20160101827 - BICYCLE TRANSMISSION CONTROL DEVICE | 5 |
Tatsuya Hashimoto | JP | Osaka-Shi | 2016-03-31 / 20160093877 - NONAQUEOUS ELECTROLYTE RECHARGEABLE BATTERY | 11 |
Ryuji Hashimoto | JP | Hamamatsu-Shi | 2014-03-13 / 20140069257 - ACOUSTIC DRUM | 12 |
Kazuyuki Hashimoto | CN | Minato-Ku | 2009-05-28 / 20090135170 - DISPLAY DEVICE | 1 |
Kazuyuki Hashimoto | JP | Kobe | 2012-02-23 / 20120044204 - INPUT DETECTION METHOD, INPUT DETECTION DEVICE, INPUT DETECTION PROGRAM AND MEDIA STORING THE SAME | 4 |
Yasuhiko Hashimoto | JP | Yokohama-Shi | 2015-11-19 / 20150331639 - CONTROL SYSTEM, CONTROL APPARATUS, CONTROL METHOD, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 2 |
Fumio Hashimoto | JP | Yokohama-Shi | 2014-05-01 / 20140117168 - ESTIMATED TRAIN OCCUPANCY RANGE DETERMINATION DEVICE, ONBOARD SYSTEM, AND ESTIMATED TRAIN OCCUPANCY RANGE DETERMINATION METHOD | 1 |
Kazuyuki Hashimoto | CN | Hong Kong | 2010-06-03 / 20100134460 - DISPLAY DEVICE | 1 |
Akira Hashimoto | JP | Chiyoda-Ku | 2015-10-01 / 20150280508 - ROTARY ELECTRIC MACHINE STATOR AND ROTARY ELECTRIC MACHINE | 2 |
Kazuyuki Hashimoto | TW | Miao-Li County | 2016-04-21 / 20160109977 - TOUCH DISPLAY PANEL AND TOUCH DISPLAY APPARATUS | 6 |
Kazuyuki Hashimoto | JP | Kyoto-Shi | 2012-11-15 / 20120288970 - HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS FOR HEATING SUBSTRATE BY IRRADIATING SUBSTRATE WITH LIGHT | 3 |
Minoru Hashimoto | JP | Chigasaki-Shi | 2015-03-26 / 20150085313 - INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 12 |
Hiroyuki Hashimoto | JP | Hyogo | 2014-01-23 / 20140023906 - POWER SUPPLY APPARATUS AND VEHICLE HAVING THE SAME | 2 |
Masanori Hashimoto | JP | Sammu-Shi | 2016-03-03 / 20160060764 - Catalytic Chemical Vapor Deposition Apparatus | 4 |
Hotaka Hashimoto | JP | Osaka | 2012-03-29 / 20120077768 - STABILIZED AND LYOPHILIZED FORMULATION OF ANTHRACYCLINE COMPOUNDS | 1 |
Minoru Hashimoto | JP | Nagano | 2015-11-19 / 20150327796 - MOVEMENT ASSISTANCE DEVICE, AND SYNCHRONY BASED CONTROL METHOD FOR MOVEMENT ASSISTANCE DEVICE | 1 |
Jiro Hashimoto | JP | Wakayama | 2009-08-13 / 20090200507 - BASE OIL OF REFRIGERATING MACHINE OIL FOR CARBON DIOXIDE REFRIGERANT AND REFRIGERATING MACHINE OIL FOR CARBON DIOXIDE REFRIGERANT | 1 |
Ikuka Hashimoto | JP | Itoigawa-City | 2014-01-09 / 20140011936 - POLYCHLOROPRENE LATEX, RUBBER COMPOSITION AND DIP-MOLDED ARTICLE | 1 |
Ikuka Hashimoto | JP | Niigata | 2013-05-23 / 20130131228 - POLYCHLOROPRENE LATEX, RUBBER-ASPHALT COMPOSITION AND UTILIZATION METHOD THEREOF, SHEET, AND WATERPROOF COATING FILM | 2 |
Nobuaki Hashimoto | JP | Suwa-Shi | 2011-07-28 / 20110180927 - ELECTRONIC COMPONENT AND SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, CIRCUIT BOARD MOUNTED WITH THE SAME, AND ELECTRONIC APPLIANCE COMPRISING THE CIRCUIT BOARD | 14 |
Manabu Hashimoto | JP | Osaka | 2012-11-08 / 20120280030 - COMMODITY INFORMATION REGISTRATION SYSTEM | 2 |
Taiji Hashimoto | JP | Tokyo | 2015-11-19 / 20150330401 - CENTRIFUGAL STEAM COMPRESSOR AND SHAFT SEAL SYSTEM USED WITH SAME | 1 |
Yoshihiro Hashimoto | JP | Osaka-Shi | 2015-07-30 / 20150211709 - ILLUMINATION DEVICE | 6 |
Takeshi Hashimoto | JP | Mishima-Shi | 2011-08-18 / 20110199684 - BLACK MATRIX, MANUFACTURING METHOD THEREOF, AND IMAGE DISPLAY APPARATUS USING THE SAME | 1 |
Kana Hashimoto | JP | Otsu-Shi, Shiga | 2016-05-12 / 20160133352 - INSULATING HEAT-CONDUCTIVE SHEET | 1 |
Naohito Hashimoto | JP | Tokyo | 2014-08-28 / 20140241840 - FORKLIFT | 1 |
Yutaka Hashimoto | JP | Chiba | 2014-09-18 / 20140264669 - MAGNETIC MEMORY ELEMENT | 1 |
Shinichiro Hashimoto | JP | Osaka | 2011-05-26 / 20110122112 - PLASMA DISPLAY AND DRIVING METHOD FOR PLASMA DISPLAY PANEL | 10 |
Shun Hashimoto | JP | Tokyo | 2014-07-24 / 20140206453 - SERVER DEVICE, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM | 2 |
Kazuhito Hashimoto | JP | Sakae-Ku | 2011-01-13 / 20110005916 - PHOTOCATALYST MATERIAL, METHOD FOR DECOMPOSITION OF ORGANIC MATERIAL, INTERIOR MEMBER, AIR PURIFICATION DEVICE, AND APPARATUS FOR PREPARATION OF OXIDIZING AGENT | 1 |
Kazuhito Hashimoto | JP | Kanagawa | 2013-12-26 / 20130344124 - AGENT FOR VIRUS INACTIVATION | 1 |
Yuji Hashimoto | JP | Iwakura-Shi | 2015-07-16 / 20150198216 - ELECTROMAGNETIC ACTUATOR, AND ACTIVE VIBRATION DAMPER AND FLUID-FILLED ACTIVE VIBRATION DAMPING DEVICE USING THE SAME | 2 |
Yoshimasa Hashimoto | JP | Hiratsuka-Shi | 2012-05-17 / 20120118454 - PNEUMATIC TIRE | 3 |
Hiroshi Hashimoto | JP | Hiroshima | 2014-08-21 / 20140232036 - DRY-WET SPINNING DEVICE AND METHOD FOR MANUFACTURING SYNTHETIC FIBER | 3 |
Kazunori Hashimoto | JP | Kawasaki-Shi | 2012-04-12 / 20120088523 - NOTIFICATION DEVICE, NOTIFICATION SYSTEM, METHOD FOR CONTROLLING NOTIFICATION DEVICE, AND COMPUTER READABLE RECORDING MEDIUM HAVING A CONTROL PROGRAM RECORDED THEREUPON | 1 |
Kiyokazu Hashimoto | JP | Minami-Ashigara-Shi | 2011-08-11 / 20110193255 - METHOD FOR PRODUCING CELLULOSE ACYLATE FILM AND CELLULOSE ACYLATE PELLET | 4 |
Naoaki Hashimoto | JP | Ryugasaki | 2014-01-02 / 20140001241 - SOLDER BALL PRINTING AND MOUNTING APPARATUS | 2 |
Yoshifumi Hashimoto | US | Gainesville | 2011-07-21 / 20110177037 - Solenopsis invicta Virus | 1 |
Yoshito Hashimoto | JP | Osaka | 2012-01-05 / 20120001840 - LIQUID CRYSTAL DISPLAY DEVICE | 10 |
Masayuki Hashimoto | JP | Kyoto | 2014-08-28 / 20140243552 - EMULSIFYING AGENT FOR EMULSION POLYMERIZATION | 2 |
Yasuhiro Hashimoto | JP | Miyazaki | 2015-07-09 / 20150190598 - Sputum Apparatus, Artificial Ventilation System, and Method for Operating Sputum Apparatus | 1 |
Keiji Hashimoto | US | 2015-11-19 / 20150333357 - FUEL CELL | 3 | |
Mitsuo Hashimoto | JP | Iwaki-Shi | 2015-09-10 / 20150252177 - SURFACE TREATED ZINC OXIDE POWDER, ANTIBACTERIAL AGENT, AND ANTIBACTERIAL COMPOSITION | 4 |
Shinichi Hashimoto | JP | Otawara | 2015-11-12 / 20150320391 - ULTRASONIC DIAGNOSTIC DEVICE AND MEDICAL IMAGE PROCESSING DEVICE | 9 |
Hideki Hashimoto | JP | Osaka | 2010-05-27 / 20100130289 - DAMPER MECHANISM | 1 |
Hideki Hashimoto | JP | Saitama | 2013-01-03 / 20130004021 - VEHICLE PERIMETER MONITORING DEVICE | 9 |
Hideki Hashimoto | JP | Kawasaki-Shi | 2011-03-03 / 20110051201 - PHOTOELECTRIC CONVERSION DEVICE, SENSOR CONTROL CIRCUIT, IMAGE READING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Hideki Hashimoto | JP | Wako-Shi | 2011-07-14 / 20110169015 - BIPOLAR SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 3 |
Hideki Hashimoto | JP | Numazu-Shi | 2009-04-30 / 20090110447 - IMAGE FORMING APPARATUS AND ELECTRIC DISCHARGE DEVICE | 1 |
Hideki Hashimoto | JP | Kanagawa | 2016-01-07 / 20160003673 - OPTO-ELECTRONIC CONVERTER, IMAGE READING DEVICE, AND IMAGE FORMING APPARATUS | 3 |
Kazuaki Hashimoto | TW | Hsinchu County | 2015-11-19 / 20150334324 - SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME | 1 |
Takahiko Hashimoto | JP | Hamamatsu | 2010-07-29 / 20100186542 - HANDLEBARS AND METHOD FOR PRODUCING SAME | 2 |
Shingo Hashimoto | JP | Himeji-Shi | 2015-09-24 / 20150266689 - PAPER SHEET STORING UNIT | 3 |
Hiroyuki Hashimoto | JP | Kasuya-Gun | 2013-12-19 / 20130334397 - Module Used for Stacking Thin Plate Panels | 1 |
Katsuya Hashimoto | JP | Osaka | 2012-04-19 / 20120093410 - IMAGE PROCESSING APPARATUS AND METHOD FOR OPERATING IMAGE PROCESSING APPARATUS | 1 |
Nobuhide Hashimoto | JP | Aichi | 2011-08-04 / 20110187158 - VEHICLE CEILING STRUCTURE | 1 |
Kenji Hashimoto | JP | Osaka | 2012-04-19 / 20120094044 - Internally-illuminated three-dimensional hollow structure and manufacturing method therefor | 1 |
Satoshi Hashimoto | JP | Hikari-Shi | 2011-08-04 / 20110186184 - Ferritic stainless steel casting and sheet and method for producing the same | 1 |
Taizo Hashimoto | JP | Maebashi | 2010-05-27 / 20100127306 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 1 |
Koichi Hashimoto | JP | Sendai | 2016-02-04 / 20160034746 - CONTROL SYSTEM, ROBOT SYSTEM, AND CONTROL METHOD | 9 |
Takao Hashimoto | JP | Kyoto-Shi | 2014-12-04 / 20140354304 - CAPACITIVE TYPE TOUCH SENSOR WITH OPTICAL FUNCTIONALITY | 12 |
Hiroshi Hashimoto | JP | Hyogo | 2012-05-03 / 20120105190 - REACTOR | 2 |
Kazuaki Hashimoto | JP | Chiba | 2015-11-26 / 20150335781 - BONE PROSTHESIS MATERIAL AND METHOD FOR MANUFACTURING SAME | 1 |
Hironobu Hashimoto | JP | Suita-Shi | 2010-07-01 / 20100165447 - PROCESS FOR PRODUCING MICROCAPSULES FOR ELECTROPHORETIC DISPLAY DEVICES, AS WELL AS MICROCAPSULES FOR ELECTROPHORETIC DISPLAY DEVICES, OBTAINED BY THIS PROCESS, AND THEIR APPLICATIONS | 1 |
Hironobu Hashimoto | JP | Kawanishi-Shi | 2011-04-07 / 20110080633 - ELECTROPHORETIC DISPLAY SHEET PRODUCTION PROCESS, ELECTROPHORETIC DISPLAY SHEET, ELECTROPHORETIC DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 3 |
Mina Hashimoto | JP | Naruto-Shi | 2014-04-10 / 20140097386 - DIALYSIS AGENT A CONTAINING ACETIC ACID AND ACETATE SALT, AND A TWO-PART DIALYSIS AGENT USING THEREOF | 1 |
Mina Hashimoto | JP | Tokushima | 2013-07-04 / 20130168316 - PREPARATION APPARATUS AND PREPARATION METHOD FOR DIALYSIS FLUID OF VARIABLE BICARBONATE ION CONCENTRATION TYPE, DIALYSATE OF VARIABLE BICARBONATE ION CONCENTRATION TYPE, AND DIALYSIS SYSTEM OF VARIABLE BICARBONATE ION CONCENTRATION TYPE | 1 |
Taiji Hashimoto | JP | Tsuchiura | 2010-12-30 / 20100326969 - LASER NARROW GROOVE WELDING APPARATUS AND WELDING METHOD | 2 |
Munenori Hashimoto | JP | Chiyoda-Ku | 2008-08-28 / 20080205013 - SOLDER LAYER AND DEVICE BONDING SUBSTRATE USING THE SAME AND METHOD FOR MANUFACTURING SUCH A SUBSTRATE | 1 |
Yasuhiko Hashimoto | JP | Kobe-Shi | 2016-04-21 / 20160107317 - ROBOT HAVING END EFFECTOR AND METHOD OF OPERATING THE SAME | 19 |
Masatoshi Hashimoto | JP | Mie | 2011-09-15 / 20110221082 - LIPOSOME MANUFACTURING DEVICE | 2 |
Masatoshi Hashimoto | JP | Kawasaki-Shi | 2011-07-28 / 20110183071 - OVER-COATING AGENT FOR FORMING FINE PATTERNS AND A METHOD OF FORMING FINE PATTERNS USING SUCH AGENT | 1 |
Masatoshi Hashimoto | JP | Inuyama-Shi | 2011-01-13 / 20110008607 - HEAT-SHRINKABLE WHITE POLYESTER FILM, PROCESS FOR PRODUCING HEAT-SHRINKABLE WHITE POLYESTER FILM, LABEL, AND PACKAGE | 4 |
Masatoshi Hashimoto | JP | Tsuchiura-Shi | 2011-02-24 / 20110042237 - ELECTROCHEMICAL SENSOR DEVICE AND ELECTROCHEMICAL MEASURING METHOD USING THE SAME | 1 |
Masatoshi Hashimoto | JP | Ibaraki-Shi | 2014-02-20 / 20140050948 - BATTERY UNIT | 4 |
Motonori Hashimoto | JP | Chuo-Ku | 2015-07-02 / 20150182602 - PHARMACEUTICAL FORMULATIONS COMPRISING PARAOXONASE | 1 |
Yasuhiro Hashimoto | JP | Fukushima-Shi, Fukushima | 2015-11-26 / 20150338396 - GLYCOFORM DETECTION METHOD AND GLYCOFORM DETECTION DEVICE | 1 |
Sunao Hashimoto | JP | Kyoto-Shi | 2015-06-25 / 20150181518 - PORTABLE ELECTONIC DEVICE | 1 |
Tatsuya Hashimoto | JP | Osaka-Fu | 2011-07-28 / 20110183211 - METHOD FOR MANUFACTURING ELECTRODE FOR BATTERY | 1 |
Haruo Hashimoto | JP | Ohsaka-Fu | 2011-07-28 / 20110182614 - Interlock System And Image Forming Apparatus Incorporating Interlock System | 1 |
Kiyokazu Hashimoto | JP | Fujinomiya-Shi | 2015-06-25 / 20150179851 - BIAXIALLY STRETCHED POLYESTER FILM FOR PROTECTING BACK SURFACE OF SOLAR CELL, AND METHOD FOR PRODUCING POLYESTER RESIN | 1 |
Koichi Hashimoto | JP | Kadoma-Shi | 2009-03-12 / 20090065228 - POWER IMPACT TOOL | 1 |
Koichi Hashimoto | JP | Tokyo | 2013-03-07 / 20130058029 - MODULAR DATA CENTER | 2 |
Koichi Hashimoto | JP | Mishima-Shi | 2009-06-25 / 20090162100 - IMAGE FORMING APPARATUS | 1 |
Koichi Hashimoto | JP | Otsu-Shi | 2009-12-31 / 20090325349 - SEMICONDUCTOR ENCAPSULATION MATERIAL AND METHOD FOR ENCAPSULATING SEMICONDUCTOR USING THE SAME | 1 |
Koichi Hashimoto | JP | Osaka | 2014-06-05 / 20140152374 - SEMICONDUCTOR ELEMENT, SEMICONDUCTOR DEVICE, AND POWER CONVERTER | 9 |
Koichi Hashimoto | JP | Hikone | 2009-10-01 / 20090242227 - Electric power tool | 1 |
Koichi Hashimoto | JP | Hikone-Shi | 2011-11-24 / 20110285218 - INSTALLATION STRUCTURE OF A TEMPERATURE SENSOR IN A BRUSH MOTOR AND AN ELECTRIC POWER TOOL INCLUDING SAME | 2 |
Koichi Hashimoto | JP | Hyogo | 2010-02-25 / 20100048004 - SEMICONDUCTOR DEVICE AND PROCESS FOR MANUFACTURING THE SAME | 2 |
Koichi Hashimoto | JP | Yokohama-Shi | 2016-05-19 / 20160139539 - DEVELOPING DEVICE | 6 |
Keisuke Hashimoto | JP | Kobe-Shi | 2013-01-31 / 20130025756 - PNEUMATIC TIRE PRODUCTION METHOD | 1 |
Takao Hashimoto | JP | Kobe-Shi | 2014-04-24 / 20140109940 - SHADOW FRAME SUPPORT | 2 |
Yasuhiko Hashimoto | JP | Kobe-Shi | 2016-04-21 / 20160107317 - ROBOT HAVING END EFFECTOR AND METHOD OF OPERATING THE SAME | 19 |
Kazuhiko Hashimoto | JP | Osaka | 2011-07-28 / 20110183264 - RESIST PROCESSING METHOD AND USE OF POSITIVE TYPE RESIST COMPOSITION | 8 |
Takeshi Hashimoto | JP | Shiga | 2013-05-23 / 20130129549 - COMPRESSOR | 2 |
Mitsuo Hashimoto | JP | Tokyo | 2015-02-26 / 20150054990 - IMAGE PROCESSING DEVICE AND METHOD, AND IMAGE PROCESSING PROGRAM | 3 |
Mitsuo Hashimoto | JP | Kanagawa | 2015-07-02 / 20150183636 - FUNCTIONAL DEVICE, ACCELERATION SENSOR, AND SWITCH | 11 |
Mitsuo Hashimoto | JP | Fukushima | 2014-10-30 / 20140322286 - SURFACE TREATED ZINC OXIDE POWDER, ANTIBACTERIAL AGENT, AND ANTIBACTERIAL COMPOSITION | 8 |
Mamoru Hashimoto | JP | Hyogo | 2011-07-21 / 20110176783 - OPTICAL FIBER AND METHOD FOR FABRICATING THE SAME | 1 |
Junichi Hashimoto | JP | Osaka | 2011-07-21 / 20110176700 - EARPHONE DEVICE AND EARPHONE DEVICE MAIN BODY | 1 |
Naomi Hashimoto | JP | Yokohama-Shi | 2013-12-12 / 20130330024 - BAG WITH PORT MEMBER AND CONNECTION STRUCTURE THEREOF | 1 |
Masatoshi Hashimoto | JP | Matsusaka-Shi | 2016-01-28 / 20160023207 - IMAGING LITTLE FISH MANAGEMENT DEVICE AND LITTLE FISH IMAGING PLATE USED IN THE SAME | 3 |
Youhei Hashimoto | JP | Kanagawa | 2012-05-03 / 20120107914 - MICROBIAL ACTIVITY IMPROVEMENT AGENT, MICROBIAL ACTIVITY IMPROVEMENT METHOD, AND BIOLOGICAL WASTE TREATMENT METHOD | 2 |
Yasunobu Hashimoto | JP | Kanagawa | 2011-07-21 / 20110175891 - PLASMA DISPLAY APPARATUS | 1 |
Hiromi Hashimoto | JP | Fukuoka | 2008-10-16 / 20080255361 - Process for Producing Ortho-Metalated Complex of Iridium With Homoligand | 1 |
Takayuki Hashimoto | JP | Tokai | 2014-12-18 / 20140367685 - SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR CHIP | 28 |
Mitsunori Hashimoto | JP | Hokkaido | 2012-04-26 / 20120100222 - SKIN FUNCTION-IMPROVING COMPOSITION | 1 |
Emiko Hashimoto | JP | Sumida-Ku | 2015-06-11 / 20150159318 - TREATMENT COMPOSITION FOR TEXTILE GOODS | 1 |
Yuya Hashimoto | JP | Hamamatsu-Shi | 2009-04-09 / 20090091386 - Differential amplifier | 1 |
Shinichi Hashimoto | JP | Ashigarakami-Gun | 2013-11-21 / 20130309394 - COMPOSITION FOR ORAL ADMINISTRATION | 1 |
Shinichi Hashimoto | US | Cupertino | 2014-04-17 / 20140108776 - INFORMATION PROCESSING APPARATUS, VIRTUAL MACHINE MANAGEMENT METHOD, AND VIRTUAL MACHINE MANAGEMENT PROGRAM | 1 |
Minoru Hashimoto | JP | Okazaki-City | 2015-06-11 / 20150158365 - VEHICULAR AIR CONDITIONER | 1 |
Masahiro Hashimoto | JP | Tokyo | 2016-02-11 / 20160041464 - MASK BLANK, TRANSFER MASK AND METHOD OF MANUFACTURING TRANSFER MASK | 33 |
Jun Hashimoto | JP | Osaka | 2015-06-25 / 20150179967 - ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME | 18 |
Yoshihide Hashimoto | JP | Saitama | 2015-06-11 / 20150157667 - METHOD FOR PREPARING DECELLULARIZED TISSUE PRODUCT, AND GRAFT PROVIDED WITH DECELLULARIZED TISSUE PRODUCT | 1 |
Masanori Hashimoto | JP | Chiba | 2011-09-29 / 20110232573 - Catalytic Chemical Vapor Deposition Apparatus | 2 |
Kimiaki Hashimoto | JP | Kumagaya-Shi | 2015-06-04 / 20150152753 - SINTERED IRON-BASED ALLOY VALVE SEAT | 1 |
Masanori Hashimoto | JP | Sammu | 2011-04-28 / 20110094446 - THIN-FILM SOLAR CELL MANUFACTURING APPARATUS | 2 |
Masaya Hashimoto | JP | Hyogo | 2014-04-17 / 20140104649 - COOPERATIVE IMAGE PROCESSING SYSTEM, COOPERATION METHOD, PORTABLE TERMINAL APPARATUS, AND RECORDING MEDIUM | 2 |
Hirokazu Hashimoto | JP | Kangawa | 2009-06-25 / 20090160803 - INFORMATION PROCESSING DEVICE AND TOUCH OPERATION DETECTION METHOD | 1 |
Masanori Hashimoto | JP | Tokyo | 2013-03-14 / 20130061906 - BACKSIDE PROTECTIVE FILM FOR SOLAR CELL, METHOD FOR PRODUCING SAME, AND SOLAR CELL MODULE | 11 |
Kyosuke Hashimoto | JP | Tokyo | 2013-02-07 / 20130033842 - BOARD AND METHOD FOR MANUFACTURING BOARD | 4 |
Masanori Hashimoto | JP | Kanagawa | 2010-12-02 / 20100301339 - METHOD OF PRODUCING THIN FILM TRANSISTOR AND THIN FILM TRANSISTOR | 1 |
Hirokazu Hashimoto | JP | Sakura-Shi | 2012-05-03 / 20120103679 - THROUGH WIRING SUBSTRATE AND MANUFACTURING METHOD THEREOF | 5 |
Hirokazu Hashimoto | JP | Odawara-Shi | 2011-07-21 / 20110177281 - OPTICAL INFORMATION RECORDING MEDIUM, METHOD OF RECORDING INFORMATION AND AZO METAL COMPLEX DYE | 2 |
Masanori Hashimoto | JP | Kyoto | 2009-07-23 / 20090186990 - Polylactic Acid Based Heat-Resistant Sheet | 1 |
Kohji Hashimoto | JP | Kanagawa | 2013-05-02 / 20130111228 - INFORMATION PROCESSING APPARATUS, METHOD, AND SYSTEM, AND COMPUTER READABLE MEDIUM | 1 |
Akira Hashimoto | JP | Chiyoda-Ku, Tokyo | 2016-04-07 / 20160099616 - IRON CORE MEMBER, INNER ROTOR TYPE STATOR FOR ROTATING ELECTRICAL MACHINE, AND METHOD FOR MANUFACTURING INNER ROTOR TYPE STATOR FOR ROTATING ELECTRICAL MACHINE | 4 |
Kazunori Hashimoto | JP | Konosu Saitama | 2015-09-10 / 20150250457 - OVULATION DAY ESTIMATION APPARATUS, OVULATION DAY ESTIMATION METHOD AND STORAGE MEDIUM IN WHICH OVULATION DAY ESTIMATION PROGRAM IS RECORDED | 4 |
Teruo Hashimoto | JP | Tochigi-Ken | 2011-03-03 / 20110049395 - RADIATION THERAPY EQUIPMENT | 1 |
Takahiro Hashimoto | JP | Hiratsuka-Shi | 2014-04-10 / 20140100744 - DISPLAY SYSTEM OF EXCAVATING MACHINE AND EXCAVATING MACHINE | 1 |
Teruo Hashimoto | JP | Otawara-Shi | 2008-08-28 / 20080205599 - RADIATION THERAPY APPARATUS | 1 |
Atsushi Hashimoto | JP | Osaka | 2011-07-07 / 20110164763 - AUDIO AND VIDEO RECORDING AND REPRODUCTION APPARATUS FOR REPRODUCING AUDIO SIGNALS HAVING DIFFERENT VOLUME LEVELS | 1 |
Yuji Hashimoto | JP | Aichi | 2012-05-03 / 20120104717 - TORSION BEAM MANUFACTURING METHOD AND TORSION BEAM | 1 |
Yoshitaka Hashimoto | JP | Yokohama-Shi | 2015-02-26 / 20150054972 - IMAGING APPARATUS AND CONTROL METHOD AND PROGRAM OF IMAGING APPARATUS | 5 |
Katsuteru Hashimoto | JP | Osaka-Shi | 2013-12-05 / 20130321498 - IMAGE DISPLAY DEVICE AND IMAGE DISPLAY METHOD | 3 |
Manabu Hashimoto | JP | Osaka-Shi | 2011-02-03 / 20110025363 - METHOD AND DEVICE FOR DETECTING FOREIGN PARTICLE IN LIQUID CRYSTAL DISPLAY PANEL | 1 |
Masato Hashimoto | JP | Osaka-Shi | 2013-10-24 / 20130280925 - OXIDATION ANNEALING DEVICE AND METHOD FOR FABRICATING THIN FILM TRANSISTOR USING OXIDATION ANNEALING | 1 |
Mitsuhiro Hashimoto | JP | Osaka-Shi | 2009-10-29 / 20090269098 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS HAVING THE SAME | 1 |
Takayoshi Hashimoto | JP | Osaka-Shi | 2011-11-17 / 20110281416 - Manufacturing method of semiconductor device | 1 |
Toshiaki Hashimoto | JP | Osaka-Shi | 2016-03-17 / 20160078891 - GLASS SUBSTRATE FOR INFORMATION RECORDING MEDIUM AND METHOD FOR MANUFACTURING THE SAME | 5 |
Masaki Hashimoto | JP | Osaka-Shi | 2015-12-03 / 20150346615 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR AND IMAGE FORMING APPARATUS PROVIDED WITH THE SAME | 1 |
Eiichiro Hashimoto | JP | Kyoto-City | 2009-09-24 / 20090237015 - Motor Control Device | 3 |
Masako Hashimoto | JP | Nagano | 2012-10-04 / 20120247130 - ICE MAKING DEVICE AND ITS CONTROL METHOD | 2 |
Kazuki Hashimoto | JP | Osaka-Fu | 2011-03-03 / 20110054168 - METHOD FOR PREPARING ADENINE COMPOUND | 5 |
Kazuki Hashimoto | JP | Osaka-Shi | 2014-02-13 / 20140045837 - 9-Substituted 8-Oxoadenine Compound | 3 |
Yasuhiro Hashimoto | JP | Fuji-Shi | 2013-02-14 / 20130041110 - STABILIZED FLUOROPOLYMER AND METHOD FOR PRODUCING SAME | 2 |
Akira Hashimoto | JP | Yokohama-Shi | 2011-06-30 / 20110159191 - SENSITIZING SOLUTION FOR ELECTROLESS PLATING AND ELECTROLESS PLATING METHOD | 1 |
Atsushi Hashimoto | JP | Chiba-Shi | 2014-03-06 / 20140063656 - MAGNETIC RECORDING MEDIUM, METHOD OF MANUFACTURING THE SAME, AND MAGNETIC RECORDING AND REPRODUCING DEVICE | 11 |
Takao Hashimoto | JP | Kyoto | 2012-05-10 / 20120113054 - RESISTIVE FILM TYPE TOUCH PANEL WITH PRESSING DETECTION FUNCTION | 8 |
Hiroshi Hashimoto | JP | Obu-City | 2012-12-06 / 20120304761 - FLUID LEVEL MEASUREMENT APPARATUS AND SYSTEM | 2 |
Toshifumi Hashimoto | JP | Yokohama | 2011-06-30 / 20110158699 - DEVELOPING APPARATUS AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 1 |
Kazunori Hashimoto | JP | Kanagawa | 2013-10-03 / 20130259183 - PASSIVE COOLING AND DEPRESSURIZATION SYSTEM AND PRESSURIZED WATER NUCLEAR POWER PLANT | 3 |
Keito Hashimoto | JP | Koriyama-Shi | 2015-05-28 / 20150147106 - WRITING INSTRUMENT | 1 |
Keito Hashimoto | JP | Fukushima | 2015-02-12 / 20150042624 - STYLUS | 1 |
Toru Hashimoto | JP | Aichi | 2013-11-28 / 20130313774 - SLIDING MEMBER FOR SHEET-SHAPED RECORDING MATERIAL DETACHMENT, SEAL RING FOR AUTOMOBILE, AND SEAL RING AND SLIDING MEMBER FOR INDUSTRIAL GAS COMPRESSOR | 1 |
Akihiro Hashimoto | JP | Kitakyushu-Shi | 2016-03-31 / 20160094111 - METHOD AND APPARATUS FOR MANUFACTURING LAMINATED CORES | 3 |
Yasuichi Hashimoto | JP | Ome-Shi | 2008-12-18 / 20080307636 - METHOD OF MANUFACTURING HEAD ACTUATOR ASSEMBLY AND METHOD OF MANUFACTURING DISK DEVICE | 1 |
Kinji Hashimoto | JP | Naruto-Shi | 2010-12-30 / 20100331423 - AQUEOUS SOLUTION OF OLANEXIDINE, METHOD OF PREPARING THE AQUEOUS SOLUTION, AND DISINFECTANT | 1 |
Arito Hashimoto | JP | Minamitsuru-Gun | 2015-12-03 / 20150350345 - CONTROLLER CAPABLE OF REDUCING COMMUNICATION CYCLE TIME | 1 |
Daisuke Hashimoto | JP | Kawasaki | 2015-05-21 / 20150143032 - STORAGE MEDIUM STORING CONTROL PROGRAM, METHOD OF CONTROLLING INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING DEVICE | 1 |
Kohtaro Hashimoto | JP | Wako-Shi | 2014-03-20 / 20140076280 - COMBUSTION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE AND COMBUSTION METHOD FOR HOMOGENEOUS LEAN AIR/FUEL MIXTURE | 2 |
Kazunobu Hashimoto | JP | Aichi-Ken | 2015-05-21 / 20150137666 - REACTIVE IONIC LIQUID, AND ION-IMMOBILIZED METAL OXIDE PARTICLE, ION-IMMOBILIZED ELASTOMER, AND TRANSDUCER USING SAME | 1 |
Shotaro Hashimoto | JP | Kyoto-Fu | 2015-05-21 / 20150137138 - TRANSISTOR AND METHOD FOR PRODUCING TRANSISTOR | 1 |
Takeshi Hashimoto | JP | Tokyo | 2015-12-10 / 20150356018 - INFORMATION PROCESSING DEVICE AND METHOD FOR MANAGING FILE | 8 |
Taki Hashimoto | JP | Shirojiri-Shi | 2013-03-28 / 20130078308 - ENCAPSULATION DEVICE, MEDICAL CAPSULES, AND ENCAPSULATION METHOD | 1 |
Yoji Hashimoto | JP | Aichi-Ken | 2009-05-21 / 20090127958 - Anisotropic Bonded Magnet and Direct Current Motor Using the Same | 1 |
Yoshio Hashimoto | JP | Aichi-Ken | 2009-04-23 / 20090100761 - Door weatherstrip | 1 |
Keiji Hashimoto | JP | Aichi-Ken | 2009-10-22 / 20090263697 - FUEL CELL | 3 |
Hisajiro Hashimoto | JP | Aichi-Ken | 2009-12-10 / 20090300886 - Pin-Retained Clip | 1 |
Yousuke Hashimoto | JP | Aichi-Ken | 2010-11-25 / 20100294253 - ABNORMALITY DIAGNOSIS DEVICE FOR EXHAUST GAS RECIRCULATION DEVICE | 1 |
Syuzo Hashimoto | JP | Aichi-Ken | 2011-03-31 / 20110074190 - OCCUPANT PROTECTION DEVICE | 1 |
Kuniyuki Hashimoto | JP | Aichi-Ken | 2009-01-29 / 20090025198 - Cell Production Method And Cell Production Facility | 1 |
Takuya Hashimoto | JP | Aichi-Ken | 2010-06-03 / 20100136440 - FUEL CELL APPARATUS AND FUEL CELL SYSTEM | 1 |
Hiromichi Hashimoto | JP | Aichi-Ken | 2008-10-30 / 20080264366 - Oil Passage Structure of Valve Operating System | 1 |
Kazuhiko Hashimoto | JP | Tokyo | 2011-06-23 / 20110148477 - SIGNAL TRANSMISSION DEVICE | 1 |
Yoshinori Hashimoto | JP | Sagamihara-Shi | 2016-05-19 / 20160137446 - SHEET DETECTING DEVICE, SHEET FEEDING UNIT INCLUDING THE SAME AND IMAGE FORMING APPARATUS | 1 |
Nobuaki Hashimoto | JP | Suwa | 2016-03-03 / 20160058417 - ULTRASONIC DEVICE, METHOD FOR PRODUCING ULTRASONIC DEVICE, ULTRASONIC PROBE, ULTRASONIC MEASUREMENT APPARATUS, AND ELECTRONIC DEVICE | 39 |
Satoshi Hashimoto | JP | Ibaraki-Ken | 2010-06-17 / 20100148391 - Filament of polyglycolic acid resin and process for producing the same | 2 |
Satoshi Hashimoto | JP | Kanagawa | 2011-04-28 / 20110094091 - PRODUCING A MAGNETIC DISK DEVICE | 1 |
Satoshi Hashimoto | US | Lawrenceville | 2012-07-12 / 20120177342 - RECORDING MEDIUM, REPRODUCTION DEVICE, PROGRAM, REPRODUCTION METHOD, AND INTEGRATED CIRCUIT | 3 |
Taku Hashimoto | JP | Osaka | 2015-05-14 / 20150130116 - CAPSULE FOR NON-FERROUS METAL COLLECTION AND METHOD FOR COLLECTING NON-FERROUS METAL | 1 |
Satoshi Hashimoto | JP | Kyoto | 2010-03-11 / 20100058867 - ACOUSTIC EMISSION DETECTOR AND CONTROLLER | 3 |
Satoshi Hashimoto | US | New Jersey | 2010-02-25 / 20100046920 - REPRODUCTION DEVICE | 2 |
Satoshi Hashimoto | JP | Tokyo | 2016-02-11 / 20160041413 - LIQUID CRYSTAL DISPLAY DEVICE | 13 |
Hiroki Hashimoto | JP | Kyoto-Shi | 2013-11-21 / 20130307460 - Drive Circuit of Stepping Motor, Integrated Circuit Thereof, and Electronic Equipment Including Same, and Method for Controlling Drive Circuit of Stepping Motor | 1 |
Mikiko Hashimoto | JP | Mie | 2013-12-26 / 20130345041 - GLASS COMPOSITION, GLASS SUBSTRATE FOR FLAT PANEL DISPLAY USING THE SAME, FLAT PANEL DISPLAY, AND METHOD FOR PRODUCING GLASS SUBSTRATE FOR FLAT PANEL DISPLAY | 2 |
Hiroaki Hashimoto | JP | Tokyo | 2015-12-31 / 20150380179 - Gas Circuit Breaker | 7 |
Hiroaki Hashimoto | JP | Sunto-Gun | 2013-03-28 / 20130074719 - METHOD AND APPARATUS FOR PRODUCING SLEEVE PRINTING PLATE | 2 |
Hiroaki Hashimoto | JP | Kasumigaura-Shi | 2013-08-15 / 20130206496 - Chassis Frame of Electric Vehicle and Electric Vehicle | 1 |
Hiroaki Hashimoto | JP | Hitachinaka | 2009-08-13 / 20090201109 - CIRCUIT BREAKER | 1 |
Yoshimasa Hashimoto | JP | Kanagawa-Ken | 2009-07-02 / 20090165916 - Run Flat Tire | 1 |
Kazuyuki Hashimoto | JP | Otsu-Shi | 2013-11-21 / 20130306544 - MEDICAL MATERIAL AND HOLLOW FIBER MEMBRANE MODULE | 1 |
Ryu Hashimoto | JP | Anjo-Shi | 2012-02-16 / 20120040793 - ELECTRIC TOOL | 4 |
Toshikazu Hashimoto | JP | Kanagawa-Ken | 2012-06-07 / 20120141067 - OPTICAL 90-DEGREE HYBRID CIRCUIT | 6 |
Daisuke Hashimoto | JP | Kanagawa | 2016-02-11 / 20160041858 - INFORMATION PROCESSING DEVICE, EXTERNAL STORAGE DEVICE, HOST DEVICE, RELAY DEVICE, CONTROL PROGRAM, AND CONTROL METHOD OF INFORMATION PROCESSING DEVICE | 13 |
Hideyuki Hashimoto | JP | Kyoto | 2015-03-19 / 20150082147 - COMPUTING DEVICE AND BROWSER FOR SAME | 3 |
Yoshitomo Hashimoto | JP | Toyama-Shi | 2016-03-10 / 20160071721 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 5 |
Yoshitomo Hashimoto | JP | Toyama | 2015-08-27 / 20150243498 - Method of Manufacturing Semiconductor Device and Substrate Processing Method | 2 |
Tsuneaki Hashimoto | JP | Saitama | 2012-05-17 / 20120124706 - SCANNING PROBE MICROSCOPE AND METHOD FOR DETECTING PROXIMITY OF PROBES THEREOF | 1 |
Ej Hashimoto | US | San Jose | 2015-05-07 / 20150123208 - Transformer Input Matched Transistor | 1 |
Shinji Hashimoto | JP | Kanagawa | 2011-06-09 / 20110136656 - DEODORIZING CATALYST, DEODORIZING METHOD USING THE SAME, AND METHOD FOR REGENERATING THE CATALYST | 1 |
Junichi Hashimoto | JP | Kanagawa-Ken | 2010-08-19 / 20100207240 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Hideaki Hashimoto | JP | Kanagawa-Ken | 2008-09-25 / 20080231222 - WHEELED MOVING ROBOT | 1 |
Mikio Hashimoto | JP | Kanagawa-Ken | 2011-05-05 / 20110107336 - Microprocessor | 1 |
Takaki Hashimoto | JP | Kanagawa-Ken | 2014-09-11 / 20140252639 - INTEGRATED CIRCUIT DEVICE, METHOD FOR PRODUCING MASK LAYOUT, AND PROGRAM FOR PRODUCING MASK LAYOUT | 4 |
Shusuke Hashimoto | JP | Kyoto-Shi | 2008-10-02 / 20080239697 - Medical lighting apparatus and medical imaging apparatus | 1 |
Yasuhiko Hashimoto | JP | Kanagawa-Ken | 2010-06-17 / 20100149348 - DISPLAYING SELECTED IMAGE INFORMATION AND A MAP IN AN ASSOCIATED MANNER | 1 |
Koushiro Hashimoto | JP | Kanagawa-Ken | / - | 1 |
Ko Hashimoto | JP | Kariya-Shi | 2012-05-24 / 20120126642 - ELECTRIC MOTOR | 1 |
Eiji Hashimoto | JP | Saitama | 2012-10-11 / 20120255286 - EXHAUST PURIFICATION SYSTEM FOR INTERNAL COMBUSTION ENGINE | 3 |
Tomomichi Hashimoto | JP | Osaka | 2016-03-31 / 20160088888 - FIBER FOR ARTIFICIAL HAIR AND HAIR ORNAMENT PRODUCT INCLUDING SAME | 3 |
Satoshi Hashimoto | JP | Osaka | 2016-02-04 / 20160036008 - METHOD OF MANUFACTURING FILM FORMATION SUBSTRATE, AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 31 |
Koichi Hashimoto | JP | Ibaraki-Shi | 2012-05-31 / 20120131757 - PARTICLE REMOVING MEMBER OF SUBSTRATE PROCESSING EQUIPMENT | 1 |
Toshikazu Hashimoto | JP | Osaka-Shi | 2015-12-10 / 20150358792 - WIRELESS COMMUNICATION SYSTEM, PAIRING APPARATUS, METHOD FOR PAIRING PLURAL DEVICES AND PROGRAM FOR CAUSING COMPUTER TO IMPLEMENT THAT METHOD | 1 |
Ayaka Hashimoto | JP | Kitakyushu-Shi | 2015-04-30 / 20150121556 - INDUSTRIAL EQUIPMENT MANAGEMENT SYSTEM, INDUSTRIAL EQUIPMENT MANAGEMENT SERVER, INDUSTRIAL EQUIPMENT MANAGEMENT METHOD, AND INFORMATION STORAGE MEDIUM | 5 |
Shohei Hashimoto | JP | Yokohama | 2014-07-03 / 20140185313 - FAN UNIT, ELECTRONIC APPARATUS, AND ENCLOSURE | 3 |
Tomomichi Hashimoto | JP | Settsu-Shi | 2015-05-21 / 20150141559 - POLYESTER-BASED FIBER FOR ARTIFICIAL HAIR AND HAIR ORNAMENT PRODUCT INCLUDING THE SAME | 2 |
Takashi Hashimoto | JP | Hyogo | 2015-11-12 / 20150323591 - THREE-DIMENSIONAL INTEGRATED CIRCUIT AND TESTING METHOD FOR THE SAME | 14 |
Terumasa Hashimoto | JP | Shinagawa-Ku | 2012-05-31 / 20120135996 - CYTOPROTECTIVE AGENT | 1 |
Akira Hashimoto | JP | Chiba | 2013-11-07 / 20130292255 - ALUMINUM OR ALUMINUM ALLOY MOLTEN SALT ELECTROPLATING BATH HAVING GOOD THROWING POWER, ELECTROPLATING METHOD USING THE BATH, AND PRETREATMENT METHOD OF THE BATH | 1 |
Yoshikuni Hashimoto | JP | Anjo-Shi | 2016-02-11 / 20160042543 - IMAGE DISPLAY CONTROL APPARATUS AND IMAGE DISPLAY SYSTEM | 2 |
Koichi Hashimoto | JP | Osaka | 2014-06-05 / 20140152374 - SEMICONDUCTOR ELEMENT, SEMICONDUCTOR DEVICE, AND POWER CONVERTER | 9 |
Naoyuki Hashimoto | JP | Hyogo | 2015-12-17 / 20150361209 - COMPOSITION FOR SOFT MATERIALS, AND SOFT MATERIAL | 1 |
Kurumi Hashimoto | JP | Kita-Ku, Tokyo | 2015-12-24 / 20150372205 - ELECTRON BEAM CURABLE RESIN COMPOSITION, REFLECTOR RESIN FRAME, REFLECTOR, SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND MOLDED ARTICLE PRODUCTION METHOD | 2 |
Koh Hashimoto | JP | Yokohama | 2015-12-17 / 20150365065 - CONTROL DEVICE, AUTOMATIC MATCHING METHOD FOR ANTENNAS, AND WIRELESS DEVICE | 2 |
Takashi Hashimoto | JP | Tokyo | 2016-04-28 / 20160118394 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 28 |
Sawa Hashimoto | US | Garwood | 2013-06-13 / 20130149274 - COMPOSITIONS AND METHODS FOR SEALING THE SURFACE OF KERATINOUS SUBSTRATES | 30 |
Mitsuru Hashimoto | JP | Ykiohama-Shi | 2008-11-20 / 20080283413 - Method for manufacturing oxygen reduction electrode, oxygen reduction electrode and electrochemical element using same | 1 |
Mitsuru Hashimoto | JP | Nirasaki-Shi | 2011-06-16 / 20110139749 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND PROGRAM FOR IMPLEMENTING THE METHOD | 1 |
Mitsuru Hashimoto | JP | Hitachi | 2016-05-05 / 20160125973 - CABLE | 7 |
Mitsuru Hashimoto | JP | Miyagi | 2015-09-03 / 20150247235 - METHOD OF CLEANING PLASMA PROCESSING APPARATUS | 1 |
Mikio Hashimoto | JP | Tokyo | 2015-12-24 / 20150370728 - MEMORY MANAGEMENT DEVICE AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM | 8 |
Ryoji Hashimoto | JP | Kanagawa | 2013-10-31 / 20130287105 - IMAGE CODING DEVICE AND IMAGE DECODING DEVICE | 1 |
Hirohito Hashimoto | JP | Komaki | 2013-10-31 / 20130285204 - COMPONENT-BUILT-IN WIRING BOARD | 1 |
Kinzo Hashimoto | JP | Kyoto-Shi | 2013-10-31 / 20130284848 - SPUN YARN WINDING DEVICE AND SPUN YARN WINDING FACILITY | 1 |
Kunihiko Hashimoto | JP | Nishinomiya-City | 2013-11-21 / 20130311085 - SATELLITE NAVIGATION/DEAD-RECKONING NAVIGATION INTEGRATED POSITIONING DEVICE | 2 |
Hotaka Hashimoto | JP | Ibaraki-Shi | 2011-01-27 / 20110021452 - LYOPHILIZED PREPARATION OF STABILIZED ANTHRACYCLINE COMPOUNDS | 1 |
Atsumi Hashimoto | JP | Osaka | 2015-04-23 / 20150112480 - ROBOT MALFUNCTION INDICATION METHOD | 1 |
Fumiyuki Hashimoto | JP | Kawasaki | 2008-09-18 / 20080224950 - Computer program and apparatus for display control | 2 |
Sunao Hashimoto | JP | Kawasaki-Shi | 2011-02-10 / 20110035583 - AUTHENTICATION APPARATUS, AUTHENTICATION SYSTEM, AUTHENTICATION METHOD AND COMPUTER READABLE MEDIUM | 2 |
Mayu Hashimoto | JP | Otsu-Shi | 2010-06-10 / 20100139157 - FUNGAL BED CULTIVATION METHOD OF HON-SHIMEJI MUSHROOM | 1 |
Kazuya Hashimoto | JP | Kanagawa | 2009-04-02 / 20090086224 - IMAGE FORMATION SYSTEM, IMAGE FORMATION PROGRAM RECORDING MEDIUM, AND IMAGE FORMATION METHOD | 1 |
Koujiro Hashimoto | JP | Kawasaki | 2012-11-01 / 20120274187 - LIBRARY APPARATUS | 4 |
Takuya Hashimoto | JP | Yokohama-Shi | 2012-07-12 / 20120178475 - MOVING BODY TERMINAL, INFORMATION PROVIDING APPARATUS, AND INFORMATION TRANSMISSION METHOD | 5 |
Kohjiro Hashimoto | JP | Kawasaki | 2011-06-09 / 20110134744 - LIBRARY APPARATUS AND METHOD FOR MOVING SHELF OF LIBRARY APPARATUS | 2 |
Norihito Hashimoto | JP | Yokkaichi | 2016-03-03 / 20160064857 - LEVER-TYPE CONNECTOR | 5 |
Tetsutaro Hashimoto | JP | Kawasaki | 2015-09-24 / 20150268679 - DIGITAL FILTER AND TIMING SIGNAL GENERATION CIRCUIT | 3 |
Shigemi Hashimoto | JP | Kawasaki | 2010-12-30 / 20100329146 - FLOW INFORMATION COLLECTION APPARATUS | 1 |
Michitaka Hashimoto | JP | Kawasaki | 2015-07-30 / 20150213878 - MEMORY CONTROLLER, INFORMATION PROCESSING DEVICE, AND REFERENCE VOLTAGE ADJUSTMENT METHOD | 6 |
Hideyuki Hashimoto | JP | Ibaraki | 2012-01-19 / 20120014065 - Power Tool Having Circuit Board | 2 |
Yukihiro Hashimoto | JP | Kawasaki | 2009-12-03 / 20090298267 - SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 2 |
Naozumi Hashimoto | JP | Nagoya-Shi | 2015-12-24 / 20150366917 - COMPOSITION FOR PREVENTING OR TREATING INFLAMMATORY DISEASE | 1 |
Haruhiko Hashimoto | JP | Kawasaki | / - | 1 |
Eri Hashimoto | JP | Kanagawa | 2015-04-23 / 20150110793 - Humanized Anti-Epiregulin Antibody, and Cancer Therapeutic Agent Comprising Said Antibody as Active Ingredient | 1 |
Junichi Hashimoto | JP | Kawasaki | 2009-07-02 / 20090168261 - HEAD SLIDER AND MAGNETIC STORAGE DEVICE | 1 |
Ryoma Hashimoto | JP | Shinagawa-Ku | 2015-12-24 / 20150367292 - PERVAPORATION MEMBRANE AND METHOD FOR CONCENTRATING PHENOLS | 1 |
Akiyoshi Hashimoto | JP | Kawasaki | 2013-05-02 / 20130111299 - NON-VOLATILE STORAGE SYSTEM COMPENSATING PRIOR PROBABILITY FOR LOW-DENSITY PARITY CHECK CODES | 8 |
Yusuke Hashimoto | JP | Kumamoto | 2014-02-13 / 20140045281 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 3 |
Hiroki Hashimoto | JP | Kawasaki | 2009-02-26 / 20090052086 - METHOD OF MAKING HEAD SLIDER AND RESULTANT HEAD SLIDER | 1 |
Michiko Hashimoto | JP | Atsugi-Shi | 2012-06-21 / 20120156106 - OZONE GENERATING APPARATUS | 1 |
Fuminori Hashimoto | JP | Saitama | 2008-12-25 / 20080315344 - SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Takanori Hashimoto | JP | Saitama | 2009-02-12 / 20090041943 - METHOD FOR FORMING BRIGHT COATING FILM | 1 |
Toshiya Hashimoto | JP | Miyoshi-Shi Aichi-Ken | 2016-01-07 / 20160006377 - ELECTRIC DRIVE VEHICLES | 2 |
Shigeru Hashimoto | JP | Saitama | 2009-03-19 / 20090074388 - Recording apparatus and recording method for dual-layer recording disk | 1 |
Michikazu Hashimoto | JP | Saitama | 2010-01-14 / 20100008201 - DEMODULATION METHOD AND APPARATUS | 4 |
Sachie Hashimoto | JP | Saitama | 2009-06-11 / 20090149991 - Communication Robot | 1 |
Hideyuki Hashimoto | JP | Saitama | 2009-09-24 / 20090237793 - ACTIVE-ISOLATION MOUNTS FOR OPTICAL ELEMENTS | 1 |
Tomoyuki Hashimoto | JP | Saitama | 2009-12-24 / 20090314257 - FUEL INJECTION DEVICE | 1 |
Hisayuki Hashimoto | JP | Saitama | 2011-10-20 / 20110253091 - ENGINE BALANCER SYSTEM | 3 |
Manabu Hashimoto | JP | Saitama | 2010-03-25 / 20100071646 - Engine | 2 |
Minoru Hashimoto | JP | Kashiwa-Shi | 2015-12-24 / 20150370624 - INFORMATION PROCESSING APPARATUS AND FAULT DIAGNOSIS METHOD | 1 |
Kenji Hashimoto | JP | Saitama | 2012-10-25 / 20120268138 - TEST APPARATUS | 3 |
Naoyoshi Hashimoto | JP | Kariya-Shi | 2012-06-21 / 20120154484 - CAPPING DEVICE AND LIQUID EJECTING APPARATUS | 1 |
Kiyoaki Hashimoto | JP | Ishikawa | 2015-12-24 / 20150371915 - SEMICONDUCTOR PACKAGE | 1 |
Daisuke Hashimoto | JP | Tokyo | 2011-07-21 / 20110175928 - Map Display Device and Map Display Method | 1 |
Shigeo Hashimoto | JP | Ishikawa | 2009-04-16 / 20090095441 - CONSTRUCTION VEHICLE | 1 |
Daisuke Hashimoto | JP | Yokkaichi-Shi | 2014-01-02 / 20140000927 - BUS-BAR SET AND MANUFACTURING METHOD THEREFOR | 5 |
Daisuke Hashimoto | JP | Isehara-City | 2013-05-30 / 20130134147 - SUBSTRATE SUPPORT DEVICE | 1 |
Daisuke Hashimoto | JP | Oyama-Shi | 2014-05-29 / 20140147748 - NEGATIVE ELECTRODE MATERIAL FOR LITHIUM SECONDARY BATTERY | 6 |
Kiyoaki Hashimoto | JP | Yokohama | 2015-02-12 / 20150044824 - Fan-Out WLP With Package | 4 |
Junichi Hashimoto | JP | Yokkaichi | 2015-12-24 / 20150372007 - METHOD FOR MANUFACTURING SEMICONDUCTOR MEMORY DEVICE | 1 |
Daisuke Hashimoto | JP | Mie | 2015-12-24 / 20150372415 - WIRE HARNESS | 1 |
Tsutomu Hashimoto | JP | Yokkaichi-Shi, Mie | 2015-12-31 / 20150381002 - CENTRALIZED POWER DISTRIBUTION MEMBER FOR MOTOR | 2 |
Shigeharu Hashimoto | JP | Yokohama-City | 2015-04-16 / 20150104626 - Porous Plate-Shaped Filler, Coating Composition, Heat-Insulating Film, and Heat-Insulating Film Structure | 1 |
Shingo Hashimoto | JP | Fukuoka-Ken | 2009-12-10 / 20090303670 - REMOTE CONTROL APPARATUS | 1 |
Rei Hashimoto | JP | Edogawa | 2016-05-05 / 20160126411 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Reiko Hashimoto | JP | Tochigi-Ken | 2012-07-26 / 20120189093 - X-RAY DIAGNOSIS APPARATUS AND A METHOD FOR CONTROLLING AN X-RAY IRRADIATION REGION | 2 |
Reiko Hashimoto | JP | Yaita-Shi | 2013-08-15 / 20130208863 - MEDICAL DIAGNOSTIC IMAGING APPARATUS | 2 |
Hiromasa Hashimoto | JP | Fukushima | 2008-10-16 / 20080254720 - Polishing Head, Polishing Apparatus and Polishing Method for Semiconductor Wafer | 1 |
Hiromasa Hashimoto | JP | Nishishirakawa | 2013-11-28 / 20130316521 - METHOD FOR PRODUCING SILICON WAFER | 5 |
Masahiro Hashimoto | JP | Uji-Shi | 2012-06-28 / 20120160281 - WASHING APPARATUS | 1 |
Keisuke Hashimoto | JP | Fujimi | 2008-12-18 / 20080309373 - INTEGRATED CIRCUIT DEVICE AND ELECTRONIC INSTRUMENT | 2 |
Keisuke Hashimoto | JP | Toyama | 2009-06-25 / 20090162782 - Silicon-Containing Resist Underlayer Coating Forming Composition for Forming Photo-Crosslinking Cured Resist Underlayer Coating | 1 |
Keisuke Hashimoto | JP | Toyama-Shi | 2016-05-19 / 20160139509 - RESIST UNDERLAYER FILM-FORMING COMPOSITION CONTAINING SUBSTITUTED CROSSLINKABLE COMPOUND | 19 |
Keisuke Hashimoto | JP | Tokyo-To | 2012-08-23 / 20120211705 - DEVICE COMPRISING POSITIVE HOLE INJECTION TRANSPORT LAYER, METHOD FOR PRODUCING THE SAME AND INK FOR FORMING POSITIVE HOLE INJECTION TRANSPORT LAYER | 4 |
Keisuke Hashimoto | JP | Chino-Shi | 2011-03-24 / 20110069052 - INTEGRATED CIRCUIT DEVICE AND ELECTRONIC APPARATUS | 2 |
Satoshi Hashimoto | JP | Osaka | 2016-02-04 / 20160036008 - METHOD OF MANUFACTURING FILM FORMATION SUBSTRATE, AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 31 |
Shinya Hashimoto | JP | Minato-Ku | 2012-06-28 / 20120163963 - STRUCTURE FOR GAS TURBINE CASING | 1 |
Muneaki Hashimoto | JP | Tokyo | 2015-04-09 / 20150099795 - THERAPEUTIC AGENT FOR TREATING TRYPANOSOMA-ASSOCIATED DISEASE, METHOD FOR KILLING TRYPANOSOMA PARASITES, AND USE THEREOF | 1 |
Kiyoshi Hashimoto | JP | Shiojri-Shi | 2009-01-01 / 20090002757 - Converter and control method of converter | 1 |
Kiyoshi Hashimoto | JP | Shiojiri-Shi | 2016-02-18 / 20160046132 - LASER PROCESSING APPARATUS AND PRINTING APPARATUS | 5 |
Kiyoshi Hashimoto | JP | Osaka | 2010-11-11 / 20100286945 - METHOD OF ESTIMATING TEMPERATURE DISTRIBUTION HISTORY | 1 |
Takenori Hashimoto | JP | Tokyo | 2011-05-19 / 20110118072 - MOTOR TRANSMISSION APPARATUS | 2 |
Kiyoshi Hashimoto | JP | Shiojiro-Shi | 2009-08-27 / 20090213398 - PRINTING APPARATUS, PRINTING APPARATUS CONTROL METHOD, PRINTING APPARATUS CONTROL PROGRAM, AND PRINTING SYSTEM | 1 |
Kiyoshi Hashimoto | JP | Kanagawa | 2013-09-12 / 20130234036 - ION SOURCE, HEAVY PARTICLE BEAM IRRADIATION APPARATUS, ION SOURCE DRIVING METHOD, AND HEAVY PARTICLE BEAM IRRADIATION METHOD | 5 |
Akira Hashimoto | JP | Yokkaichi-Shi | 2012-07-26 / 20120187672 - COMPOSITE HINGE SHEET FOR LASER-MARKABLE MULTILAYER LAMINATE FOR ELECTRONIC PASSPORT, LASER-MARKABLE MULTILAYER LAMINATE FOR ELECTRONIC PASSPORT, AND ELECTRONIC PASSPORT | 2 |
Tatsuya Hashimoto | JP | Tokyo | 2011-07-07 / 20110162476 - Holding Arm Apparatus for Medical Tool | 2 |
Shiguma Hashimoto | JP | Kyoto | 2015-04-09 / 20150097162 - MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE | 1 |
Yuuta Hashimoto | JP | Tokyo | 2015-12-24 / 20150372971 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND RECORDING MEDIUM | 3 |
Hisayuki Hashimoto | JP | Wako-Shi | 2011-05-19 / 20110114041 - COOLING STRUCTURE FOR INTERNAL COMBUSTION ENGINE | 2 |
Mikio Hashimoto | JP | Sakura-Shi | 2009-09-24 / 20090235753 - PRESSURE SENSOR MODULE | 2 |
Shoji Hashimoto | JP | Kyoto-Shi | 2015-12-31 / 20150380808 - Antenna and Method for Producing the Same | 1 |
Kohei Hashimoto | JP | Koto-Ku | 2010-04-15 / 20100093425 - GAMING MACHINE FOR CHANGING GAME SOUND IN FREE GAME AND CONTROL METHOD THEREOF | 1 |
Minoru Hashimoto | JP | Ueda-City | 2013-11-21 / 20130307374 - GEL ACTUATOR AND GEL USED THEREIN | 2 |
Yoshinobu Hashimoto | JP | Nishinomiya-City | 2009-08-13 / 20090201106 - HARMONIC SUPPRESSION RESONATOR, HARMONIC PROPAGATION BLOCKING FILTER, AND RADAR APPARATUS | 1 |
Tadahisa Hashimoto | JP | Daito-Shi | 2013-09-12 / 20130239042 - TERMINAL DEVICE AND METHOD FOR CHANGING DISPLAY ORDER OF OPERATION KEYS | 1 |
Shingo Hashimoto | JP | Shinagawa-Ku | 2013-10-10 / 20130263750 - PLATE ATTACHMENT DEVICE AND METHOD FOR ATTACHING/DETACHING PRINTING PLATE | 1 |
Hiroshi Hashimoto | JP | Toyko | 2014-10-09 / 20140303500 - ULTRASONIC DIAGNOSIS APPARATUS AND CONTROL PROGRAM THEREOF | 2 |
Hiroshi Hashimoto | US | Glen Cove | 2015-05-21 / 20150142870 - SYSTEM AND METHOD FOR UPDATING AN ATTACHMENT | 3 |
Yuichiro Hashimoto | JP | Tokyo-To | 2009-02-05 / 20090034063 - Microscopic illumination apparatus | 1 |
Hirokazu Hashimoto | JP | Osaka | 2015-07-23 / 20150201598 - FISHING REEL | 2 |
Tomoko Hashimoto | JP | Takasago-Shi | 2010-06-17 / 20100150734 - TURBINE BLADE | 1 |
Shingo Hashimoto | JP | Echizen-Shi | 2015-07-16 / 20150200053 - METHOD OF PRODUCING A CYLINDRICAL MAGNETIC CIRCUIT | 2 |
Katsuya Hashimoto | JP | Shizuoka-Ken | 2008-10-02 / 20080239692 - MOUNTING STRUCTURE OF ELECTRICAL JUNCTION BOX | 1 |
Takahisa Hashimoto | JP | Shunan-Shi | 2010-08-19 / 20100206845 - PLASMA PROCESSING APPARATUS AND METHOD FOR OPERATING THE SAME | 1 |
Satoshi Hashimoto | JP | Sakai-Shi | 2012-07-12 / 20120174608 - CONTROL DEVICE | 1 |
Hidehiro Hashimoto | JP | Komatsu-Shi | 2014-09-11 / 20140257646 - BULLDOZER AND BLADE CONTROL METHOD | 2 |
Haruhisa Hashimoto | JP | Mino | 2012-07-12 / 20120174982 - PHOTOVOLTAIC MODULE AND METHOD FOR MANUFACTURING PHOTOVOLTAIC MODULE | 1 |
Koji Hashimoto | JP | Okayama-Shi | 2016-01-07 / 20160002846 - ARTIFICIAL LEATHER SUBSTRATE, GRAIN-LIKE-FINISH ARTIFICIAL LEATHER, METHOD FOR PRODUCING ARTIFICIAL LEATHER SUBSTRATE, AND MODIFYING AGENT FOR ARTIFICIAL LEATHER SUBSTRATE | 1 |
Daisuke Hashimoto | JP | Musashino-Shi | 2015-03-26 / 20150089179 - STORAGE SYSTEM | 1 |
Kengo Hashimoto | JP | Osaka-Shi | 2016-01-07 / 20160004110 - DISPLAY PANEL AND METHOD OF PRODUCING DISPLAY PANEL | 1 |
Atsushi Hashimoto | JP | Chiyoda-Ku | 2016-01-07 / 20160006128 - METHOD FOR INSTALLING ANTENNA DEVICE, AND ANTENNA DEVICE | 1 |
Yoshiki Hashimoto | JP | Yamanashi | 2016-02-04 / 20160031086 - MOBILE COLLABORATIVE ROBOT | 4 |
Shoutarou Hashimoto | JP | Yamanashi | 2016-05-12 / 20160134227 - MOTOR CONTROL DEVICE THAT DETECTS OVERLOAD | 5 |
Kazuhiro Hashimoto | JP | Yamanashi | 2011-03-10 / 20110060286 - INDWELLING NEEDLE ASSEMBLY | 1 |
Shigeru Hashimoto | JP | Shimonoseki-Shi | 2016-01-28 / 20160023414 - SECTOR MOLD, METHOD FOR MANUFACTURING SAME, AND JIG FOR PROCESSING PATTERN BLOCK | 1 |
Atsunori Hashimoto | JP | Aichi | 2016-02-04 / 20160031348 - VEHICLE HEATING SYSTEM | 5 |
Narikazu Hashimoto | JP | Shimonoseki-Shi | 2016-04-28 / 20160114747 - ANTI-COLLISION COMPONENT | 8 |
Atsushi Hashimoto | JP | Yokosuka-Shi | 2013-12-12 / 20130328475 - CYCLOTRON | 1 |
Jun-Ichi Hashimoto | JP | Chigasaki-Shi | 2016-03-31 / 20160094015 - QUANTUM CASCADE LASER | 5 |
Fuminori Hashimoto | JP | Saitama-Ken | 2009-12-24 / 20090315618 - CURRENT MIRROR CIRCUIT | 1 |
Yasunobu Hashimoto | JP | Miyazaki | 2008-09-11 / 20080218447 - Plasma Display Module and Its Driving Method, and Plasma Display | 2 |
Yasunobu Hashimoto | JP | Kawasaki-Shi | 2012-06-21 / 20120154357 - METHOD FOR DRIVING A GAS ELECTRIC DISCHARGE DEVICE | 2 |
Tatsuya Hashimoto | JP | Kanonji-Shi, Kagawa-Ken | 2016-01-28 / 20160022510 - PULL-ON DISPOSABLE WEARING ARTICLE | 1 |
Eiji Hashimoto | JP | Wako-Shi | 2013-12-05 / 20130318949 - EXHAUST GAS PURIFYING SYSTEM OF INTERNAL COMBUSTION ENGINE | 1 |
Tomoyuki Hashimoto | JP | Yokohama | 2012-07-19 / 20120184342 - BACCARAT GAME SYSTEM, METHOD OF USING BACCARAT GAME SYSTEM, BACCARAT GAME PROGRAM AND RECORDING MEDIUM | 1 |
Junichi Hashimoto | JP | Kyoto | 2016-02-25 / 20160056675 - BRUSHLESS MOTOR AND DISK DRIVE APPARATUS | 6 |
Takashi Hashimoto | JP | Shiga | 2015-03-19 / 20150076203 - GLASS SHEET CUTTING APPARATUS, GLASS SHEET CUTTING METHOD, GLASS SHEET MANUFACTURING METHOD, AND GLASS SHEET CUTTING SYSTEM | 1 |
Kosaku Hashimoto | JP | Nagoya-Shi | 2013-09-26 / 20130249242 - STRUCTURE FOR PASSENGER VEHICLE INTERIOR, AND MANUFACTURING METHOD THEREFOR | 1 |
Tomotaka Hashimoto | JP | Chiyoda-Ku | 2012-07-26 / 20120187043 - IMMERSION MEMBRANE APPARATUS CLEANING PROCESS AND IMMERSION MEMBRANE APPARATUS | 1 |
Shinichi Hashimoto | JP | Saitama | 2012-07-26 / 20120187968 - TEST APPRATUS | 1 |
Kyoko Hashimoto | JP | Osaka-Shi | 2015-07-02 / 20150184913 - ENGINE DRIVEN HEAT PUMP | 4 |
Atsuto Hashimoto | JP | Tokyo | 2012-08-02 / 20120196038 - JIG FOR SEMICONDUCTOR PRODUCTION AND METHOD FOR PRODUCING SAME | 1 |
Hirokazu Hashimoto | JP | Kanagawa | 2013-11-14 / 20130300698 - INFORMATION PROCESSING DEVICE AND TOUCH OPERATION DETECTION METHOD | 1 |
Shigemi Hashimoto | JP | Yokohama | 2013-02-07 / 20130036228 - COMMUNICATION DEVICE, METHOD FOR COMMUNICATION AND RELAY SYSTEM | 1 |
Tatsutoshi Hashimoto | JP | Machida-Shi | 2016-02-04 / 20160030071 - TREATMENT DEVICE AND TREATMENT SYSTEM | 1 |
Kazuyuki Hashimoto | TW | Chu-Nan | 2014-09-11 / 20140253490 - 2D/3D SWITCHABLE AND TOUCH SENSITIVE DISPLAY AND METHOD FOR DRIVING THE SAME | 5 |
Takashi Hashimoto | JP | Kanagawa | 2015-10-08 / 20150287736 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 21 |
Junichi Hashimoto | JP | Chigasaki-San | 2013-09-19 / 20130242431 - MAGNETIC RECORDING HEAD WITH NON-CONFORMAL SIDE SHIELD GAP | 1 |
Junichi Hashimoto | JP | Utsunomiya-Shi | 2013-04-11 / 20130088096 - SHORT-CIRCUIT PROTECTION METHOD | 1 |
Junichi Hashimoto | JP | Toyohashi | 2012-08-30 / 20120219322 - BEARING DEVICE, DRUM UNIT, AND IMAGE FORMING APPARATUS | 2 |
Junichi Hashimoto | JP | Mie-Ken | 2011-02-10 / 20110031630 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE | 1 |
Junichi Hashimoto | JP | Ebina-Shi | 2010-04-08 / 20100086243 - Package Bag And Spout Member | 1 |
Takeshi Hashimoto | JP | Moriya-Shi | 2016-04-21 / 20160109820 - TONER | 8 |
Takeshi Hashimoto | JP | Kyoto | 2013-01-03 / 20130004613 - APPARATUS FOR TAKING OUT MOLDED PRODUCT | 1 |
Junichi Hashimoto | JP | Chigasaki | 2011-09-15 / 20110222188 - PERPENDICULAR RECORDING MAGNETIC HEAD, MANUFACTURING METHOD THEREOF AND MAGNETIC DISK DRIVE | 1 |
Toshiya Hashimoto | JP | Toyota-City | 2015-03-12 / 20150073641 - CONTROL APPARATUS AND VEHICLE HAVING THE SAME | 1 |
Kazunobu Hashimoto | JP | Aichi | 2014-10-02 / 20140296761 - WALKING MOVEMENT AID | 2 |
Junichi Hashimoto | JP | Wako | 2013-01-10 / 20130009585 - CONTROL APPARATUS FOR SWITCHING CIRCUIT | 1 |
Satoshi Hashimoto | JP | Chiba | 2013-10-24 / 20130278858 - DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hirokazu Hashimoto | JP | Fukui | 2014-06-19 / 20140168776 - ANTIREFLECTION FILM AND ANTIREFLECTION PLATE | 3 |
Hiroshi Hashimoto | JP | Kitakyushu-Shi | 2016-04-21 / 20160108610 - SANITARY WASHING APPARATUS | 6 |
Hiroshi Hashimoto | JP | Nara | 2009-05-14 / 20090121113 - MACHINE TOOL | 1 |
Hiroshi Hashimoto | JP | Haga-Gun | 2013-09-19 / 20130244984 - Ceramide Production Promoter | 3 |
Hiroshi Hashimoto | JP | Fukuoka-Ken | 2011-08-11 / 20110191951 - SANITARY WASHING APPARATUS | 2 |
Hiroshi Hashimoto | JP | Kawasaki | 2011-06-09 / 20110136312 - SEMICONDUCTOR DEVICE AND ITS MANUFACTURE METHOD | 4 |
Hiroshi Hashimoto | JP | Tokyo | 2015-12-31 / 20150379700 - ULTRASOUND IMAGE DISPLAYING APPARATUS AND METHOD FOR DISPLAYING ULTRASOUND IMAGE | 23 |
Yohei Hashimoto | JP | Anjo-Shi | 2008-10-02 / 20080238162 - VEHICLE SEAT | 1 |
Yohei Hashimoto | JP | Ehime | 2014-09-18 / 20140278192 - BIOLOGICAL SAMPLE MEASURING APPARATUS | 5 |
Hiroshi Hashimoto | JP | Shizuoka | 2010-06-10 / 20100144940 - FLEXIBLE RESIN COMPOSITION AND SEALING PART FOR WATERPROOF CONNECTOR | 1 |
Yohei Hashimoto | JP | Nagoya-Shi | 2011-03-03 / 20110052254 - Image Forming Apparatus | 5 |
Hiroshi Hashimoto | TH | Pathumwan | 2015-03-12 / 20150071865 - PROCESSED NUTMEG PRODUCT AND METHOD FOR PRODUCING SAME | 1 |
Yohei Hashimoto | JP | Tokyo | 2016-02-18 / 20160049622 - PACKAGING MATERIAL FOR BATTERIES | 5 |
Atsushi Hashimoto | JP | Ashigarakami-Gun | 2014-02-13 / 20140044234 - RADIATION IMAGING APPARATUS AND IMAGE PROCESSING METHOD | 2 |
Hiroshi Hashimoto | JP | Iwate | 2009-10-22 / 20090261185 - Spraying apparatus | 1 |
Koichi Hashimoto | JP | Sendai | 2016-02-04 / 20160034746 - CONTROL SYSTEM, ROBOT SYSTEM, AND CONTROL METHOD | 9 |
Hiroshi Hashimoto | JP | Fukuoka | 2015-01-22 / 20150020303 - WATER DISCHARGE DEVICE | 2 |
Hiroshi Hashimoto | JP | Odawara-Shi | 2013-08-22 / 20130216685 - CONCENTRATED COFFEE COMPOSITION | 2 |
Masaya Hashimoto | JP | Itami-Shi | 2016-02-25 / 20160057304 - IMAGE FORMING APPARATUS, ACTIVATION CONTROL METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM ENCODED WITH ACTIVATION CONTROL PROGRAM | 14 |
Masahiko Hashimoto | JP | Osaka | 2014-10-02 / 20140293737 - ACOUSTO-OPTIC IMAGE CAPTURE DEVICE | 22 |
Minoru Hashimoto | JP | Osaka | 2012-08-16 / 20120205952 - ROCKING CHAIR | 1 |
Masanori Hashimoto | JP | Wako | 2013-10-03 / 20130260987 - EXHAUST GAS CLEANING CATALYST | 1 |
Kiyokazu Hashimoto | JP | Kanagawa | 2013-12-05 / 20130323502 - METHOD OF PRODUCING POLYESTER FILM, POLYESTER FILM, AND BACK SHEET FOR SOLAR CELL | 13 |
Hisaaki Hashimoto | JP | Naruto-Shi | 2011-08-04 / 20110186257 - METHOD FOR MANUFACTURING MOLD | 2 |
Minoru Hashimoto | JP | Fujisawa-Shi | 2009-06-25 / 20090161246 - Random Number Generation Using Hard Disk Drive Information | 1 |
Minoru Hashimoto | JP | Yokohama-Shi | 2010-02-25 / 20100047652 - DIRECT METHANOL FUEL CELL AND CATHODE FOR DIRECT METHANOL FUEL CELL | 1 |
Minoru Hashimoto | JP | Chigasaki-Shi | 2015-03-26 / 20150085313 - INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 12 |
Minoru Hashimoto | JP | Ritto-Shi | 2016-02-11 / 20160041301 - MULTI-OPTICAL AXIS PHOTOELECTRIC SENSOR | 3 |
Naoyuki Hashimoto | JP | Tochigi | 2013-09-19 / 20130241233 - VEHICLE BODY FRONT STRUCTURE | 1 |
Minoru Hashimoto | JP | Ritto | 2013-09-19 / 20130241570 - Monitoring device and monitoring method for rotary encoder | 1 |
Minoru Hashimoto | JP | Kusatsu City | 2013-05-09 / 20130113301 - SAFETY CONTROL SYSTEM | 1 |
Minoru Hashimoto | JP | Tokyo | 2016-03-03 / 20160059223 - HYDRODESULFURIZATION CATALYST FOR DIESEL OIL AND HYDROTREATING METHOD FOR DIESEL OIL | 5 |
Minoru Hashimoto | JP | Kanagawa | 2011-03-17 / 20110063751 - METHOD AND APPARATUS FOR POSITIONING A MAGNETIC-RECORDING HEAD | 3 |
Keisuke Hashimoto | JP | Higashikagawa-Shi, Kagawa | 2016-04-28 / 20160118163 - PRODUCTION METHOD FOR COATED STRING-SHAPED BODY | 1 |
Chisen Hashimoto | JP | Yamaguchi | 2010-05-13 / 20100119955 - ESTER COMPOUND, AND NON-AQUEOUS ELECTROLYTE SOLUTION AND LITHIUM SECONDARY BATTERY EACH USING THE ESTER COMPOUND | 2 |
Shin-Ichi Hashimoto | JP | Yamaguchi | 2012-10-11 / 20120258490 - PROCESS FOR PRODUCING DIPEPTIDES OR DIPEPTIDE DERIVATIVES | 7 |
Junichi Hashimoto | JP | Toyohashi-Shi | 2016-03-31 / 20160091858 - Image Forming Apparatus | 76 |
Shunichi Hashimoto | JP | Sapporo-Shi | / - | 1 |
Yusuke Hashimoto | JP | Saitama-Shi | 2012-08-23 / 20120212620 - Mounting Structure of Infrared Camera and Infrared Camera Provided with the Same | 1 |
Kiyoshi Hashimoto | JP | Yokohama-Shi | 2013-09-05 / 20130228699 - ION SOURCE | 2 |
Shunichi Hashimoto | JP | Hiroshima | 2009-12-10 / 20090303501 - Print Management System, and Method and Program for the Same | 1 |
Shunichi Hashimoto | JP | Minato-Ku | 2010-04-15 / 20100092332 - HIGH-STRENGTH COLD ROLLED STEEL SHEET HAVING EXCELLENT FORMABILITY, AND PLATED STEEL SHEET | 1 |
Shunichi Hashimoto | JP | Yokohama-Shi | 2011-03-17 / 20110064488 - IMAGE FORMING APPARATUS | 4 |
Shunichi Hashimoto | JP | Kanagawa | 2015-08-20 / 20150234316 - IMAGE FORMING APPARATUS INCORPORATING CONTROLLER FOR DETERMINING EXPOSURE USED FOR IMAGE FORMATION AND IMAGE FORMING METHOD FOR DETERMINING EXPOSURE USED FOR IMAGE FORMATION | 6 |
Koichi Hashimoto | JP | Miharu | 2012-08-23 / 20120214528 - DISTRIBUTED ANTENNA SYSTEM, COMMUNICATION CONTROL METHOD AND BASE STATION APPARATUS | 1 |
Yumiko Hashimoto | JP | Osaka | 2008-12-18 / 20080310020 - Polarizing plate | 2 |
Yoshihiko Hashimoto | JP | Osaka | 2009-04-30 / 20090111921 - Biodegradable Resin Composition and Molded Article Produced From The Same | 2 |
Yoshinori Hashimoto | JP | Osaka | 2012-08-16 / 20120208474 - TRANSMISSION/RECEPTION ANTENNA AND TRANSMISSION/RECEPTION DEVICE USING SAME | 3 |
Hitoshi Hashimoto | JP | Osaka | 2010-11-25 / 20100296206 - EARTH LEAKAGE DETECTION METHOD | 1 |
Katsuteru Hashimoto | JP | Osaka | 2012-07-12 / 20120176419 - Image Display Device And Image Display Method | 5 |
Mitsuru Hashimoto | JP | Osaka | 2010-12-30 / 20100328530 - VIDEO DISPLAY APPARATUS | 1 |
Yoshiya Hashimoto | JP | Osaka | 2016-05-19 / 20160137694 - Peptide and Complex of Same, Scaffold for Tissue Repair and Surface Treatment Method for Same, and Surface Treatment Solution or Set of Treatment Solutions | 2 |
Yoshinobu Hashimoto | JP | Osaka | 2011-06-30 / 20110161622 - MEMORY ACCESS CONTROL DEVICE, INTEGRATED CIRCUIT, MEMORY ACCESS CONTROL METHOD, AND DATA PROCESSING DEVICE | 2 |
Kazuhiro Hashimoto | JP | Osaka | 2009-02-12 / 20090038839 - SHIELDING FILM, SHIELDED PRINTED CIRCUIT BOARD, SHIELDED FLEXIBLE PRINTED CIRCUIT BOARD, METHOD OF MANUFACTURING SHIELDING FILM, AND METHOD OF MANUFACTURING SHIELDED PRINTED CIRCUIT BOARD | 1 |
Kunihide Hashimoto | JP | Osaka | 2009-04-16 / 20090098319 - HEAT RESISTANT ALLOY ADAPTED TO PRECIPITATE FINE TI-NB-CR CARBIDE OR TI-NB-ZR-CR CARBIDE | 1 |
Tadashi Hashimoto | JP | Osaka | 2015-10-01 / 20150276389 - Optical Coordinate Measuring Device | 2 |
Nozomu Hashimoto | JP | Osaka | 2014-07-24 / 20140204594 - ILLUMINATION LIGHT SOURCE AND LIGHTING APPARATUS | 9 |
Haruhisa Hashimoto | JP | Osaka | 2016-05-05 / 20160126387 - SOLAR CELL MODULE | 13 |
Yoshiyuki Hashimoto | JP | Osaka | 2012-01-26 / 20120020095 - ELECTRONIC DEVICE | 5 |
Masato Hashimoto | JP | Osaka | 2010-07-22 / 20100181575 - SEMICONDUCTOR DEVICE PROVIDED WITH THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE | 1 |
Tetsu Hashimoto | JP | Osaka | 2010-09-16 / 20100233524 - CYLINDRICAL NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Mamoru Hashimoto | JP | Osaka | 2015-07-23 / 20150204722 - FOURIER TRANSFORM SPECTROSCOPY METHOD, SPECTROSCOPIC DEVICE, AND SPECTROSCOPIC MEASUREMENT PROGRAM THAT IMPROVE SPECTRAL RESOLUTION AND SPECTRAL ACCURACY | 2 |
Norikazu Hashimoto | JP | Osaka | 2010-10-14 / 20100261720 - HETEROCYCLIC COMPOUND AND PHARMACEUTICAL COMPOSITION THEREOF | 1 |
Masaaki Hashimoto | JP | Osaka | 2010-11-04 / 20100278467 - BEARING MECHANISM HAVING SLIDING BEARING | 4 |
Shinji Hashimoto | JP | Osaka | 2015-12-24 / 20150370016 - DRY FILM FOR OPTICAL WAVEGUIDES, OPTICAL WAVEGUIDE USING SAME, PHOTOELECTRIC COMPOSITE WIRING BOARD USING SAME, AND METHOD FOR PRODUCING PHOTOELECTRIC COMPOSITE WIRING BOARD | 7 |
Naoya Hashimoto | JP | Osaka | 2011-03-10 / 20110059034 - ASCORBIC ACID DERIVATIVE OR SALT THEREOF, PRODUCTION METHOD THEREOF, AND COSMETIC | 1 |
Haruo Hashimoto | JP | Osaka | 2014-10-23 / 20140314440 - IMAGE FORMING APPARATUS | 10 |
Haruhiko Hashimoto | JP | Osaka | 2011-03-10 / 20110058555 - TRANSMISSION APPARATUS AND SIGNAL TRANSMISSION METHOD | 1 |
Maki Hashimoto | JP | Osaka | 2011-03-17 / 20110067040 - API EVALUATION SYSTEM IN EMBEDDED DEVICE | 1 |
Hirokuni Hashimoto | JP | Osaka | 2011-03-17 / 20110065217 - PRESSURE-SENSITIVE ADHESIVE SHEET AND PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE HAVING SAME | 2 |
Takayoshi Hashimoto | JP | Osaka | 2012-06-07 / 20120139052 - Semiconductor device manufacturing method and semiconductor device | 3 |
Yasuyuki Hashimoto | JP | Osaka | 2010-05-27 / 20100130289 - DAMPER MECHANISM | 1 |
Daisuke Hashimoto | JP | Osaka | 2014-08-28 / 20140242288 - REDUCING ELECTROLESS SILVER PLATING SOLUTION AND REDUCING ELECTROLESS SILVER PLATING METHOD | 2 |
Tadaaki Hashimoto | JP | Osaka | 2009-12-31 / 20090326640 - MEDICAL DEVICE FOR BODY CAVITY AND METHOD OF PRODUCING THE SAME | 1 |
Kouichi Hashimoto | JP | Osaka | 2010-02-04 / 20100028662 - ADHESIVE SHEET FOR PROCESSING SEMICONDUCTOR SUBSTRATES | 1 |
Kazunori Hashimoto | JP | Saitama-Ken | 2014-08-28 / 20140241397 - ELECTRONIC THERMOMETER, ELECTRONIC THERMOMETER SYSTEM, AND RECEIVER | 1 |
Hideki Hashimoto | JP | Nukata-Gun | 2013-07-25 / 20130187513 - STATOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Sumiaki Hashimoto | JP | Kobe-Shi | 2016-05-05 / 20160123249 - KNOCK DETERMINATION APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Masaki Hashimoto | JP | Osaka | 2015-03-05 / 20150059244 - PLANTING DEVICE | 8 |
Takasuke Hashimoto | JP | Kanagawa | 2015-03-05 / 20150061660 - SENSOR DEVICE | 8 |
Koji Hashimoto | JP | Kyoto | 2015-04-02 / 20150090694 - SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD | 10 |
Toshifumi Hashimoto | JP | Kyoto-Shi | 2013-08-29 / 20130224042 - VACUUM PUMP | 1 |
Koh Hashimoto | JP | Yokohama-Shi | 2014-10-30 / 20140325150 - WIRELESS APPARATUS | 7 |
Wataru Hashimoto | JP | Kyoto | 2016-05-19 / 20160138073 - GLYCATED HEXAPEPTIDE OXIDASE AND USE THEREOF | 3 |
Koji Hashimoto | JP | Chiyoda-Ku | 2015-11-12 / 20150326000 - POWER SUPPLY CONTROL APPARATUS OF ELECTRIC LOAD | 19 |
Kaz Hashimoto | US | Jackson | 2013-06-27 / 20130161383 - AUTO CONFIGURABLE TRANSFER AND MANAGEMENT SYSTEM | 1 |
Paul B. Hashimoto | US | Los Angeles | 2013-05-16 / 20130119400 - SELF-ALIGNED SIDEWALL GATE GaN HEMT | 1 |
Akie Hashimoto | US | Durham | 2013-04-04 / 20130082830 - Systems For and Methods of Digital Recording and Reproduction of Tactile Drawings | 2 |
Tsuyoshi Hashimoto | JP | Kawasaki | 2016-04-21 / 20160112506 - COMPUTER SYSTEM, PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING JOB PROCESSING PROGRAM | 15 |
Shunichi Hashimoto | JP | Tokyo | 2015-02-26 / 20150055318 - STROBE LIGHT DEVICE | 1 |
Takahiro Hashimoto | JP | Tokyo | 2012-09-06 / 20120224220 - PRINTING MANAGEMENT DEVICE, PRINTING MANAGEMENT METHOD, PRINTING SYSTEM AND RECORDING MEDIUM | 8 |
Naotaka Hashimoto | JP | Osaka | 2013-06-13 / 20130146926 - ILLUMINATING APPARATUS | 11 |
Hisayuki Hashimoto | JP | Machida-City | 2013-04-18 / 20130093314 - B-SIALON AND METHOD OF MANUFACTURING THEREOF, AND LIGHT-EMITTING DEVICE | 3 |
Masahiro Hashimoto | JP | Shinjuku-Ku | 2015-10-08 / 20150286132 - MASK BLANK, METHOD OF MANUFACTURING THE SAME, TRANSFER MASK, AND METHOD OF MANUFACTURING THE SAME | 18 |
Tatsutsugu Hashimoto | JP | Osaka | 2012-09-06 / 20120225339 - BATTERY PACK | 1 |
Takafumi Hashimoto | JP | Nagoya-Shi | 2015-12-10 / 20150353713 - STAMPABLE SHEET | 4 |
Takafumi Hashimoto | JP | Shiga | 2010-03-25 / 20100075143 - FIBER STRUCTURE AND METHOD FOR PRODUCTION THEREOF | 1 |
Takafumi Hashimoto | JP | Nagoya | 2016-02-25 / 20160053432 - CARBON FIBER NONWOVEN FABRIC | 3 |
Masanori Hashimoto | JP | Hiroshima-Shi | 2015-12-31 / 20150377151 - CONTROL DEVICE FOR MULTI-CYLINDER ENGINE | 3 |
Yasuko Hashimoto | JP | Kanagawa | 2015-02-26 / 20150058011 - INFORMATION PROCESSING APPARATUS, INFORMATION UPDATING METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Daisuke Hashimoto | US | Santa Clara | 2013-05-30 / 20130134148 - SUBSTRATE SUPPORT DEVICE | 1 |
Kazunobu Hashimoto | JP | Tsurugashima-Shi | 2010-07-01 / 20100166906 - INPRINT EQUIPMENT | 4 |
Takahide Hashimoto | JP | Saitama | 2013-05-30 / 20130137303 - ARRANGEMENT STRUCTURE OF CONNECTING CONDUCTOR CONNECTING INSIDE AND OUTSIDE CONDUCTORS OF MOTOR | 1 |
Kota Hashimoto | JP | Saitama | 2013-03-28 / 20130080032 - PRESSURE SENSOR DIAGNOSING METHOD AND COMMON RAIL FUEL INJECTION CONTROL APPARATUS | 1 |
Kazunobu Hashimoto | JP | Nagoya-Shi | 2016-03-17 / 20160075835 - REACTIVE IONIC LIQUID, AND ION-IMMOBILIZED METAL OXIDE PARTICLE, ION-IMMOBILIZED ELASTOMER, AND TRANSDUCER USING SAME | 9 |
Masakazu Hashimoto | JP | Aichi-Ken | 2013-05-23 / 20130129546 - COMPRESSOR | 2 |
Koji Hashimoto | JP | Suntoh-Gun | 2015-12-17 / 20150362891 - PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 10 |
Satoshi Hashimoto | JP | Shiga | 2011-03-10 / 20110057044 - AIR CONDITIONER MANAGING APPARATUS | 8 |
Akira Hashimoto | JP | Hirakata-Shi | 2009-06-04 / 20090142011 - Bearing device and pin for bearing device | 1 |
Akira Hashimoto | JP | Settsu-Shi | 2011-05-12 / 20110108753 - BITE TYPE PIPE CONNECTION STRUCTURE, VALVE, BITE TYPE PIPE JOINT, AND FREEZING DEVICE | 1 |
Akira Hashimoto | JP | Hyogo | 2010-06-24 / 20100159357 - Separator for Fuel Cell and Process for Producing the Same | 2 |
Hideaki Hashimoto | JP | Ibaraki | 2016-05-12 / 20160128456 - BRUSH MANUFACTURING METHOD AND BRUSH | 1 |
Akira Hashimoto | JP | Shizuoka | 2009-06-04 / 20090142683 - COLOR TONER AND TWO-COMPONENT DEVELOPER | 1 |
Akira Hashimoto | JP | Hiroshima | 2011-05-05 / 20110104511 - METHOD OF BONDING METALLIC MEMBERS, AND METALLIC BONDED BODY | 1 |
Akira Hashimoto | JP | Mie | 2011-03-31 / 20110076452 - MULTILAYER SHEET FOR LASER MARKING | 2 |
Akira Hashimoto | JP | Sakai-Shi | 2010-04-15 / 20100090457 - FLARELESS-TYPE PIPE FITTING, REFRIGERATING DEVICE, AND WATER-HEATING DEVICE | 1 |
Akira Hashimoto | JP | Iwate | 2010-02-25 / 20100048115 - METHOD FOR COLLECTING USEFUL PORTIONS OF FISH HEADS AND DEVICE FOR COLLECTING USEFUL PORTIONS OF FISH HEAD | 1 |
Akira Hashimoto | JP | Oizumi-Machi | 2009-10-08 / 20090254727 - Digital Data Reproducing Apparatus and Recording Medium | 1 |
Akira Hashimoto | JP | Ota-Shi | 2009-09-24 / 20090238710 - Multicylindrical rotary compressor, compression system, and freezing device using the compression system | 4 |
Narihiko Hashimoto | JP | Nagoya-Shi | 2013-08-22 / 20130214999 - WEARABLE DISPLAYS WITH HOLDERS THAT RETAIN DISPLAYS AT PARTICULAR POSITIONS | 1 |
Kazuhiko Hashimoto | JP | Chiyoda-Ku | 2012-09-13 / 20120228564 - REFLECTOR FOR LIGHT-EMITTING DEVICE, AND LIGHT-EMITTING DEVICE | 1 |
Akira Hashimoto | JP | Gunma-Ken | / - | 1 |
Akira Hashimoto | JP | Tokyo | 2014-05-15 / 20140132003 - NATURAL-FREQUENCY ADJUSTING MECHANISM FOR WAVE-POWER GENERATOR | 4 |
Akira Hashimoto | CN | Suzhou | 2009-01-22 / 20090022882 - PHOTOGRAVURE PRESS AND METHOD FOR MANUFACTURING MULTILAYER CERAMIC ELECTRONIC COMPONENT | 1 |
Akira Hashimoto | JP | Shiojiri-Shi | 2015-07-09 / 20150192845 - PROJECTOR | 6 |
Daisuke Hashimoto | JP | Yokkaichi-City | 2015-02-19 / 20150047900 - TERMINAL-PROVIDED WIRE | 1 |
Tatsuya Hashimoto | KR | Yongin-City | 2013-03-21 / 20130071704 - RECHARGEABLE BATTERY | 1 |
Nobuyuki Hashimoto | JP | Osaka-Shi | 2015-02-19 / 20150049252 - DISPLAY DEVICE, CONTACTLESS POWER SUPPLY SYSTEM EQUIPPED WITH DISPLAY DEVICE, AND TELEVISION RECEIVER EQUIPPED WITH DISPLAY DEVICE | 1 |
Masatoshi Hashimoto | JP | Yokohama | 2013-02-14 / 20130041793 - FINANCIAL DATA PROCESSING DEVICE, FINANCIAL DATA PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Tetsuro Hashimoto | JP | Ukyo-Ku | 2011-04-07 / 20110080989 - START-UP CIRCUIT AND START-UP METHOD | 1 |
Shusuke Hashimoto | JP | Hyogo | 2013-01-03 / 20130001040 - BANKNOTE HANDLING APPARATUS | 1 |
Seiji Hashimoto | JP | Yokohama-Shi | 2016-03-17 / 20160080678 - IMAGING APPARATUS, IMAGING SYSTEM, IMAGING APPARATUS DRIVING METHOD, AND IMAGING SYSTEM DRIVING METHOD | 43 |
Hitoshi Hashimoto | JP | Kawasaki | 2013-01-03 / 20130007168 - INFORMATION PROCESSING APPARATUS AND TIME-LIMIT DISPLAY METHOD | 2 |
Yoshihiro Hashimoto | JP | Tokyo | 2015-04-02 / 20150093697 - IMAGE FORMATION APPARATUS, TRANSPARENT DEVELOPER AND DEVELOPER CARTRIDGE | 5 |
Yoshihiro Hashimoto | JP | Saitama | 2011-07-14 / 20110169500 - TEST APPARATUS, ADDITIONAL CIRCUIT AND TEST BOARD | 6 |
Yoshihiro Hashimoto | JP | Kanagawa | 2010-12-02 / 20100305921 - Response Surface Modeling Device, Response Surface Modeling Method, and Response Surface Modeling Program | 2 |
Yohei Hashimoto | JP | Nagakute-Shi | 2016-03-31 / 20160091857 - Image-Forming Apparatus Provided with Interlocking Mechanism for Inputting Drive Force to Drive Roller of Belt Unit | 14 |
Katsuyoshi Hashimoto | JP | Hiroshima | 2010-06-24 / 20100159247 - SAND MAKING APPARATUS, SAND MAKING METHOD, AND MADE SAND | 1 |
Hiroyuki Hashimoto | JP | Kasai-Shi | 2013-01-03 / 20130004822 - POWER SUPPLY DEVICE AND VEHICLE INCLUDING THE SAME | 1 |
Yoshihiro Hashimoto | JP | Matsusaka-Shi | 2011-03-10 / 20110058130 - Liquid crystal display device and its manufacturing method | 1 |
Toshiharu Hashimoto | JP | Nagoya | 2009-03-26 / 20090079293 - Claw pole type motor and pump | 1 |
Yoshihiro Hashimoto | JP | Yokohama-Shi | 2009-09-03 / 20090222245 - Method, computer, and recording medium storing a program for computing engine design variables | 2 |
Yoichi Hashimoto | JP | Fukuoka | 2015-02-12 / 20150044912 - COAXIAL ELECTRIC CONNECTOR | 1 |
Tadatoshi Hashimoto | JP | Osaka-Shi | / - | 1 |
Takahiro Hashimoto | JP | Kanagawa | 2016-04-07 / 20160099176 - METHOD FOR MANUFACTURING SEMICONDUCTOR CHIP | 9 |
Hirofumi Hashimoto | JP | Chiba | 2015-03-12 / 20150068200 - HYDRAULIC CIRCUIT FOR CONSTRUCTION MACHINE AND CONTROL DEVICE FOR SAME | 4 |
Chikako Hashimoto | JP | Yokohama-Shi | 2014-10-23 / 20140316071 - METHOD OF ACCELERATING METHANOL CARBONYLATION | 2 |
Tsubasa Hashimoto | JP | Kanagawa | 2014-05-22 / 20140138217 - SHEET CONVEYING APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Hironobu Hashimoto | JP | Hyogo | 2010-03-04 / 20100053847 - ELECTROLYTIC CAPACITOR | 1 |
Emi Hashimoto | JP | Okayama | 2014-05-22 / 20140138590 - PHOSPHOR FOR DISPERSION-TYPE EL, DISPERSION-TYPE EL DEVICE, AND METHOD OF MANUFACTURING THE SAME | 1 |
Sumito Hashimoto | JP | Hyogo | 2009-06-25 / 20090160107 - Rotary Hearth Furnace And Method Of Operating The Same | 3 |
Kazuhito Hashimoto | JP | Tokyo | 2015-10-01 / 20150275379 - WATER SPLITTING CATALYST, AND METHOD FOR PRODUCING OXYGEN AND HYDROGEN USING THE SAME | 18 |
Kazuhito Hashimoto | JP | Bunkyo-Ku | 2015-02-19 / 20150050581 - POWER GENERATION SYSTEM | 2 |
Ikuro Hashimoto | JP | Hyogo | 2009-02-05 / 20090032148 - HIGH-STRENGTH HOT-ROLLED STEEL SHEET EXCELLENT IN CHEMICAL TREATABILITY | 1 |
Seiji Hashimoto | JP | Hyogo | 2009-01-29 / 20090029881 - Heat resistant lithium grease composition and a small motor reduced noise bearing | 1 |
Tomoko Hashimoto | JP | Hyogo | 2011-06-16 / 20110142597 - TURBINE BLADE STRUCTURE | 2 |
Shingo Hashimoto | JP | Okazaki | 2016-02-25 / 20160056697 - METHOD FOR MANUFACTURING A STATOR FOR A ROTATING ELECTRICAL MACHINE | 8 |
Kazuya Hashimoto | JP | Hyogo | 2010-12-16 / 20100314006 - STEEL FOR INDUCTION HARDENING WITH EXCELLENT COLD WORKABILITY, ROLLING MEMBER MADE OF THE SAME, AND MOTION GUIDE DEVICE USING ROLLING MEMBER | 1 |
Kazuyuki Hashimoto | JP | Hyogo | 2012-03-29 / 20120075220 - INPUT DETECTION DEVICE, INPUT DETECTION METHOD, INPUT DETECTION PROGRAM, AND COMPUTER READABLE MEDIA | 2 |
Tomoki Hashimoto | JP | Hyogo | 2008-12-18 / 20080309388 - METHOD FOR ADJUSTING PHASE RELATIONSHIP BETWEEN SIGNALS IN A MEASURING APPARATUS, AND A MEASURING APPARATUS | 1 |
Yoshiyuki Hashimoto | JP | Hyogo | 2009-03-05 / 20090058845 - Display device | 1 |
Akifumi Hashimoto | JP | Osaka-Shi | 2014-05-29 / 20140146265 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Susumu Hashimoto | JP | Susono-Shi, Shizuoka-Ken | 2016-02-18 / 20160047351 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Tomohiro Hashimoto | JP | Osaka | 2012-09-20 / 20120237462 - Aqueous Composition For Cosmetics And Cosmetic Including the Same | 1 |
Shinichi Hashimoto | JP | Otawara | 2015-11-12 / 20150320391 - ULTRASONIC DIAGNOSTIC DEVICE AND MEDICAL IMAGE PROCESSING DEVICE | 9 |
Atsushi Hashimoto | JP | Takaishi-Shi | 2014-05-29 / 20140147660 - GRAFT COPOLYMER, THERMOPLASTIC RESIN COMPOSITION, MOLDED ARTICLE, AND METHOD FOR PRODUCING GRAFT COPOLYMER | 1 |
Susumu Hashimoto | JP | Tokyo | 2016-04-07 / 20160099015 - MAGNETIC HEAD, MAGNETIC RECORDING AND REPRODUCING APPARATUS, AND METHOD OF MANUFACTURING MAGNETIC HEAD | 18 |
Yusuke Hashimoto | JP | Kanagawa | 2010-08-19 / 20100209721 - METHOD FOR LAMINATION OF DECORATIVE METAL FILM ON RESIN BASE MATERIAL, AND RESIN BASE MATERIAL HAVING DECORATIVE METAL FILM THEREON | 2 |
Yusuke Hashimoto | JP | Neyagawa-Shi | 2010-06-10 / 20100141927 - PHOTODETECTOR AND SPATIAL INFORMATION DETECTING DEVICE USING THE SAME | 6 |
Mikihiro Hashimoto | JP | Tokyo | 2014-05-29 / 20140147879 - CELL CULTURE APPARATUS, APPARATUS FOR LONG-TERM OBSERVATION OF CELL CULTURE, METHOD FOR LONG-TERM CELL CULTURE, AND METHOD FOR LONG-TERM OBSERVATION OF CELL CULTURE | 1 |
Atsuko Hashimoto | JP | Kawasaki-Shi | 2008-10-02 / 20080241896 - PROCESS FOR PRODUCTION OF OPTICALLY ACTIVE HYDROXYMETHYL-SUBSTITUTED PHENYLALANINE | 1 |
Ryuichi Hashimoto | JP | Yamaga-Shi | 2013-11-28 / 20130313915 - RELAY UNIT AND PRODUCING METHOD THEREOF | 13 |
Junichiro Hashimoto | JP | Aichi | 2015-02-05 / 20150034768 - AIRCRAFT FUEL SYSTEM AND AIRCRAFT | 1 |
Yoichi Hashimoto | JP | Setagaya-Ku | 2010-11-25 / 20100297637 - PRIMER FOR AMPLIFICATION OF RRNA OR BACTERIUM BELONGING TO THE GENUS LEGIONELLA, DETECTION METHOD, AND DETECTION KIT | 1 |
Yoichi Hashimoto | JP | Minato-Ku | 2014-02-13 / 20140044440 - OPTICAL RECEPTION METHOD AND OPTICAL RECEIVER | 1 |
Yoichi Hashimoto | JP | Tokyo | 2014-02-13 / 20140044434 - TRANSMITTER, RECEIVER, TRANSMISSION METHOD, RECEPTION METHOD AND COMMUNICATION SYSTEM | 4 |
Yoichi Hashimoto | JP | Kanagawa | 2014-06-05 / 20140152267 - BATTERY SYSTEMS AND REMAINING CAPACITY MANAGEMENT SYSTEMS FOR SECONDARY BATTERY | 2 |
Kinji Hashimoto | JP | Nara | 2015-02-05 / 20150033534 - Method of Reinstalling Object to be Supported | 1 |
Rei Hashimoto | JP | Edogawa Tokyo | 2016-03-17 / 20160079480 - SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Shigeharu Hashimoto | JP | Okazaki-City | 2013-02-21 / 20130043186 - METHOD FOR SEPARATING LIQUID MIXTURE, AND DEVICE FOR SEPARATING LIQUID MIXTURE | 3 |
Yasuo Hashimoto | JP | Osaka | 2014-06-05 / 20140150382 - SEALING DEVICE | 1 |
Shoji Hashimoto | JP | Kawasaki-Shi | 2010-04-01 / 20100080891 - HOLDING MECHANISM, PROCESSING APPARATUS INCLUDING HOLDING MECHANISM, DEPOSITION METHOD USING PROCESSING APPARATUS, AND METHOD OF MANUFACTURING IMAGE DISPLAY DEVICE | 1 |
Shoji Hashimoto | JP | Seto-Shi | 2010-08-19 / 20100206092 - Force detection element | 1 |
Shoji Hashimoto | JP | Wako-Shi | 2012-11-22 / 20120294050 - INVERTER GENERATOR | 11 |
Shoji Hashimoto | JP | Saitama | 2012-06-28 / 20120160617 - BAGGAGE CASTER LOCK DEVICE | 2 |
Tatsutoshi Hashimoto | JP | Tokyo | 2016-05-19 / 20160135666 - MEDICAL DEVICE | 15 |
Atsushi Hashimoto | JP | Ichihara-Shi | 2013-08-01 / 20130194901 - HEAT-ASSISTED MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING AND READING APPARATUS | 7 |
Yasuhiro Hashimoto | JP | Fukushima | 2014-10-30 / 20140323324 - Method for Enrichment and Separation of Spinal Fluid Glycoprotein, Method for Searching for Marker for Central Nervous System Diseases Which Utilizes the Aforementioned Method, and Marker for Central Nervous System Diseases | 2 |
Atsushi Hashimoto | JP | Chiba | 2010-08-26 / 20100215991 - PERPENDICULAR MAGNETIC RECORDING MEDIUM, PROCESS FOR PRODUCING PERPENDICULAR MAGNETIC RECORDING MEDIUM, AND MAGNETIC RECORDING/REPRODUCING APPARATUS | 1 |
Syo Hashimoto | JP | Kariya-Shi | 2016-02-25 / 20160056739 - APPARATUS FOR CONTROLLING ROTARY MACHINE | 2 |
Atsushi Hashimoto | JP | Chiba-Shi | 2014-03-06 / 20140063656 - MAGNETIC RECORDING MEDIUM, METHOD OF MANUFACTURING THE SAME, AND MAGNETIC RECORDING AND REPRODUCING DEVICE | 11 |
Youjirou Hashimoto | JP | Yokkaichi-City | 2012-12-27 / 20120329299 - CONNECTOR AND CONNECTOR ASSEMBLY | 4 |
Atsushi Hashimoto | JP | Tokyo | 2008-10-09 / 20080246178 - Method For Dividing Ceramic Cylindrical Body and Shape of Notched Portions Thereof | 1 |
Keiji Hashimoto | JP | Nagakute-Cho | 2009-03-19 / 20090075140 - ELECTRODE STRUCTURE OF FUEL CELL | 1 |
Keiji Hashimoto | JP | Kariya-Shi | 2016-02-11 / 20160043412 - GAS CHANNEL FORMING MEMBER FOR FUEL CELLS, AND FUEL CELL | 12 |
Keiji Hashimoto | JP | Kariya-Shi, Aichi-Ken | 2014-01-16 / 20140017582 - FUEL BATTERY | 1 |
Keiji Hashimoto | JP | Nagakute-Shi | 2016-03-03 / 20160064766 - FUEL CELL STACK | 2 |
Yukiko Hashimoto | JP | Kitakyushu-Shi | 2010-05-13 / 20100119441 - Reactive working material for use in hydrogen production by decomposition of water | 1 |
Keitaro Hashimoto | JP | Tokyo | 2012-09-27 / 20120243708 - Modulation of Audio Signals in a Parametric Speaker | 1 |
Naoki Hashimoto | JP | Kashiwa-Shi | 2012-10-25 / 20120269562 - IMAGE HEATING APPARATUS | 2 |
Takahito Hashimoto | JP | Hitachinaka | 2013-08-08 / 20130202180 - Image Processing Method, Image Processing System, and X-Ray Computed Tomography System | 1 |
Hiroyuki Hashimoto | JP | Nagoya-Shi | 2012-09-27 / 20120244102 - AGENT FOR PREVENTING OR TREATING CROHN'S DISEASE, COMPRISING ORGANIC ACID POLYMER | 1 |
Tomonari Hashimoto | JP | Osaka | 2013-08-08 / 20130201700 - LAMP | 1 |
Masashi Hashimoto | JP | Osaka | 2012-09-27 / 20120244427 - ELECTROLYTE MATERIAL, AND BATTERY MATERIAL AND SECONDARY BATTERY USING SAID ELECTROLYTE MATERIAL | 1 |
Mai Hashimoto | JP | Chiyoda-Ku | 2015-02-05 / 20150037505 - LUBRICANT SOLUTION AND METHOD FOR PRODUCING ARTICLE PROVIDED WITH LUBRICANT COATING FILM | 1 |
Toshihiro Hashimoto | JP | Haga-Gun | 2015-04-30 / 20150121274 - VEHICLE-USE DISPLAY APPARATUS | 3 |
Masashi Hashimoto | JP | Chiyoda-Ku | 2010-02-11 / 20100033044 - MOTOR FOR AN ELECTRIC POWER STEERING APPARATUS | 1 |
Shinichiro Hashimoto | JP | Chiyoda-Ku | 2010-11-11 / 20100281939 - EQUIPMENT FOR PRODUCING LIGHT GAUGE SHAPE SHEET STEEL | 4 |
Tadashi Hashimoto | JP | Chiyoda-Ku | 2014-07-10 / 20140194372 - HEART FAILURE SUPPRESSING AGENT | 1 |
Yasushi Hashimoto | JP | Chiyoda-Ku | 2013-03-14 / 20130065144 - HYDROGEN PRODUCTION APPARATUS AND FUEL CELL SYSTEM | 1 |
Seiji Hashimoto | JP | Oume-Shi | 2011-06-30 / 20110155451 - ELECTRONIC DEVICE | 1 |
Seiji Hashimoto | JP | Ome-Shi | 2014-11-27 / 20140347569 - TELEVISION AND ELECTRONIC APPARATUS | 11 |
Seiji Hashimoto | JP | Tokyo | 2015-09-03 / 20150249340 - POWER RELAY STAND | 3 |
Seiji Hashimoto | JP | Nishinomiya-Shi | 2012-10-04 / 20120254648 - PROGRAM PROCESSING APPARATUS | 1 |
Shintaro Hashimoto | JP | Ibaraki | 2014-07-10 / 20140192501 - MODIFIED SILOXANE COMPOUND HAVING AROMATIC AZOMETHINE, THERMOSETTING RESIN COMPOSITION INCLUDING THEREOF, PREPREG, FILM HAVING RESIN, LAMINATE, MULTI-LAYER PRINTED WIRING BOARD, AND SEMICONDUCTOR PACKAGE | 4 |
Hideki Hashimoto | JP | Okayama-Shi | 2012-12-13 / 20120315437 - NOVEL MICROORGANISM CAPABLE OF PRODUCING OXIDE | 2 |
Keisuke Hashimoto | JP | Kanagawa | 2016-02-25 / 20160055787 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND ELECTRONIC INFORMATION DISPLAY APPARATUS | 2 |
Atsushi Hashimoto | JP | Kanagawa | 2012-11-01 / 20120275564 - RADIATION IMAGING APPARATUS | 2 |
Kaz Hashimoto | US | Jackson Hole | 2013-08-15 / 20130206832 - ELECTRONIC MEDIA MANAGEMENT SYSTEM WITH EXTERNAL REFERENCES | 3 |
Masayuki Hashimoto | JP | Toyota-Shi | 2015-08-13 / 20150224971 - CONTROL APPARATUS FOR VEHICULAR DRIVE SYSTEM | 3 |
Akihisa Hashimoto | JP | Inukami-Gun | 2009-01-08 / 20090008819 - Biodegradable molded article | 1 |
Takahiro Hashimoto | JP | Nishio | 2016-02-25 / 20160056697 - METHOD FOR MANUFACTURING A STATOR FOR A ROTATING ELECTRICAL MACHINE | 1 |
Etsu Hashimoto | JP | Atsugi-Shi | 2015-11-05 / 20150316725 - LIGHT INPUT/OUTPUT DEVICE | 2 |
Yoshinari Hashimoto | JP | Tochigi-Ken | 2010-01-28 / 20100018023 - PRODUCTION DEVICE FOR CONNECTING ROD | 1 |
Chikara Hashimoto | JP | Tokyo | 2016-05-19 / 20160140217 - TEXT MATCHING DEVICE AND METHOD, AND TEXT CLASSIFICATION DEVICE AND METHOD | 3 |
Kento Hashimoto | JP | Kodaira-Shi | 2016-03-03 / 20160059635 - TIRE | 1 |
Masaru Hashimoto | JP | Aichi | 2014-06-26 / 20140174610 - HOLLOW MEMBER AND METHOD FOR FORMING HOLLOW MEMBER | 1 |
Ryohei Hashimoto | JP | Sodegaura-Shi Chiba | 2014-06-26 / 20140175419 - FUSED HETEROCYCLIC AROMATIC DERIVATIVE, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL, AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME | 1 |
Kazuaki Hashimoto | JP | Takamatsu-Shi | 2016-03-03 / 20160060824 - DEVICE FOR INSPECTING SHAPE OF ROAD TRAVEL SURFACE | 1 |
Kazuaki Hashimoto | TW | Zhubei City | 2016-04-07 / 20160099271 - INFRARED IMAGE SENSOR | 2 |
Shigeru Hashimoto | JP | Tochigi | 2010-09-30 / 20100242743 - PASTRY PRODUCING APPARATUS AND PASTRY PRODUCING LINE | 1 |
Shigeharu Hashimoto | JP | Nagoya-City | 2011-03-03 / 20110048388 - HEAT ACCUMULATION ELEMENT | 1 |
Hiroyuki Hashimoto | JP | Yokohama-Shi | 2014-12-04 / 20140354987 - TEST APPARATUS AND METHOD OF OBSERVING BIOPSY SPECIMEN SAMPLED BY USING TEST APPARATUS | 21 |
Yunosuke Hashimoto | JP | Miyagi | 2015-07-09 / 20150194292 - PLASMA PROCESSING APPARATUS, ABNORMALITY DETERMINATION METHOD, AND MICROWAVE GENERATOR | 3 |
Yuichiro Hashimoto | JP | Kyoto-Shi | 2012-06-21 / 20120152364 - GAS CONCENTRATION CONTROLLER SYSTEM | 2 |
Rei Hashimoto | JP | Edogawa-Ku | 2015-01-22 / 20150021546 - SEMICONDUCTOR LIGHT EMITTING DEVICE, SEMICONDUCTOR WAFER, AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Yasushi Hashimoto | JP | Tochigi | 2012-04-19 / 20120095546 - MARKER AND STENT | 4 |
Takao Hashimoto | JP | Kyoto-Shi | 2014-12-04 / 20140354304 - CAPACITIVE TYPE TOUCH SENSOR WITH OPTICAL FUNCTIONALITY | 12 |
Masayuki Hashimoto | JP | Kyoto-Shi | 2015-02-05 / 20150038654 - EMULSIFIER FOR EMULSION POLYMERIZATION AND EMULSION POLYMERIZATION METHOD USING SAME | 5 |
Takenori Hashimoto | JP | Tochigi | 2009-01-08 / 20090008068 - Heat Exchanger Tube, Heat Exchanger, and Manufacturing Method Thereof | 1 |
Kinichi Hashimoto | JP | Yamato-Shi | 2012-10-11 / 20120255508 - Valve Lifter of Internal Combustion Engine and Method of Fabricating the Valve Lifter | 1 |
Ikuro Hashimoto | JP | Kariya-City | 2011-05-12 / 20110112740 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE AND METHOD FOR CONTROLLING INTERNAL COMBUSTION ENGINE | 1 |
Ikuro Hashimoto | JP | Chiryu-City | 2009-11-12 / 20090278548 - DEGRADATION DETERMINATION DEVICE FOR HEATER OF GAS SENSOR AND METHOD FOR DIAGNOSING THE HEATER | 1 |
Naoto Hashimoto | JP | Tochigi | 2011-05-05 / 20110103217 - OPTICAL INFORMATION RECORDING/REPRODUCING OPTICAL SYSTEM AND OPTICAL INFORMATION RECORDING/REPRODUCING APPARATUS | 3 |
Hirofumi Hashimoto | JP | Tochigi | 2008-12-04 / 20080299388 - Adhesive Composition and Adhesive Sheet | 1 |
Shin-Ichi Hashimoto | JP | Hofu-Shi | 2011-05-26 / 20110124059 - METHOD FOR PRODUCTION OF L-GLUTAMINE | 9 |
Tatsuaki Hashimoto | JP | Abiko-Shi | 2008-09-25 / 20080232869 - IMAGE FIXING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Tsutomu Hashimoto | JP | Kyoto | 2012-10-18 / 20120263230 - IMAGE CODING APPARATUS AND INTEGRATED CIRCUIT | 1 |
Satoshi Hashimoto | JP | Tokyo | 2016-02-11 / 20160041413 - LIQUID CRYSTAL DISPLAY DEVICE | 13 |
Masashi Hashimoto | JP | Tokyo | 2014-12-25 / 20140374723 - NOVEL ORGANIC COMPOUND, ORGANIC LIGHT EMITTING DEVICE, AND IMAGE DISPLAY DEVICE | 44 |
Hideyuki Hashimoto | JP | Hitachiaka-Shi | 2015-01-15 / 20150015094 - POWER TOOL HAVING CIRCUIT BOARD | 1 |
Shingo Hashimoto | JP | Okazaki | 2016-02-25 / 20160056697 - METHOD FOR MANUFACTURING A STATOR FOR A ROTATING ELECTRICAL MACHINE | 8 |
Katsuyuki Hashimoto | JP | Anpachi-Gun | 2014-10-02 / 20140295174 - MANUFACTURING PROCESS FOR COATED POLYESTER FILM | 3 |
Katsuyuki Hashimoto | JP | Gifu | 2009-01-08 / 20090011228 - MANUFACTURING PROCESS FOR COATED POLYESTER FILM | 1 |
Kazuhito Hashimoto | JP | Tokyo | 2015-10-01 / 20150275379 - WATER SPLITTING CATALYST, AND METHOD FOR PRODUCING OXYGEN AND HYDROGEN USING THE SAME | 18 |
Yasuki Hashimoto | US | New York | 2009-02-19 / 20090046465 - Power LED lighting assembly | 2 |
Masaki Hashimoto | JP | Ibaraki-Shi | 2013-08-15 / 20130205664 - PLANT CULTIVATION CONTAINER | 4 |
Susumu Hashimoto | JP | Nerima-Ku | 2008-10-02 / 20080239590 - Magneto-resistance effect element, magnetic head, magnetic recording/reproducing device and method for manufacturing a magneto-resistance effect element | 1 |
Susumu Hashimoto | JP | Nagoya | 2008-12-04 / 20080297626 - IMAGING DEVICE | 1 |
Yasuharu Hashimoto | JP | Minami-Minowa | 2009-01-08 / 20090009109 - METHOD FOR DRIVING ULTRASONIC MOTOR | 1 |
Yasuharu Hashimoto | JP | Minamiminowa-Mura | 2010-09-30 / 20100245518 - PIEZOELECTRIC MOTOR, LIQUID EJECTING APPARATUS AND TIMEPIECE | 2 |
Yasuharu Hashimoto | JP | Fukuoka-Shi | 2010-02-11 / 20100037124 - WIRELESS COMMUNICATION APPARATUS, WIRELESS LAN SYSTEM, INTERFERENCE DETECTING METHOD, AND INTERFERENCE AVOIDANCE METHOD | 1 |
Susumu Hashimoto | JP | Ebina-Shi | 2009-04-16 / 20090097166 - Magnetoresistive element, magnetic head and magnetic recording/reproducing apparatus | 2 |
Susumu Hashimoto | JP | Tokyo | 2016-04-07 / 20160099015 - MAGNETIC HEAD, MAGNETIC RECORDING AND REPRODUCING APPARATUS, AND METHOD OF MANUFACTURING MAGNETIC HEAD | 18 |
Susumu Hashimoto | JP | Kawasaki-Shi | 2011-03-03 / 20110051884 - X-RAY TUBE AND X-RAY CT APPARATUS | 1 |
Susumu Hashimoto | JP | Osaka-Fu | 2010-04-01 / 20100081320 - L-SHAPED COAXIAL CONNECTOR AND THE MANUFACTURING METHOD | 3 |
Tatsuya Hashimoto | KR | Suwon-Si | 2011-10-06 / 20110244314 - SECONDARY BATTERY | 12 |
Youhei Hashimoto | JP | Yokosuka-Shi | 2014-12-25 / 20140374344 - METHOD FOR TREATING WASTEWATER CONTAINING AMMONIA NITROGEN | 1 |
Akihiro Hashimoto | JP | Kanagawa-Ken | 2016-05-05 / 20160124550 - WIRING SUBSTRATE | 1 |
Naoki Hashimoto | JP | Kanagawa | 2015-01-29 / 20150031242 - Electrical Connector | 2 |
Masahiko Hashimoto | JP | Toyonaka-City | 2010-09-30 / 20100249613 - MASSAGE APPARATUS AND MASSAGE PROGRAM | 1 |
Masahiko Hashimoto | JP | Tokyo | 2010-09-09 / 20100228268 - SURGICAL STAPLE REMOVER | 2 |
Masahiko Hashimoto | JP | Kanagawa | 2016-03-17 / 20160075138 - LIQUID DISCHARGE DEVICE, MOISTURE RETENTION CAP, AND METHOD FOR CLEANING INSIDE OF MOISTURE RETENTION CAP | 4 |
Masahiko Hashimoto | JP | Osaka | 2014-10-02 / 20140293737 - ACOUSTO-OPTIC IMAGE CAPTURE DEVICE | 22 |
Koji Hashimoto | JP | Atsugi-Shi | 2014-06-05 / 20140155289 - NUCLEIC ACID ANALYSIS METHOD | 11 |
Ayumu Hashimoto | JP | Kanagawa | 2016-01-28 / 20160028920 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING SYSTEM | 2 |
Ryuji Hashimoto | JP | Tokyo | 2016-03-24 / 20160086703 - R-T-B BASED PERMANENT MAGNET | 14 |
Kenzaburo Hashimoto | JP | Osaka | 2012-11-01 / 20120277007 - MAGNESIUM-BASED ALLOY SCREW AND PRODUCING METHOD THEREOF | 1 |
Mitsuo Hashimoto | JP | Iwaka-Shi | 2014-07-31 / 20140212669 - ROUNDED ZINC PEROXIDE PARTICLES, ROUNDED ZINC OXIDE PARTICLES, METHOD FOR PRODCUTION THEREOF, COSMETIC AND HEAT RELEASING FILLER | 1 |
Tadafumi Hashimoto | JP | Uji-Shi | 2013-01-03 / 20130005869 - CATIONIC MICROFIBRILLATED PLANT FIBRE AND MANUFACTURING METHOD FOR SAME | 3 |
Hideki Hashimoto | JP | Tokyo | 2016-03-31 / 20160093450 - CAPACITOR | 3 |
Masahiro Hashimoto | JP | Tokyo | 2016-02-11 / 20160041464 - MASK BLANK, TRANSFER MASK AND METHOD OF MANUFACTURING TRANSFER MASK | 33 |
Masahiro Hashimoto | JP | Yamanashi | 2008-12-11 / 20080305406 - Photomask Blank, Photomask Manufacturing Method and Semiconductor Device Manufacturing Method | 1 |
Koji Hashimoto | JP | Utsunomiya-Shi | 2013-01-17 / 20130015047 - SWITCH UNITAANM Fujimoto; TakeyoshiAACI Utsunomiya-shiAACO JPAAGP Fujimoto; Takeyoshi Utsunomiya-shi JPAANM Motohashi; YasuoAACI New DelhiAACO INAAGP Motohashi; Yasuo New Delhi INAANM Hashimoto; KojiAACI Utsunomiya-shiAACO JPAAGP Hashimoto; Koji Utsunomiya-shi JP | 1 |
Yutaka Hashimoto | JP | Yokohama-Shi | 2013-07-11 / 20130177357 - PRELIMINARY CONSTRUCTION METHOD OF IN-PLANT TRENCH OF NUCLEAR POWER PLANT | 1 |
Tatsuya Hashimoto | JP | Kanonji-Shi | 2015-05-28 / 20150148768 - WEARING ARTICLE | 16 |
Masanori Hashimoto | JP | Wako-Shi | 2014-12-18 / 20140371058 - Catalyst Structure for Treating Exhaust Gas | 1 |
Kozue Hashimoto | JP | Tokyo | 2015-06-04 / 20150152812 - VAPOR JETTING DEVICE AND SPACECRAFT | 2 |
Shu Hashimoto | JP | Inagi-Shi | 2013-07-11 / 20130175827 - HOOD INNER PANEL | 1 |
Kenichi Hashimoto | JP | Oume-Shi | 2016-01-07 / 20160003306 - CROSS SHAFT JOINT AND STEERING DEVICE FOR VEHICLE USING THE SAME | 2 |
Kenichi Hashimoto | JP | Fukuoka | 2014-06-19 / 20140165907 - CONVEYANCE APPARATUS AND ROBOT SYSTEM | 1 |
Kenichi Hashimoto | JP | Shimotsuga | 2015-05-21 / 20150140713 - PEELING APPARATUS AND MANUFACTURING APPARATUS OF SEMICONDUCTOR DEVICE | 7 |
Kenichi Hashimoto | JP | Toyama | 2008-12-11 / 20080302859 - METHOD OF REFLOW SOLDERING A PRINTED CIRCUIT BOARD WHEREIN AN ELECTROCONDUCTIVE COATING MATERIAL IS USED | 1 |
Kunihide Hashimoto | JP | Ikoma-Shi | 2014-07-24 / 20140205802 - CAST PRODUCT HAVING ALUMINA BARRIER LAYER | 2 |
Tadanori Hashimoto | JP | Tsu-Shi | 2010-02-04 / 20100025235 - METHOD FOR PRODUCTION OF RESPONSIVE GLASS MEMBRANE FOR ION ELECTRODE, RESPONSIVE GLASS MEMBRANE FOR ION ELECTRODE, AND ION ELECTRODE | 1 |
Tadanori Hashimoto | JP | Tsu | 2008-08-28 / 20080207428 - RESPONSIVE GLASS MEMBRANE FOR ION SELECTIVE ELECTRODE AND ION SELECTIVE ELECTRODE | 2 |
Kiyoaki Hashimoto | JP | Kanagawa | 2016-01-07 / 20160005711 - SEMICONDUCTOR CHIP ASSEMBLY AND METHOD FOR MAKING SAME | 4 |
Kentaro Hashimoto | JP | Ibaraki | 2010-05-27 / 20100130446 - NITROGEN-CONTAINING FIVE-MEMBERED HETEROCYCLIC COMPOUND | 1 |
Yasushi Hashimoto | JP | Ibaraki | 2016-03-03 / 20160059586 - TREATMENT-LIQUID APPLICATION APPARATUS AND IMAGE FORMING SYSTEM INCORPORATING SAME | 7 |
Noriaki Hashimoto | JP | Ibaraki | 2010-10-14 / 20100261699 - N-PYRAZOLE-2-PYRIDINE CARBOXAMIDE DERIVATIVE | 2 |
Toshiharu Hashimoto | JP | Ibaraki | 2009-03-26 / 20090081059 - Pump | 1 |
Toshiya Hashimoto | JP | Miyoshi-Shi | 2015-12-31 / 20150375635 - CONTROL DEVICE FOR VEHICLE | 12 |
Masashi Hashimoto | JP | Ibaraki | 2010-08-26 / 20100215137 - Method and apparatus for producing radioisotope | 1 |
Toshiya Hashimoto | JP | Nishikamo-Gun | 2012-03-15 / 20120065826 - VIBRATION-DAMPING CONTROLLING APPARATUS | 1 |
Isao Hashimoto | JP | Ibaraki | 2009-05-28 / 20090134338 - Electron Beam Irradiation Method, Electron Beam Irradiation Apparatus, and Electron Beam Irradiation Apparatus for Open-Mouthed Container | 1 |
Hiroshi Hashimoto | JP | Kobe-Shi | 2013-08-08 / 20130200744 - BRUSHLESS DC MOTOR AND METHOD FOR CONTROLLING THE SAME | 4 |
Hidenao Hashimoto | JP | Fujisawa-Shi | 2010-08-05 / 20100195347 - COMBINATION LAMP ATTACHMENT STRUCTURE | 1 |
Yoshito Hashimoto | JP | Nabari-Shi | 2012-01-05 / 20120002144 - Liquid crystal display device | 3 |
Yoshito Hashimoto | JP | Osaka | 2012-01-05 / 20120001840 - LIQUID CRYSTAL DISPLAY DEVICE | 10 |
Yoshito Hashimoto | JP | Mie | 2013-05-09 / 20130114029 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Yoshito Hashimoto | JP | Nabari-Shi, Mie | 2009-10-29 / 20090268141 - LIQUID CRYSTAL DISPLAY UNIT | 1 |
Hiroki Hashimoto | JP | Kyoto-Fu | 2014-03-20 / 20140077917 - ELECTRONIC COMPONENT AND METHOD FOR PRODUCING SAME | 4 |
Hiroki Hashimoto | JP | Shiga-Ken | 2011-02-17 / 20110037557 - MULTILAYER COIL COMPONENT AND METHOD FOR MANUFACTURING THE SAME | 3 |
Hiroki Hashimoto | JP | Saitama-Ken | 2011-05-19 / 20110118933 - VEHICLE DIAGNOSING APPARATUS | 1 |
Hiroki Hashimoto | JP | Ichihara-Shi | 2015-10-01 / 20150274863 - POLYBUTADIENE DERIVATIVE COMPOSITION | 5 |
Akiyoshi Hashimoto | JP | Kawasaki-Shi | 2012-02-23 / 20120047502 - COMPUTER SYSTEM, CONTROL APPARATUS, STORAGE SYSTEM AND COMPUTER DEVICE | 2 |
Lara Hashimoto | CA | North York | 2009-11-19 / 20090286235 - Mdr1 Snp in Acute Rejection | 2 |
Lara Hashimoto | US | North York | 2010-04-15 / 20100092947 - Impdh2 snp associated with acute rejection | 1 |
Tsutomu Hashimoto | JP | Yokkaichi-City | 2014-12-04 / 20140357127 - CONNECTOR WITH ELECTRONIC COMPONENT | 1 |
Koujiro Hashimoto | JP | Yokohama | 2015-03-12 / 20150071744 - LIBRARY APPARATUS AND ARTICLE TRANSPORTING APPARATUS | 5 |
Seiji Hashimoto | JP | Musashino-Shi | 2014-12-04 / 20140355210 - STAND FOR ELECTRONIC DEVICE AND ELECTRONIC DEVICE | 2 |
Shigeharu Hashimoto | JP | Yokohama-Shi | 2015-02-12 / 20150040879 - STRUCTURE OF COMBUSTION CHAMBER FOR ENGINE AND INNER WALL STRUCTURE OF FLOW PATH | 2 |
Hideo Hashimoto | JP | Kobe-Shi | 2013-10-24 / 20130281704 - SALTS OF BENZIMIDAZOLE COMPOUND AND USE THEREOF | 2 |
Hideo Hashimoto | JP | Kyoto | 2011-06-09 / 20110132415 - WASHING DEVICE | 3 |
Hideo Hashimoto | JP | Hyogo | 2011-10-20 / 20110257405 - PROCESS FOR PRODUCING CRYSTAL | 3 |
Haruhisa Hashimoto | JP | Osaka | 2016-05-05 / 20160126387 - SOLAR CELL MODULE | 13 |
Hideo Hashimoto | JP | Uji-Shi | 2012-06-28 / 20120160281 - WASHING APPARATUS | 2 |
Hideo Hashimoto | JP | Osaka-Shi | 2011-01-27 / 20110020410 - STABLE SOLID PREPARATIONS | 1 |
Hideo Hashimoto | JP | Uji-City | / - | 1 |
Nozomu Hashimoto | JP | Osaka | 2014-07-24 / 20140204594 - ILLUMINATION LIGHT SOURCE AND LIGHTING APPARATUS | 9 |
Hideo Hashimoto | JP | Hikari-Shi | 2011-02-24 / 20110046183 - CRYSTAL OF BENZIMIDAZOLE COMPOUND | 1 |
Kohtaro Hashimoto | JP | Wako-Shi, Saitama | 2016-04-28 / 20160115880 - COMBUSTION CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Tatsunori Hashimoto | US | Woodside | 2013-07-04 / 20130173503 - COMPOUND SELECTION IN DRUG DISCOVERY | 1 |
Kokichi Hashimoto | JP | Shiga | 2010-10-28 / 20100272974 - MULTILAYER POLYESTER FILM | 2 |
Daisuke Hashimoto | JP | Hagagun | 2014-12-04 / 20140353116 - PART MOUNTING FACILITY AND PART MOUNTING METHOD | 1 |
Kokichi Hashimoto | JP | Otsu | 2012-04-26 / 20120100370 - POLYESTER LAMINATED FILM AND TRANSFER FOIL | 2 |
Sachie Hashimoto | JP | Tokyo | 2013-07-04 / 20130167720 - GAS PURIFICATION METHOD | 1 |
Shoji Hashimoto | JP | Wako-Shi | 2012-11-22 / 20120294050 - INVERTER GENERATOR | 11 |
Kokichi Hashimoto | JP | Oita | 2016-03-24 / 20160087002 - SOLID STATE IMAGING DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Naoyoshi Hashimoto | JP | Shiojiri-Shi | 2010-09-30 / 20100245462 - LIQUID EJECTING APPARATUS AND MAINTENANCE METHOD FOR A LIQUID EJECTING APPARATUS | 1 |
Kazuma Hashimoto | JP | Anjo-Shi | 2010-07-29 / 20100188200 - Device and method for warning of lane deviation detection | 4 |
Moriyuki Hashimoto | JP | Kiyosu-Shi | 2016-03-31 / 20160090027 - INDIRECT LIGHT-EMITTING FRONT GRILL | 1 |
Katsunori Hashimoto | JP | Toyokawa-Shi | 2010-12-09 / 20100308514 - CORRECTING APPARATUS OF SEAMLESS BELT, AND CORRECTING METHOD OF SEAMLESS BELT | 1 |
Norikazu Hashimoto | JP | Utsunomiya-Shi | 2010-10-21 / 20100263466 - METHOD FOR ADJUSTING MESHING POSITION OF HYPOID GEAR | 1 |
Tatsuya Hashimoto | JP | Wakayama | 2009-03-12 / 20090064487 - METHOD OF MANUFACTURING PRISMATIC BATTERY | 1 |
Tatsuya Hashimoto | JP | Ishikawa | 2009-07-09 / 20090176147 - Lithium Ion Secondary Battery | 2 |
Tatsuya Hashimoto | JP | Ibaraki | 2011-09-08 / 20110218128 - LUBRICATING GREASE COMPOSITION AND METHOD FOR PRODUCING THE SAME | 5 |
Tatsuya Hashimoto | KR | Yongin-Si | 2014-04-17 / 20140106205 - SECONDARY BATTERY | 6 |
Takaya Hashimoto | JP | Izumi-Shi | 2013-06-20 / 20130152541 - WIRE ROPE | 1 |
Tatsuya Hashimoto | JP | Kagawa | 2014-04-17 / 20140102618 - METHOD FOR MANUFACTURING STRETCH SHEET | 10 |
Tatsuya Hashimoto | JP | Kanonji-Shi | 2015-05-28 / 20150148768 - WEARING ARTICLE | 16 |
Gakuji Hashimoto | JP | Tokyo | 2014-05-29 / 20140144817 - MICROPARTICLE SORTING DEVICE AND METHOD FOR CONTROLLING POSITION IN MICROPARTICLE SORTING DEVICE | 1 |
Yoshihito Hashimoto | JP | Amagasaki-Shi | 2009-09-24 / 20090240641 - OPTIMIZING METHOD OF LEARNING DATA SET FOR SIGNAL DISCRIMINATION APPARATUS AND SIGNAL DISCRIMINATION APPARATUS CAPABLE OF OPTIMIZING LEARNING DATA SET | 1 |
Masaki Hashimoto | JP | Kanagawa | 2010-03-11 / 20100062413 - METHOD OF EVALUATING IBD, AMINO ACID DATA PROCESSOR, AMINO ACID DATA-PROCESSING METHOD, AMINO ACID DATA-PROCESSING SYSTEM, AMINO-ACID DATA-PROCESSING PROGRAM AND RECORDING MEDIUM | 1 |
Masaki Hashimoto | JP | Kawasaki-Shi | 2012-12-27 / 20120329846 - THERAPEUTIC AGENT FOR INFLAMMATORY BOWEL DISEASE AND TNF-ALPHA PRODUCTION INHIBITOR | 4 |
Masaki Hashimoto | JP | Osaka | 2015-03-05 / 20150059244 - PLANTING DEVICE | 8 |
Ryoko Hashimoto | JP | Kanagawa | 2013-07-11 / 20130176605 - IMAGE PROCESSING APPARATUS AND METHOD, SPECIFYING MARK ESTIMATING APPARATUS AND METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Yuji Hashimoto | JP | Kanagawa | 2015-07-02 / 20150186020 - PORTABLE TERMINAL DEVICE AND DISPLAY CONTROL METHOD | 9 |
Naoki Hashimoto | JP | Ibaraki-Shi | 2016-04-28 / 20160115340 - HARD COAT FILM AND HARD COAT FILM WOUND BODY | 9 |
Eiji Hashimoto | JP | Wakayama | 2009-03-19 / 20090074858 - SUSTAINED-RELEASE FORMULATION | 1 |
Eiji Hashimoto | JP | Matsudo | 2012-12-20 / 20120321403 - DRILL BIT | 3 |
Eiji Hashimoto | JP | Saitama-Ken | 2012-05-10 / 20120117011 - CONTROL SYSTEM FOR PLANT | 3 |
Eiji Hashimoto | JP | Shizuoka-Ken | 2012-03-29 / 20120073274 - INTERNAL COMBUSTION ENGINE | 1 |
Eiji Hashimoto | JP | Susono-Shi | 2013-07-25 / 20130186074 - INTERNAL COMBUSTION ENGINE | 4 |
Yoshihide Hashimoto | JP | Warabi-Shi | 2009-11-19 / 20090285533 - Optical fiber array | 1 |
Yutaka Hashimoto | JP | Kawasaki-Shi | 2013-10-31 / 20130288397 - MAGNETORESISTIVE EFFECT ELEMENT, MAGNETIC MEMORY, AND METHOD OF MANUFACTURING MAGENTORESISTIVE EFFECT ELEMENT | 5 |
Takuya Hashimoto | JP | Kawasaki-Shi | 2012-09-06 / 20120225177 - METHOD FOR PRODUCING CARBONATED BEVERAGE | 1 |
Shogo Hashimoto | JP | Kawasaki-Shi | 2013-02-14 / 20130038647 - NAIL PRINT APPARATUS AND PRINTING CONTROL METHOD | 2 |
Kouhei Hashimoto | JP | Kawasaki-Shi | 2014-04-03 / 20140091378 - SOLID-STATE IMAGING DEVICE AND IMAGE CAPTURING SYSTEM | 6 |
Kazuya Hashimoto | JP | Kawasaki-Shi | 2009-03-19 / 20090073466 - IMAGE FORMING SYSTEM, DATA PROCESSOR AND DATA PROCESSING METHOD | 1 |
Takeshi Hashimoto | JP | Motomiya-Shi, Fukushima | 2016-05-12 / 20160134985 - PROPAGATION DELAY CORRECTION APPARATUS AND PROPAGATION DELAY CORRECTION METHOD | 2 |
Hiroshi Hashimoto | JP | Osaka | 2010-11-11 / 20100287270 - CONTROL PROXY APPARATUS AND CONTROL PROXY METHOD | 8 |
Toshihiro Hashimoto | JP | Wako-Shi | 2014-11-20 / 20140343799 - DRIVING SUPPORT DEVICE AND DRIVING SUPPORT METHOD | 1 |
Fuminori Hashimoto | JP | Fukaya-Shi | 2012-11-29 / 20120299095 - SEMICONDUCTOR DEVICE | 1 |
Susumu Hashimoto | JP | Osaka | 2012-11-29 / 20120299236 - PAPER FEEDER AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 1 |
Yosuke Hashimoto | JP | Yamaguchi | 2014-11-20 / 20140339321 - PRESSURE FEED CONTAINER, STORAGE METHOD USING THE PRESSURE FEED CONTAINER, AND METHOD FOR TRANSFERRING LIQUID USING THE PRESSURE FEED CONTAINER | 1 |
Takahiro Hashimoto | JP | Koshi-Shi | 2008-10-30 / 20080268383 - COATING AND DEVELOPING SYSTEM, COATING AND DEVELOPING METHOD AND STORAGE MEDIUM | 4 |
Takahiro Hashimoto | JP | Takefu-Shi | 2008-11-13 / 20080277028 - Rare-Earth Alloy, Rare-Earth Sintered Magnet, And Methods Of Manufacturing | 1 |
Takahiro Hashimoto | JP | Tokyo | 2012-09-06 / 20120224220 - PRINTING MANAGEMENT DEVICE, PRINTING MANAGEMENT METHOD, PRINTING SYSTEM AND RECORDING MEDIUM | 8 |
Takahiro Hashimoto | JP | Osaka-Shi | 2015-03-26 / 20150083209 - COATABLE DIFFUSING AGENT COMPOSITION, METHOD FOR PRODUCING COATABLE DIFFUSING AGENT COMPOSITION, SOLAR CELL, AND METHOD FOR MANUFACTURING SOLAR CELL | 2 |
Junpei Hashimoto | JP | Hiratsuka-Shi | 2012-11-29 / 20120302697 - COATING COMPOSITION, COATED ARTICLE, AND PROCESS FOR FORMATION OF MULTILAYER COATING FILM | 1 |
Takashi Hashimoto | JP | Fukuoka | 2014-09-11 / 20140252606 - INTEGRATED CIRCUIT, MULTICORE PROCESSOR APPARATUS, AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT | 10 |
Takeshi Hashimoto | JP | Moriya-Shi | 2016-04-21 / 20160109820 - TONER | 8 |
Susumu Hashimoto | JP | Kyoto | 2014-07-31 / 20140213107 - COAXIAL CONNECTOR | 1 |
Kazuaki Hashimoto | JP | Chiba-Shi | 2011-09-22 / 20110227635 - VOLTAGE DIVIDER CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Yasunobu Hashimoto | JP | Kawasaki | 2011-11-03 / 20110267378 - Method for driving plasma display panel | 11 |
Kazuaki Hashimoto | JP | Kagawa | 2011-03-24 / 20110071769 - METHOD AND APPARATUS FOR DETERMINING STRUCTURAL DAMAGE DEPTH, AND METHOD AND APPARATUS FOR DETERMINING STRUCTURAL DAMAGE TREATMENT | 3 |
Kazuaki Hashimoto | JP | Shinjuku-Ku | 2014-11-13 / 20140334276 - GLASS FOR MAGNETIC RECORDING MEDIUM SUBSTRATE AND USAGE THEREOF | 4 |
Sakae Hashimoto | JP | Sagamihara-Shi | 2016-05-05 / 20160126280 - SOLID-STATE IMAGE SENSOR AND IMAGING SYSTEM | 9 |
Tatsuya Hashimoto | JP | Osaka-Shi | 2016-03-31 / 20160093877 - NONAQUEOUS ELECTROLYTE RECHARGEABLE BATTERY | 11 |
Mimi Hashimoto | JP | Kasugai-Shi | 2009-10-01 / 20090247662 - Contact Lens Material | 1 |
Katsumi Hashimoto | JP | Chiba | 2009-05-07 / 20090116771 - LUBRICANT COMPOSITION, BEARING OIL AND BEARING USING SAME | 2 |
Satoshi Hashimoto | JP | Kanuma-Shi | 2015-05-28 / 20150144375 - CABLE | 2 |
Takashi Hashimoto | JP | Ikoma-Shi | 2016-02-04 / 20160032299 - REGULATING ALKALOIDS | 7 |
Takashi Hashimoto | JP | Nagoya-Shi | 2008-11-27 / 20080289285 - WEATHER STRIP STRUCTURE | 1 |
Takashi Hashimoto | JP | Chiba | 2009-02-19 / 20090045423 - SEMICONDUCTOR LIGHT-EMITTING DEVICE | 1 |
Takashi Hashimoto | JP | Osaka | 2010-04-15 / 20100092752 - RESIN MOLDED BODY, MICROCHIP, AND PRODUCTION METHOD OF THE SAME | 2 |
Takashi Hashimoto | JP | Yokohama | 2012-02-16 / 20120041678 - POSITION INFORMATION DETECTING APPARATUS, POSITION INFORMATION DETECTING METHOD, POSITION INFORMATION DETECTING PROGRAM AND STORAGE MEDIUM | 3 |
Takashi Hashimoto | JP | Iruma | 2010-08-12 / 20100202205 - SEMICONDUCTOR DEVICE | 1 |
Takashi Hashimoto | JP | Hyogo | 2015-11-12 / 20150323591 - THREE-DIMENSIONAL INTEGRATED CIRCUIT AND TESTING METHOD FOR THE SAME | 14 |
Nobuyuki Hashimoto | JP | Chiba | 2009-05-28 / 20090134338 - Electron Beam Irradiation Method, Electron Beam Irradiation Apparatus, and Electron Beam Irradiation Apparatus for Open-Mouthed Container | 1 |
Shigeru Hashimoto | JP | Inagi | 2013-10-24 / 20130277433 - RFID TAG | 15 |
Ken Hashimoto | JP | Kanagawa | 2011-02-10 / 20110032315 - INK ABSORBING PARTICLE, MATERIAL SET FOR RECORDING AND RECORDING APPARATUS | 21 |
Hideo Hashimoto | JP | Osaka | 2014-07-24 / 20140205670 - STABLE SOLID PREPARATIONS | 1 |
Akira Hashimoto | JP | Fukuoka | 2016-03-31 / 20160094900 - OPTICAL TRANSMISSION DEVICE AND OPU FRAME GENERATION METHOD | 2 |
Takashi Hashimoto | JP | Ohtawara-City | 2014-10-30 / 20140320112 - CURRENT DETECTING DEVICE | 1 |
Nobuyuki Hashimoto | JP | Saitama | 2015-11-26 / 20150338639 - PHASE MODULATION DEVICE AND LASER MICROSCOPE | 6 |
Daisuke Hashimoto | JP | Yokkaichi | 2015-05-07 / 20150122543 - WIRING HARNESS | 3 |
Masaichi Hashimoto | JP | Miyagi | 2015-08-27 / 20150241346 - LIGHT MEASUREMENT APPARATUS, METHOD, PROGRAM AND RECORDING MEDIUM | 4 |
Toshiya Hashimoto | JP | Miyoshi-Shi | 2015-12-31 / 20150375635 - CONTROL DEVICE FOR VEHICLE | 12 |
Mitsuo Hashimoto | JP | Fukushima | 2014-10-30 / 20140322286 - SURFACE TREATED ZINC OXIDE POWDER, ANTIBACTERIAL AGENT, AND ANTIBACTERIAL COMPOSITION | 8 |
Eiji Hashimoto | JP | Tsukuba-Shi, Ibaraki | 2016-04-21 / 20160107189 - SYSTEM FOR MANUFACTURING MICRONEEDLE PREPARATION, AND AIR-CONDITIONING METHOD | 1 |
Koji Hashimoto | JP | Obu-City | 2014-10-23 / 20140315356 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shingo Hashimoto | JP | Anjo-Shi | 2011-05-05 / 20110099797 - MANUFACTURING METHOD AND MANUFACTURING APPARATUS FOR STATOR | 1 |
Yoshito Hashimoto | JP | Osaka-Shi | 2016-03-24 / 20160085122 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Shingo Hashimoto | JP | Aichi-Ken | 2009-01-01 / 20090001211 - Winding Apparatus | 1 |
Tadao Hashimoto | US | Santa Barbara | 2016-05-12 / 20160130720 - BISMUTH-DOPED SEMI-INSULATING GROUP III NITRIDE WAFER AND ITS PRODUCTION METHOD | 47 |
Toyoki Hashimoto | JP | Hyogo | 2014-10-23 / 20140311876 - BELT CONVEYOR | 1 |
Daisuke Hashimoto | JP | Kawasaki-Shi | 2015-03-12 / 20150074371 - STORAGE ARRAY SYSTEM AND NON-TRANSITORY RECORDING MEDIUM STORING CONTROL PROGRAM | 2 |
Koshiro Hashimoto | US | Rutherford | 2012-12-06 / 20120308065 - SOUND BAR | 1 |
Yohei Hashimoto | JP | Aichi-Ken | 2012-12-06 / 20120308265 - Image Forming Apparatus and Rotating Body Unit | 1 |
Masafumi Hashimoto | JP | Ube-Shi | 2016-03-24 / 20160082703 - COPPER CLAD LAMINATE | 2 |
Masafumi Hashimoto | JP | Tokyo | 2010-02-25 / 20100045167 - SURFACE LIGHT-EMITTING DEVICE AND ILLUMINATING APPARATUS AND DISPLAY APPARATUS INCLUDING THE SAME | 1 |
Masafumi Hashimoto | JP | Sakai-Shi | 2010-03-25 / 20100073977 - ELECTRIC POWER SUPPLY CIRCUIT AND PAM CONTROL METHOD FOR THE SAME | 2 |
Masafumi Hashimoto | JP | Osaka | 2012-05-24 / 20120126776 - VOLTAGE SMOOTHING CIRCUIT | 7 |
Shingo Hashimoto | JP | Okazaki-Shi | 2016-01-28 / 20160028295 - METHOD OF MANUFACTURING STATOR FOR ROTARY ELECTRIC MACHINE | 9 |
Takuya Hashimoto | JP | Chiba | 2009-11-12 / 20090280878 - GAME DEVICE, GAME DEVICE CONTROL METHOD, AND INFORMATION STORAGE MEDIUM | 1 |
Hirofumi Hashimoto | JP | Kanagawa | 2014-11-20 / 20140342789 - GAME DEVICE, GAME CONTROL PROGRAM, AND METHOD FOR CONTROLLING GOLF GAME | 3 |
Syuzo Hashimoto | JP | Aichi | 2011-04-28 / 20110098893 - VEHICLE OCCUPANT PROTECTION DEVICE | 1 |
Daisuke Hashimoto | JP | Yokohama-Shi | 2016-02-25 / 20160054936 - INFORMATION PROCESSING SYSTEM AND NONVOLATILE STORAGE UNIT | 12 |
Masami Hashimoto | JP | Aichi | 2009-12-10 / 20090306781 - High Wear-Resistant Bearing Material and Artificial Joint Replacement Using the Same | 1 |
Kenji Hashimoto | JP | Aichi | 2009-11-26 / 20090290849 - Image processing apparatus, image processing method, image playback apparatus, image playback method, and program | 1 |
Mamoru Hashimoto | JP | Aichi | 2009-06-11 / 20090146090 - Pilot Type Water Discharging/Stopping and Flow Regulating Valve Device | 1 |
Fumio Hashimoto | JP | Aichi | 2011-05-12 / 20110111320 - STACK STRUCTURE FOR LAMINATED SOLID OXIDE FUEL CELL, LAMINATED SOLID OXIDE FUEL CELL AND MANUFACTURING METHOD | 1 |
Kouichi Hashimoto | JP | Chiba | 2010-03-04 / 20100055684 - COMPOSITION FOR NUCLEIC ACID TRANSFECTION | 3 |
Taiji Hashimoto | JP | Chiba | 2010-03-25 / 20100074820 - XENON RETRIEVAL SYSTEM AND RETRIEVAL DEVICE | 1 |
Hideaki Hashimoto | JP | Chiba | 2010-12-30 / 20100329589 - Air-Cushioning Material and Bag For Transporting Packaging Object | 1 |
Yasuhiro Hashimoto | JP | Mishima-Shi | 2015-08-27 / 20150241806 - TONER | 12 |
Takayuki Hashimoto | JP | Aichi | 2011-05-12 / 20110111320 - STACK STRUCTURE FOR LAMINATED SOLID OXIDE FUEL CELL, LAMINATED SOLID OXIDE FUEL CELL AND MANUFACTURING METHOD | 1 |
Masaoki Hashimoto | JP | Aichi | 2011-05-05 / 20110101575 - PREFORM FOR COMPOSITE MATERIAL AND PROCESS FOR PRODUCING THE SAME | 1 |
Kenya Hashimoto | JP | Chiba | 2014-07-10 / 20140191826 - PIEZOELECTRIC THIN FILM RESONATOR AND FILTER | 3 |
Tetsuya Hashimoto | JP | Chiba | 2012-02-02 / 20120026362 - IMAGING APPARATUS AND IMAGE CAPTURING METHOD | 2 |
Kazuko Hashimoto | JP | Aichi-Gun | 2010-06-10 / 20100143704 - METAL COMPOSITE MATERIAL AND PROCESS FOR PRODUCING METAL COMPOSITE MATERIAL | 3 |
Yusuke Hashimoto | JP | Koshi-Shi | 2014-10-02 / 20140290701 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 1 |
Shigeharu Hashimoto | JP | Aichi-Prefecture | 2014-10-02 / 20140290617 - Engine Combustion Chamber Structure, and Inner Wall Structure of Through Channel | 1 |
Kazuko Hashimoto | JP | Aichi | 2011-05-05 / 20110101575 - PREFORM FOR COMPOSITE MATERIAL AND PROCESS FOR PRODUCING THE SAME | 1 |
Nobuaki Hashimoto | JP | Osaka | 2014-10-09 / 20140303792 - SYSTEM AND METHOD FOR DERIVING STORAGE TANK OPERATION PLAN | 1 |
Toshihiko Hashimoto | JP | Aichi | 2012-06-21 / 20120152503 - HEAT EXCHANGER | 2 |
Takaya Hashimoto | JP | Aichi | 2009-08-20 / 20090207168 - THREE-DIMENSIONAL MODEL DEFORMATION SYSTEM, METHOD AND COMPUTER READABLE PROGRAM, AND COMPUTER READABLE STORAGE MEDIUM STORING THAT PROGRAM | 1 |
Hisayuki Hashimoto | JP | Machida-Shi | 2012-12-13 / 20120313507 - beta-SIALON, METHOD FOR MANUFACTURING THE SAME, AND LIGHT-EMITTING DEVICE | 1 |
Takuji Hashimoto | JP | Yokohama-Shi | 2013-06-13 / 20130149406 - EXTRUSION DIE HEAD | 1 |
Kunihiro Hashimoto | JP | Toyokawa-Shi | 2010-01-28 / 20100018664 - CASTING PROCESS, UPPER MOLD ASSEMBLY AND METHOD OF SECURING CORE TO UPPER MOLD | 1 |
Kouhei Hashimoto | JP | Ebina-Shi | 2011-10-06 / 20110244627 - METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE | 5 |
Narikazu Hashimoto | JP | Shimonoseki-Shi | 2016-04-28 / 20160114747 - ANTI-COLLISION COMPONENT | 8 |
Yoichi Hashimoto | JP | Kitakyushu-Shi | 2014-10-16 / 20140307367 - MOTOR DRIVE DEVICE AND MOTOR DRIVE SYSTEM | 1 |
Kenichiro Hashimoto | JP | Kanagawa | 2014-02-27 / 20140055527 - LIQUID EJECTION HEAD AND IMAGE FORMING APPARATUS INCLUDING SAME | 3 |
Michio Hashimoto | JP | Izumo-Shi | 2010-10-07 / 20100254951 - Nerve Regeneration Promoting Agent | 1 |
Jun Hashimoto | JP | Chiyoda-Ku | 2013-05-09 / 20130115975 - MOBILE COMMUNICATION TERMINAL AND LOCATION SYSTEM SELECTION METHOD | 2 |
Kazuki Hashimoto | JP | Aichi | 2014-06-26 / 20140178557 - LIQUID SWEETENER COMPOSITION | 1 |
Masaru Hashimoto | JP | Osaka | 2012-12-13 / 20120316693 - POWER CONTROL SYSTEM | 1 |
Shinya Hashimoto | JP | Mobara | 2015-06-25 / 20150177888 - DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES | 4 |
Shinya Hashimoto | JP | Kanagawa | 2015-03-19 / 20150080673 - BLOOD PRESSURE ESTIMATION APPARATUS AND METHOD | 4 |
Shinya Hashimoto | JP | Kouza-Gun | 2010-09-09 / 20100225144 - AUTOMOTIVE INTERIOR COMPONENT | 1 |
Shinya Hashimoto | JP | Hyogo | 2011-01-27 / 20110016884 - COOLING PASSAGE COVER, MANUFACTURING METHOD OF THE COVER, AND GAS TURBINE | 3 |
Shinya Hashimoto | JP | Saitama | 2010-11-25 / 20100299690 - INFORMATION DELIVERY SYSTEM AND METHOD, INFORMATION DELIVERY DEVICE, AND DISPLAY DEVICE | 1 |
Shinya Hashimoto | JP | Hyogo-Ken | 2014-10-23 / 20140311162 - CONNECTION STRUCTURE OF EXHAUST CHAMBER, SUPPORT STRUCTURE OF TURBINE, AND GAS TURBINE | 7 |
Shingo Hashimoto | JP | Okazaki-Shi | 2016-01-28 / 20160028295 - METHOD OF MANUFACTURING STATOR FOR ROTARY ELECTRIC MACHINE | 9 |
Hironobu Hashimoto | JP | Toyota-Shi | 2013-03-28 / 20130076126 - ELECTRIC VEHICLE | 1 |
Yukari Hashimoto | JP | Yotsukaido-Shi | 2014-10-02 / 20140290741 - PHOTOELECTRIC CONVERSION APPARATUS | 1 |
Jun Hashimoto | JP | Chigasaki-Shi | 2016-03-24 / 20160084275 - WORK VEHICLE, AND CONTROL METHOD FOR WORK VEHICLE | 5 |
Yuichi Hashimoto | JP | Toyota-Shi | 2013-06-06 / 20130142943 - METHOD FOR PRODUCING ELECTRODE FOR SOLID BATTERY | 1 |
Tatsuya Hashimoto | JP | Nagano | 2014-06-19 / 20140170450 - LITHIUM ION SECONDARY BATTERY | 2 |
Hiroshi Hashimoto | JP | Izumi-Shi | 2014-03-20 / 20140076309 - LARYNGEAL MASK | 2 |
Hiroyuki Hashimoto | JP | Yamanashi | 2015-03-26 / 20150087158 - METHOD FOR DEPOSITING A FILM AND FILM DEPOSITION APPARATUS | 3 |
Jun Hashimoto | JP | Yokohama-Shi | 2013-01-10 / 20130012229 - POSITIONING DEVICE AND POSITIONING METHOD | 10 |
Takaki Hashimoto | JP | Kanagawa | 2013-09-19 / 20130241073 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 2 |
Sawa Hashimoto | US | Garwood | 2013-06-13 / 20130149274 - COMPOSITIONS AND METHODS FOR SEALING THE SURFACE OF KERATINOUS SUBSTRATES | 30 |
Katsumi Hashimoto | JP | Kyoto | 2013-06-06 / 20130140000 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD FOR PERFORMING HEAT TREATMENT ON SUBSTRATE | 1 |
Koji Hashimoto | JP | Fujisawa-Shi | 2015-10-08 / 20150283600 - BALL SCREW AND MANUFACTURING METHOD OF NUT FOR BALL SCREW | 5 |
Norihito Hashimoto | JP | Yokkaichi-City | 2014-12-18 / 20140370733 - LEVER-TYPE CONNECTOR | 2 |
Kousuke Hashimoto | JP | Daito-Shi | 2014-06-05 / 20140152900 - INFORMATION TERMINAL APPARATUS | 1 |
Yohei Hashimoto | JP | Nagakute | 2013-04-04 / 20130082433 - Image Forming Device | 1 |
Naoaki Hashimoto | JP | Atsugi | 2016-04-28 / 20160118605 - Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 8 |
Keisuke Hashimoto | JP | Nasushiobara-Shi | 2014-11-13 / 20140337057 - CAUSE-OF-DEATH ESTIMATING APPARATUS AND CAUSE-OF-DEATH ESTIMATING METHOD | 2 |
Shinya Hashimoto | JP | Tokyo | 2016-04-21 / 20160108930 - GAS GUIDING DEVICE AND FACILITY INCLUDING THE SAME | 9 |
Yukari Hashimoto | JP | Omihachiman-Shi | 2012-12-27 / 20120326258 - PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MANUFACTURING THE PHOTOELECTRIC CONVERSION DEVICE | 1 |
Taiji Hashimoto | JP | Toshima-Ku | 2012-12-27 / 20120326394 - Shaft-Seal Device for High-Temperature Fluid | 1 |
Takeshi Hashimoto | JP | Annaka-Shi | 2014-06-05 / 20140150844 - CONNECTION SHEET FOR SOLAR BATTERY CELL ELECTRODE, PROCESS FOR MANUFACTURING SOLAR CELL MODULE, AND SOLAR CELL MODULE | 1 |
Jun Hashimoto | JP | Miyagi | 2009-03-05 / 20090058432 - Ultraviolet light monitoring system | 1 |
Jun Hashimoto | JP | Tokyo | 2016-05-12 / 20160133867 - ORGANIC EL ELEMENT AND ORGANIC EL DISPLAY PANEL | 17 |
Ryohei Hashimoto | JP | Sodegaura-Shi | 2015-09-24 / 20150270496 - LADDER COMPOUND, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME | 6 |
Jun Hashimoto | JP | Yokohama-Shi | 2013-01-10 / 20130012229 - POSITIONING DEVICE AND POSITIONING METHOD | 10 |
Jun Hashimoto | JP | Osaka | 2015-06-25 / 20150179967 - ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME | 18 |
Yohei Hashimoto | JP | Nagakute-Shi | 2016-03-31 / 20160091857 - Image-Forming Apparatus Provided with Interlocking Mechanism for Inputting Drive Force to Drive Roller of Belt Unit | 14 |
Shinich Hashimoto | JP | Kanagawa | 2013-03-14 / 20130062109 - Electrical Conductive Member and Electrical Conductive Member Assembly | 1 |
Kento Hashimoto | JP | Kodaira-Chi | 2013-03-14 / 20130061991 - PNEUMATIC TIRE | 1 |
Tadatoshi Hashimoto | JP | Osaka | / - | 1 |
Yoshiharu Hashimoto | JP | Kanagawa | 2012-06-21 / 20120154367 - DISPLAY PANEL DRIVER FOR REDUCING HEAT GENERATION THEREIN | 13 |
Kazuaki Hashimoto | JP | Tokyo | 2015-07-09 / 20150191394 - COVER GLASS AND METHOD FOR PRODUCING COVER GLASS | 11 |
Kiyokazu Hashimoto | JP | Shizuoka | 2015-12-31 / 20150378461 - TRANSPARENT CONDUCTIVE FILM AND TOUCH PANEL | 3 |
Yasuhiro Hashimoto | JP | Yokohama | 2014-05-29 / 20140145773 - SEMICONDUCTOR INTEGRATED CIRCUIT HAVING BACK-GATE-VOLTAGE CONTROL CIRCUIT | 1 |
Shinichi Hashimoto | JP | Osaka | 2015-04-02 / 20150092203 - IMAGE FORMING APPARATUS | 4 |
Shinichi Hashimoto | JP | Suita-Shi | 2010-11-11 / 20100283037 - CORE-SHELL QUANTUM DOT FLUORESCENT FINE PARTICLES | 1 |
Kento Hashimoto | JP | Tokyo | 2016-04-28 / 20160114629 - TIRE | 1 |
Shinichi Hashimoto | JP | Kanagawa | 2015-11-12 / 20150320652 - EMULSION COMPOSITION | 8 |
Shinichi Hashimoto | JP | Sagamihara-Shi | 2008-09-18 / 20080227141 - PROCESS FOR PRODUCING ISOPRENOID COMPOUNDS BY MICROORGANISMS AND A METHOD FOR SCREENING COMPOUNDS WITH ANTIBIOTIC OR WEEDING ACTIVITY | 1 |
Shinichi Hashimoto | JP | Osaka-Shi | 2008-08-28 / 20080204806 - IMAGE FORMING APPARATUS AND COMPUTER-READABLE RECORDING MEDIUM STORING IMAGE FORMING PROGRAM | 1 |
Yusuke Hashimoto | JP | Osaka | 2013-12-12 / 20130329234 - SPATIAL INFORMATION DETECTION DEVICE | 2 |
Gakuji Hashimoto | JP | Kanagawa | 2014-10-02 / 20140293273 - OPTICAL MEASURING DEVICE AND OPTICAL MEASURING METHOD | 8 |
Yoshiaki Hashimoto | JP | Kanagawa | 2010-12-16 / 20100314045 - LIQUID CRYSTAL DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 5 |
Yoshiaki Hashimoto | JP | Saga | 2010-12-09 / 20100311700 - Transdermal preparation for external use containing nonsterioidal antiinflammatory/analgesic agent | 4 |
Takashi Hashimoto | JP | Fukuoka | 2014-09-11 / 20140252606 - INTEGRATED CIRCUIT, MULTICORE PROCESSOR APPARATUS, AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT | 10 |
Kazunobu Hashimoto | JP | Nagoya-Shi | 2016-03-17 / 20160075835 - REACTIVE IONIC LIQUID, AND ION-IMMOBILIZED METAL OXIDE PARTICLE, ION-IMMOBILIZED ELASTOMER, AND TRANSDUCER USING SAME | 9 |
Naoaki Hashimoto | JP | Atsugi | 2016-04-28 / 20160118605 - Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 8 |
Naoki Hashimoto | JP | Saitama | 2015-01-22 / 20150021797 - METALLIC MOLD STRUCTURE, TRANSFER MOLDING APPARATUS, TRANSFER MOLDING METHOD, AND OPTICAL MEMBER FORMING APPARATUS | 2 |
Koji Hashimoto | JP | Tokyo | 2014-10-23 / 20140317560 - MAP DISPLAY CONTROL DEVICE AND NAVIGATION DEVICE USING THE SAME | 8 |
Hiroyuki Hashimoto | JP | Nirasaki-Shi | 2013-04-11 / 20130089983 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Koichi Hashimoto | JP | Shiga | 2014-03-06 / 20140066284 - LEAD-FREE GLASS FOR SEMICONDUCTOR ENCAPSULATION AND ENCAPSULATOR FOR SEMICONDUCTOR ENCAPSULATION | 3 |
Masaoki Hashimoto | JP | Aichi-Gun | 2010-06-10 / 20100143704 - METAL COMPOSITE MATERIAL AND PROCESS FOR PRODUCING METAL COMPOSITE MATERIAL | 3 |
Haruhisa Hashimoto | JP | Minoh-Shi | 2014-05-15 / 20140134777 - MANUFACTURING METHOD FOR SOLAR MODULE | 2 |
Haruhisa Hashimoto | JP | Moriguchi City | 2010-07-22 / 20100181011 - METHOD OF MANUFACTURING SOLAR CELL MODULE | 1 |
Haruhisa Hashimoto | JP | Minoh City | 2012-06-21 / 20120156822 - SOLAR CELL MODULE | 3 |
Yuu Hashimoto | JP | Toyama | 2015-05-28 / 20150143675 - Buckle | 3 |
Yumi Hashimoto | JP | Toyonaka | 2013-01-24 / 20130020314 - HEATER FOR IMPULSE HEAT SEALER | 1 |
Sawa Hashimoto | US | Westfield | 2012-05-31 / 20120132224 - USE OF A NON-HYDROXIDE BASE WITH HEAT FOR RELAXING OR STRAIGHTENING HAIR | 13 |
Akihiro Hashimoto | JP | Fukuoka | 2015-12-24 / 20150372572 - METHOD FOR MANUFACTURING LAMINATED IRON CORE | 3 |
Masanori Hashimoto | JP | Yokohama | 2016-03-03 / 20160066310 - BASE STATION DEVICE, RADIO TERMINAL DEVICE, NETWORK APPARATUS, AND COMMUNICATION METHOD | 9 |
Takeshi Hashimoto | JP | Minato-Ku | 2013-02-21 / 20130046806 - FAST FOURIER TRANSFORM CIRCUIT | 1 |
Sumito Hashimoto | JP | Kobe-Shi | 2013-04-25 / 20130098204 - METHOD FOR PRODUCING GRANULAR METALLIC IRON | 1 |
Sumio Hashimoto | JP | Yokosuka-Shi | 2014-05-15 / 20140133167 - Light Emitting Module Having Heat Conductive Substrate | 13 |
Koji Hashimoto | JP | Yokohama-Shi | 2012-11-22 / 20120292764 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 19 |
Yu Hashimoto | JP | Toyota-Shi | 2009-12-31 / 20090324324 - ASSEMBLY MEMBER AND METHOD OF MANUFACTURING ASSEMBLY MEMBER | 2 |
Hiroki Hashimoto | JP | Nagaokakyo-Shi | 2016-02-11 / 20160042862 - ELECTRONIC COMPONENT | 2 |
Atsushi Hashimoto | JP | Otawara-Shi | 2014-08-21 / 20140233690 - X-RAY DETECTION SUBMODULE, X-RAY DETECTION MODULE, AND X-RAY CT APPARATUS | 1 |
Takuya Hashimoto | JP | Toyota-Shi | 2014-06-05 / 20140154603 - FUEL CELL APPARATUS AND FUEL CELL SYSTEM | 3 |
Hiromichi Hashimoto | JP | Toyota-Shi | 2009-11-26 / 20090288509 - Mechanism for converting rotational motion into linear motion | 1 |
Syuzo Hashimoto | JP | Toyota-Shi | 2012-02-09 / 20120032482 - VEHICLE SEAT CONTROL APPARATUS AND CONTROL METHOD THEREOF | 5 |
Toshiya Hashimoto | JP | Toyota-Shi | 2011-01-13 / 20110006598 - CONTROL DEVICE AND CONTROL METHOD FOR VEHICLE | 6 |
Kouichirou Hashimoto | JP | Takasago-Shi | 2014-09-11 / 20140252767 - POWER GENERATION APPARATUS AND POWER GENERATION METHOD | 1 |
Yuji Hashimoto | JP | Kawasaki | 2008-12-18 / 20080313550 - RECORDING MEDIUM ON WHICH Web CONFERENCE SUPPORT PROGRAM IS RECORDED AND Web CONFERENCE SUPPORT APPARATUS | 1 |
Hirobumi Hashimoto | JP | Tokyo | 2011-10-06 / 20110246211 - JOB HISTORY COMPLEMENTING METHOD FOR EDI CUSTOMERS | 2 |
Naotaka Hashimoto | JP | Tokyo | 2011-01-20 / 20110012181 - METHOD OF MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVCIE HAVING CAPACITOR ELEMENT | 3 |
Yoshiki Hashimoto | JP | Osaka-Shi | 2015-10-15 / 20150290813 - CONVEYING METHOD AND CONVEYING DEVICE OF RUBBER MEMBER | 1 |
Akihiro Hashimoto | US | Branford | 2016-04-28 / 20160115157 - SUBSTITUTED ALIPHANES, CYCLOPHANES, HETERAPHANES, HETEROPHANES, HETERO-HETERAPHANES AND METALLOCENES USEFUL FOR TREATING HCV INFECTIONS | 27 |
Kohei Hashimoto | JP | Tokyo | 2012-03-15 / 20120064962 - GAMING MACHINE PRODUCING EFFECT WHEN AWARDING BENEFIT AND CONTROL METHOD THEREOF | 2 |
Masamichi Hashimoto | JP | Tokyo | 2011-08-25 / 20110207178 - METHOD FOR PRODUCING HYALURONIC ACID | 2 |
Shin Hashimoto | JP | Itami-Shi | 2014-02-27 / 20140054680 - METHOD OF FORMING GROUP III NITRIDE SEMICONDUCTOR, METHOD OF FABRICATING SEMICONDUCTOR DEVICE, GROUP III NITRIDE SEMICONDUCTOR DEVICE, METHOD OF PERFORMING THERMAL TREATMENT | 13 |
Takako Hashimoto | JP | Tokyo | 2012-03-22 / 20120069045 - DRAWN IMAGE SHARING APPARATUS | 2 |
Yuji Hashimoto | JP | Otsu-Shi | 2009-04-16 / 20090098600 - THERMOTOLERANT RIBONUCLEASE H | 1 |
Yuji Hashimoto | JP | Kariya-Shi | 2013-04-18 / 20130094941 - VARIABLE-CAPACITY COMPRESSOR | 3 |
Yoshihisa Hashimoto | JP | Tokyo | 2014-10-02 / 20140297735 - DATA TRANSMISSION AND RECEPTION SYSTEM | 3 |
Toshiya Hashimoto | JP | Omuta-Shi | 2014-04-17 / 20140107314 - POLYMERIZABLE COMPOSITION | 1 |
Yuuichi Hashimoto | JP | Tokyo | 2011-03-03 / 20110051987 - MULTI-FUNCTION VIBRATION ACTUATOR | 2 |
Yuusaku Hashimoto | JP | Tokyo | 2010-12-02 / 20100303069 - SERVER, TRANSMISSION SYSTEM AND GRE TUNNEL ENCAPSULATION TRANSFERRING METHOD THEREOF | 1 |
Yukie Hashimoto | JP | Tokyo | 2013-11-14 / 20130300336 - POSITION CONTROL SYSTEM | 4 |
Michizane Hashimoto | JP | Tokyo | 2012-08-23 / 20120214731 - COMPOUND WS 727713 | 2 |
Toru Hashimoto | JP | Tokyo | 2011-03-24 / 20110069479 - PSEUDO SOLAR LIGHT GENERATION APPARATUS AND PSEUDO SOLAR LIGHT GENERATION METHOD FOR SOLAR CELL CHARACTERISTIC MEASUREMENT | 4 |
Yoshimitsu Hashimoto | JP | Tokyo | 2012-03-15 / 20120064749 - ELECTRICAL CONNECTOR | 2 |
Naoaki Hashimoto | JP | Tokyo | 2015-04-09 / 20150097025 - ELECTRODE FORMING DEVICE AND ELECTRODE FORMING METHOD | 5 |
Akinori Hashimoto | JP | Tokyo | 2013-09-12 / 20130233486 - ADHESIVE AGENT, ADHESION METHOD AND MANUFACTURING METHOD OF ADHERED MATERIAL | 7 |
Atsushi Hashimoto | JP | Tochigi-Ken | 2011-12-22 / 20110311023 - X-RAY COMPUTED TOMOGRAPHY APPARATUS | 1 |
Yuko Hashimoto | JP | Tokyo | 2011-04-28 / 20110098469 - 1-THIO-D-GLUCITOL DERIVATIVES | 1 |
Michie Hashimoto | JP | Tokyo | 2014-06-05 / 20140155289 - NUCLEIC ACID ANALYSIS METHOD | 4 |
Kazuyuki Hashimoto | JP | Tokyo | 2009-04-16 / 20090096728 - Test Circuit for Liquid Crystal Display Device, LCD Device Including Test Circuit, and Testing Method for LCD Device | 1 |
Yasunobu Hashimoto | JP | Tokyo | 2009-04-16 / 20090096717 - Plasma Display Device | 1 |
Masayuki Hashimoto | JP | Tokyo | 2015-10-22 / 20150301117 - PARAMETER ESTIMATING DEVICE, PARAMETER ESTIMATING METHOD, ELECTRICITY STORAGE SYSTEM, AND PROGRAM | 8 |
Shigeru Hashimoto | JP | Tokyo | 2011-12-29 / 20110315774 - WIRELESS TAG AND MANUFACTURING METHOD | 2 |
Shigeki Hashimoto | JP | Tokyo | 2008-10-23 / 20080259429 - IMAGE GENERATING APPARATUS | 1 |
Yukio Hashimoto | JP | Tokyo | 2009-12-17 / 20090307892 - METHOD AND APPARATUS FOR MANUFACTURING BRAKE, AND METHOD AND APPARATUS FOR INSPECTING BRAKE | 1 |
Yusaku Hashimoto | JP | Tokyo | 2010-09-02 / 20100223377 - NETWORK MONITORING SYSTEM, SERVER APPARATUS, NETWORK MONITORING METHOD | 1 |
Yuki Hashimoto | JP | Tokyo | 2010-09-30 / 20100243429 - METHOD OF MANUFACTURING IMPLANT AND METHOD OF MANUFACTURING ARTIFICIAL DENTAL ROOT | 2 |
Daisuke Hashimoto | JP | Osaka-Shi | 2014-05-22 / 20140138553 - RADIATION DETECTOR, AND RADIATION IMAGING APPARATUS PROVIDED WITH DETECTOR | 3 |
Shigeo Hashimoto | JP | Tokyo | 2010-09-30 / 20100243149 - METHOD FOR FORMING A CIRCUIT PATTERN | 2 |
Katsumi Hashimoto | JP | Tokyo | 2013-05-09 / 20130113056 - DYNAMIC QUANTITY SENSOR | 2 |
Hiromasa Hashimoto | JP | Tokyo | 2013-05-09 / 20130114136 - PHASE DIFFERENCE FILM LAYERED BODY USED IN STEREOSCOPIC IMAGE DEVICE | 2 |
Takashi Hashimoto | JP | Chiyoda-Ku | 2014-05-01 / 20140116990 - ELECTRIC DISCHARGE MACHINING APPARATUS | 8 |
Kazuaki Hashimoto | JP | Tokyo | 2015-07-09 / 20150191394 - COVER GLASS AND METHOD FOR PRODUCING COVER GLASS | 11 |
Masanori Hashimoto | JP | Hiroshima | 2011-12-22 / 20110308492 - BALANCER DEVICE OF ENGINE | 1 |
Kouichi Hashimoto | JP | Tokyo | 2010-04-22 / 20100098753 - PROPHYLACTIC AND/OR THERAPEUTIC AGENT FOR CARDIAC INFARCTION | 1 |
Yasuki Hashimoto | JP | Okazaki-Shi | 2014-04-17 / 20140103847 - COOLING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Katsunori Hashimoto | JP | Tokyo | 2015-10-29 / 20150312625 - INFORMATION PROCESSING APPARATUS, DATA MANAGEMENT METHOD, AND PROGRAM | 7 |
Yuji Hashimoto | JP | Tokyo | 2015-11-12 / 20150320350 - BRAIN FUNCTION EVALUATION SYSTEM AND BRAIN FUNCTION EVALUATION METHOD | 2 |
Yasuyuki Hashimoto | JP | Tokyo | 2010-02-11 / 20100032806 - EPITAXIAL SILICON WAFER AND PRODUCTION METHOD THEREOF | 3 |
Shota Hashimoto | JP | Tokyo | 2015-10-08 / 20150287993 - BINDER FOR LITHIUM CELL, COMPOSITION FOR PRODUCING ELECTRODE, AND ELECTRODE | 1 |
Tsutomu Hashimoto | JP | Osaka | 2011-12-22 / 20110310225 - THREE-DIMENSIONAL IMAGE PROCESSING APPARATUS AND METHOD OF CONTROLLING THE SAME | 2 |
Tsutomu Hashimoto | JP | Yamanashi | 2011-03-17 / 20110066335 - TRAVELING CRANE OPERATION CONTROL APPARATUS AND METHOD | 1 |
Tadafumi Hashimoto | JP | Tokyo | 2011-06-09 / 20110137013 - NOVEL COLLAGEN-LIKE PROTEIN CLAC, PRECURSOR THEREOF AND GENES ENCODING THE SAME | 3 |
Tsutomu Hashimoto | JP | Utsunomiya-Shi | 2015-06-04 / 20150151462 - IMPRINT METHOD | 3 |
Yuji Hashimoto | JP | Kobe | 2013-07-11 / 20130174326 - Athletic Wear | 2 |
Tsutomu Hashimoto | JP | Tokyo | 2011-10-06 / 20110244319 - SECONDARY BATTERY AND BATTERY SYSTEM | 5 |
Toshikazu Hashimoto | JP | Tokyo | 2016-02-25 / 20160052201 - METHOD FOR PRODUCING DECORATIVE LENS | 6 |
Yoshihiro Hashimoto | JP | Kanazawa | 2011-12-15 / 20110307591 - MANAGEMENT SYSTEM AND COMPUTER SYSTEM MANAGEMENT METHOD | 1 |
Masateru Hashimoto | JP | Tokyo | 2009-12-03 / 20090295202 - ACOUSTIC SEAT VIBRATORY-BONE-CONDUCTION TYPE | 1 |
Keita Hashimoto | JP | Toyota-Shi | 2015-08-13 / 20150224878 - ELECTRICALLY-POWERED VEHICLE | 12 |
Masao Hashimoto | JP | Yokohama-Shi | 2014-01-16 / 20140017503 - HEAT RAY-SHIELDING LAMINATE AND FILM ROLL THEREOF | 3 |
Eiji Hashimoto | JP | Chuo-Ku | 2015-10-08 / 20150285692 - OPTICAL FIBER TEMPERATURE SENSOR | 1 |
Kiyokazu Hashimoto | JP | Ashigarakami-Gun | 2011-12-15 / 20110306747 - POLYESTER FILM AND METHOD FOR PRODUCING SAME, BACKSHEET FOR SOLAR CELL, AND SOLAR CELL MODULE | 1 |
Shinsuke Hashimoto | JP | Tokyo | 2011-05-19 / 20110118104 - DIELECTRIC CERAMIC COMPOSITION | 3 |
Toshiyuki Hashimoto | JP | Tokyo | 2009-09-24 / 20090239411 - CRIMP CONTACT FOR AN ALUMINUM STRANDED WIRE, AND CABLE END STRUCTURE OF AN ALUMINUM STRANDED WIRE HAVING THE CRIMP CONTACT CONNECTED THERETO | 2 |
Kenji Hashimoto | JP | Tokyo | 2009-08-13 / 20090200090 - Multiple-point grounding type foot portion supporting mechanism, biped walking robot equipped with the same, and control structrure therefor | 1 |
Keisuke Hashimoto | JP | Toyama-Shi | 2016-05-19 / 20160139509 - RESIST UNDERLAYER FILM-FORMING COMPOSITION CONTAINING SUBSTITUTED CROSSLINKABLE COMPOUND | 19 |
Keiji Hashimoto | JP | Tokyo | 2009-05-14 / 20090121313 - SEMICONDUCTOR DEVICE WITH AT LEAST ONE AIR GAP PROVIDED IN CHIP OUTER AREA | 1 |
Shin-Ichi Hashimoto | JP | Tokyo | 2009-05-07 / 20090117538 - Methods for Obtaining Gene Tags | 1 |
Satoshi Hashimoto | JP | Kobe-Shi | 2011-12-15 / 20110303177 - INTERNAL COMBUSTION ENGINE CONTROL SYSTEM | 1 |
Yasuo Hashimoto | JP | Ibaraki | 2015-10-01 / 20150282310 - ADHESIVE BODY BETWEEN CONDUCTIVE POLYMER-METAL COMPLEX AND SUBSTRATE AND METHOD FOR FORMING THE SAME, CONDUCTIVE POLYMER-METAL COMPLEX DISPERSION LIQUID, METHOD FOR MANUFACTURING THE SAME AND METHOD FOR APPLYING THE SAME, AND METHOD FOR FILLING HOLE USING CONDUCTIVE MATERIAL | 1 |
Etsuko Hashimoto | JP | Tokyo | 2009-04-30 / 20090110655 - PROPHYLACTIC AND/OR THERAPEUTIC DRUG FOR NONALCOHOLIC STEATOHEPATITIS | 1 |
Youichi Hashimoto | JP | Tokyo | 2009-02-26 / 20090052909 - OPTICAL COMMUNICATION MODULE AND OPTICAL SIGNAL TRANSMISSION METHOD | 1 |
Nobuhide Hashimoto | JP | Kariya-Shi | 2009-10-15 / 20090256373 - MOUNTING STRUCTURE OF DECK SIDE TRIM | 1 |
Shiho Hashimoto | JP | Chita-Gun | 2015-10-15 / 20150292780 - REFRIGERATION CYCLE DEVICE | 1 |
Nobuhide Hashimoto | JP | Okazaki-Shi | 2010-12-23 / 20100320799 - REAR DOOR | 1 |
Ryuji Hashimoto | JP | Tokyo | 2016-03-24 / 20160086703 - R-T-B BASED PERMANENT MAGNET | 14 |
Yuji Hashimoto | JP | Yokohama-Shi | 2010-08-26 / 20100217881 - WIRELESS TERMINAL DEVICE, WIRELESS CONNECTION METHOD, AND PROGRAM | 4 |
Takaharu Hashimoto | JP | Tokyo | 2009-01-29 / 20090029308 - HEAT SHIELD PLATE FOR SUBSTRATE ANNEALING APPARATUS | 1 |
Koichiro Hashimoto | JP | Tokyo | 2008-12-18 / 20080311976 - Gaming Machine | 1 |
Takahiro Hashimoto | JP | Hiratsuki-Shi | 2014-04-10 / 20140100712 - DISPLAY SYSTEM OF EXCAVATING MACHINE AND EXCAVATING MACHINE | 1 |
Hisashi Hashimoto | JP | Tokyo | 2008-12-11 / 20080303343 - Power Line Communications System and Power Line Communications Method | 1 |
Takao Hashimoto | JP | Tokyo | 2014-03-06 / 20140059982 - APPARATUS FOR BACKWASHING FILTER | 6 |
Seitaro Hashimoto | JP | Tsukuba-Shi | 2013-06-27 / 20130162248 - IMAGING DEVICE AND IMAGING METHOD | 1 |
Toshiya Hashimoto | JP | Ichihara-Shi | 2015-10-15 / 20150293265 - POLYMERIZABLE COMPOSITION FOR OPTICAL MATERIAL | 1 |
Ayako Hashimoto | JP | Tokushima | 2009-07-23 / 20090187025 - Pharmaceutical composition for promoting angiogenesis | 1 |
Yuji Hashimoto | JP | Kanagawa | 2015-07-02 / 20150186020 - PORTABLE TERMINAL DEVICE AND DISPLAY CONTROL METHOD | 9 |
Shoichiro Hashimoto | JP | Tokyo | 2012-08-16 / 20120210108 - SEMICONDUCTOR DEVICE | 3 |
Takanori Hashimoto | JP | Tokyo | 2008-10-16 / 20080253338 - RECEIVING APPARATUS | 1 |
Kenichi Hashimoto | JP | Tokyo | 2012-10-04 / 20120254499 - PROGRAM, CONTROL METHOD, AND CONTROL DEVICE | 3 |
Tomotaka Hashimoto | JP | Tokyo | 2015-09-10 / 20150255781 - Electrode for Electrical Storage Element, and Nonaqueous Lithium Electrical Storage Element | 6 |
Rei Hashimoto | JP | Tokyo | 2015-11-26 / 20150340348 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 21 |
Ryuichiro Hashimoto | JP | Kyoto | 2015-10-15 / 20150294074 - BRAIN ACTIVITY TRAINING APPARATUS AND BRAIN ACTIVITY TRAINING SYSTEM | 1 |
Yuichi Hashimoto | JP | Tokyo | 2015-07-16 / 20150197616 - METHOD FOR PRODUCING A RESIN COMPOSITION HAVING A POROUS STRUCTURE WITH INDEPENDENT POROSITIES | 13 |
Ryuichiro Hashimoto | JP | Soraku-Gun | 2015-10-01 / 20150272461 - BRAIN ACTIVITY ANALYZING APPARATUS, BRAIN ACTIVITY ANALYZING METHOD AND BIOMARKER APPARATUS | 1 |
Hirokazu Hashimoto | JP | Tokyo | 2010-11-04 / 20100276765 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Nobuyuki Hashimoto | JP | Tokyo | 2013-05-09 / 20130112373 - COOLING DEVICE WITH A PLURALITY OF FIN PITCHES | 2 |
Takashi Hashimoto | JP | Yokohama-City | 2010-12-23 / 20100325145 - SEARCH WORD CANDIDATE OUTPUTTING APPARATUS, SEARCH APPARATUS, SEARCH WORD CANDIDATE OUTPUTTING METHOD, COMPUTER-READABLE RECORDING MEDIUM IN WHICH SEARCH WORD CANDIDATE OUTPUTTING PROGRAM IS RECORDED, AND COMPUTER-READABLE RECORDING MEDIUM IN WHICH DATA STRUCTURE IS RECORDED | 1 |
Tomihito Hashimoto | JP | Tokyo | 2012-02-16 / 20120036696 - FIELD POLE MAGNET, METHOD OF MANUFACTURING THE FIELD MAGNET, AND PERMANENT-MAGNET ROTARY ELECTRIC MACHINE | 2 |
Shusuke Hashimoto | JP | Tokyo | 2011-08-18 / 20110201638 - PHENANTHROINDOLIZIDINE COMPOUND AND NFkB INHIBITOR CONTAINING SAME AS ACTIVE INGREDIENT | 4 |
Kiyoshi Hashimotodani | JP | Osaka | 2010-09-02 / 20100220259 - ILLUMINATION DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Kiyoshi Hashimotodani | JP | Kyoto | 2016-03-10 / 20160069873 - FLOW CHANNEL DEVICE AND DETECTION METHOD USING SAME | 9 |
Kiyoshi Hashimotodani | JP | Kyoto | 2016-03-10 / 20160069873 - FLOW CHANNEL DEVICE AND DETECTION METHOD USING SAME | 9 |
Dan Hashimshony | IL | Givat Ada | 2015-10-29 / 20150305649 - ELECTROMAGNETIC SENSOR FOR USE IN MEASUREMENTS ON A SUBJECT | 18 |
Rami Hashimshony | IL | Moshav Aviel | 2015-07-23 / 20150201569 - SMART PIPE SYSTEM | 2 |
Yoshito Hashimura | JP | Kawasaki-Shi | 2013-10-10 / 20130266351 - FIXING UNIT AND IMAGE FORMING APPARATUS | 1 |
Junji Hashimura | JP | Tokyo | 2009-08-06 / 20090196147 - Optical pickup apparatus | 1 |
Kazuya Hashimura | JP | Osaka | 2016-01-07 / 20160000783 - Trk-INHIBITING COMPOUND | 2 |
Junji Hashimura | JP | Sagamihara-Shi | 2012-06-21 / 20120155241 - OPTICAL PICKUP APPARATUS AND OBJECTIVE OPTICAL UNIT | 3 |
Kazuya Hashimura | JP | Shiga | 2009-05-21 / 20090131403 - NITROGENATED HETEROCYCLIC DERIVATIVE , AND PHARMACEUTICAL AGENT COMPRISING THE DERIVATIVE AS ACTIVE INGREDIENT | 1 |
Nobuyuki Hashimura | JP | Tokai-City | 2012-05-24 / 20120125593 - COOLING SYSTEM FOR VEHICLE | 1 |
Yoshiaki Hashimura | JP | Kanagawa | 2016-04-21 / 20160107484 - PNEUMATIC TIRE AND PROCESS FOR PRODUCING THE SAME | 7 |
Tadayoshi Hashimura | JP | Tokyo | 2012-05-10 / 20120115000 - BATTERY PACK | 5 |
Junji Hashimura | JP | Kanagawa | 2009-08-06 / 20090196149 - Optical pickup apparatus | 1 |
Izuru Hashimura | JP | Shizuoka | 2013-11-14 / 20130305296 - IN-HOME EQUIPMENT, CONTENT HANDOFF METHOD, AND CONTENT HANDOFF PROGRAM | 1 |
Akinori Hashimura | US | Vancouver | 2014-06-19 / 20140168742 - Air Stable, Color Tunable Plasmonic Structures for Ultraviolet (UV) and Visible Wavelength Applications | 18 |
Toru Hashimura | JP | Kobe-Shi | 2010-08-12 / 20100201139 - AUTOMOBILE BODY REINFORCEMENT WITH EXCELLENT BENDING CRUSH CHARACTERISTICS | 4 |
Yoshiaki Hashimura | JP | Kanagawa-Ken | 2009-09-24 / 20090236022 - PNEUMATIC TIRE | 2 |
Masafumi Hashimura | JP | Osaka | 2015-12-03 / 20150346642 - IMAGE FORMING APPARATUS | 2 |
Naoki Hashimura | JP | Higashiomi-Shi | 2013-12-19 / 20130335172 - ACOUSTIC WAVE FILTER | 1 |
Yoshiaki Hashimura | JP | Hiratsuka-Shi | 2015-08-06 / 20150217601 - Pneumatic Tire | 20 |
Yoshiaki Hashimura | JP | Hiratsuka-Shi | 2015-08-06 / 20150217601 - Pneumatic Tire | 20 |
Naomi Hashimura | JP | Sagamihara-Shi | 2011-10-13 / 20110251129 - RHEUMATOID ARTHRITIS-PREVENTIVE AGENT FOR ORAL INTAKE | 2 |
Masayuki Hashimura | JP | Hokkaido | 2010-02-04 / 20100028196 - High Strength Spring Steel and High Strength Heat Treated Steel Wire for Spring | 4 |
Masayuki Hashimura | JP | Tokyo | 2015-07-23 / 20150203943 - STEEL FOR INDUCTION HARDENING WITH EXCELLENT FATIGUE PROPERTIES | 20 |
Masayuki Hashimura | JP | Chiyoda-Ku | 2012-11-22 / 20120291927 - DRAWN HEAT TREATED STEEL WIRE FOR HIGH STRENGTH SPRING USE AND PRE-DRAWN STEEL WIRE FOR HIGH STRENGTH SPRING USE | 2 |
Toru Hashimura | JP | Hyogo | 2010-04-22 / 20100098969 - STRUCTURAL MEMBER OF DIFFERENT MATERIALS | 2 |
Akinori Hashimura | JP | Hyogo | 2010-05-06 / 20100109810 - RESONATOR AND FILTER USING THE SAME | 3 |
Masayuki Hashimura | JP | Muroran-Shi | 2009-02-26 / 20090050241 - Steel superior in machinability and method of production of same | 1 |
Akinori Hashimura | US | Portland | 2009-08-06 / 20090195330 - VIBRATOR, RESONATOR USING THE SAME AND ELECTROMECHANICAL FILTER USING THE SAME | 1 |
Yoshiaki Hashimura | JP | Hiratsuka-Shi, Kanagawa | 2015-12-03 / 20150343856 - Tire/Wheel Assembly and Tread Ring | 2 |
Ryo Hashino | JP | Ibaraki-Shi | 2012-09-06 / 20120225110 - PATCH AND PATCH PREPARATION | 4 |
Hayato Hashino | JP | Ohta-Cho, Tokai-Shi, Aichi | 2009-09-03 / 20090218012 - MATERIAL FOR MAGNETIC ANISOTROPIC MAGNET | 1 |
Akira Hashino | JP | Kanonji-Shi | 2015-09-10 / 20150250916 - ABSORBENT ARTICLE | 17 |
Kenji Hashino | JP | Suita-Shi | 2012-02-16 / 20120036657 - ELECTRICAL TOOTHBRUSH | 1 |
Satoshi Hashino | JP | Wako-Shi | 2013-03-21 / 20130069610 - DC-DC CONVERTER CONTROL APPARATUS | 2 |
Masatoshi Hashino | JP | Tokyo | 2015-06-25 / 20150174809 - PRODUCTION METHOD OF DEFORMED POROUS HOLLOW FIBER MEMBRANE | 3 |
Masachika Hashino | JP | Tokyo | 2015-05-21 / 20150140685 - MANUFACTURING METHOD FOR PATTERN MULTILAYER BODY AND MASK SET | 5 |
Hayato Hashino | JP | Tokai-Shi | 2010-07-08 / 20100172783 - Material for Anisotropic Magnet and Method of Manufacturing the same | 1 |
Akira Hashino | JP | Kanonji-Shi | 2015-09-10 / 20150250916 - ABSORBENT ARTICLE | 17 |
Yuki Hashino | JP | Kagawa | 2013-04-18 / 20130096528 - PANTS-TYPE ABSORBENT WEARING ARTICLE | 3 |
Kimikazu Hashino | JP | Takatsuki-Shi | 2009-08-20 / 20090209730 - METHOD FOR GENE TRANSFER INTO TARGET CELLS WITH RETROVIRUS | 1 |
Naruo Hashino | JP | Matsumoto | 2009-12-31 / 20090322983 - ILLUMINATION DEVICE AND LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Kenji Hashino | JP | Osaka | 2010-03-04 / 20100057397 - BODY MOTION DETECTION DEVICE HAVING FEWER NUMBER OF SWITCHES NECESSARY FOR A SETTING OPERATION | 3 |
Naruo Hashino | JP | Aichi-Ken | 2012-02-09 / 20120033447 - BACKLIGHT UNIT | 1 |
Eiji Hashino | JP | Tokyo | 2014-04-24 / 20140109962 - INTERCONNECTOR FOR SOLAR CELLS, AND SOLAR CELL MODULE | 4 |
Ryo Hashino | JP | Osaka | 2010-03-04 / 20100056972 - ADHESIVE PATCH | 2 |
Hayato Hashino | JP | Nagoya-Shi | 2010-01-07 / 20100003156 - RARE EARTH MAGNET AND PRODUCTION PROCESS THEREOF | 2 |
Satoshi Hashino | JP | Saitama-Shi | 2014-12-11 / 20140361617 - POWER DEVICE | 1 |
Naruo Hashino | JP | Tottori | 2012-09-13 / 20120230016 - DISPLAY DEVICE, ILLUMINATING DEVICE AND HOUSING | 2 |
Akira Hashino | JP | Kanonji-Shi, Kagawa | 2015-11-19 / 20150328359 - ABSORBENT ARTICLE | 1 |
Tsukasa Hashino | JP | Kanagawa | 2012-04-05 / 20120081583 - ABERRATION CORRECTION APPARATUS, ABERRATION CORRECTION METHOD, AND PROGRAM | 3 |
Yoshikazu Hashino | JP | Kyoto | 2014-01-02 / 20140004688 - ION IMPLANTATION METHOD AND ION IMPLANTATION APPARATUS | 2 |
Eri Hashino | US | Indianapolis | 2015-05-07 / 20150125953 - METHODS FOR GENERATING THE INNER EAR AND OTHER CRANIAL PLACODE-DERIVED TISSUES USING PLURIPOTENT STEM CELLS | 1 |
Satoshi Hashino | JP | Saitama | 2012-03-15 / 20120062349 - COMPOSITE TRANSFORMER | 7 |
Akira Hashino | JP | Kagawa | 2014-02-20 / 20140052086 - ABSORBENT ARTICLE | 17 |
Kenji Hashino | JP | Kyoto-Shi | 2011-01-06 / 20110004440 - PEDOMETER | 1 |
Yasutaka Hashinokuchi | JP | Yokohama-Shi | 2009-01-29 / 20090028688 - TRAY HOLDING APPARATUS | 1 |
Shinichi Hashio | JP | Shizuoka | 2015-09-17 / 20150260762 - CURRENT SENSOR AND METHOD FOR MANUFACTURING CURRENT SENSOR | 2 |
Reiko Hashio | JP | Kyoto | 2015-07-23 / 20150206310 - IMAGE GENERATING APPARATUS AND IMAGE GENERATING METHOD | 1 |
Shinichi Hashio | JP | Shimada-Shi | 2013-06-20 / 20130154617 - CURRENT DETECTING DEVICE AND ATTACHING STRUCTURE THEREOF | 4 |
Daichi Hashioka | JP | Hadano | 2013-04-25 / 20130103934 - COMPUTER SYSTEM AND METHOD FOR TAKING OVER MODULE THEREIN | 1 |
Shuhei Hashiro | JP | Kawasaki-Shi | 2012-12-13 / 20120315678 - MICROALGA HIGHLY ACCUMULATING STARCH, A METHOD FOR PRODUCING GLUCOSE USING THE SAME, AND A METHOD FOR PRODUCING A TARGET SUBSTANCE | 2 |
Hiromitsu Hashisaka | JP | Kuga-Gun | 2011-11-03 / 20110267737 - RAW COKE FOR ELECTRICITY STORAGE CARBON MATERIAL AND NEEDLE COKE | 2 |
Natsu Hashisaka | JP | Kanagawa | 2011-03-17 / 20110067102 - Outgoing email check system, check data providing apparatus, check data inspecting apparatus, and outgoing email check method | 2 |
Kazuhiko Hashisaka | JP | Otsu-Shi | 2009-11-12 / 20090280723 - INTERPENETRATING POLYMER NETWORK STRUCTURE AND POLISHING PAD, AND PROCESS FOR PRODUCING THE SAME | 1 |
Hiromitsu Hashisaka | JP | Yamaguchi | 2011-08-04 / 20110186478 - PROCESS FOR PRODUCING NEEDLE COKE FOR GRAPHITE ELECTRODE AND STOCK OIL COMPOSITION FOR USE IN THE PROCESS | 4 |
Kazuhiko Hashisaka | JP | Shiga | 2011-02-24 / 20110045753 - POLISHING PAD | 1 |
Mohamed Hashish | US | Bellevue | 2014-05-01 / 20140116217 - FLUID DISTRIBUTION COMPONENTS OF HIGH-PRESSURE FLUID JET SYSTEMS | 8 |
Emam Hashish | US | Cincinnati | 2014-04-24 / 20140111047 - MAGNETIC BEARING APPARATUS AND METHOD FOR VARYING SHAFT THRUST LOAD SUPPORT IN INDUCTION MOTORS AND OTHER ROTATING MACHINERY | 5 |
Mohamed A. Hashish | US | Bellevue | 2016-05-05 / 20160121457 - SYSTEM AND METHOD FOR LOW PRESSURE PIERCING USING A WATERJET CUTTER | 11 |
Mohamed A. Hashish | US | Bellevue | 2016-05-05 / 20160121457 - SYSTEM AND METHOD FOR LOW PRESSURE PIERCING USING A WATERJET CUTTER | 11 |
Rami Hashish | US | Long Beach | 2016-03-10 / 20160067549 - EXERCISE SYSTEM FOR SHIFTING AN OPTIMUM LENGTH OF PEAK MUSCLE TENSION | 3 |
Mohamed Hashish | US | Bellevue | 2014-05-01 / 20140116217 - FLUID DISTRIBUTION COMPONENTS OF HIGH-PRESSURE FLUID JET SYSTEMS | 8 |
Zaher Hashisho | CA | Edmonton | 2011-12-22 / 20110312485 - METHOD FOR PREPARATION OF ACTIVATED CARBON | 1 |
Masayuki Hashitani | JP | Chiba-Shi | 2015-11-12 / 20150323952 - REFERENCE VOLTAGE CIRCUIT | 7 |
Masayuki Hashitani | JP | Chiba | 2014-07-10 / 20140191313 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Michiaki Hashitani | JP | Ritto-Shi | 2008-09-11 / 20080220922 - Index table | 1 |
Michiaki Hashitani | JP | Minato-Ku | 2013-02-21 / 20130045667 - GEAR PROCESSING MACHINE | 1 |
Yukiko Hashitera | JP | Kobe-Shi | 2014-09-25 / 20140287036 - SOFT-GELATIN CAPSULE FORMULATION | 3 |
Hiroyuki Hashitomi | JP | Anjo-Shi | 2013-08-29 / 20130220798 - METHOD FOR FORMING DLC FILM ON SPLINE SHAFT AND HOT CATHODE PIG PLASMA CVD DEVICE | 2 |
Hiroyuki Hashitomi | JP | Aichi-Ken | 2010-12-16 / 20100314005 - HIGHLY CORROSION-RESISTANT MEMBER AND MANUFACTURING PROCESS FOR THE SAME | 1 |
Hiroyuki Hashitomi | JP | Kariya-Shi | 2010-08-19 / 20100210488 - SLIDING MEMBER | 1 |
Katsuhiro Hashitsume | JP | Nagoya-Shi | 2009-10-29 / 20090269249 - Allergen inactivating method, allergen inactivating filter, air treating apparatus, virus inactivating agent, virus inactivating method, virus inactivating filter, air conditioning unit and air conditioner | 1 |
Kohji Hashiuchi | JP | Kanagawa | 2013-09-19 / 20130241142 - PILLOW BLOCK FOR FEED ROLLER, AND FEEDING APPARATUS, IMAGE FORMING APPARATUS, AND IMAGE PROCESSING APPARATUS USING THE PILLOW BLOCK | 1 |
Saku Hashiura | JP | Mie-Ken | 2011-09-29 / 20110233680 - NONVOLATILE MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Masaki Hashiura | JP | Kizugawa-Shi | 2008-11-27 / 20080292268 - Content reproducing apparatus, content reproducing method, content reproducing system, content providing apparatus, content data structure, content reproducing program, and storage medium | 1 |
Masaki Hashiura | JP | Osaka | 2015-11-19 / 20150334188 - NETWORK SYSTEM, SERVER, TERMINAL, AND INFORMATION PROCESSING METHOD | 4 |
Saku Hashiura | JP | Kawasaki-Shi | 2010-05-13 / 20100117128 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Saku Hashiura | JP | Yokkaichi Mie | 2015-09-17 / 20150262970 - SEMICONDUCTOR MEMORY DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR MEMORY DEVICE | 1 |
Masaki Hashiura | JP | Osaka-Shi | 2014-08-28 / 20140244767 - MESSAGE NOTIFICATION DEVICE, CONTROL METHOD, AND RECORDING MEDIUM STORING CONTROL PROGRAM | 5 |
Saku Hashiura | JP | Yokkaichi | 2015-06-04 / 20150155290 - SEMICONDUCTOR DEVICE | 1 |
Saku Hashiura | JP | Mie | 2015-02-12 / 20150041815 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Shigeru Hashiura | JP | Kanagawa | 2016-02-11 / 20160041513 - POLYIMIDE SEAMLESS BELT FOR IMAGE FORMING APPARATUS | 1 |
Tsuyoshi Hashiyada | JP | Tokyo | 2015-05-14 / 20150132019 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Tsuyoshi Hashiyada | JP | Kanagawa | 2013-12-19 / 20130334949 - SLIDE RAIL ASSEMBLY, SHEET FEEDER, AND IMAGE FORMING APPARATUS | 6 |
Tsuyoshi Hashiyada | JP | Yamato-Shi | 2011-07-21 / 20110176819 - ROTATION DETECTING DEVICE, SHEET FEEDING DEVICE, AND IMAGE FORMING APPARATUS | 2 |
Kazunari Hashiyama | JP | Tokyo | 2009-03-26 / 20090078088 - Method of Granulating Raw Material for Sintering, and Method of Manufacturing Sintered Iron Ore | 1 |
Makito Hashiyama | JP | Shinagawa-Ku | 2012-11-08 / 20120284152 - PRODUCT PURCHASE SUPPORT APPARATUS, PRODUCT PURCHASE SUPPORT METHOD, PRODUCT PURCHASE SUPPORT PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM RECORDING PRODUCT PURCHASE SUPPORT PROGRAM THEREIN | 1 |
Akira Hashizaka | JP | Okazaki-Shi | 2015-06-25 / 20150175062 - STOP LAMP LIGHTING CONTROL DEVICE FOR ELECTRIC VEHICLE | 5 |
Akira Hashizaka | JP | Tokyo | 2015-12-10 / 20150352978 - DRIVING-FORCE CONTROLLER FOR ELECTRIC VEHICLE | 2 |
Hiromitsu Hashizaka | JP | Kuga-Gun | 2013-08-29 / 20130224101 - RAW MATERIAL CARBON COMPOSITION FOR NEGATIVE ELECTRODE MATERIAL OF LITHIUM-ION SECONDARY BATTERY | 1 |
Kaname Hashizaki | JP | Chiyoda-Ku | 2014-07-31 / 20140212367 - HAIR OIL USING OIL-BASED GEL-LIKE COMPOSITION | 1 |
Katsuo Hashizaki | JP | Tokyo | 2013-05-23 / 20130130088 - BATTERY | 2 |
Katsuo Hashizaki | JP | Nagasaki | 2011-11-17 / 20110279085 - VOLTAGE EQUALIZATION DEVICE, METHOD, PROGRAM, AND POWER STORAGE SYSTEM | 11 |
Kaname Hashizaki | JP | Tokyo | 2014-12-18 / 20140371333 - LECITHIN-ORGANOGELLING AGENT | 3 |
Katsuo Hashizaki | JP | Nagasaki | 2011-11-17 / 20110279085 - VOLTAGE EQUALIZATION DEVICE, METHOD, PROGRAM, AND POWER STORAGE SYSTEM | 11 |
Shigemi Hashizawa | JP | Kosai-Shi | 2014-06-26 / 20140174784 - WIRE HARNESS | 10 |
Shigemi Hashizawa | JP | Shizuoka | 2014-09-04 / 20140245604 - METHOD FOR MANUFACTURING WIRE HARNESS AND METHOD FOR MANUFACTURING AND ARRANGING THE SAME | 1 |
Shigemi Hashizawa | JP | Kosai | 2011-06-09 / 20110133548 - Wiring harness and a method of providing wiring structure of the same | 3 |
Shigemi Hashizawa | JP | Kosai-Shi | 2014-06-26 / 20140174784 - WIRE HARNESS | 10 |
Makoto Hashizume | JP | Kasuga | 2008-12-25 / 20080319474 - Surgical Tools and Operation System | 2 |
Yoko Hashizume | JP | Tokyo | 2014-01-16 / 20140017572 - SECONDARY BATTERY AND ELECTROLYTE LIQUID | 13 |
Michinori Hashizume | JP | Kyoto | 2010-06-24 / 20100159423 - Tactile Pin Display Apparatus | 2 |
Hidehisa Hashizume | JP | Hyogo | 2010-06-03 / 20100134615 - SHAPE MEASUREMENT APPARATUS AND SHAPE MEASUREMENT METHOD | 1 |
Nobuya Hashizume | JP | Kyotonabe-Shi | 2015-10-15 / 20150289793 - LIQUID CONTROLLING METHOD | 1 |
Toshiaki Hashizume | JP | Okaya-Shi | 2016-03-31 / 20160091782 - LIGHT SOURCE APPARATUS AND PROJECTOR | 6 |
Masato Hashizume | JP | Kawasaki | 2010-07-08 / 20100172370 - NETWORK DEVICE | 2 |
Toshiaki Hashizume | JP | Suwa-Shi | 2012-10-25 / 20120268814 - REFELCTIVE FRONT SCREEN | 1 |
Naohiro Hashizume | JP | Kyoto | 2015-11-05 / 20150318769 - MOTOR | 1 |
Yoko Hashizume | JP | Sendai-Shi | 2012-12-13 / 20120315546 - LITHIUM ION BATTERY | 3 |
Taro Hashizume | JP | Minami-Ashigara-Shi | 2010-03-25 / 20100074082 - OPTICAL INFORMATION RECORDING MEDIUM AND AZO METAL COMPLEX DYE | 1 |
Yusuke Hashizume | JP | Chiba | 2015-04-09 / 20150098097 - IMAGE PROCESSING APPARATUS AND METHOD FOR OPERATING THE SAME | 4 |
Wataru Hashizume | JP | Miyagi | 2014-02-13 / 20140045338 - PLASMA ETCHING METHOD | 1 |
Makoto Hashizume | JP | Fukuoka | 2016-03-31 / 20160091885 - MACHINING APPARATUS FOR MACHINING WORKPIECE WITH TOOL | 9 |
Yoko Hashizume | JP | Sagamihara-Shi | 2016-04-21 / 20160111220 - NONAQUEOUS ELECTROLYTE SOLUTION, AND LITHIUM ION SECONDARY BATTERY HAVING THE SAME | 3 |
Masaya Hashizume | JP | Kanagawa | 2009-07-30 / 20090192208 - Pyrazole compounds and use thereof in noxious arthropod pests controlling composition | 1 |
Shuji Hashizume | JP | Kanagawa | 2010-04-22 / 20100096048 - 655 MPA GRADE MARTENSITIC STAINLESS STEEL HAVING HIGH TOUGHNESS AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tomohiro Hashizume | JP | Himeji-Shi | 2015-04-09 / 20150099901 - METHOD FOR PRODUCING HYDROGENATED BIPHENOL | 1 |
Saori Hashizume | JP | Shinagawa-Ku, Tokyo | 2015-11-05 / 20150317570 - SCHEDULE ADJUSTMENT DEVICE, SCHEDULE ADJUSTMENT METHOD, AND SCHEDULE ADJUSTMENT PROGRAM | 1 |
Taro Hashizume | JP | Kanagawa | 2010-09-16 / 20100231835 - BIAXIAL BIREFRINGENT COMPONENT, LIQUID CRYSTAL PROJECTOR, AND METHOD FOR MANUFACTURING BIAXIAL BIREFRINGENT COMPONENT | 6 |
Kenji Hashizume | JP | Komoro-Shi | 2012-07-12 / 20120176439 - INKJET PRINTER | 2 |
Hidetoshi Hashizume | JP | Azumino-Shi | 2011-06-09 / 20110132557 - SCREEN UNIT | 2 |
Shoji Hashizume | JP | Kanagawa | 2012-09-06 / 20120223259 - OPTICAL COUPLING ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Makoto Hashizume | JP | Takai | 2014-07-31 / 20140209425 - CONTROL DEVICE AND CONTROL METHOD FOR LOCKUP CLUTCH | 1 |
Yoko Hashizume | JP | Tokyo | 2014-01-16 / 20140017572 - SECONDARY BATTERY AND ELECTROLYTE LIQUID | 13 |
Kenichi Hashizume | JP | Tokyo | 2014-04-17 / 20140105674 - INSERTION FRAME STRUCTURE AND HOUSING USING SAME | 2 |
Tomihiro Hashizume | JP | Hatoyama-Machi | 2013-10-24 / 20130279652 - MEASURING APPARATUS | 1 |
Satoshi Hashizume | JP | Ichihara-Shi | 2014-01-30 / 20140031459 - MODIFIED PROPYLENE RESIN | 1 |
Kenichi Hashizume | JP | Gunma | 2014-08-07 / 20140218967 - BACKLIGHT SYSTEM | 5 |
Akikazu Hashizume | JP | Aichi | 2010-09-30 / 20100244485 - AUTOMOBILE BODY STRUCTURE | 1 |
Akio Hashizume | JP | Kyoto-Shi | 2015-06-04 / 20150151335 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 2 |
Kiyoshi Hashizume | JP | Nagano | 2010-10-28 / 20100273878 - PREVENTIVE OR THERAPEUTIC DRUG FOR ALZHEIMER-TYPE DEMENTIA | 2 |
Satoshi Hashizume | JP | Chiba | 2011-12-08 / 20110301309 - PROPYLENE HOMOPOLYMER FOR CAPACITORS | 3 |
Hidehisa Hashizume | JP | Kobe-Shi | 2010-12-02 / 20100302551 - SHAPE MEASURING DEVICE | 1 |
Takeshi Hashizume | JP | Mishima-Shi | 2016-05-19 / 20160138451 - CONDENSED WATER TREATMENT DEVICE FOR INTERNAL COMBUSTION ENGINE | 3 |
Yusuke Hashizume | JP | Urayasu Chiba | 2015-12-03 / 20150343794 - DECOLORING APPARATUS FOR READING SHEET AFTER DECOLORING IMAGE | 1 |
Nobuya Hashizume | JP | Kyotanabe-Shi | 2014-09-11 / 20140253594 - DISPLAY APPARATUS, A DISPLAY METHOD AND A DISPLAY PROGRAM FOR USE IN A MEASURING SYSTEM | 2 |
Makoto Hashizume | JP | Toka-Shi | 2009-10-01 / 20090247363 - Clutch control device | 1 |
Jiro Hashizume | JP | Hitachinaka | 2008-08-28 / 20080205246 - OPTICAL HEAD AND OPTICAL DISK DEVICE | 1 |
Hideyuki Hashizume | JP | Tokyo | 2011-09-29 / 20110233147 - METHOD AND APPARATUS FOR PRODUCING HIGH-PURITY WATER FROM LOW-PURITY WATER | 1 |
Takumi Hashizume | JP | Chiba-Shi | 2008-08-28 / 20080208504 - IMPULSE LINE-CLOGGING DETECTING UNIT AND IMPULSE LINE-CLOGGING DETECTING METHOD | 1 |
Ryota Hashizume | JP | Kyoto | 2011-07-07 / 20110165605 - METHOD FOR DESIGNING MUTANT ENZYME, METHOD FOR PREPARING MUTANT ENZYME, AND MUTANT ENZYME | 1 |
Yuichi Hashizume | JP | Nagano | 2010-12-30 / 20100330270 - METHOD OF MANUFACTURING A MAGNETIC RECORDING MEDIUM | 1 |
Kohjiro Hashizume | JP | Haga-Gun | 2013-05-30 / 20130137883 - Process for Production of Triterpene Alcohol | 5 |
Shuji Hashizume | JP | Kawasaki-Shi | 2015-07-09 / 20150191809 - Martensitic Stainless Steel with High Strength, High Toughness and High Corrosion Resistance | 3 |
Hiroyuki Hashizume | JP | Osaka-Shi | 2014-01-30 / 20140031342 - 6,7-DIHYDROIMIDAZO [2,1-b] [1,3]OXAZINE BACTERICIDES | 1 |
Ryotaro Hashizume | US | Pittsburgh | 2014-12-25 / 20140377213 - WET-ELECTROSPUN BIODEGRADABLE SCAFFOLD AND USES THEREFOR | 1 |
Tomoki Hashizume | JP | Settsu-Shi | 2014-08-07 / 20140217707 - AIRBAG DEVICE | 5 |
Yasuaki Hashizume | JP | Kanagawa-Ken | 2011-05-05 / 20110103736 - POLARIZATION-INDEPENDENT WAVEGUIDE-TYPE OPTICAL INTERFERENCE CIRCUIT | 3 |
Nobuya Hashizume | JP | Kyoto-Fu | 2012-01-05 / 20120000297 - LIQUID COLLECTING SYSTEM AND A METHOD THEREFOR | 4 |
Makoto Hashizume | JP | Kasuga-Shi | 2013-12-05 / 20130323670 - MOUTH OPENING INSTRUMENT AND METHOD | 3 |
Koji Hashizume | JP | Kasugai | 2011-09-08 / 20110214807 - Apparatus and method for fabricating bonded substrate | 4 |
Makoto Hashizume | JP | Fukuoka | 2016-03-31 / 20160091885 - MACHINING APPARATUS FOR MACHINING WORKPIECE WITH TOOL | 9 |
Jiro Hashizume | JP | Toride | 2011-12-15 / 20110304832 - OPTICAL AXIS ADJUSTMENT DEVICE, METHOD FOR ADJUSTING OPTICAL AXIS AND PROJECTION-TYPE DISPLAY APPARATUS | 3 |
Takeshi Hashizume | JP | Handa-Shi | 2013-03-28 / 20130079189 - LOAD-SENSITIVE GEAR SHIFTING APPARATUS | 1 |
Takashi Hashizume | JP | Obu-City | 2015-10-22 / 20150304700 - VEHICULAR VIDEO PROCESSING DEVICE AND VEHICULAR VIDEO PROCESSING SYSTEM | 1 |
Hironori Hashizume | JP | Tomi-City | 2009-10-01 / 20090244230 - ULTRAVIOLET CURING INKJET PRINTER, PRINTING METHOD USED IN ULTRAVIOLET CURING INKJET PRINTER, AND HEAD | 3 |
Nobuya Hashizume | JP | Kyoto-Shi | 2015-10-29 / 20150310584 - DISPLAY DEVICE, DISPLAY METHOD, AND DISPLAY PROGRAM USED IN MEASUREMENT SYSTEM | 2 |
Ryota Hashizume | JP | Kanagawa | 2014-10-30 / 20140321828 - IMAGING APPARATUS, IMAGE RECORDING PROCESSING METHOD, AND PROGRAM | 2 |
Yohei Hashizume | JP | Machida-Shi | 2011-06-02 / 20110127901 - DISPLAY APPARATUS | 6 |
Minako Hashizume | JP | Tsukuba-Shi | 2011-04-14 / 20110086898 - PYRAZOLOOXAZOLE COMPOUND | 3 |
Koichi Hashizume | JP | Kawasaki-Shi | 2012-01-05 / 20120000889 - ELECTRODE WIRE FOR WIRE ELECTRICAL DISCHARGE MACHINING, METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR ELECTRICAL DISCHARGE MACHINING USING THE SAME | 1 |
Atsushi Hashizume | JP | Kanagawa | 2015-07-30 / 20150213362 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND PROGRAM | 1 |
Nobuya Hashizume | JP | Kyoto | 2016-02-04 / 20160029934 - LIQUID COLLECTING APPARATUS AND LIQUID COLLECTING METHOD | 1 |
Kenji Hashizume | JP | Kobe-Shi | 2013-07-04 / 20130168039 - AIR GUIDE STRUCTURE OF RADIATOR IN STRADDLE VEHICLE | 2 |
Hideya Hashizume | JP | Hyogo | 2014-10-02 / 20140290693 - METHOD FOR BRUSHING TEETH AND A TOOTHBRUSH USED FOR THE SAME | 1 |
Masakiyo Hashizume | JP | Kitasaku-Gun | 2014-10-02 / 20140291992 - ELECTRICITY-GENERATING SYSTEM | 1 |
Yoshiki Hashizume | JP | Osaka-Shi | 2016-02-11 / 20160040015 - FLAKY STAINLESS-STEEL PIGMENT, RESIN COMPOSITION FORMULATED WITH THE SAME, AND COATED PRODUCT PROVIDED WITH COATING FILM FORMED FROM RESIN COMPOSITION | 5 |
Toshinobu Hashizume | JP | Wako-Shi | 2015-07-30 / 20150210698 - AGENT FOR TREATING OR INHIBITING RECURRENCE OF ACUTE MYELOID LEUKEMIA | 1 |
Shigeyuki Hashizume | JP | Shijonawate-Shi | 2011-03-03 / 20110051020 - Video Projector And Image Display System | 3 |
Takeshi Hashizume | JP | Aichi | 2011-02-17 / 20110036678 - ELECTROMAGNETIC CLUTCH | 2 |
Yoko Hashizume | JP | Kanagawa | 2011-11-10 / 20110274982 - LITHIUM ION BATTERY | 1 |
Toshihiko Hashizume | JP | Hiroshima | 2010-12-16 / 20100317060 - METHOD FOR HIGHLY AMPLIFYING TARGET GENE IN MAMMALIAN CELL AND VECTOR THEREFOR | 1 |
Yusuke Hashizume | JP | Chiba-Ken | 2012-04-26 / 20120099166 - IMAGE READING APPARATUS, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 12 |
Yuki Hashizume | JP | Chiyoda-Ku | 2015-01-29 / 20150032247 - NUMERICAL CONTROL DEVICE | 1 |
Keniche Hashizume | JP | Kitagunma-Gun | 2010-10-14 / 20100259454 - Mechanically tunable antenna for communication devices | 1 |
Satoshi Hashizume | JP | Takaishi-Shi | 2010-09-16 / 20100234810 - POLYPROPYLENE RESIN FOR SYRINGE, SYRINGE PRODUCED FROM THE SAME AS RAW MATERIAL, AND PREFILLED SYRINGE PREPARATION | 2 |
Makoto Hashizume | JP | Tokai-Shi | 2012-06-07 / 20120143453 - LOCK-UP DEVICE AND CONTROL METHOD FOR LOCK-UP DEVICE | 1 |
Shigeyuki Hashizume | JP | Shijonawate-City | 2010-05-13 / 20100117539 - LAMP OPERATION DEVICE AND IMAGE DISPLAY DEVICE | 2 |
Shingo Hashizume | JP | Kyoto | 2011-12-15 / 20110305054 - BI-DIRECTIONAL SWITCH, ALTERNATING-CURRENT TWO-WIRE SWITCH, SWITCHING POWER SOURCE CIRCUIT, AND METHOD OF DRIVING BI-DIRECTIONAL SWITCH | 5 |
Hiroyuki Hashizume | JP | Tokushima | 2015-08-13 / 20150224099 - ANTITUBERCULOUS COMPOSITION COMPRISING OXAZOLE COMPOUNDS | 2 |
Takayoshi Hashizume | JP | Minato-Ku | 2009-10-29 / 20090267414 - HEATING POWER SUPPLY APPARATUS | 1 |
Tsutomu Hashizume | JP | Minamiminowa-Mura | 2015-10-01 / 20150281019 - INFORMATION COMMUNICATION SYSTEM, INFORMATION PROCESSING APPARATUS AND INFORMATION PROVISION METHOD | 1 |
Akio Hashizume | JP | Kyoto | 2015-09-17 / 20150258582 - SUBSTRATE PROCESSING DEVICE | 12 |
Tomoki Hashizume | JP | Settsu-City | 2011-10-27 / 20110259662 - AIRBAG DEVICE | 1 |
Kojirou Hashizume | JP | Haga-Gun | 2012-02-16 / 20120040075 - PACKAGE DRINK | 1 |
Kenichi Hashizume | JP | Kitagunma-Gun | 2015-09-24 / 20150268403 - BACKLIGHT SYSTEM | 3 |
Takashi Hashizume | JP | Saitama | 2011-12-15 / 20110304139 - HYBRID ENGINE-DRIVEN POWER GENERATOR | 2 |
Katsushi Hashizume | JP | Aichi-Ken | 2009-10-08 / 20090251301 - IGNITION DEVICE OF INTERNAL COMBUSTION ENGINE | 1 |
Fujio Hashizume | JP | Aichi | 2009-10-01 / 20090249513 - Method for Expression and Accumulation of Peptide in Plant | 1 |
Isamu Hashizume | JP | Chiba-Shi | 2009-07-23 / 20090185101 - Liquid crystal display device, and manufacturing method for the liquid crystal display device | 2 |
Masayuki Hashizume | JP | Kariya-City | / - | 1 |
Tomihiro Hashizume | JP | Hatoyama | 2013-05-16 / 20130119252 - GAS FIELD ION SOURCE AND METHOD FOR USING SAME, ION BEAM DEVICE, AND EMITTER TIP AND METHOD FOR MANUFACTURING SAME | 7 |
Takeshi Hashizume | JP | Shizuoka-Ken | 2009-07-02 / 20090165758 - EXHAUST GAS PURIFICATION SYSTEM FOR INTERNAL COMBUSTION ENGINE | 2 |
Tomihiro Hashizume | JP | Tokyo | 2015-07-09 / 20150192604 - HOLDER FOR PROBE MICROSCOPE, PROBE MICROSCOPE AND SPECIMEN MEASUREMENT METHOD | 2 |
Atsushi Hashizume | JP | Tokyo | 2014-03-06 / 20140068435 - AUDIO PROCESSING DEVICE, AUDIO PROCESSING METHOD, AND PROGRAM | 3 |
Akihisa Hashizume | JP | Tokyo | 2015-06-25 / 20150180695 - PREAMBLE DETECTION DEVICE, PREAMBLE DETECTION METHOD AND COMPUTER PROGRAM | 1 |
Kenjiro Hashizume | JP | Osaka | 2012-04-12 / 20120086340 - AIR-COOLING ILLUMINATION APPARATUS | 2 |
Tomihiro Hashizume | JP | Hiki-Gun | 2013-04-11 / 20130087704 - GAS FIELD IONIZATION ION SOURCE, SCANNING CHARGED PARTICLE MICROSCOPE, OPTICAL AXIS ADJUSTMENT METHOD AND SPECIMEN OBSERVATION METHOD | 2 |
Satoshi Hashizume | JP | Osaka | 2009-12-24 / 20090317615 - PACKAGING PROPYLENE RESIN COMPOSITION | 3 |
Yoshiki Hashizume | JP | Osaka | 2012-05-24 / 20120129998 - METAL PIGMENT, METHOD FOR PRODUCING THE SAME, AND WATER BASE METALLIC RESIN COMPOSITION INCLUDING THE SAME | 6 |
Taro Hashizume | JP | Odawara-Shi | 2011-08-18 / 20110202942 - OPTICAL INFORMATION RECORDING MEDIUM, METHOD OF RECORDING INFORMATION AND PHOTOSENSITIZER | 2 |
Susumu Hashizume | JP | Ibaraki | 2012-04-26 / 20120097075 - DURABILITY IMPROVING AGENT AND CEMENT COMPOSITION | 1 |
Akio Hashizume | JP | Kyoto | 2015-09-17 / 20150258582 - SUBSTRATE PROCESSING DEVICE | 12 |
Yusuke Hashizume | JP | Chiba-Ken | 2012-04-26 / 20120099166 - IMAGE READING APPARATUS, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 12 |
Takashi Hashizume | JP | Wako-Shi | 2012-03-08 / 20120059570 - WARM-UP CONTROL APPARATUS FOR GENERAL-PURPOSE ENGINE | 7 |
Iwao Hashizume | JP | Fukuoka | 2011-06-23 / 20110149999 - RECEPTION APPARATUS, RECEPTION METHOD, AND RECEPTION PROGRAM | 1 |
Hiroshi Hashizume | JP | Osaka | 2012-05-24 / 20120130070 - COMPOUNDS HAVING NPY Y5 RECEPTOR ANTAGONISTIC ACTIVITY | 3 |
Yusuke Hashizume | JP | Urayasu-Shi | 2009-04-23 / 20090103146 - IMAGE READING APPARATUS AND IMAGE READING METHOD | 3 |
Atsushi Hashizume | JP | Anan-Shi | 2016-03-31 / 20160093777 - LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hironori Hashizume | JP | Nagano | 2016-04-21 / 20160107375 - LAYERED-BODY PRODUCTION METHOD | 2 |
Tomoki Hashizume | JP | Osaka | 2011-05-19 / 20110115199 - MEMBER FOR RESTRICTING EXPANSION OF CURTAIN AIRBAG AND STRUCTURE OF PORTION WHERE CURTAIN AIRBAG IS MOUNTED | 2 |
Yohei Hashizume | JP | Nara-Shi | 2016-01-28 / 20160025685 - PHANTOM FOR CALIBRATING OBJECT INFORMATION ACQUIRING APPARATUS AND MANUFACTURING METHOD THEREOF | 1 |
Takaya Hashizume | JP | Shinjuku-Ku | 2013-11-07 / 20130296533 - Sebum Secretion-Blocking Composition, and Food or Beverage Containing Same | 2 |
Shinya Hashizume | JP | Tokyo | 2016-03-31 / 20160089607 - GAMING MACHINE | 1 |
Hiroshi Hashizume | JP | Saitama | 2009-03-26 / 20090080410 - Speech Processing Peripheral Device and IP Telephone System | 1 |
Hitoshi Hashizume | JP | Machida-Shi | 2015-01-22 / 20150024663 - COMPONENT MANUFACTURING METHOD AND POLISHING APPARATUS | 1 |
Akinori Hashizume | JP | Chino-Shi | 2009-03-05 / 20090059149 - SUBSTRATE FOR LIQUID CRYSTAL DEVICE, ELECTRO-OPTIC APPARATUS AND ELECTRONIC EQUIPMENT | 1 |
Mami Hashizume | JP | Ina-Shi | 2009-02-19 / 20090045305 - TILT DEVICE AND ELECTRONIC EQUIPMENT | 2 |
Masaharu Hashizume | JP | Chiyoda-Ku | 2009-01-29 / 20090028583 - IMAGE FORMING APPARATUS AND METHOD | 1 |
Masaharu Hashizume | JP | Okazaki-Shi | 2012-06-21 / 20120155943 - IMAGE FORMING APPARATUS | 2 |
Asako Hashizume | JP | Tokyo | 2015-10-08 / 20150286907 - COLOR IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND PROGRAM FOR EXECUTING IMAGE PROCESSING METHOD | 10 |
Yoko Hashizume | JP | Himeji-Shi | 2015-09-03 / 20150247034 - THERMOSETTING LIQUID-CRYSTAL POLYESTER COMPOSITION AND CURED PRODUCT THEREOF | 1 |
Takanori Hashizume | JP | Kanagawa | 2011-10-27 / 20110260942 - WIRELESS TERMINAL DEVICE | 1 |
Kei Hashizume | JP | Hiroshima-Shi | 2015-12-03 / 20150345859 - CARBON DIOXIDE LIQUEFACTION DEVICE | 2 |
Hiroshi Hashizume | JP | Osaka-Fu | 2011-12-29 / 20110319412 - PIPERIDINE AND PYRROLIDINE DERIVATIVES HAVING NPY Y5 RECEPTOR ANTAGONISM | 1 |
Takanori Hashizume | JP | Yokohama-Shi | 2013-02-28 / 20130053099 - HUMAN BODY DETECTING SYSTEM | 1 |
Yasunori Hashizume | JP | Matsusaka-Shi | 2011-06-09 / 20110133943 - CONNECTION CONFIRMATION SYSTEM AND MAIN BODY DEVICE | 1 |
Takahiko Hashizume | JP | Gunma | 2015-03-26 / 20150085830 - WIRELESS COMMUNICATION NODE HAVING NEIGHBOR NODE INFORMATION REGISTERED | 1 |
Sho Hashizume | JP | Tokyo | 2015-11-19 / 20150328997 - WIRELESS POWER-SUPPLYING SYSTEM | 1 |
Hiromichi Hashizume | JP | Tokyo | 2008-11-06 / 20080273642 - TIME REFERENCE POINT INFORMATION TRANSMITTING SYSTEM AND RECEIVER | 1 |
Motomu Hashizume | JP | Tokyo | 2009-06-18 / 20090154002 - METHODS AND APPARATUS TO CONTROL HEAD EXPANSION IN MULTI-HEAD HARD-DISK DRIVES | 5 |
Takumi Hashizume | JP | Tokyo | 2013-01-10 / 20130011013 - MEASUREMENT APPARATUS, MEASUREMENT METHOD, AND FEATURE IDENTIFICATION APPARATUS | 3 |
Takanori Hashizume | JP | Tokyo | 2010-01-14 / 20100009730 - Mobile Wireless Device | 1 |
Takashi Hashizume | JP | Gunma | 2008-11-13 / 20080278248 - Pll Circuit | 1 |
Jiro Hashizume | JP | Tokyo | 2016-03-24 / 20160086628 - Optical Component Positioning Device and Optical Recording Device Using Same | 2 |
Asako Hashizume | JP | Tokyo | 2015-10-08 / 20150286907 - COLOR IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND PROGRAM FOR EXECUTING IMAGE PROCESSING METHOD | 10 |
Makoto Hashizume | JP | Fukuoka-Shi | 2015-12-24 / 20150371558 - SUTURE TECHNIQUE EVALUATION APPARATUS, RECORDING MEDIUM STORING PROGRAM FOR SUTURE TECHNIQUE EVALUATION APPARATUS, AND SUTURE SIMULATOR SYSTEM | 3 |
Michiyo Hashizume | JP | Chichibu-Shi | 2015-07-09 / 20150192836 - FIBER-REINFORCED LAMINATE, SHUTTER DEVICE AND CAMERA | 1 |
Katsuhiko Hashizume | JP | Tokyo | 2010-09-02 / 20100219537 - SEMICONDUCTOR DEVICE | 1 |
Yoshinobu Hashizume | JP | Aichi-Ken | 2008-08-28 / 20080207665 - Alpha-(Aryl-or Heteroaryl-Methyl)-Beta-Piperidinopropanoic Acid Compounds as Orl-1-Receptor Antagonists | 2 |
Jun Hashizume | JP | Tokyo | 2013-01-31 / 20130027595 - SOLID-STATE IMAGING APPARATUS AND AD-CONVERSION OUTPUT BIT COUNT CONTROL METHOD | 3 |
Mineo Hashizume | JP | Tokyo | 2009-02-12 / 20090042021 - Metal-Coated Lipid Bilayer Vesicles and Process for Producing Same | 1 |
Yoshihiro Hashizume | US | 2015-09-10 / 20150250376 - ADHESIVE CLEANER | 1 | |
Yoshihiro Hashizume | JP | Chuo-Ku | 2015-09-10 / 20150250376 - ADHESIVE CLEANER | 1 |
Hiroshi Hashizume | JP | Tokyo | 2009-01-08 / 20090007426 - Image forming apparatus and method of manufacturing electronic circuit using the same | 1 |
Moomu Hashizume | JP | Tokyo | 2008-11-20 / 20080285168 - METHODS AND APPARATUS TO PERFORM HARD-DISK DRIVE HEAD PROXIMITY DETECTION IN A PREAMPLIFIER | 1 |
Kazuhiro Hashizumi | JP | Tokyo | 2014-03-20 / 20140077084 - SENSITIVITY ADJUSTMENT DEVICE | 1 |
Hiroyuki Hashizumi | JP | Toyama | 2009-06-25 / 20090158686 - Truss Elements and Space Truss Diagonal Member Manufacturing Method | 1 |
Kazuhiro Hashizumi | JP | Musashino-Shi, Tokyo | 2010-05-13 / 20100122245 - FIELD DEVICE AND FIELD DEVICE SOFTWARE UPDATE SYSTEM THEREWITH | 1 |
Hiroshi Hashizumi | JP | Osaka | 2012-08-16 / 20120208813 - 3-CARBAMOYL-2-PYRIDONE DERIVATIVES | 1 |
Curtis Lee Hash, Jr. | US | Santa Fe | 2015-01-15 / 20150020199 - PATH SCANNING FOR THE DETECTION OF ANOMALOUS SUBGRAPHS AND USE OF DNS REQUESTS AND HOST AGENTS FOR ANOMALY/CHANGE DETECTION AND NETWORK SITUATIONAL AWARENESS | 2 |
Rehan Hashmat | FR | Valbonne | 2013-10-24 / 20130279612 - NOISE LIMITATION FOR TRANSMISSION IN A MULTI-PATH CHANNEL | 1 |
Syed Ahsan Hashmi | CA | Pickering | 2014-01-16 / 20140018971 - COMPUTER IMPLEMENTED ELECTRICAL ENERGY HUB MANAGEMENT SYSTEM AND METHOD | 1 |
Syed Azhar Hashmi | SA | Riyadh | 2016-05-12 / 20160130221 - PROCESS FOR THE PREPARATION OF ISOBUTYLIDENE DIUREA | 4 |
Danish Ehsan Hashmi | IN | Bangalore | 2016-04-07 / 20160099997 - METHOD AND SYSTEM FOR MANAGING APPLICATION DATA IN A COMMUNICATION DEVICE | 1 |
Umar Hashmi | AE | Dubai | 2016-03-03 / 20160063481 - System and Method of Electronic Authentication at a Computer Initiated Via Mobile | 1 |
Adam Hashmi | US | West Chester | 2015-12-31 / 20150374425 - BONE REDUCTION FORCEPS AND PLATE HOLDING FORCEPS | 6 |
Mohammed Salman Hashmi | IN | Aligarh | 2015-11-12 / 20150322072 - PROCESS FOR THE PREPARATION OF TOFACITINIB AND INTERMEDIATES THEREOF | 4 |
Mohammad Shabi Hashmi | CA | Calgary | 2011-11-10 / 20110273187 - PASSIVE SOURCE AND LOAD-PULL ARCHITECTURE FOR HIGH REFLECTION FACTOR SYNTHESIS | 1 |
A. Stephen K. Hashmi | DE | Stuttgart | 2012-05-03 / 20120108819 - N-Heterocyclic Carbene Complexes, Their Preparation And Use | 1 |
Syed Azhar Hashmi | IN | Hyderaband | 2016-03-17 / 20160075607 - FERTILIZER COMPOSITIONS AND METHODS THEREOF | 1 |
Syed Mohammed Azar Hashmi | SA | Riyadh | 2008-11-06 / 20080275271 - Process for Preparing Purified Terephthalic Acid | 1 |
Muhammed Mutaher Kamal Hashmi | GB | Chorlton-Cum-Hardy | 2012-06-28 / 20120166171 - MODELLING AND SIMULATION METHOD | 1 |
Atiq Hashmi | US | Sayerville | 2014-03-27 / 20140089786 - Automated Processor For Web Content To Mobile-Optimized Content Transformation | 2 |
Atif G. Hashmi | US | Madison | 2014-07-31 / 20140215235 - Sensory Stream Analysis Via Configurable Trigger Signature Detection | 1 |
Ghazala Hashmi | US | Holmdel | 2013-08-15 / 20130210642 - Hybridization-Mediated Analysis Of Polymorphisms | 4 |
Atif Hashmi | US | Fremont | 2016-04-07 / 20160098629 - EFFICIENT AND SCALABLE SYSTEMS FOR CALCULATING NEURAL NETWORK CONNECTIVITY IN AN EVENT-DRIVEN WAY | 2 |
Sarwar Hashmi | US | Holmdel | 2011-09-01 / 20110212081 - KRUPPEL-LIKE FACTORS AND FAT REGULATION | 2 |
Syed M. Azhar Hashmi | SA | Riyadh | 2014-11-13 / 20140336434 - METHOD FOR REMOVAL OF ORGANIC AMINES FROM HYDROCARBON STREAMS | 1 |
Sami Hashmi | CH | Killwangen | 2013-02-21 / 20130043680 - CONTROL OF LOAD REJECTION | 1 |
Ghazala Hashmi | US | Lolmdel | 2012-08-23 / 20120214681 - Multiplexed Analysis Of Polymorphic Loci By Concurrent Interrogation And Enzyme-Medicated Detection | 1 |
Syed Azahar Hashmi | SA | Riyadh | 2009-12-31 / 20090326265 - PROCESS FOR PREPARING AROMATIC POLYCARBOXYLIC ACID BY LIQUID PHASE OXIDATION | 1 |
Tomer Hashmonay | IL | Tel Aviv | 2014-03-20 / 20140075937 - CASCADED POWER PLANT USING LOW AND MEDIUM TEMPERATURESOURCE FLUID | 1 |
Sharon Hashmueli | IL | Ramot-Naftali | 2016-04-07 / 20160095907 - PLANT CELL CULTURE EXPRESSING HUMAN LYSOSOMAL PROTEINS AND USES THEREOF | 8 |
Sharon Hashmueli | IL | Yesod-Hamaala | 2009-03-26 / 20090082548 - SYSTEM AND METHOD FOR PRODUCTION OF ANTIBODIES IN PLANT CELL CULTURE | 1 |
Sharon Hashmueli | IL | Ramot-Naftali | 2016-04-07 / 20160095907 - PLANT CELL CULTURE EXPRESSING HUMAN LYSOSOMAL PROTEINS AND USES THEREOF | 8 |
Susan Rene Hashop | US | Alvin | 2013-08-22 / 20130218728 - Virtual on-line pre-shopping system and method | 1 |
Rick Dan Hashop | US | Alvin | 2013-08-22 / 20130218728 - Virtual on-line pre-shopping system and method | 2 |
Susan Rere Hashop | US | Alvin | 2010-02-11 / 20100036746 - Virtual on-line pre-shopping system and method | 1 |
Syed Hashsham | US | Okemos | 2014-07-31 / 20140211204 - HAND-HELD WIRELESS PLATFORM AND OPTICS FOR MEASUREMENT OF DNA, RNA, MICRORNAS, AND OTHER MARKERS OF PATHOGENS, GENETIC DISEASES, AND CANCER | 1 |
Kirk R. Hash, Sr. | US | Drummond | 2014-09-11 / 20140256983 - METHOD OF OXIDATION USING NITRIC ACID | 2 |
Adel Hashw | US | Eden Prairie | 2014-03-27 / 20140088565 - IMPLANT DELIVERY SYSTEM WITH INTERLOCKED RX PORT ORIENTATION | 1 |
Muhammad Hasib-Ur-Rehman | PK | Toba Tek Singh | 2008-11-20 / 20080287555 - Novel process and catalyst for carbon dioxide conversion to energy generating products | 1 |
Muhammad Hasib-Ur-Rehman | PK | Islamabad | 2008-12-25 / 20080318766 - Novel nanocatalyst for edible oil hydrogenation | 1 |
Lev Hasidashvili | US | East Brunswick | 2013-03-07 / 20130058698 - Applicator for fluid products | 1 |
Gaurav Hasija | US | Wheeling | 2015-11-19 / 20150329288 - TARGETED PRODUCT DISTRIBUTION SYSTEM AND METHOD | 12 |
Manvi Hasija | CA | Mississauga | 2009-04-30 / 20090110699 - Antigen-Adjuvant Compositions and Methods | 1 |
Vedran Hasimbegovic | GB | Nottingham | 2014-10-02 / 20140296208 - KINASE INHIBITORS | 1 |
Vedran Hasimbegovic | SE | Solna | 2013-11-14 / 20130303767 - THIONATING AGENT | 2 |
Shin Hasimoto | JP | Osaka | 2010-09-30 / 20100244048 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Masanori Hasimoto | JP | Yokohama | 2013-10-03 / 20130260762 - APPARATUS AND METHOD FOR CONTROLLING SELECTION OF A HANDOVER DESTINATION | 1 |
Shinkichi Hasimoto | JP | Kanagawa | 2010-02-18 / 20100041538 - FUSED SILICA GLASS AND PROCESS FOR PRODUCING THE SAME | 1 |
Nobuaki Hasimoto | JP | Suwa | 2014-05-15 / 20140131890 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE, ELECTRONIC COMPONENT, CIRCUIT SUBSTRATE, AND ELECTRONIC APPARATUS | 1 |
Hagay Hasin | IL | Kfar Chabad | 2011-09-15 / 20110219694 - Thruster Module for Dual Motion Sealing Frameless Glass Facade | 1 |
Slava Hasin | IL | Petach Tikva | 2014-07-24 / 20140202520 - THIN FILM SOLAR COLLECTOR AND METHOD | 5 |
Soeren Hasing | DK | Svendborg | 2011-07-28 / 20110183368 - METHOD AND APPARATUS FOR USING LIGHT EMITTING DIODES IN A GREENHOUSE SETTING | 1 |
Murray P. Hasinoff | US | Birmingham | 2014-02-13 / 20140044619 - PROCESS FOR CONVERTING FGD GYPSUM TO AMMONIUM SULFATE AND CALCIUM CARBONATE | 1 |
Brian B. Hasinoff | CA | Winnipeg | 2012-06-07 / 20120142676 - Oxathiazine and dithiine oxides as inhibitors of sulfhydryl-dependent biomolecules | 1 |
Samuel William Hasinoff | US | Mountain View | 2015-04-23 / 20150109478 - Determining an Image Capture Payload Burst Structure Based on a Metering Image Capture Sweep | 8 |
Samuel William Hasinoff | US | Sunnyvale | 2014-05-15 / 20140132761 - Laser Speckle Photography for Surface Tampering Detection | 1 |
Samuel William Hasinoff | US | Mountain View | 2015-04-23 / 20150109478 - Determining an Image Capture Payload Burst Structure Based on a Metering Image Capture Sweep | 8 |
Nesrin Hasirci | TR | Ankara | 2014-10-02 / 20140294913 - BIODEGRADABLE BONE FILLERS, MEMBRANES AND SCAFFOLDS CONTAINING COMPOSITE PARTICLES | 1 |
Seth Hasit | IN | Pune | 2013-08-01 / 20130198564 - PARAMETERIZED DYNAMIC MODEL FOR CLOUD MIGRATION | 1 |
Ziv Haskal | US | Cockeysville | 2010-04-22 / 20100100103 - TORQUE DEVICE WITH SIDE ATTACHMENT | 1 |
Eliav Haskal | NL | Eindhoven | 2012-02-16 / 20120037809 - IMAGING MEASUREMENT SYSTEM WITH A PRINTED ORGANIC PHOTODIODE ARRAY | 1 |
Eliav Itzhak Haskal | NL | Eindhoven | 2010-12-23 / 20100323170 - ELECTRONIC DEVICE HAVING A PLASTIC SUBSTRATE | 5 |
Eliav Itshak Haskal | NL | Eindhoven | 2012-06-21 / 20120153163 - IMAGING MEASUREMENT SYSTEM WITH A PRINTED PHOTODETECTOR ARRAY | 1 |
Ziv J. Haskal | US | Charlottesville | 2015-11-19 / 20150327836 - ENDOVASCULAR OCCLUSION DEVICE AND METHOD OF USE | 1 |
Ibrahim Haskara | US | Macomb | 2015-03-12 / 20150068203 - TWO-STAGE TURBOCHARGER FLOW CONTROL | 36 |
Paul Haskayne | GB | Whitegate | 2014-05-22 / 20140138412 - CREAMY FOAM BEER DISPENSING SYSTEM | 3 |
Paul Haskayne | UK | Whitegate | 2014-05-29 / 20140143994 - CREAMY FOAM BEER DISPENSING SYSTEM | 1 |
Paul Haskayne | GB | Cheshire County | 2011-05-19 / 20110114680 - BEVERAGE DISPENSE APPARATUS | 1 |
Larry G. Haske | US | Fairgrove | 2009-01-08 / 20090011889 - Locking Differential Assembly | 2 |
Asaf Haskel | IL | Jerusalem | 2009-01-01 / 20090006594 - Method and system for remote manageability of networked computers | 1 |
Ido Haskel | IL | Jerusalem | 2011-06-23 / 20110153080 - METHOD AND APPARATUS FOR INDUSTRIAL ROBOTIC PATHSCYCLE TIME OPTIMIZATION USING FLY BY | 1 |
Ariel Haskel | US | East Brunswick | 2015-10-15 / 20150293075 - METHOD FOR TESTING ORAL MALODOR | 6 |
Lisa Haskell | US | Monument | 2008-12-18 / 20080313129 - METHOD AND APPARATUS FOR ENHANCING A GEOGRAPHIC INFORMATION SYSTEM DATABASE WITH OPERATIONAL DATA | 1 |
Robert Emmons Haskell | US | Chester Springs | 2009-11-05 / 20090276246 - Automated Interdisciplinary Plan of Care Generation System | 3 |
Benjamin A. Haskell | US | San Marcos | 2016-03-17 / 20160079738 - TECHNIQUE FOR THE GROWTH AND FABRICATION OF SEMIPOLAR (Ga,Al,In,B)N THIN FILMS, HETEROSTRUCTURES, AND DEVICES | 2 |
Charles Haskell | US | Boise | 2013-11-07 / 20130295545 - NON-LINEAR QUEST-BASED LEARNING APPARATUS AND METHOD | 1 |
Guy M. Haskell | US | Bountiful | 2011-05-12 / 20110107693 - HIGH EFFICIENCY BUILDING SYSTEM WITH REDUCED COSTS AND INCREASED THERMAL PERFORMANCE | 1 |
Benjamin A. Haskell | US | Carlsbad | 2014-12-04 / 20140353685 - Semi-Polar III-Nitride Films and Materials and Method for Making the Same | 3 |
Timothy Haskell | US | Kent | 2015-11-05 / 20150316939 - Four Way Valve With Oil Filled Actuator | 1 |
Benjamin A. Haskell | US | Santa Barabra | 2012-06-28 / 20120161287 - METHOD FOR ENHANCING GROWTH OF SEMI-POLAR (Al,In,Ga,B)N VIA METALORGANIC CHEMICAL VAPOR DEPOSITION | 1 |
Brad Haskell | US | Auburn Hills | 2015-12-24 / 20150367561 - AIR BONDING PROCESS | 2 |
Bert Haskell | US | Austin | 2008-09-11 / 20080222365 - Managed Memory System | 1 |
Barin Geoffry Haskell | US | Mountain View | 2016-03-10 / 20160073128 - METHOD AND APPARATUS FOR VARIABLE ACCURACY INTER-PICTURE TIMING SPECIFICATION FOR DIGITAL VIDEO ENCODING | 54 |
Adam R. Haskell | US | Lake Forest | 2015-10-15 / 20150293530 - MANUFACTURING EXECUTION SYSTEM AUTHORIZATION | 2 |
Brad Anthony Haskell | US | Lake Orion | 2013-05-30 / 20130136929 - PLASMA TREATED MOLDING COMPOSITION AND PROCESS FOR MODIFYING A SURFACE THEREOF | 1 |
Tim Haskell | US | Kent | 2012-10-04 / 20120247582 - Temperature Control Valve With Pressure Relief | 1 |
David F. Haskell | US | Lombard | 2008-10-16 / 20080253873 - Article elevator | 1 |
Francis T. Haskell | US | West Islip | 2008-10-30 / 20080263755 - Protective garment having lower torso-covering and leg-covering portions and equipped with drag harness or drag harnesses | 1 |
Thomas P. Haskell | US | Lancaster | 2013-07-04 / 20130173157 - AUTOMATED TRAVEL PLANNING SYSTEM | 1 |
Robert Haskell | US | Austin | 2011-02-10 / 20110031289 - WRIST WORN ELECTRONIC DEVICE HOLDER | 1 |
Philip Edward Haskell | GB | Worcestershire | 2009-07-02 / 20090167605 - Phased Array Antenna System with Two Dimensional Scanning | 1 |
Frank Haskell | US | West Islip | 2012-11-01 / 20120272451 - RAPID INTERVENTION RESCUE DEVICE | 2 |
Paul Eric Haskell | US | Saratoga | 2009-11-19 / 20090285308 - Deblocking algorithm for coded video | 3 |
Donna M. Haskell | US | West Islip | 2011-02-10 / 20110030119 - RAPID INTERVENTION RESCUE HARNESS | 1 |
Andrew Haskell | US | Burlingame | 2015-06-04 / 20150154794 - Orthopedic Treatment Device Co-Display Systems and Methods | 3 |
Benjamin Allen Haskell | US | Santa Barbara | 2012-04-19 / 20120094434 - ENHANCED SPONTANEOUS SEPARATION METHOD FOR PRODUCTION OF FREE-STANDING NITRIDE THIN FILMS, SUBSTRATES, AND HETEROSTRUCTURES | 2 |
Roger L. Haskell | US | Carlsbad | 2015-06-11 / 20150159630 - WIND POWER GENERATION SYSTEM AND METHODS | 1 |
Roger L. Haskell | US | El Cajon | 2012-11-22 / 20120292912 - WIND POWER GENERATION SYSTEM AND METHOD | 1 |
Stephen S. Haskell | US | Beach Park | 2014-07-03 / 20140189097 - SYSTEMS AND METHODS FOR PROVIDING INFRASTRUCTURE METRICS | 1 |
Tom Haskell | US | Havertown | 2011-02-17 / 20110040797 - GEOGRAPHY BRICKS FOR DE-IDENTIFICATION OF HEALTHCARE DATA | 1 |
Reichl B. Haskell | US | Nashua | 2011-03-24 / 20110071776 - CONTROLLED COMPRESSIONAL WAVE COMPONENTS OF THICKNESS SHEAR MODE MULTI-MEASURAND SENSORS | 6 |
Barin Geoffrey Haskell | US | Tinton Falls | 2010-08-26 / 20100215097 - System, Method and Computer-Readable Medium for Encoding a Signal into Macroblocks | 1 |
Barrin Geoffrey Haskell | US | Tinton Falls | 2011-03-17 / 20110064139 - Video Coder Employing Pixel Transposition | 1 |
Kevin James Haskell | US | Idaho Falls | 2012-04-05 / 20120082282 - METHODS RELATING TO PHOTONUCLEAR DETECTION | 1 |
Barin Geoffry Haskell | US | Tinton Falls | 2015-07-30 / 20150215366 - INTERACTION MODALITIES FOR MULTIMEDIA DELIVERY AND PRESENTATION | 14 |
Barin Geoffry Haskell | US | Tinton Falls | 2015-07-30 / 20150215366 - INTERACTION MODALITIES FOR MULTIMEDIA DELIVERY AND PRESENTATION | 14 |
Benjamin A. Haskell | US | Santa Barbara | 2014-07-03 / 20140183579 - MISCUT SEMIPOLAR OPTOELECTRONIC DEVICE | 16 |
Kathleen M. Haskell | US | Colmar | 2010-02-18 / 20100041666 - Use of N-Phenyl-2-pyrimidineamine Derivativea Against Mast Cell-based Diseases Like Allergic Disorders | 1 |
Lloyd Haskell | US | Flemington | 2011-01-06 / 20110003804 - Oxazolidinones For the Treatment and/or Prophylaxis of Heart Failure | 3 |
Jeffrey Haskell | US | New Boston | 2016-02-11 / 20160044106 - DEVICE AND RELATED METHOD FOR DYNAMIC TRAFFIC MIRRORING | 2 |
Ronald L. Haskell | US | San Marcos | 2012-05-03 / 20120102787 - INTERLOCKING SHOE STRUCTURE | 2 |
Barin G. Haskell | US | Mountain View | 2013-11-21 / 20130308058 - LOOK-AHEAD SYSTEM AND METHOD FOR PAN AND ZOOM DETECTION IN VIDEO SEQUENCES | 2 |
Barin Geoffry Haskell | US | Moutain View | 2015-03-19 / 20150077427 - Texture Replacement In Video Sequences and Images | 1 |
Benjamin Haskell | US | Santa Barbara | 2012-07-26 / 20120187454 - NITRIDE SUBSTRATES, THIN FILMS, HETEROSTRUCTURES AND DEVICES FOR ENHANCED PERFORMANCE, AND METHODS OF MAKING THE SAME | 2 |
Thomas Paul Haskell | US | Havertown | 2016-04-07 / 20160098541 - SYNTHESIZING COMPLEX POPULATION SELECTION CRITERIA | 1 |
Jennifer Haskell | US | Sunnyvale | 2015-09-17 / 20150262207 - METHODS AND APPARATUS TO COMPENSATE IMPRESSION DATA FOR MISATTRIBUTION AND/OR NON-COVERAGE BY A DATABASE PROPRIETOR | 1 |
Kevin J. Haskell | US | Idaho Falls | 2010-04-15 / 20100091378 - RADIATION COLLIMATOR AND SYSTEMS INCORPORATING SAME | 1 |
Paul Haskell | US | Saratoga | 2012-01-12 / 20120008677 - H.264/AVC BASED APPROACH TO SCALABLE VIDEO COMPRESSION | 2 |
Brad Haskell | US | Lake Orion | 2010-08-12 / 20100204360 - LOW-DENSITY MOLDING COMPOUND | 1 |
Melissa M. Haskell | US | Uxbridge | 2014-11-13 / 20140336595 - PERIPHERAL ADMINISTRATION OF PROTEINS INCLUDING TGF-beta SUPERFAMILY MEMBERS FOR TREATMENT OF SYSTEMIC DISORDERS AND DISEASE | 5 |
John D. Haskell | US | Chino Hills | 2016-02-18 / 20160046395 - System and Method for Managing Momentum Accumulation | 2 |
Philip Edward Haskell | GB | Portsmouth | 2009-01-22 / 20090022410 - METHOD AND APPARATUS FOR CODED APERTURE IMAGING | 1 |
Philip Edward Haskell | US | 2011-05-05 / 20110102262 - PHASED ARRAY ANTENNA SYSTEM WITH VARIABLE ELECTRICAL TILT | 1 | |
Philip Edward Haskell | GB | Hampshire | 2010-06-10 / 20100144289 - ELECTRICALLY TILTED ANTENNA SYSTEM WITH POLARISATION DIVERSITY | 7 |
Carrie Haskell-Luevano | US | Archer | 2010-10-14 / 20100260681 - ADRENOCORTICOTROPIC HORMONE ANALOGS AND RELATED METHODS | 3 |
Dave Hasker | US | San Jose | 2011-10-20 / 20110257493 - BODY FLUID SAMPLING DEVICE | 2 |
Thomas E. Haskett | US | Oakdale | 2010-08-05 / 20100192321 - HAIR AND LINT CLEANING TOOL | 5 |
Bradley M. Haskett | US | Allen | 2015-07-23 / 20150205098 - LOW COST WINDOW PRODUCTION FOR HERMETICALLY SEALED OPTICAL PACKAGES | 3 |
Bradley Morgan Haskett | US | Allen | 2011-08-25 / 20110204464 - Micro-Optical Device Packaging System | 4 |
Tim A. Haskew | US | Northport | 2012-08-16 / 20120209500 - Systems And Methods For Detecting That An Engine Is Being Operated In a Confined Space | 3 |
Timothy A. Haskew | US | Northport | 2010-05-06 / 20100109328 - CONVERTER CONTROL OF VARIABLE-SPEED WIND TURBINES | 1 |
John Haskey | AU | Dulwich | 2016-03-03 / 20160062440 - STANDBY POWER CONTROLLER WITH EXTERNAL INFORMATION CAPABILITY | 1 |
Stephen J. Haskey | GB | Hampshire | 2009-07-16 / 20090182704 - DETERMINING DATA FEED USAGE | 1 |
Stephen J. Haskey | GB | Winchester | 2012-12-13 / 20120314105 - Adapted Digital Device and Adapter for a Digital Device | 1 |
Wendy-Ann Haskey | GB | Winchester | 2012-12-13 / 20120314105 - Adapted Digital Device and Adapter for a Digital Device | 1 |
Tomer Haski | IL | Haifa | 2015-10-15 / 20150289717 - SELF-COMBUSTING IGNITION DEVICE | 1 |
Roger L. Haskin | US | Port Ludlow | 2015-10-08 / 20150286415 - GENERALIZED STORAGE ALLOCATION FOR MULTIPLE ARCHITECTURES | 2 |
Marvin E. Haskin | US | Bryn Mawr | 2008-12-18 / 20080311322 - Heat-Shrinkable Anti-Fomitic Device Incorporating Anti-Microbial Metal | 3 |
Casey Sean Haskin | US | Sparks | 2013-09-12 / 20130234544 - OIL SEAL FOR DELCO REMY 50DN OIL COOLED, HEAVY DUTY AUTOMOTIVE ALTERNATOR | 1 |
Roger L. Haskin | US | Morgan Hill | 2015-12-03 / 20150350366 - SCALABLE CACHING OF REMOTE FILE DATA IN A CLUSTER FILE SYSTEM | 11 |
Igor Haskin | US | Glenview | 2015-05-28 / 20150145679 - RADIO WITH EMBEDDED RFID | 2 |
Casey Haskin | US | Reno | 2012-01-26 / 20120019086 - ALTERNATOR STATOR LEAD AND TERMINAL INSULATOR ASSEMBLY | 1 |
Jay Haskin | US | Bryan | 2015-12-24 / 20150369250 - TURBINE APPARATUS WITH COUNTER-ROTATING BLADES | 1 |
Michael P. Haskin | US | Georgetown | 2011-10-13 / 20110248195 - CONTROL VALVE ASSEMBLY FOR LOAD CARRYING VEHICLES | 2 |
Scott Wayne Haskin | US | Mesa | 2010-02-04 / 20100030034 - Apparatus and Method for Detecting Cardiac Events | 1 |
Roger L. Haskin | US | Morgan Hill | 2015-12-03 / 20150350366 - SCALABLE CACHING OF REMOTE FILE DATA IN A CLUSTER FILE SYSTEM | 11 |
Henry H. Haskin | US | Newport News | 2014-01-09 / 20140011145 - Flame Holder System | 2 |
Christopher B. Haskins | US | Crownsville | 2016-05-05 / 20160126891 - Ka Band Multi-Chip Modulator | 2 |
Richard Haskins | US | Palm Beach Gardens | 2012-11-22 / 20120292219 - Top loading fluid vial housing | 4 |
Kyle Matthew Haskins | US | Petaluma | 2015-03-12 / 20150074558 - SYSTEMS AND METHODS FOR APPLYING INTELLEGENCE AND PRIORITIZATION TO CALENDARED EVENTS | 1 |
Paul Haskins | CA | Manotick | 2014-10-30 / 20140324802 - DISTRIBUTED SCALABLE POLICY BASED CONTENT MANAGEMENT | 3 |
Robert A. Haskins | US | Quakertown | 2009-10-15 / 20090256375 - METHOD AND APPARATUS FOR MOBILE, ON-SITE DEGAUSSING AND PHYSICAL E-COMMERCE DESTRUCTION | 1 |
Richard Haskins | GB | Bristol | 2015-10-29 / 20150307200 - TIME DOMAIN REFLECTOMETRY AIRCRAFT FUEL GAUGE | 8 |
Kimberly Haskins | US | Richmond | 2015-09-10 / 20150253594 - Adjustable Tint | 1 |
Mark Haskins | GB | Milton Keynes, Buckinghamshire | 2015-11-26 / 20150335469 - THERMOREGULATION INTERFACE PACK AND ASSEMBLY | 2 |
Tyler Jay Haskins | US | Oceanside | 2008-12-11 / 20080306557 - Inserter for a spinal implant | 4 |
Darin J. Haskins | US | Blue Mound | 2010-12-23 / 20100323400 - Compositions and Methods for Controlling Copy Number for a Broad Range of Plasmids and Uses Thereof | 1 |
Andrew Haskins | US | Austin | 2009-06-25 / 20090164423 - METHOD AND APPARATUS FOR EXTRACTING DATA FROM A DATA STORE | 1 |
Josey Haskins | US | Craig | 2012-10-11 / 20120255206 - WADING BOOT SOLE | 1 |
Tyler Haskins | US | Conshohocken | 2013-10-17 / 20130274801 - ROD TO ROD CROSS CONNECTOR | 2 |
Darin Haskins | US | Madison | 2015-10-15 / 20150291942 - MODIFIED TRANSPOSASES FOR IMPROVED INSERTION SEQUENCE BIAS AND INCREASED DNA INPUT TOLERANCE | 1 |
Stan Charles Haskins | US | Golden | 2015-07-02 / 20150183666 - SITU CHEMICAL FIXATON OF METAL CONTAMINANTS | 1 |
Peter J. Haskins | GB | Kent | 2010-04-15 / 20100089271 - NOVEL EXPLOSIVES | 1 |
Peter J. Haskins | GB | Sevenoaks | 2010-09-23 / 20100236443 - INSENSITIVE MUNITION | 1 |
Gregory Haskins | US | Holden | 2015-12-24 / 20150371327 - SYSTEM FOR DYNAMICALLY SELECTING A COMMUNICATIONS FABRIC | 1 |
Robert D. Haskins | US | Amherst | 2012-04-05 / 20120084375 - APPARATUS AND METHODS FOR CONTROLLING THE TRANSMISSION OF MESSAGES | 1 |
Harold Haskins | US | Corvallis | 2014-07-10 / 20140191446 - METHOD OF FORMING INSULATING ARTICLES WITH REDUCED THICKNESS VARIATION | 1 |
Clive Haskins | AU | Walkley Heights | 2015-05-07 / 20150127840 - METHOD FOR ESTABLISHING COMMUNICATION WITH A GUEST DEVICE ON A NETWORK | 1 |
Peter Haskins | GB | Kemsing | 2013-02-21 / 20130042782 - CONTROLLABLE OUTPUT WARHEAD | 1 |
Gregory Matthew Haskins | US | Worcester | 2014-12-04 / 20140359132 - POLICY AND IDENTITY BASED WORKLOAD PROVISIONING | 3 |
Reps M. Haskins | US | Midlothian | 2013-09-12 / 20130236612 - Thermoformable Copolyester Laminate | 1 |
Tyler Haskins | US | Bethlehem | 2014-09-18 / 20140276892 - DRIVER ASSEMBLY WITH GUIDEWIRE CONTROL MECHANISM | 3 |
Kyle Haskins | US | Petaluma | 2014-09-25 / 20140289271 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR INTEGRATING A MULTI-TENANT DATABASE SYSTEM AND A USER INFORMATION MANAGEMENT APPLICATION | 1 |
David R. Haskins | US | Gibsonia | 2013-07-11 / 20130174892 - COATED GLASSES HAVING A LOW SHEET RESISTANCE, A SMOOTH SURFACE, AND/OR A LOW THERMAL EMISSIVITY | 2 |
William J. Haskins | US | Acworth | 2015-01-22 / 20150020985 - WET STRENGTH TREATED PAPER AND PAPERBOARD | 1 |
Barry Haskins | US | Woodburn | 2014-03-06 / 20140065896 - Electrical Termination Unit For A Microelectronic Device And Microelectronic Device Including Such An Electrical Termination Unit | 2 |
James H. Haskins | US | Glenburn | 2012-11-15 / 20120285273 - Particulate Material Monitoring System | 2 |
Richard Haskins | GB | Bristol | 2015-10-29 / 20150307200 - TIME DOMAIN REFLECTOMETRY AIRCRAFT FUEL GAUGE | 8 |
Harold J. Haskins | US | Corvallis | 2015-07-16 / 20150200427 - BATTERY THERMAL MANAGEMENT SYSTEM FOR ELECTRIFIED VEHICLE | 1 |
Carey Haskins | US | Tucson | 2011-04-28 / 20110096458 - No no shocker golve | 1 |
Jerry J. Haskins | US | Leander | 2014-09-11 / 20140259010 - SYSTEMS AND METHODS FOR DEPLOYING A CUSTOMIZED OPERATING SYSTEM | 1 |
Richard J. Haskins | US | Singer Island | 2013-05-23 / 20130129971 - Rotating Label Support System for a Container | 1 |
Clara Elena Haskins | US | Safety Harbor | 2009-07-23 / 20090187414 - METHODS AND APPARATUS TO RECRUIT PERSONNEL | 1 |
James David Haskins | US | San Antonio | 2014-10-16 / 20140306077 - Holiday Light Looper | 1 |
Kathryn Haskins | US | Denver | 2012-05-24 / 20120128646 - METHODS AND COMPOSITIONS FOR THE TREATMENT OF AUTOIMMUNE DISEASE | 2 |
Marilyn Haskins | US | Wyoming | 2010-11-04 / 20100280971 - METHOD AND SYSTEM FOR ESTABLISHING, MONITORING, AND RESERVING A GUARANTEED MINIMUM VALUE RETURN ON SELECT INVESTMENTS | 1 |
Harlan Haskins | US | Cupertino | 2015-12-03 / 20150350031 - ACCESSORY MANAGEMENT SYSTEM USING ENVIRONMENT MODEL | 1 |
Tyler Haskins | US | Oceanside | 2008-10-02 / 20080243126 - Rotary angled scraper for spinal disc space preparation | 1 |
Paul R. Haskins | US | Belle Vernon | 2008-11-20 / 20080284194 - TAILGATE AND RAMP FOR PICKUP TRUCK | 1 |
Jeffrey Robert Haskins | US | Mars | 2015-11-12 / 20150323462 - AUTOMATED IMAGING OF CHROMOPHORE LABELED SAMPLES | 1 |
Meagan Caroline Haskins | US | Ringwood | 2014-10-30 / 20140322392 - SNACKS WITH MARBLED-LIKE APPEARANCE AND METHODS FOR STEAM TREATING DOUGH-BASED SNACKS | 1 |
Charles R. Haskins | US | Wyoming | 2014-10-02 / 20140297561 - METHOD AND SYSTEM FOR ESTABLISHING, MONITORING, AND RESERVING A GUARANTEED MINIMUM VALUE RETURN ON SELECT INVESTMENTS | 2 |
Richard W. Haskins | US | Raymond | 2010-01-21 / 20100013497 - REMOTE SENSOR SYSTEM FOR MONITORING THE CONDITION OF EARTHEN STRUCTURE AND METHOD OF ITS USE | 1 |
John William Haskins, Jr. | US | Wake Forest | 2014-03-13 / 20140075166 - Swapping Branch Direction History(ies) in Response to a Branch Prediction Table Swap Instruction(s), and Related Systems and Methods | 1 |
Bill Haskitt | US | Ashburn | 2009-10-08 / 20090254455 - SYSTEM AND METHOD FOR VIRTUAL CANVAS GENERATION, PRODUCT CATALOG SEARCHING, AND RESULT PRESENTATION | 1 |
Stephen Michael Hasko | GB | Huntingdon | 2011-02-03 / 20110025055 - Domestic combined heat and power generation system | 2 |
Gyorgy Hasko | US | Gillette | 2015-07-30 / 20150209413 - ATTENUATING OR TREATING SEPSIS OR SEPTIC SHOCK | 1 |
Gregory H. Hasko | US | Southington | 2014-01-16 / 20140014223 - WOVEN STRUCTURE AND METHOD FOR WEAVING SAME | 2 |
Gyorgy Hasko | US | Scotch Plains | 2009-02-12 / 20090041751 - Sepsis Prevention Through Adenosine Receptor Modulation | 1 |
Zdenka Haskova | US | King Of Prussia | 2013-06-20 / 20130156779 - NOVEL ANTIGEN BINDING PROTEINS | 5 |
Shaul Hasky | IL | Yokneam | 2013-10-24 / 20130278885 - Glasses support device and system | 1 |
Emil Hasl | US | Virginia Beach | 2016-03-31 / 20160090164 - STEERABLE TRACTOR-TYPE DRIVE FOR BOATS | 5 |
Ulrike Haslacher | US | Upland | 2015-08-20 / 20150237126 - SYSTEM, APPARATUS, AND METHOD FOR MEASURING NUMBER OF USER STEPS | 2 |
Andreas Haslacher | AT | Mondsee | 2012-07-26 / 20120187353 - DRAW-IN WIRE TIP WITH A BASE PART | 3 |
Christian R Haslacher | US | Upland | 2015-08-20 / 20150237126 - SYSTEM, APPARATUS, AND METHOD FOR MEASURING NUMBER OF USER STEPS | 1 |
Helmut Haslacher | AT | Mondsee | 2011-09-08 / 20110217913 - SYSTEM AND METHOD FOR ALLOWING A QUALITY CHECK OF SAUSAGE-SHAPED PRODUCTS | 1 |
John Haslam | US | Woodstock | 2014-04-17 / 20140104695 - SHAPED GRADIENT LENS | 2 |
Marcus Haslam | IM | Douglas | 2014-07-03 / 20140189608 - USER INTERFACE FOR A COMPUTING DEVICE | 10 |
Michael E. Haslam | US | Arlington | 2012-06-07 / 20120139634 - CIRCUITRY INCLUDING MATCHED TRANSISTOR PAIRS | 1 |
Jeffery L. Haslam | US | Livermore | 2014-06-05 / 20140151282 - Method of Securing Filter Elements | 1 |
Jane Haslam | GB | Bucks | 2010-10-28 / 20100272332 - Method and System for Characterization of Knee Joint Morphology | 2 |
Thomas Haslam | CA | Ottawa | 2015-05-21 / 20150139643 - METHOD FOR AUTO-CONFIGURATION OF A WAVELENGTH SELECTIVE SWITCH IN AN OPTICAL NETWORK | 2 |
Clifford Edmund Haslam | CA | Victoria | 2010-02-18 / 20100042023 - CONTINUOUS PASSIVE AND ACTIVE MOTION DEVICE AND METHOD FOR HAND REHABILITATION | 1 |
Robert Paul Haslam | GB | Pewsey | 2009-01-15 / 20090017133 - PHARMACEUTICAL FORMULATION COMPRISING LANTHANUM COMPOUNDS | 1 |
James Henry Haslam | GB | Preston | 2008-10-23 / 20080258432 - Trailer Coupling | 1 |
Brian D. Haslam | US | North Bend | 2008-12-18 / 20080310723 - TEXT PREDICTION WITH PARTIAL SELECTION IN A VARIETY OF DOMAINS | 1 |
Craig Haslam | US | Oklahoma City | 2009-07-30 / 20090192619 - Passive electro-magnetically damped joint | 1 |
Brian Haslam | US | Sandy | 2014-11-27 / 20140350992 - INTEGRATED MAINTENANCE SCORING APPARATUS AND METHOD | 1 |
Jeffery J. Haslam | US | Livermore | 2014-06-19 / 20140166489 - NANOENGINEERED FIELD INDUCED CHARGE SEPARATION MEMBRANES MANUFACTURE THEREOF | 9 |
Neil Kenneth Haslam | CA | Hamilton | 2013-09-05 / 20130228993 - I-BEAM AXLE SUSPENSION SYSTEM | 2 |
John L. Haslam | US | Lawrence | 2015-09-17 / 20150258027 - ENALAPRIL COMPOSITIONS | 5 |
Jeffery J. Haslam | US | Livermore | 2014-06-19 / 20140166489 - NANOENGINEERED FIELD INDUCED CHARGE SEPARATION MEMBRANES MANUFACTURE THEREOF | 9 |
David B. Haslam | US | St. Louis | 2010-06-24 / 20100159468 - SMALL MOLECULE INHIBITION OF INTRACELLULAR TRANSPORT | 1 |
John Haslam | US | Alpharetta | 2010-08-12 / 20100202061 - Shaped Gradient Lens | 2 |
Richard Haslam | GB | Buckinghamshire | 2015-08-06 / 20150216828 - Production of Omega-3 Long Chain Polyunsaturated Fatty Acids | 2 |
David Edward Haslam | US | Atlanta | 2013-11-21 / 20130311652 - DELIVERY PERFORMANCE ANALYSIS FOR INTERNET SERVICES | 2 |
Dennis V. Haslam | US | Salt Lake City | 2013-07-18 / 20130180127 - OVERSHOE FOR HIGH-HEELED FOOTWEAR | 1 |
Deborah Haslam | US | Salt Lake City | 2013-07-18 / 20130180127 - OVERSHOE FOR HIGH-HEELED FOOTWEAR | 1 |
Andrew David Mark Haslam | US | Sammamish | 2015-05-07 / 20150124971 - AUDIO BROACAST SOURCES SWITCHING BY GEOGRAPHIC LOCATION | 1 |
Jeffery John Haslam | US | Livermore | 2013-03-21 / 20130068098 - RADIOLOGICAL/BIOLOGICAL/AEROSOL REMOVAL SYSTEM | 1 |
David M. Haslam | US | South Jordan | 2012-08-23 / 20120214586 - System and Method for Using Atomic Agents to Implement Modifications | 1 |
David Haslam | US | Salt Lake City | 2012-05-24 / 20120129584 - RULES BASED SYSTEM FOR MANAGING USER SELECTIONS IN CUSTOMIZABLE OBJECTS | 1 |
Thomas Francis Haslam-Jones | CA | Brossard | 2014-08-28 / 20140241854 - ACTIVE TURBINE OR COMPRESSOR TIP CLEARANCE CONTROL | 1 |
Paul Haslauer | AT | Salzburg | 2009-04-30 / 20090112293 - Device for Carrying Out a Treatment | 1 |
Maike Haslauer | DE | Stuttgart | 2016-04-28 / 20160116383 - Sample Collection Apparatus | 1 |
Andreas Haslauer | DE | Puchheim | 2012-03-22 / 20120068729 - METHOD FOR DETERMINING THE PARAMETERS OF A PHOTOVOLTAIC DEVICE | 1 |
Fred F. Hasle | CA | Manotick | 2013-04-18 / 20130097626 - Multi-User IPTV Client Device | 1 |
William J. Haslebacher | US | Bellevue | 2012-02-09 / 20120031271 - ARRANGEMENT AND METHOD FOR FORMING A FOCUSED CLEAN AIR ZONE COLUMN | 2 |
Philipp Haslebacher | CH | Wasen | 2013-03-14 / 20130064738 - METHOD AND DEVICE FOR THE METERED ADDITION OF FLUIDS INTO REACTION VESSELS | 1 |
Philipp Haslebacher | CH | Burgdorf | 2014-07-31 / 20140208833 - FLOW SENSOR | 1 |
Nikolai Haslebner | US | 2009-07-23 / 20090184090 - THIN-FILM ASSEMBLY AND METHOD FOR PRODUCING SAID ASSEMBLY | 1 | |
Nikolai Haslebner | AT | Zeltweg | 2014-10-16 / 20140307403 - METHOD FOR INTEGRATING AN ELECTRONIC COMPONENT INTO A PRINTED CIRCUIT BOARD | 2 |
Geoffrey Haslehurst | GB | Wigan | 2010-11-11 / 20100284774 - BOTTLE TRANSFER ASSEMBLY AND COMPONENTS FOR USE THEREIN | 1 |
Darrin N. Haslem | US | Yorktown | 2008-11-20 / 20080283623 - WATER EVAPORATION SYSTEM USING NOZZLES ATTACHED TO A SUSPENDED CABLE | 2 |
Brett Haslem | US | Vernal | 2011-07-21 / 20110175444 - Portable Power System | 2 |
Keith R. Haslem | US | Arcadia | 2010-09-16 / 20100230347 - Adjustable height inlet/outlet liquid level management tools and systems | 2 |
Keith R. Haslem | US | 2009-12-31 / 20090320569 - Adjustable height liquid level management tools and systems | 1 | |
James M. Hasler | US | Danville | 2014-07-03 / 20140187758 - Methods For Purifying Insect Membrane-Bound Receptor Proteins From Recombinant Production Hosts | 2 |
Thomas Hasler | US | Troy | 2010-08-26 / 20100213001 - Damping Device and Method for Damping Sound in Cavities of Vehicles | 1 |
Paul E. Hasler | US | Atlanta | 2010-09-30 / 20100246267 - Systems and Methods Of Providing Programmable Voltage And Current Reference Devices | 1 |
David Hasler | CH | Neuchatel | 2014-12-18 / 20140368836 - Positioning device comprising a light beam | 4 |
Barbara Hasler | DE | Munich | 2009-10-22 / 20090261480 - INTEGRATED CIRCUIT AND METHOD OF FABRICATING THE SAME | 2 |
Jennifer O. Hasler | US | Atlanta | 2013-11-28 / 20130318020 - ANALOG PROGRAMMABLE SPARSE APPROXIMATION SYSTEM | 2 |
Jean-Philippe Hasler | SE | Vasteras | 2016-05-19 / 20160139643 - METHOD FOR CONTROLLING A CHAIN-LINK CONVERTER | 17 |
Gregory Scott Hasler | US | Pekin | 2013-05-23 / 20130127345 - Anti-Flicker Apparatus for Motion Detector | 1 |
Christof Hasler | CH | Rombach | 2012-05-24 / 20120129995 - ENCAPSULATED PHENOLIC ANTIOXIDANTS | 1 |
Barbara Hasler | DE | Munchen | 2008-10-30 / 20080268638 - Substrate with Feedthrough and Method for Producing the Same | 2 |
Ivo Hasler | CH | Winterthur | 2015-01-29 / 20150030191 - METHOD FOR OPERATING A HEARING DEVICE AS WELL AS A HEARING DEVICE | 9 |
Alexander Hasler | DE | Duesseldorf | 2011-11-10 / 20110272977 - HEAD REST FOR A VEHICLE | 1 |
Florian Hasler | DE | Wangen | 2012-08-16 / 20120204465 - MECHANISM FOR BEDDING A RECEIVER FRAME AND/OR A BARREL IN A STOCK OF A FIREARM | 1 |
Felix Hasler | CH | Winterthur | 2012-10-11 / 20120255143 - Saw-Tooth Clothing | 1 |
Barbara Hasler | DE | Muenchen | 2011-09-29 / 20110233630 - INTEGRATED CIRCUIT HAVING A SEMICONDUCTOR SUBSTRATE WITH BARRIER LAYER | 1 |
Uwe Hasler | DE | Regensbury | 2016-02-04 / 20160031581 - ROTARY TABLE MACHINE FOR CONTAINER TREATMENT WITH ROTARY TRANSDUCER | 1 |
Alexander Hasler | DE | Karlsruhe | 2014-05-15 / 20140132054 - METHOD FOR PRODUCING A CUSHION ELEMENT AND METHOD AND TOOL FOR THE PRODUCTION THERETO | 1 |
Paul Hasler | CH | Aarau | 2016-03-03 / 20160061824 - METHODS FOR DETECTING INFLAMMATORY DISORDERS | 1 |
Uwe Hasler | DE | Regensburg | 2014-10-02 / 20140290823 - DEVICE FOR LABELING CONTAINERS AND METHOD FOR CONTROLLING THE DEVICE FOR LABELING CONTAINERS | 2 |
Ivo Hasler | CH | Winterthur | 2015-01-29 / 20150030191 - METHOD FOR OPERATING A HEARING DEVICE AS WELL AS A HEARING DEVICE | 9 |
Kristen Hasler | US | Minneapolis | 2013-08-08 / 20130204584 - ONLINE FRAME LAYOUT TOOL | 1 |
Emma Jane Hasler | GB | Suffolk | 2014-06-12 / 20140158127 - NASAL CANNULA FOR DELIVERY OF AEROSOLIZED MEDICAMENTS | 1 |
Alexander Hasler | DE | Dusseldorf | 2011-05-12 / 20110109131 - HEAD REST FOR A VEHICLE | 2 |
Florian Hasler | DE | Wangen Im Allgaeu | 2013-10-31 / 20130283659 - MOUNTING FOR THE DETACHABLE ATTACHMENT OF AN AIMING DEVICE FOR A HANDGUN | 1 |
Jean-Philippe Hasler | SE | Vasteras | 2016-05-19 / 20160139643 - METHOD FOR CONTROLLING A CHAIN-LINK CONVERTER | 17 |
Bernhard Hasler | CH | Langenthal | 2014-02-13 / 20140042146 - ELECTRODE ARRANGEMENT FOR AN ELECTRODYNAMIC FRAGMENTATION PLANT | 1 |
Gregory S. Hasler | US | Pekin | 2014-11-20 / 20140339893 - Life Degradation Mitigation for Transient Response Energy Storage | 1 |
Madlen Hasler | CH | Winterthur | 2015-10-15 / 20150290600 - DEVICE AND METHOD FOR MIXING BULK MATERIAL WITH A LIQUID AND USE OF A DEVICE | 1 |
Josef Hasler | CH | Hinterfrost | 2013-05-02 / 20130104340 - HINGE WITH ADJUSTMENT ELEMENTS AND ADJUSTMENT CROWN FOR ADJUSTMENT ELEMENTS | 1 |
Nils Hasler | DE | Saarbrucken | 2014-03-13 / 20140072175 - FAST ARTICULATED MOTION TRACKING | 1 |
Josef Hasler | CH | Hinterforst | 2012-06-14 / 20120148357 - UNDERCUTTING TOOL | 2 |
Jim Hasler | US | Jersey City | 2015-12-24 / 20150369466 - LED LIGHTING FIXTURE AND HEAT SINK THEREFOR | 1 |
William E. Hasler | US | Branson West | 2009-04-23 / 20090100699 - GOLF GLOVE DRYING DEVICE | 1 |
Paul Hasler | US | Atlanta | 2010-07-15 / 20100176879 - Method And Device For Performing Offset Cancellation In An Amplifier Using Floating-Gate Transistors | 2 |
James Hasler | US | San Diego | 2010-08-26 / 20100214597 - SERVICE STATION | 3 |
Christopher Haslett | GB | Edinburgh | 2009-12-31 / 20090325931 - USE OF CDK INHIBITORS FOR THE TREATMENT OF GRANULOCYTE MEDIATED DISORDERS | 1 |
Thomas L. Haslett | CA | Toronto | 2012-07-26 / 20120188542 - SHAPED INPUT APERTURES TO IMPROVE RESOLUTION IN GRATING SPECTROMETERS | 2 |
David Haslett | AU | Mackay | 2016-01-07 / 20160002893 - AN EXCAVATOR WEAR ASSEMBLY | 1 |
Susan Haslett | US | Dallas | 2009-03-12 / 20090070202 - Competitive rewards benchmarking system and method | 1 |
Chris Haslett | GB | Edinburgh | 2014-05-15 / 20140134110 - OPTICAL IMAGING PROBES | 1 |
James W. Haslett | CA | Calgary | 2008-10-30 / 20080267280 - TIME-TO-DIGITAL CONVERTER | 1 |
Patrick Anthony John Haslett | US | Somerville | 2016-03-24 / 20160083482 - ANTI-CCL2 AND ANTI-LOXL2 COMBINATION THERAPY FOR TREATMENT OF SCLERODERMA | 2 |
Patrick Haslett | US | Somerville | 2015-11-05 / 20150313971 - METHODS AND COMPOSITIONS FOR INTRATHECALLY ADMINISTERED TREATMENT OF MUCUPOLYSACCHARIDOSIS TYPE IIIA | 2 |
Tom Haslett | CA | Toronto | 2013-07-11 / 20130175438 - Quaternary Photodetector for Downhole Optical Sensing | 3 |
Lloyd Hasley | US | Plano | 2014-09-11 / 20140252212 - SIGNAL CONDITIONING CIRCUIT FOR A LIGHT SENSOR, A SENSOR ARRANGEMENT AND A METHOD FOR SIGNAL CONDITIONING FOR A LIGHT SENSOR | 2 |
Camille Haslin | FR | Marseille | 2011-05-26 / 20110123536 - NOVEL HUMAN ANTI-R7V ANTIBODIES AND USES THEREOF | 2 |
Nicole Hasling | US | Durango | 2011-06-30 / 20110160495 - SYNTHESIS OF HIGH MOLECULAR WEIGHT PRIMARY ALCOHOLS | 1 |
William Michael Hasling | US | Princeton Junction | 2015-01-15 / 20150019248 - Gap in Care Determination Using a Generic Repository for Healthcare | 1 |
Thomas Hasling | US | Honolulu | 2010-10-21 / 20100268094 - Consumer electronic camera photoplethysmograph | 1 |
Michael W. Hasling | US | Princeton Junction | 2011-02-17 / 20110041122 - AUTOMATIC IDENTIFICATION OF EXECUTION PHASES IN LOAD TESTS | 1 |
Karl Haslinger | AT | Voecklabruck | 2015-03-12 / 20150070173 - SIGNALLING DEVICE FOR DIVERS | 1 |
Tobias Haslinger | DE | Sindelsdorf | 2014-06-12 / 20140162267 - DRY COMPOSITION OF REACTION COMPOUNDS WITH STABILIZED POLYMERASE | 2 |
Thomas Haslinger | DE | Windorf | 2015-05-14 / 20150128414 - DEVICE AND METHOD FOR STRIPPING A CABLE | 1 |
Thomas F. Haslinger | US | Sun City | 2013-09-19 / 20130245610 - APPARATUS AND METHOD OF MAKING A VARIABLE STIFFNESS MULTILAYER CATHETER TUBING | 1 |
Martin Haslinger | AT | Weiten | 2012-06-14 / 20120146352 - GRIPPING DEVICE | 2 |
Thomas Haslinger | US | Sun City | 2016-03-10 / 20160067458 - BALLOON CATHETER | 8 |
Thomas F. Haslinger | US | Sun Cit | 2015-11-12 / 20150320969 - VARIABLE STIFFNESS MULTILAYER CATHETER TUBING | 1 |
Thomas Haslinger | US | Sun City | 2016-03-10 / 20160067458 - BALLOON CATHETER | 8 |
Isabella Haslinger | AT | Wien | 2014-01-09 / 20140010781 - SIRNA AGAINST CBL-B AND OPTIONALLY IL-2 AND IL-12 FOR USE IN THE TREATMENT OF CANCER | 1 |
Christian Haslinger | AT | Vienna | 2013-01-10 / 20130012465 - BIBW 2992 FOR USE IN THE TREATMENT OF TRIPLE NEGATIVE BREAST CANCER | 1 |
Isabella Haslinger | AT | Vienna | 2015-11-05 / 20150313931 - SIRNA AGAINST CBL-B AND OPTIONALLY IL-2 AND IL-12 FOR USE IN THE TREATMENT OF CANCER | 1 |
Robert Haslinger | DE | Groebenzell | 2012-12-13 / 20120316681 - INPUT APPARATUS FOR MEDICAL MINIMALLY INVASIVE ROBOTS OR MEDICAL SIMULATORS AND MEDICAL DEVICE HAVING AN INPUT APPARATUS | 2 |
Fabian Haslinger | DE | Mannheim | 2014-06-19 / 20140169958 - RADIAL COMPRESSOR FOR AN EXHAUST GAS TURBOCHARGER | 1 |
Hans-Jurgen Haslinger | AT | Trieben | 2015-12-10 / 20150352636 - Submerged Entry Nozzle | 3 |
Andreas Haslinger | DE | Muenchen | 2015-05-21 / 20150142449 - Method and Device for Operating a Speech-Controlled Information System for a Vehicle | 1 |
Harald Haslinger | AT | Linz | 2013-11-28 / 20130316192 - METHOD FOR SURFACE TREATMENT OF A PROTECTIVELY COATED SUBSTRATE | 1 |
Robert Haslinger | DE | Grobenzell | 2011-11-03 / 20110266461 - METHOD AND A DEVICE FOR MEASURING FLUORESCENCE LIFETIME | 2 |
John Martin Haslop | GB | Berkshire | 2010-01-14 / 20100006248 - Security Substrate Comprising Watermark | 1 |
Jason A. Haslow | US | Waterville | 2013-03-21 / 20130071592 - Recyclable Colorants In Plastic Beverage Containers | 1 |
Erez Hasman | IL | Hadera | 2016-01-28 / 20160025914 - Dielectric Metasurface Optical Elements | 4 |
Anthony W. Hasman | US | Comstock Park | 2015-03-26 / 20150086304 - ONE-TO-MANY PUT SEQUENCE OPTIMIZATION | 1 |
Paulo Henrique Hasmann | BR | Florada De Sao Jose Dos Campos | 2009-07-30 / 20090189021 - AUXILIARY AIRCRAFT FUEL TANKS, SYSTEMS AND METHODS PROVIDING VISUAL LEAK DETECTION | 1 |
Max Hasmann | DE | Neuried | 2010-09-09 / 20100227896 - USE OF VITAMIN PP COMPOUNDS | 1 |
Andrea Hasmann | AT | Graz | 2015-11-19 / 20150330019 - Biocatalytic hydrophilization of polyolefines | 5 |
Max Hasmann | DE | Muenchen | 2015-10-08 / 20150283238 - Tumor Therapy with an Antibody for Vascular Endothelial Growth Factor and an Antibody for Human Epithelial Growth Factor Receptor Type 2 | 8 |
Max Hasmann | DE | Muenchen | 2015-10-08 / 20150283238 - Tumor Therapy with an Antibody for Vascular Endothelial Growth Factor and an Antibody for Human Epithelial Growth Factor Receptor Type 2 | 8 |
Paulo Henrique Hasmann | BR | Sao Jose Dos Campos - Sp | 2011-11-10 / 20110272526 - SYSTEMS AND METHODS TO PROVIDE COMPLIANCE WITH STRUCTURAL LOAD REQUIREMENTS FOR AIRCRAFT WITH ADDITIONAL FUEL TANKAGE | 2 |
Ghulam Hasnain | US | Palo Alto | 2015-12-31 / 20150382410 - Light Sources Utilizing Segmented LEDs to Compensate for Manufacturing Variations in the Light Output of Individual Segmented LEDs | 13 |
Abdid Hasnain | PK | Karachi | 2009-05-28 / 20090136641 - Method of fractionating gliadin from wheat gluten protein and fabrication of edible film therefrom | 1 |
Ghulam Hasnain | US | Sunnyvale | 2010-06-10 / 20100140630 - Method And Apparatus For Manufacturing LED Devices Using Laser Scribing | 1 |
Syed Jafer Hasnain | US | Hinsdale | 2012-01-05 / 20120005122 - REAL ESTATE INVESTMENT METHOD FOR PURCHASING A PLURALITY OF DISTRESSED PROPERTIES FROM A SINGLE INSTITUTION AT FORMULA-DERIVED PRICES | 2 |
Ghulam Hasnain | US | Livermore | 2015-07-23 / 20150207037 - NANOWIRE SIZED OPTO-ELECTRONIC STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 9 |
Farhan Hasnain | US | Santa Clara | 2014-12-04 / 20140355491 - SYSTEM AND METHODS FOR ENABLING SIMULTANEOUS TRANSMIT AND RECEIVE IN THE SAME WIFI BAND WITHIN A DEVICE | 1 |
Ghulam Hasnain | US | Livermore | 2015-07-23 / 20150207037 - NANOWIRE SIZED OPTO-ELECTRONIC STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 9 |
Vasile Hasnas | US | Sterling Hts. | 2008-08-21 / 20080199311 - BLOWER SCROLL | 1 |
Sergiu Hasnas | FR | Saint Laurent Du Var | 2014-03-06 / 20140067435 - REVENUE DRIVEN SPLITTING OF GROUP TRAVEL REQUESTS INTO MULTIPLE SUBGROUPS | 1 |
Sergiu Hasnas | FR | St. Laurent Du Var | 2015-06-25 / 20150178763 - REVENUE DRIVEN TRAVEL REWARDS | 2 |
Khaled Hasnat | US | San Jose | 2015-12-17 / 20150363313 - SENSE OPERATION FLAGS IN A MEMORY DEVICE | 6 |
Hasan Hasni | GB | Newcastle Upon Tyne | 2012-03-15 / 20120064243 - PREPARATION OF NANOSTRUCTURED MICROPOROUS COMPOSITE FOAMS | 1 |
Moshe Hason | IL | Tel Aviv | 2013-06-27 / 20130166656 - SYSTEM AND METHOD FOR SHARING DIGITAL IMAGES | 1 |
Baruh Hason | IL | Tel Aviv-Yaffo | 2016-05-12 / 20160134444 - METHOD AND APPARATUS FOR CORRELATION CANCELLER FOR INTERFERENCE MITIGATION WITH ADAPTIVE DC OFFSET CANCELLATION | 9 |
Shimon Hason | US | Brookline | 2016-02-11 / 20160043892 - SYSTEM AND METHOD FOR CLOUD BASED PROVISIONING, CONFIGURING, AND OPERATING MANAGEMENT TOOLS | 4 |
Azhar Hason | GA | Atlanta | 2011-03-10 / 20110057791 - Passive Environmental Sensing | 1 |
Eshel Hason | IL | Nesher | 2009-10-01 / 20090244399 - UNIFIED SINGLE AND MULTIPLE CARRIER RECEIVER ARCHITECTURE | 2 |
Baruh Hason | IL | Tel Aviv-Yaffo | 2016-05-12 / 20160134444 - METHOD AND APPARATUS FOR CORRELATION CANCELLER FOR INTERFERENCE MITIGATION WITH ADAPTIVE DC OFFSET CANCELLATION | 9 |
Eshel Hason | IL | Yoqneam Iiit | 2016-01-28 / 20160022123 - MANEUVERING COILS SETUP FOR MANEUVERING A SWALLOWABLE IN-VIVO DEVICE | 1 |
Baruh Hason | IL | Tel-Aviv | 2010-11-25 / 20100296477 - METHOD AND APPARATUS FOR USE IN WIRELESS COMMUNICATIONS | 2 |
Martin V. Haspel | US | Seneca | 2010-06-17 / 20100150934 - BOTULINUM ANTITOXIN COMPOSITIONS AND METHODS | 1 |
Julian Haspel | DE | Koln | 2011-01-27 / 20110020572 - STRUCTURAL ORGANOSHEET-COMPONENT | 3 |
Julian Haspel | DE | Bonn | 2014-01-30 / 20140030582 - EMF-SHIELDED PLASTIC PREPREG HYBRID STRUCTURAL COMPONENT | 1 |
Joel Haspel | SG | Singapore | 2009-06-04 / 20090144178 - CONSIGNMENT INVENTORY MANAGEMENT AND RECONCILIATION SYSTEM | 1 |
Michael J. Haspel | US | Grosse Ile | 2009-10-15 / 20090258208 - Compositions And Composite Articles Suitable For High Heat Applications | 1 |
Harold Haspeslagh | BE | Brugge | 2010-01-07 / 20100002286 - Method to provide a display panel | 1 |
Luc Roger Simonne Haspeslagh | BE | Lubbeek-Linden | 2016-02-25 / 20160056015 - Radiation Sensor, and its Application in a Charged-Particle Microscope | 1 |
Pieter Rik Haspeslagh | BE | Halen | 2014-07-31 / 20140213767 - HAPTENS OF PALIPERIDONE | 6 |
Luc Haspeslagh | BE | Linden | 2012-12-27 / 20120327248 - INTEGRATED CIRCUIT FOR SPECTRAL IMAGING SYSTEM | 1 |
Luc Haspeslagh | BE | Lubbeek-Linden | 2012-05-24 / 20120127558 - DIFFRACTIVE OPTICAL NANO-ELECTRO-MECHANICAL DEVICE WITH REDUCED DRIVING VOLTAGE | 5 |
Johan Haspeslagh | BE | Linden | 2008-10-30 / 20080267087 - GATEWAY WITH IMPROVED QoS AWARENESS | 1 |
David Charles Hass | US | Renton | 2014-03-06 / 20140060166 - Inlet Icing Protection Simulation System | 2 |
Derek D. Hass | US | Charlottesville | 2013-08-08 / 20130202815 - Method for Applying Aluminum Alloy Coatings for Corrosion Protection of Steel | 7 |
Derek Hass | US | Charlottesville | 2016-03-24 / 20160083838 - Systems, Devices, and/or Methods for Managing Coatings | 3 |
Frank Peter Hass | US | Windmere | 2015-12-03 / 20150343317 - TRACKLESS DARK RIDE VEHICLE, SYSTEM, AND METHOD | 1 |
Julia Hass | US | Boulder | 2008-10-23 / 20080261994 - CYCLOPROPANE COMPOUNDS AND PHARMACEUTICAL USE THEREOF | 1 |
Steven Hass | US | Dallas | 2008-08-21 / 20080197504 - SINGLE-SIDED, FLAT, NO LEAD, INTEGRATED CIRCUIT PACKAGE | 1 |
Michael Hass | CA | Edmonton | 2013-02-28 / 20130053576 - HETEROGENEOUS RHODIUM METAL CATALYSTS | 1 |
Daniel E. Hass | US | West Greenwich | 2011-03-03 / 20110054485 - METHOD AND APPARATUS FOR DELIVERING A PROSTHETIC FABRIC INTO A PATIENT | 1 |
Carsten Hass | DE | Oberding | 2008-09-04 / 20080215224 - Device and Method for Securing the Standstill of a Motor Vehicle | 1 |
Brandon Hass | US | Chicago | 2015-10-15 / 20150292864 - DUAL-CAMERA APPARATUS FOR DERIVING DIMENSIONAL MEASUREMENTS AND METHOD OF PERSONALIZING LENS SELECTION | 2 |
Dave Hass | US | Santa Clara | 2012-12-20 / 20120324157 - SYSTEMS AND METHODS FOR UTILIZING AN EXTENDED TRANSLATION LOOK-ASIDE BUFFER HAVING A HYBRID MEMORY STRUCTURE | 2 |
David T. Hass | US | Santa Clara | 2016-02-04 / 20160036696 - Processor with Messaging Network Technology | 24 |
Brian D. Hass | US | Torrington | 2014-11-06 / 20140325911 - Door Operator Assembly | 2 |
Neal E. Hass | US | Yorktown | 2009-11-19 / 20090287460 - Method of simulating flow-through area of a pressure regulator | 1 |
Peter M. Hass | US | Orinda | 2011-07-07 / 20110162587 - Therapeutically Enhanced Pet Toy | 3 |
Andreas Hass | DE | Eppelheim | 2011-05-19 / 20110113872 - MULTI-ZONE FURNACE | 1 |
Brian Hass | US | Torrington | 2009-10-29 / 20090265992 - Door Operator for Controlling a Door and Method of Same | 1 |
Russell Gordon Hass | US | North Wilkesboro | 2016-02-18 / 20160046037 - METHOD AND APPARATUS FOR REPAIRING EDGE DEFECTS IN BOARDS | 1 |
Sabine Hass | DE | Erzhausen | 2014-03-06 / 20140065899 - Plug Contact Modules and Plug Contact Arrangement For Transmitting Frequencies in the Gigahertz Range | 1 |
Jill L. Hass | CA | Ottawa | 2012-04-12 / 20120085690 - Primary Treatment Unit and System for Maximising the Amount of Methane-Containing Biogas Collected from Sewage | 1 |
Jamie Hass | US | Pinehurst | 2012-06-07 / 20120141429 - Method for stimulating osteogenesis | 1 |
Jon Robert Hass | US | Austin | 2015-07-30 / 20150215408 - AUTOMATED NETWORK SERVICE DISCOVERY AND COMMUNICATION | 6 |
Eden G. Hass | US | Orinda | 2011-07-07 / 20110162587 - Therapeutically Enhanced Pet Toy | 1 |
Kenneth Hass | US | Longmont | 2009-08-27 / 20090216979 - Method and system for secured drive level access for storage arrays | 2 |
Jon Hass | US | Austin | 2014-01-23 / 20140025947 - SINGLE COMMAND FUNCTIONALITY FOR PROVIDING DATA SECURITY AND PREVENTING DATA ACCESS WITHIN A DECOMMISIONED INFORMATION HANDLING SYSTEM | 2 |
Jill Hass | CA | Ottawa | 2014-05-29 / 20140144531 - Assemble-on-Site Methane-Containing Biogas Collection System and Kit | 2 |
Philip Hass | US | Moss Beach | 2013-11-14 / 20130302333 - PREVENTION AND TREATMENT OF COMPLEMENT-ASSOCIATED EYE CONDITIONS | 5 |
Keven Hass | US | Tigard | 2009-02-12 / 20090042500 - Hood and pollution control unit with ultra violet light and electro-static precipitator | 1 |
Russell Hass | US | North Wilkesboro | 2015-08-06 / 20150217475 - SYSTEM AND METHOD FOR OPTIMIZING THE MANUFACTURE OF WOODEN PANELS | 1 |
Alexander Hass | DE | Donaueschingen | 2013-09-26 / 20130253585 - SURGICAL FIXATION SYSTEM, SPACER ELEMENT FOR A SURGICAL FIXATION SYSTEM, USE OF AN IMPLANT AND METHOD FOR STABILIZING SPINOUS PROCESSES | 1 |
Frank Peter Hass | US | Windermere | 2013-06-06 / 20130144468 - TRACKLESS DARK RIDE VEHICLE, SYSTEM, AND METHOD | 1 |
Jon R. Hass | US | Austin | 2015-12-31 / 20150378844 - Systems And Methods For Out-Of-Band Backup And Restore of Hardware Profile Information | 6 |
Gunther Hass | FR | Saint Egreve | 2012-11-01 / 20120274651 - MATRIX DISPLAY DEVICE FOR DISPLAYING TWO MERGED IMAGES | 1 |
David Hass | US | Santa Clara | 2013-06-20 / 20130155861 - CONTENT SERVICE AGGREGATION SYSTEM | 2 |
Phillip E. Hass | US | Moss Beach | 2015-08-20 / 20150232551 - ANTIBODIES DIRECTED TO IL-17A/F HETEROLOGOUS POLYPEPTIDES AND THERAPEUTIC USES OF THEREOF | 1 |
Philip E. Hass | US | Moss Beach | 2014-10-23 / 20140314711 - IL-22 POLYPEPTIDES AND IL-22 Fc FUSION PROTEINS AND METHODS OF USE | 2 |
Frank Peter Hass | US | Orlando | 2014-05-08 / 20140129074 - Method Of Managing Loading, Unloading, And Routing Of Trackless Vehicles And System Using The Same | 1 |
Alfred Hass | DE | Eppelheim | 2014-11-13 / 20140336286 - Modified Fischer-Tropsch Monolith Catalysts and Methods For Preparation and Use Thereof | 2 |
William Donald Hass | US | Ypsilanti | 2015-11-26 / 20150341767 - METHOD AND SYSTEM FOR LAUNCHING AN APPLICATION | 3 |
Abdelhak Hassaine | FR | Grenoble | 2015-05-14 / 20150131251 - PROCESS FOR MANUFACTURING AN ELECTRICALLY CONDUCTIVE MEMBER FOR AN ELECTRONIC COMPONENT COMPRISING AN END EQUIPPED WITH A CAVITY | 1 |
Yousuke Hassaku | JP | Yamanashi | 2015-12-03 / 20150345930 - PASTE TRANSFER UNIT, ELECTRONIC COMPONENT MOUNTING DEVICE, AND TRANSFERRED FILM THICKNESS MEASURING METHOD | 3 |
Nathaniel P. Hassall | GB | Sowerby | 2015-11-05 / 20150315994 - STABILIZED ENGINE CASTING CORE ASSEMBLY, METHOD FOR MAKING AN ENGINE BODY, AND ENGINE BODY FORMED THEREBY | 1 |
Nathaniel P. Hassall | GB | Thirsk | 2015-10-22 / 20150300221 - CYLINDER BLOCK WITH INTEGRATED OIL JACKET | 2 |
Nathaniel Hassall | GB | Thirsk | 2015-11-05 / 20150315996 - CAST DUAL WALL BULKHEAD WITH INTEGRAL OIL DRAIN | 1 |
Nathaniel Hassall | US | Columbus | 2013-08-15 / 20130206124 - SEATLESS WET CYLINDER LINER FOR INTERNAL COMBUSTION ENGINE | 1 |
Ahmed E. Hassan | CA | Waterloo | 2013-09-12 / 20130239201 - SECURE DEVICE SHARING | 23 |
Faraz Hassan | PK | Lahore | 2016-02-18 / 20160050465 - DYNAMICALLY TARGETED AD AUGMENTATION IN VIDEO | 1 |
Mohd Ali Hassan | MY | Selangor | 2014-02-20 / 20140051847 - METHOD FOR ISOLATING DNA | 1 |
Mohammad Ali Ali Hassan | SA | Dhahran | 2012-10-11 / 20120259477 - PARTICLE SWARM OPTIMIZATION SYSTEM AND METHOD FOR MICROGRIDS | 1 |
Sayed Hassan | EG | Cairo | 2013-08-01 / 20130197896 - RESOLVING OUT-OF-VOCABULARY WORDS DURING MACHINE TRANSLATION | 1 |
Cherif Hassan | US | 2013-11-28 / 20130313871 - INTERACTIVE SITTING SYSTEM | 1 | |
Serkan Hassan | GB | Hove | 2012-10-11 / 20120258798 - IMPORTING AUDIO TO AFFECT GAMEPLAY EXPERIENCE | 2 |
Mahmood Hassan | US | Garland | 2015-08-06 / 20150218895 - SYSTEM AND METHOD FOR AUTOMATED ROD CHANGING | 1 |
Tarek Hassan | US | Ann Arbor | 2015-02-12 / 20150044421 - MEDICAL DEVICES AND INSTRUMENTS WITH NON-COATED SUPERHYDROPHOBIC OR SUPEROLEOPHOBIC SURFACES | 3 |
Aziz Hassan | US | Suagar Land | 2012-10-11 / 20120258017 - SYSTEM AND PROCESS FOR PRODUCTION OF FATTY ACIDS AND WAX ALTERNATIVES FROM TRIGLYCERIDES | 1 |
Renate Herger Hassan | CH | Obstalden | 2011-03-03 / 20110048610 - COMPOSITION USEFUL AS AN ADHESIVE FOR INSTALLING VEHICLE WINDOWS | 1 |
Ahmed H. Hassan | US | San Jose | 2014-06-19 / 20140169348 - TRANSMITTER WARM-UP USING DUMMY FRAME GENERATION | 1 |
Ahmed Hassan | US | Mesa | 2011-01-06 / 20110001018 - COMPOSITE MATERIAL FOR GEOMETRIC MORPHING WING | 2 |
Kazi Zulfiqur Ali Hassan | US | Huntsville | 2008-11-27 / 20080289397 - PORTABLE ANALYTICAL SYSTEM FOR DETECTING ORGANIC CHEMICALS IN WATER | 1 |
Akram M.h. Hassan | US | Issaquah | 2011-04-28 / 20110099095 - PROCESSING INTERNAL USE OF DATA-CENTER RESOURCES | 1 |
Noorman Bin Abu Hassan | MY | Taman Bukit | 2011-11-24 / 20110287553 - BREACH OR CONTAMINATION INDICATING ARTICLE HAVING MICROCAPSULES | 1 |
David Hassan | US | 2010-05-27 / 20100131381 - Donations Exchange-Computerized Method automate Donations Request, Fulfillment and Tracking | 1 | |
Naeemul Hassan | US | Arlington | 2015-10-01 / 20150281885 - Classification of Indoor and Outdoor Telecommunications Events of Mobile Telecommunications Networks | 2 |
Raffit Hassan | US | Gaithersburg | 2015-09-10 / 20150252118 - MESOTHELIN DOMAIN-SPECIFIC MONOCLONAL ANTIBODIES AND USE THEREOF | 1 |
Mahmud-Ui Hassan | CA | Kanata | 2012-06-14 / 20120149355 - SYSTEM AND METHOD FOR PROVISIONING A THIRD PARTY MOBILE DEVICE EMULATOR | 1 |
Ali Hassan | US | Palo Alto | 2016-05-05 / 20160120526 - VASCULAR CLOSURE APPARATUS AND RELATED METHOD | 6 |
Mahmoud Hassan | US | Somerset | 2016-02-25 / 20160051833 - KIT CONTAINING PHOTOSENSITIZING DYES | 21 |
Yoseph Hassan | IL | Ashkelon | 2013-05-30 / 20130139021 - ERROR CORRECTION CODING (ECC) DECODE OPERATION SCHEDULING | 1 |
Khursheed Hassan | US | Austin | 2011-10-27 / 20110260919 - Directional Antenna and Methods Thereof | 3 |
Emadeldin M. Hassan | US | Parkton | 2016-04-21 / 20160106682 - Enteric Soft Capsules | 7 |
Mahmud-Ui Hassan | US | Kanata | 2008-12-04 / 20080298324 - Method and Apparatus for Sequentially Conducting Independent Data Contexts Using a Mobile Communications Device | 1 |
Mohammad A. Hassan | US | Neenah | 2015-11-12 / 20150322603 - PROCESS FOR FORMING A NON-WOVEN WEB | 6 |
Omar Hassan | US | Kirkland | 2015-07-02 / 20150188956 - Unified Communication Device | 20 |
Fathy Mohamed Hassan | CA | Kitchener | 2015-05-21 / 20150141666 - Low Cost Synthesis of Single Material Bifunctional Nonprecious Catalyst for Electrochemical Devices | 1 |
Ali Hassan | US | Mountain View | 2015-05-14 / 20150133892 - METHOD AND DEVICES FOR FLOW OCCLUSION DURING DEVICE EXCHANGES | 8 |
Azad M. Hassan | US | Los Angeles | 2013-01-31 / 20130025684 - ORGANIC ELECTRONIC DEVICES USING PHTHALIMIDE COMPOUNDS | 3 |
Abdul-Sattar Hassan | DK | Ega | 2014-09-11 / 20140255216 - PUMP SYSTEM | 1 |
Rizwan S. Hassan | US | Danville | 2010-08-05 / 20100193698 - GANTRY MOUNTED PATIENT TABLE AND EXCHANGER FOR MEDICAL IMAGING | 2 |
Mahmudul Hassan | US | San Carlos | 2012-06-21 / 20120154186 - LOW POWER SERIAL TO PARALLEL CONVERTER | 3 |
Akram M.h. Hassan | US | Sammamish | 2014-09-18 / 20140281700 - COORDINATING FAULT RECOVERY IN A DISTRIBUTED SYSTEM | 1 |
Ashraf Hassan | US | Brookings | 2013-08-08 / 20130202737 - Methods and Composition for EPS-Fortified Ingredients in Cheese | 1 |
Gamal A. Hassan | US | Houston | 2012-08-30 / 20120217008 - System and Method for Stress Field Based Wellbore Steering | 6 |
Andrew Gordon Hassan | GB | Reading | 2009-06-04 / 20090141169 - SUBLIMINAL IMAGE SYSTEM | 1 |
Mohd Fadzli Anwar Hassan | US | San Francisco | 2015-11-12 / 20150327366 - Silver Based Conductive Layer for Flexible Electronics | 26 |
Mahmoud Hassan | US | Somerset | 2016-02-25 / 20160051833 - KIT CONTAINING PHOTOSENSITIZING DYES | 21 |
Emadeldin M. Hassan | US | Huntington Beach | 2012-11-29 / 20120301546 - ACID-RESISTANT SOFT GEL COMPOSITIONS | 1 |
Amer A. Hassan | US | Redmond | 2014-10-09 / 20140304796 - PROVIDING GUEST USERS NETWORK ACCESS BASED ON INFORMATION READ FROM A CREDIT CARD OR OTHER OBJECT | 2 |
Emadeldin Hassan | US | Hunt Valley | 2015-11-12 / 20150320864 - SELF MICRO-EMULSIFYING DRUG DELIVERY SYSTEM WITH INCREASED BIOAVAILABILITY | 2 |
Alkan Hassan | GB | Brighton | 2010-03-11 / 20100060661 - PHYSICALLY PRESENT GAME CAMERA | 1 |
Fred Hassan | US | Boca Raton | 2016-04-07 / 20160095822 - Multiparticulate L-Menthol Formulations and Related Methods | 10 |
Mohamed Nabil Hassan | US | Gainesville | 2013-10-24 / 20130282522 - REAL-TIME DIGITAL INVENTORY SYSTEMS AND METHODS | 1 |
Tareq K. Hassan | US | Norcross | 2010-03-04 / 20100051767 - ELEVATED SUPPORT SYSTEM | 1 |
Ian F. Hassan | US | Morris Plains | 2010-02-11 / 20100034890 - COMBINATIONS OF FORMOTEROL AND FLUTICASONE PROPIONATE FOR ASTHMA | 1 |
Amer A. Hassan | US | Kirkland | 2016-05-05 / 20160127423 - DIRECT CONNECTION WITH SIDE CHANNEL CONTROL | 127 |
Ahmad Hassan | PK | Islamabad | 2009-07-02 / 20090172584 - METHOD AND APPARATUS FOR INTERACTIVE SCHEDULING OF VLIW ASSEMBLY CODE | 1 |
Daniel Hassan | US | Boca Raton | 2016-04-07 / 20160095822 - Multiparticulate L-Menthol Formulations and Related Methods | 12 |
Sameh Sayed Ali Hassan | GB | Londonderry | 2015-11-19 / 20150332713 - Increased Stability Magnetic Shield | 3 |
Alaa Hassan | US | Austin | 2012-03-08 / 20120055340 - POWER MANAGEMENT SYSTEMS AND METHODS FOR USE IN AN OXYGEN CONCENTRATOR | 1 |
Tarek Hassan | SA | Riyadh | 2013-07-25 / 20130190498 - ISATIN DERIVATIVES, MEDICAMENTS CONTAINING THE ISATIN DERIVATIVES AND METHOD FOR ITS PREPARATION | 1 |
Sathyamurthy Dattahreya Hassan | IN | Bangalore | 2012-10-25 / 20120272228 - METHOD AND APPARATUS FOR DETERMINING COMPATIBLE VERSIONS OF DEPENDENT ENTITIES IN A COMPUTER SYSTEM | 1 |
Md. Rafiul Hassan | SA | Dhahran | 2013-11-28 / 20130318016 - METHOD OF PREDICTING GAS COMPOSITION | 1 |
Salam P. A. Hassan | GB | Barnes | 2012-07-05 / 20120168799 - LED LAMPS | 2 |
Hosni M. Hassan | US | Raleigh | 2012-05-24 / 20120128718 - ATTENUATED FNR DEFICIENT ENTEROBACTERIA | 2 |
Ahmed Hassan | EG | Cairo | 2009-05-07 / 20090116741 - Access To Multilingual Textual Resource | 1 |
Ayat El Telib El Tayeb Hassan | AE | Al-Ain | 2015-02-26 / 20150056692 - Spouted Bed Bio-Reactor System | 1 |
Mahmud Hassan | US | San Carlos | 2014-11-06 / 20140331027 - ASYMMETRIC MESH NOC TOPOLOGIES | 10 |
Mahmud Hassan | US | San Carlos | 2014-11-06 / 20140331027 - ASYMMETRIC MESH NOC TOPOLOGIES | 10 |
Omar A. Hassan | US | Bellevue | 2012-02-16 / 20120040682 - PRIORITIZATION OF DATA COMMUNICATION | 2 |
Hany M. Hassan | US | Redmond | 2014-06-12 / 20140165193 - Detecting Anomalous Process Behavior | 1 |
Amer A. Hassan | US | Hassan | 2014-07-31 / 20140211872 - OFDM TRANSMISSION AND RECEPTION FOR NON-OFDM SIGNALS | 1 |
Amer Hassan | US | Seattle | 2014-07-17 / 20140201383 - DISTRIBUTED DESCRIPTION OVER MULTIPLE LINKS | 1 |
Ali H. Hassan | US | Mountain View | 2013-05-23 / 20130131614 - Methods and Devices for Removal of a Medical Agent from a Physiological Efferent Fluid Collection Site | 1 |
Ali H.m. Hassan | US | Palo Alto | 2014-04-10 / 20140100646 - METHOD AND DEVICES FOR FLOW OCCLUSION DURING DEVICE EXCHANGES | 1 |
Mohd Fadzli A. Hassan | US | San Francisco | 2016-04-14 / 20160103349 - Display with Low Reflectivity Alignment Structures | 5 |
Scott Hassan | US | Menlo Park | 2013-10-10 / 20130265958 - SYSTEM FOR WIRELESS CONNECTIVITY CONTINUITY AND QUALITY | 2 |
Scott W. Hassan | US | Menlo Park | 2013-12-26 / 20130343352 - SYSTEM FOR WIRELESS CONNECTIVITY CONTINUITY AND QUALITY | 2 |
Tanvir Hassan | US | Alameda | 2014-02-06 / 20140035721 - Accessing a Secure Locker Via A Mobile Device | 1 |
Aziz Hassan | US | Sugarland | 2011-11-24 / 20110287159 - PROCESS FOR UPGRADING LOW VALUE RENEWABLE OILS | 4 |
Mohd Ali Hassan | MY | Selangor Darul Ehsan | 2011-06-30 / 20110160427 - METHOD OF EXTRACTING AND PURIFYING POLYHYDROXYALKANOATE BIOPLASTIC | 1 |
Hassan M.a. Hassan | US | Richmond | 2013-08-15 / 20130211106 - PRODUCTION OF GRAPHENE AND NANOPARTICLE CATALYSTS SUPPORTED ON GRAPHENE USING MICROWAVE RADIATION | 1 |
Aziz Hassan | US | College Station | 2016-03-17 / 20160074870 - METHOD OF HIGH SHEAR COMMINUTION OF SOLIDS | 1 |
Tariq Hassan | US | San Jose | 2013-02-21 / 20130044696 - CIRCUIT SWITCHED FALL BACK WITHOUT CONNECTION RELEASE AUTHORIZATION | 1 |
Owais Hassan | US | Andover | 2012-09-06 / 20120225683 - CHANNEL SELECTION IN A WIRELESS NETWORK | 1 |
Hassan Hassan | CA | London | 2014-08-21 / 20140230804 - SOLAR TRACKER | 1 |
Abbas Hassan | US | Sugar Land | 2016-03-17 / 20160074870 - METHOD OF HIGH SHEAR COMMINUTION OF SOLIDS | 144 |
Hasib Hassan | US | Belleville | 2016-03-17 / 20160077200 - SYSTEM AND METHOD FOR ESTIMATING DISTANCE BETWEEN A MOBILE UNIT AND A VEHICLE USING A TOF SYSTEM | 4 |
Abu Mokhtarul Hassan | US | Pearland | 2016-03-10 / 20160069172 - ENHANCED STEAM EXTRACTION OF IN SITU BITUMEN | 1 |
Anan Hassan | IL | Julis | 2014-08-28 / 20140239684 - SAFETY SEAT | 4 |
Abbas Hassan | US | Houston | 2011-11-03 / 20110269989 - METHOD OF MAKING ALKYLENE GLYCOLS | 1 |
Aziz Hassan | US | Sugar Land | 2015-12-10 / 20150353357 - APPARATUS, SYSTEM, AND METHOD FOR CONVERTING A FIRST SUBSTANCE INTO A SECOND SUBSTANCE | 137 |
Akram Hassan | US | Sammamish | 2015-10-15 / 20150293821 - HEALING CLOUD SERVICES DURING UPGRADES | 4 |
Moinuddin Hassan | US | Bristow | 2015-04-23 / 20150112136 - POLARIMETRIC ACCESSORY FOR COLPOSCOPE | 2 |
Mohd Fadzli Anwar Hassan | US | San Francisco | 2015-11-12 / 20150327366 - Silver Based Conductive Layer for Flexible Electronics | 26 |
Ahmed Hassan | CA | Waterloo | 2012-07-12 / 20120176905 - APPARATUS AND METHOD FOR DELIVERING MESSAGES OVER MULTIPLE MEDIUMS | 2 |
Avi Hassan | IL | Ashdod | 2009-08-27 / 20090214322 - Method and Device for Opening Cans | 1 |
Khan Hassan | US | Mountlake Terrace | 2013-10-17 / 20130273600 - Stain for Microscopic Tissue Sections | 1 |
Lookman I. Hassan | US | Baltimore | 2010-06-10 / 20100139022 - Tooth rag | 1 |
Marwa Mohamed Hassan | US | Baton Rouge | 2015-09-17 / 20150259920 - Energy Efficient Shingles | 1 |
Mohamed Hassan | US | Glastonbury | 2009-11-19 / 20090285684 - Turbine blade internal cooling configuration | 1 |
Emadeldin M. Hassan | US | Greensboro | 2015-12-10 / 20150352054 - Enteric Soft Capsules | 5 |
Amer Hassan | US | Kirkland | 2015-07-02 / 20150187086 - Wireless Display that Accesses Remote Resources for Display Data | 11 |
M. Hassan Hassan | US | Windermere | 2014-04-17 / 20140103663 - Petroleum-Alternative Power Plant | 4 |
David Hassan | US | Mundelein | 2009-12-31 / 20090327022 - Solicitation Management-Computerized Method to automate Solicitations Processing and Tracking. | 2 |
Magdy Hassan | EG | Cairo | 2016-03-03 / 20160063481 - System and Method of Electronic Authentication at a Computer Initiated Via Mobile | 1 |
Kazi Z. A. Hassan | US | Huntsville | 2015-05-28 / 20150143872 - ANALYTICAL SYSTEM AND METHOD FOR DETECTING VOLATILE ORGANIC COMPOUNDS IN WATER | 1 |
Tarek Shawky Hassan | US | Ann Arbor | 2014-10-16 / 20140307229 - Contact Lens For Vitreoretinal Surgery | 3 |
Mahmoud Y. Hassan | EG | Cairo | 2013-06-20 / 20130159682 - DECIMAL FLOATING-POINT PROCESSOR | 2 |
Azziz Hassan | US | Sugar Land | 2009-05-28 / 20090136395 - METHOD OF HYDROGENATING ALDEHYDES AND KETONES | 1 |
Sarah Hassan | US | Boca Raton | 2016-04-07 / 20160095822 - Multiparticulate L-Menthol Formulations and Related Methods | 5 |
Ali H. Hassan | US | Palo Alto | 2011-10-27 / 20110263976 - Methods and Devices for Endovascular Introduction of an Agent | 1 |
Meer Saiful Hassan | SG | Singapore | 2014-09-25 / 20140284404 - CHEMICAL VAPOUR DEPOSITION INJECTOR | 1 |
Wael Hassan | AE | Sharjah | 2010-06-10 / 20100143907 - METHODS AND USES INVOLVING GENETIC ABNORMALITIES AT CHROMOSOME 12 | 1 |
Kamal Hassan | KR | Yongin-City | 2015-01-22 / 20150022539 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 1 |
Muhd Shuhail Hassan | MY | Kluang | 2013-08-08 / 20130200772 - Closure element | 3 |
Khalid Hassan | US | Denton | 2016-03-24 / 20160082540 - TWO-PIECE NOZZLE ASSEMBLY FOR AN ARC WELDING APPARATUS | 12 |
Moustapha Hassan | SE | Huddinge | 2013-02-14 / 20130039977 - PHARMACEUTICAL COMPOSITION, A METHOD OF PREPARING IT AND A METHOD OF TREATMENT BY USE THEREOF | 1 |
Wael Hassan | EG | Sharjah The United Arab Emirates | 2013-01-31 / 20130029337 - METHODS AND USES INVOLVING GENETIC ABNORMALITIES AT CHROMOSOME 12 | 1 |
Samer Hassan | CA | Mississauga | 2013-08-01 / 20130192590 - REFLECTOR FOR RADIANT TUBE HEATER | 4 |
Mohd Fadzli Anwar Hassan | US | 2011-06-02 / 20110126875 - CONDUCTIVE CONTACT LAYER FORMED ON A TRANSPARENT CONDUCTIVE LAYER BY A REACTIVE SPUTTER DEPOSITION | 1 | |
Tamer Hassan | US | New York | 2015-12-10 / 20150356999 - METHOD AND SYSTEM FOR TRACKING AND ANALYZING BROWSER SESSION DATA WITHIN ONLINE VIDEO VIA THE VIXEL DELIVERY MECHANISM | 1 |
Walid Hassan | US | Irving | 2014-02-06 / 20140040314 - METHOD AND SYSTEM FOR PROVIDING DATA ACCESS VIA A COMMON ACCESS MANAGER CONFIGURED TO SUPPORT SECURITY FOR MULTIPLE DATABASE MANAGEMENT SYSTEM TYPES | 7 |
Emadeldin M. Hassan | US | Towson | 2014-06-05 / 20140155494 - TRI-MOLECULAR COMPLEXES AND THEIR USE IN DRUG DELIVERY SYSTEMS | 2 |
Haider Ali Hassan | US | Houston | 2014-03-06 / 20140066786 - Method and Apparatus For Improved Wound Healing and Enhancement of Rehabilitation | 7 |
Noorman Bin Abu Hassan | MY | Shah Alam | 2014-09-18 / 20140259332 - BREACH OR CONTAMINATION INDICATING ARTICLE | 6 |
Elli Hassan | IL | Hod Hasharon | 2008-11-06 / 20080272196 - Smart Identification Document | 1 |
Haider Hassan | US | Houston | 2010-07-22 / 20100185220 - APPARATUS AND METHODS FOR CONTROLLED ISCHEMIC CONDITIONING | 5 |
Mahmud-Ul Hassan | CA | Kanata | 2010-06-03 / 20100135218 - Method and Apparatus for Sequentially Conducting Independent Data Contexts Using a Mobile Communications Device | 3 |
Ramzi Hassan | US | Irving | 2012-03-29 / 20120073569 - Energy Redirecting Device | 1 |
Aziz Hassan | US | 2012-12-06 / 20120309058 - HIGH SHEAR PROCESS FOR DEXTROSE PRODUCTION | 1 | |
Ahmed Hassan | US | Clifton Park | 2016-01-28 / 20160026748 - MULTI-POLYGON CONSTRAINT DECOMPOSITION TECHNIQUES FOR USE IN DOUBLE PATTERNING APPLICATIONS | 1 |
Gokhan Hassan | GB | Kent | 2014-03-13 / 20140074404 - Theme Park Combined Queue/Guest Management, Park Loading & Navigation System | 1 |
Fred Hassan | US | Baltimore | 2016-01-28 / 20160022595 - BIOADHESIVE AND BIODEGRADABLE AND FORMULATIONS THAT PROVIDE SUSTAINED RELEASE OF ANTIMICROBIALS, BACTERIOPHAGES AND ANTI-INFLAMMATORY MEDICATIONS FOR INACTIVATION OF BIOFILMS AND THE TREATMENT OF RHINOSINUSITIS AND OTHER INFECTIONS | 1 |
Morris Hassan | US | Lakewood | 2014-07-03 / 20140186112 - UNITARY SAFETY SURFACE TILES AND ASSOCIATED STRUCTURES | 2 |
Syed Ali Hassan | PK | Islamabad | 2015-09-03 / 20150248595 - APPARATUS AND METHOD FOR AUTOMATIC LICENSE PLATE RECOGNITION AND TRAFFIC SURVEILLANCE | 1 |
Haider A. Hassan | US | Houston | 2009-07-09 / 20090177224 - METHODS AND APPARATUS FOR BLOOD SAMPLING | 1 |
Al-Jobory Fawaz Saleem Hassan | AE | Sharjah | 2013-08-15 / 20130207400 - ENERGY STORAGE AND DRIVE DEVICE | 1 |
Hind Hassan | MY | Kuala Lumpur | 2013-06-13 / 20130150567 - GLYCOLIPIDS OF BRANCHED CHAIN ALKYL OLIGOSACCHARIDES FOR LIQUID CRYSTAL AND RELATED APPLICATIONS | 2 |
Mohamed Sami Ali Hassan | EG | Cairo | 2014-05-08 / 20140130002 - METHOD AND SYSTEM FOR USING A BREADBOARD | 1 |
Hassan M. A. Hassan | US | Richmond | 2015-03-26 / 20150087498 - Production of Graphene and Nanoparticle Catalysts Supported on Graphene Using Microwave Radiation | 1 |
Yomna Hassan | EG | Cairo | 2016-02-18 / 20160050246 - QUALITY-AWARE RATE ADAPTATION TECHNIQUES FOR DASH STREAMING | 2 |
Hatarmizi Bin Hassan | MY | Selangor | 2010-08-26 / 20100212501 - Membrane Filter Element with Multiple Fiber Types | 1 |
Fainan, Ahmed, Abdul, Magueed Mohammed Hassan | GB | Staffordshire Lichfield | 2014-12-11 / 20140362618 - POWER ELECTRONIC CONVERTER | 1 |
Adam S. Hassan | US | Ada | 2015-03-26 / 20150082521 - OPTIMIZED VISUAL FIELD HELMETS | 1 |
Emadeldin Hassan | US | Towson | 2009-04-23 / 20090104236 - PHARMACEUTICAL SOLID HYBRIDS | 1 |
Cigdem Asli Hassan | GB | Catford | 2012-01-19 / 20120016381 - Transparent Depilatory Article | 1 |
Yossi Hassan | IL | Ashkelon | 2014-02-27 / 20140055110 - METHOD AND APPARATUS FOR OPTIMIZING LINEAR REGULATOR TRANSIENT PERFORMANCE | 1 |
Fred Hassan | US | Boca Raton | 2016-04-07 / 20160095822 - Multiparticulate L-Menthol Formulations and Related Methods | 10 |
Alishah Hassan | US | Sugar Land | 2015-12-10 / 20150353357 - APPARATUS, SYSTEM, AND METHOD FOR CONVERTING A FIRST SUBSTANCE INTO A SECOND SUBSTANCE | 2 |
Reza Mohamed Hassan | US | Clifton | 2009-06-11 / 20090146844 - SpeedSense, an Intelligent Electronic Top Speed Control Automotive Safety Device | 1 |
Vishwa Hassan | US | Chandler | 2015-11-05 / 20150319137 - TECHNIQUES TO MONITOR CONNECTION PATHS ON NETWORKED DEVICES | 12 |
Sathyamurthy Dattahreya Hassan | IN | Mysore | 2013-09-05 / 20130232482 - METHOD AND SYSTEM FOR DEPLOYING SaaS (SOFTWARE AS A SERVICE) SERVICE BUNDLES | 1 |
Aziz Hassan | US | Houston | 2013-12-26 / 20130345472 - METHOD AND SYSTEM FOR LIQUID PHASE REACTIONS USING HIGH SHEAR | 2 |
Hany M. Hassan | EG | Cairo | 2012-09-13 / 20120233180 - SELECTING A DATA ELEMENT IN A NETWORK | 3 |
Firas Hussein Hassan | US | Akron | 2009-02-12 / 20090041376 - Method for real-time implementable local tone mapping for high dynamic range images | 1 |
Ahmed A. Hassan | US | Mesa | 2011-12-22 / 20110309201 - ACTIVE FLOW CONTROL FOR TRANSONIC FLIGHT | 3 |
Rizwan Hassan | US | Danville | 2015-02-05 / 20150038840 - PORTABLE MEDICAL IMAGER WITH GUI INTERFACE COMPRISING A MOTION SENSOR | 3 |
Fathy Mohamed Hassan | US | 2015-05-21 / 20150141666 - Low Cost Synthesis of Single Material Bifunctional Nonprecious Catalyst for Electrochemical Devices | 1 | |
Vishwa Hassan | US | Chandler | 2015-11-05 / 20150319137 - TECHNIQUES TO MONITOR CONNECTION PATHS ON NETWORKED DEVICES | 12 |
Khalid Hassan | US | Denton | 2016-03-24 / 20160082540 - TWO-PIECE NOZZLE ASSEMBLY FOR AN ARC WELDING APPARATUS | 12 |
Abbas Hassan | US | Sugarland | 2011-11-24 / 20110287159 - PROCESS FOR UPGRADING LOW VALUE RENEWABLE OILS | 2 |
Faiza Hassan | US | Austin | 2009-05-07 / 20090115197 - Apparatus, Systems and Methods for Damping Vibration of an Elastic Member within an Information Handling System Latch | 1 |
Mohd Fadzli Anwar Hassan | US | Sunnyvale | 2012-01-12 / 20120006385 - High Performance Multi-Layer Back Contact Stack For Silicon Solar Cells | 5 |
Sameer Hassan | US | San Francisco | 2013-01-03 / 20130006757 - METHOD, MEDIUM, AND SYSTEM FOR KEYWORD BIDDING IN A MARKET COOPERATIVE | 3 |
Waled T. Hassan | US | Indianapolis | 2013-03-14 / 20130062323 - TECHNIQUES FOR REMOVING A CONTAMINANT LAYER FROM A THERMAL BARRIER COATING AND ESTIMATING REMAINING LIFE OF THE COATING | 1 |
Nashat Nustafa Jamil Hassan | SA | Al-Khobar | 2008-11-27 / 20080289812 - SYSTEM FOR DOWNHOLE PACKING | 2 |
Andre Hassan | US | Culver | 2009-07-23 / 20090183691 - LCD EQUIPPED AND GLOW-IN-THE-DARK DOG LEASH | 1 |
Mohd Fadzil Anwar Hassan | US | Sunnyvale | 2010-06-17 / 20100151676 - DENSIFICATION PROCESS FOR TITANIUM NITRIDE LAYER FOR SUBMICRON APPLICATIONS | 1 |
Daniel Hassan | US | Boca Raton | 2016-04-07 / 20160095822 - Multiparticulate L-Menthol Formulations and Related Methods | 12 |
Mahmud-Ul Hassan | CA | Ottawa | 2012-08-09 / 20120202511 - System and Method for Resolving Contention Among Applications Requiring Data Connections Between a Mobile Communications Device and a Wireless Network | 1 |
Farrukh Hassan | US | Santa Clara | 2010-07-29 / 20100189249 - CALL PROGRESS ANALYSIS ON THE EDGE OF A VOIP NETWORK | 1 |
Andrew Bassim Hassan | GB | Bristol | 2013-03-21 / 20130071366 - INSULIN-LIKE GROWTH FACTOR II (IGF-II) BINDING FACTORS | 2 |
Mohamed Hassan | AT | Wien | 2010-11-11 / 20100284130 - Method for Producing a Sensor System, and Sensor System | 1 |
Noorman Abu Hassan | MY | Shah Alam | 2015-12-17 / 20150362435 - BREACH OR CONTAMINATION INDICATING ARTICLE, OPTIONALLY WITH PRE-WARNING INDICATOR | 3 |
Omar Hassan | US | Kirkland | 2015-07-02 / 20150188956 - Unified Communication Device | 20 |
Ghada S. Hassan | SA | Riyadh | 2014-03-27 / 20140088091 - 6,7-DIHYDRO-[1,3,4]THIADIAZOLO-[3,2-a][1,3]DIAZEPIN DERIVATIVE AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME AS NEUROMUSCULAR BLOCKER OR SKELETAL MUSCLE RELAXANT, AND METHOD FOR THE PREPARATION | 2 |
Lance Hassan | US | Herndon | 2015-02-05 / 20150036483 - APPARATUS AND METHODS FOR INTELLIGENT DEPLOYMENT OF NETWORK INFRASTRUCTURE BASED ON TUNNELING OF ETHERNET RING PROTECTION | 1 |
Mohammad A. Hassan | US | Appleton | 2015-11-12 / 20150322601 - HYBRID NON-WOVEN WEB AND AN APPARATUS AND METHOD FOR FORMING SAID WEB | 1 |
Noreen Hassan | US | Boca Raton | 2014-12-18 / 20140370112 - Multiparticulate L-Carnitine Compositions and Related Methods | 4 |
Ahmed Hassan | PK | Islamabad | 2011-02-17 / 20110040901 - Multi-functional USB apparatus with auto-configuration and USB battery cap | 1 |
Sayed Hassan | EG | Giza | 2015-11-12 / 20150324440 - Identifying Query Intent | 1 |
Ali Hassan | US | Mountain View | 2015-05-14 / 20150133892 - METHOD AND DEVICES FOR FLOW OCCLUSION DURING DEVICE EXCHANGES | 8 |
Amer Hassan | US | Kirkland | 2015-07-02 / 20150187086 - Wireless Display that Accesses Remote Resources for Display Data | 11 |
Jahan Ara Hassan | AU | New South Wales | 2009-12-31 / 20090327690 - Methods and Systems for Facilitaing Secure Communication | 1 |
Chandra Hassan | US | Middleburg Heights | 2014-03-20 / 20140081176 - SURGICAL TECHNIQUE(S) AND/OR DEVICE(S) | 1 |
Muthana T. Hassan | GB | Hastings | 2013-12-19 / 20130333708 - CHIN AND NECK SUPPORT DEVICE | 1 |
Mohammad K. Hassan | US | Hattiesburg | 2009-12-10 / 20090306295 - Materials comprising polydienes and hydrophilic polymers and related methods | 1 |
Muhammad Hassan | US | San Diego | 2015-12-03 / 20150349724 - DISTORTION CANCELLATION FOR DUAL STAGE CARRIER-AGGREGATION (CA) LOW NOISE AMPLIFIER (LNA) NON-LINEAR SECOND ORDER PRODUCTS | 3 |
Nouri Mohammed Hassan | SA | Riyadh | 2014-09-04 / 20140249284 - CROSS-LINKED POLYAMINOCARBOXYLATES FOR THE REMOVAL OF METAL IONS FROM AQUEOUS SOLUTIONS | 1 |
Emad Hassan | US | Hunt Valley | 2013-01-17 / 20130017258 - SELF-MICROEMULSIFYING MITOTANE COMPOSITIONAANM Battung; FlorianAACI ParisAACO FRAAGP Battung; Florian Paris FRAANM Hassan; EmadAACI Hunt ValleyAAST MDAACO USAAGP Hassan; Emad Hunt Valley MD USAANM Sansoe; LionelAACI BruxellesAACO BEAAGP Sansoe; Lionel Bruxelles BE | 1 |
Serkan Hassan | GB | Brighton | 2013-09-19 / 20130244741 - POWER PLAY GAME MECHANICS | 4 |
Zuzana Hassan | SE | Huddinge | 2013-02-14 / 20130039977 - PHARMACEUTICAL COMPOSITION, A METHOD OF PREPARING IT AND A METHOD OF TREATMENT BY USE THEREOF | 1 |
Ahmed E. Hassan | CA | Kingston | 2016-01-07 / 20160007203 - COMPUTING DEVICE WITH ENVIRONMENT AWARE FEATURES | 4 |
Khaldon Hassan | FR | Chatillon | 2013-11-21 / 20130307700 - Downhole Information Storage and Transmission | 1 |
Mazen Hassanain | CA | Verdun | 2011-12-29 / 20110319323 - PHARMACEUTICAL COMPOSITION AND METHOD OF USE TO IMPROVE ORGAN FUNCTION | 1 |
Muhammed Hassanali | US | Shaker Heights | 2010-12-30 / 20100327699 - ENCAPSULATION COATING TO REDUCE PARTICLE SHEDDING | 1 |
Mudhafar Hassan-Ali | US | Petaluma | 2016-05-19 / 20160141881 - WIRELESS POWER TRANSFER METHOD AND CIRCUIT | 13 |
Mojahedul Hoque Abul Hassanat | BD | Block E. Banani | 2014-11-06 / 20140331290 - Managing Secure Sharing of Private Information Across Security Domains by Individuals Having a Service Authorization | 2 |
Waleed H. Hassanein | US | North Andover | 2016-04-07 / 20160095308 - SYSTEMS AND METHODS FOR EX-VIVO ORGAN CARE | 8 |
Waleed Hassanein | US | 2009-08-06 / 20090197325 - SYSTEMS AND METHODS FOR Ex vivo LUNG CARE | 5 | |
Wessam M. Hassanein | US | San Jose | 2015-04-02 / 20150095625 - OPTIMIZATION OF INSTRUCTIONS TO REDUCE MEMORY ACCESS VIOLATIONS | 1 |
Waleed H. Hassanein | US | North Andover | 2016-04-07 / 20160095308 - SYSTEMS AND METHODS FOR EX-VIVO ORGAN CARE | 8 |
Waleed Hassanein | US | North Andover | 2013-03-28 / 20130078710 - SYSTEMS AND METHODS FOR EX-VIVO ORGAN CARE AND FOR USING LACTATE AS AN INDICATION OF DONOR ORGAN STATUS | 3 |
Farhad Hassani | US | Poway | 2015-08-13 / 20150229203 - Smart Resistor-Less Pre-Charge Circuit For Power Converter | 1 |
Farid Hassani | FR | Toulouse | 2014-10-23 / 20140313016 - MASTER AND SLAVE RFID TAGS FOR SYSTEM AND METHOD OF EQUIPMENT MANAGEMENT | 1 |
Farid E. Hassani | US | Crown Point | 2014-08-21 / 20140230970 - HOT-ROLLED STEEL SHEET AND ASSOCIATED PRODUCTION METHOD | 1 |
Daniel Hassani | US | Lake Elsinore | 2010-01-21 / 20100016438 - Granulated crystal compilation composed of pure organic minerals used in all food applications resulting in energy supplementation, diet and weight regulation, and medicinal effects | 1 |
Vahab Hassani | US | Denver | 2009-08-27 / 20090213546 - LOW THERMAL RESISTANCE POWER MODULE ASSEMBLY | 1 |
Ferri Hassani | CA | Beaconsfield | 2009-12-31 / 20090321132 - ELECTROMAGNETIC ENERGY ASSISTED DRILLING SYSTEM AND METHOD | 1 |
Ali Hassani | IR | Tehran | 2012-03-15 / 20120064484 - INFERIOR ALVEOLAR NERVE (IAN) PROTECTOR INSTRUMENT | 1 |
Faramarz Hassani | CA | Beaconsfield | 2015-02-05 / 20150033738 - METHOD OF EXTRACTING ENERGY FROM A CAVITY CREATED BY MINING OPERATIONS | 1 |
Fatemeh Hassanipour | US | Plano | 2015-02-12 / 20150040888 - INTEGRATION OF PHASE CHANGE MATERIALS INSIDE EVACUATED TUBE SOLAR COLLECTOR FOR STORAGE AND TRANSFER OF THERMAL ENERGY | 2 |
Ata Hassan, Jr. | US | San Diego | 2016-03-24 / 20160088097 - REAL ESTATE CONTENT TRACKING ON THE INTERNET | 3 |
Omar Hassan Omar | IT | Sesto Fiorentino | 2010-06-10 / 20100140597 - Organic thin film transistors comprising thienyl oligomers and their use as gaseous phase sensors | 1 |
Arman Hassanpoor | SE | Ludvika | 2015-10-01 / 20150280604 - MULTILEVEL CONVERTER WITH CELLS BEING SELECTED BASED ON PHASE ARM CURRENT | 2 |
Kamran Hassanpour | US | Glendale | 2011-06-23 / 20110146082 - UTENSIL WITH DETACHABLE DENTAL HYGIENE MECHANISM | 1 |
Saeed Hassanpour | US | San Francisco | 2015-10-01 / 20150278355 - TEMPORAL CONTEXT AWARE QUERY ENTITY INTENT | 1 |
Saeed Hassanpour | US | San Fancisco | 2015-12-17 / 20150363473 - DIRECT ANSWER TRIGGERING IN SEARCH | 1 |
Bamdad Hassanpourgol | US | Mcallen | 2014-05-08 / 20140128772 - TISSUE EXCISION DEVICE WITH AN INDEPENDENT NEEDLE | 13 |
Chandrashekar Hassan Raju | US | Sunnyvale | 2015-06-25 / 20150180935 - REMOTE DOWNLOAD OF CONTENT TO CONSOLES | 1 |
Oktie Hassanzadeh | US | White Plains | 2015-12-03 / 20150347549 - Database Query Processing Using Horizontal Data Record Alignment of Multi-Column Range Summaries | 5 |
Amin Hassanzadeh | US | Arlington | 2016-03-24 / 20160087958 - INDUSTRIAL SECURITY AGENT PLATFORM | 2 |
Oktie Hassanzadeh | US | Port Chester | 2016-04-28 / 20160117602 - Producing Clustered Top-K Plans | 3 |
Oktie Hassanzadeh | CA | Toronto | 2012-09-27 / 20120246175 - ANNOTATING SCHEMA ELEMENTS BASED ON ASSOCIATING DATA INSTANCES WITH KNOWLEDGE BASE ENTITIES | 4 |
Shahin Hassanzadeh | US | Manassas | 2008-11-06 / 20080274994 - CARDIAC MYOSIN LIGHT CHAIN KINASE AND METHODS OF USE | 1 |
Mehrdad Hassanzadeh | FR | Clapiers | 2015-02-12 / 20150041437 - VACUUM BULB, CIRCUIT-BREAKER POLE INCLUDING SUCH A VACUUM BULB, AND METHOD TO MANUFACTURE SUCH DEVICES | 1 |
Nozar Hassanzadeh | US | Plano | 2009-07-02 / 20090170240 - Optimized Circuit Design Layout for High Performance Ball Grid Array Packages | 1 |
Anahita Hassanzadeh | US | Bethlehem | 2014-07-17 / 20140200964 - MULTI-PRODUCT PRICING MARKDOWN OPTIMIZER | 1 |
Armin Hassanzadeh | US | Pearland | 2014-06-05 / 20140152312 - STIMULATING PRODUCTION FROM OIL WELLS USING AN RF DIPOLE ANTENNA | 1 |
Elaheh Hassanzadeh Toreh | IR | Tehran | 2013-05-23 / 20130127639 - VIRTUAL TRAFFIC MONITORINGSYSTEM AND A METHOD FOR AVOIDING TRAFFIC RULE VIOLATIONS | 1 |
Stuart Hassard | GB | London | 2010-11-18 / 20100288638 - SEPARATION OF BIOMOLECULES | 1 |
Stuart Hassard | GB | Richmond | 2010-10-21 / 20100267017 - MONITORING REAL-TIME PCR WITH LABEL FREE INTRINSIC IMAGING | 1 |
Cecil C. Hassard | CA | Redcliff | 2013-09-19 / 20130240198 - COIL TUBING INJECTOR APPARATUS AND METHOD | 1 |
Cecil C. Hassard | CA | Medicine Hat | 2016-04-14 / 20160102506 - COIL TUBING INJECTOR APPARATUS AND METHOD | 1 |
Robert E. Hassard | US | Benicia | 2014-04-03 / 20140091022 - METHODS OF MAKING MEDICAL SOLUTIONS AND RELATED SYSTEMS | 2 |
Cecil C. Hassard | CA | Calgary | 2015-08-27 / 20150240577 - SPLIT CARRIER BLOCK AND METHOD | 1 |
Stuart Hassard | US | Richmond | 2016-04-21 / 20160109472 - QUANTITATIVE MOLAR CONCENTRATION DETECTION OF SPECIFIC APOLIPOPROTEIN-CONTAINING PARTICLES PRESENT IN BODILY FLUIDS BY USING CAPILLARY ELECTROPHORESIS | 2 |
Michael P. Hassard | US | Blue Point | 2010-10-28 / 20100269325 - Snap ring expansion tool and method of use | 1 |
Salima Hassas | FR | Villeurbanne | 2009-02-26 / 20090051686 - SIMULATION OF DYNAMIC CITY ENVIRONMENTS AND A RELATED FABRICATION METHOD | 1 |
Nader Hassavari | NO | Trondheim | 2015-07-02 / 20150183500 - Method for Air-Driven Propulsion of a Vessel and Air-Driven Vessel | 2 |
Bradley James Hassberger | US | Rochester | 2016-02-18 / 20160045339 - SUIT DESIGN FOR A LEG PROSTHETIC | 3 |
Frank Hassdenteufel | DE | Leonberg | 2013-07-25 / 20130186221 - SENSOR DEVICE FOR A PEDAL, AND A METHOD FOR PROVIDING INFORMATION REGARDING AN OPERATION OF A PEDAL | 1 |
Klaus Hassdenteufel | DE | Gerlingen | 2014-10-09 / 20140298853 - HEAT EXCHANGER | 6 |
Armin Hassdenteufel | DE | Sachsenheim-Ochsenbach | 2013-05-16 / 20130124069 - METHOD FOR DETERMINING A FILLING DIFFERENCE IN CYLINDERS OF AN INTERNAL COMBUSTION ENGINE, OPERATING METHOD, AND CALCULATION UNIT | 1 |
David J. Hasse | US | Middletown | 2015-06-25 / 20150174523 - PROCESS AND APPARATUS FOR THE SEPARATION OF A STREAM CONTAINING CARBON DIOXIDE, WATER AND AT LEAST ONE LIGHT IMPURITY INCLUDING A SEPARATION STEP AT SUBAMBIENT TEMPERATURE | 6 |
Buus Hasse | DK | Humlebaek | 2010-05-06 / 20100113999 - PRESSURE SENSITIVE ADHESIVE COMPOSITION COMPRISING SALT | 1 |
Michael Hasse | DE | Potsdam | 2010-10-21 / 20100266712 - Prevention of Acute Kidney Injury | 1 |
Hermann Hasse | DE | Lonsee | 2014-10-16 / 20140305203 - SENSOR | 5 |
Wolfgang Hasse | DE | Stadthagen | 2016-02-18 / 20160049329 - Long-term heat treated integrated circuit arrangements and methods for producing the same | 1 |
Margaret Henderson Hasse | US | Wyoming | 2015-05-21 / 20150141948 - ABSORBENT ARTICLE WITH COLOR MATCHED SURFACES | 16 |
Michael D. Hasse | US | Austin | 2014-03-20 / 20140075748 - Multi-Level Connector and Use Thereof that Mitigates Data Signaling Reflections | 2 |
David J. Hasse | US | Bel Air | 2010-12-16 / 20100313750 - Method and System for Membrane-Based Gas Recovery | 3 |
Eiko Hasse | DE | Monheim | 2009-01-01 / 20090001145 - PACKAGE FOLDABLE CUT-OUT | 1 |
Ori Hasse | IL | Tel Aviv | 2011-03-17 / 20110066613 - Syndicated Data Stream Content Provisioning | 1 |
Hans Hasse | DE | Kaiserslautern | 2014-07-03 / 20140187823 - PROCESS FOR THE PRODUCTION OF PURE METHYLAL | 9 |
Andre Hasse | DE | Gachenbach | 2015-12-31 / 20150381765 - MOTOR VEHICLE HAVING A VEHICLE COMMUNICATION BUS AND METHOD FOR GENERATING BUS MESSAGES | 2 |
Dirk Hasse | DE | Paderborn | 2012-07-12 / 20120179413 - DEVICE AND METHOD FOR MEASURING AND/OR GENERATING ELECTRICAL VARIABLES | 3 |
Robert W. Hasse | US | Dearborn | 2012-03-08 / 20120056446 - Energy-Absorbing Cowl Structure | 1 |
Torsten Hasse | DE | Nauen | 2012-03-15 / 20120060302 - METHOD FOR TREATING LAUNDRY AND SUITABLE LAUNDRY TREATMENT DEVICE FOR CARRYING OUT SAID METHOD | 7 |
Stefan Hasse | DE | Nieder-Olm | 2012-04-26 / 20120096703 - METHOD FOR THE PRODUCTION OF A PROTECTION DEVICE | 1 |
Dirk Hasse | DE | Untershleibheim | 2011-08-18 / 20110198021 - DEVICE AND METHOD FOR LAMINATING A SUBSTRATE LAYER WITH A DECORATIVE LAYER | 1 |
Lionel Hasse | FR | Solgne | 2009-08-13 / 20090202322 - ROUND BALER | 1 |
Andre Hasse | DE | Linnich-Ederen | 2011-02-10 / 20110034584 - MIXTURES OF SILICON-CONTAINING COUPLING REAGENTS | 3 |
Alexander Hasse | DE | Radebeul | 2012-03-29 / 20120078313 - COMPLIANT IMPLANT | 1 |
Doreen Hasse | DE | Grossfischlingen | 2010-06-17 / 20100151588 - MEANS AND METHODS FOR DIAGNOSING AND TREATING CANCER BASED ON THE FRMD3 GENE | 1 |
Margaret Henderson Hasse | US | Wyoming | 2015-05-21 / 20150141948 - ABSORBENT ARTICLE WITH COLOR MATCHED SURFACES | 16 |
Andreas Hasse | DE | Weisenheim/sand | 2014-01-23 / 20140023712 - Aqueous Transparent Oil-In-Water Emulsion Comprising an Emulsified Carotenoid | 2 |
Philipp Hasse | DE | Braunschweig | 2016-01-07 / 20160006464 - OFDM TRANSMITTER COMPRISING A PRE-DISTORTION UNIT, AN OFDM MODULATOR AND A FILTER | 1 |
Robert Hasse | US | Dearborn | 2015-02-19 / 20150048649 - Fender Support Rail with Slots for Reducing Intrusion into the Vehicle Passenger Compartment | 1 |
Birgit Hasse | DE | Wuppertal | 2016-01-28 / 20160022688 - NOVEL USE FOR IMIDAZOTRIAZINONES | 6 |
Andre Hasse | DE | Linnich | 2011-11-24 / 20110287205 - Mercaptosilanes | 1 |
Joey J. Hasse | US | Marshall | 2010-10-21 / 20100266733 - PIZZA AND TRAY COMBINATION AND METHODS | 2 |
Nancy Hasse | US | Sparks | 2015-09-24 / 20150266928 - METHOD OF PROTEIN EXTRACTION FROM CELLS | 1 |
Dirk Hasse | DE | Salzkotten | 2015-06-04 / 20150153413 - APPARATUS FOR TESTING AN ELECTRICAL COMPONENT | 2 |
Laurence G. Hassebrook | US | Lexington | 2015-07-23 / 20150208059 - System and Method for 3D Imaging using Structured Light Illumination | 9 |
Laurence G. Hassebrook | US | Lexington | 2015-07-23 / 20150208059 - System and Method for 3D Imaging using Structured Light Illumination | 9 |
Jörg Hassel | DE | Erlangen | 2013-03-14 / 20130066566 - METHOD AND DEVICE FOR THE EARLY DETECTION OF THE DEVELOPMENT OF DAMAGE IN A BEARING | 9 |
Jörg Hassel | DE | Erlangen | 2016-05-12 / 20160132616 - PLANNING A POWER DISTRIBUTION NETWORK | 15 |
Bret C. Hassel | US | Woodbine | 2013-02-14 / 20130041016 - Compositions and Methods for Preventing and Treating Cancer via Modulating UBE1L, ISG215 and/or UBP43 | 1 |
Liza Hassel | DE | Muenchen | 2012-06-28 / 20120166204 - Navigation System and Radio Receiving System | 1 |
John Hassel | US | Pembroke Pines | 2013-02-14 / 20130037197 - Process of resurfacing a substrate with an engineered quartz material | 1 |
Steven W. Hassel | US | College Station | 2009-03-26 / 20090078727 - Garment drying hanger | 1 |
Bret A. Hassel | US | Woodbine | 2010-12-16 / 20100317677 - Methods of Treating a Microbial Infection by Modulating RNase-L Expression and/or Activity | 2 |
Karl-Heinz Hassel | DE | Ostringen | 2008-10-23 / 20080256968 - ROOF-TOP AIR-CONDITIONING SYSTEM FOR A VEHICLE, PARTICULARLY A BUS | 1 |
Kenik Hassel | US | Sammamish | 2013-11-07 / 20130298078 - User Interface for Navigation | 2 |
Margaret M. Hassel | US | Belleville | 2010-04-08 / 20100086114 - METHOD AND SYSTEM FOR PRESENTING BILLING INFORMATION ACCORDING TO A CUSTOMER-DEFINED HIERARCHAL STRUCTURE | 1 |
Jorg M. Hassel | DE | Grafrath | 2008-08-28 / 20080204657 - Device and Method for Detecting the Spatial Position of the Optical Axis of an Eye and for Centering a Reference System Relation to be Optical Axis | 1 |
Michael Hassel | DE | Ottenhofen | 2014-12-04 / 20140359249 - SYSTEM AND METHOD TO STORE DATA IN AN ADJUSTABLY PARTITIONABLE MEMORY ARRAY | 1 |
Arild Hassel | NO | Drammen | 2015-05-21 / 20150136435 - CABLE FOR DOWN HOLE PUMP | 2 |
Jörg Hassel | DE | Erlangen | 2016-05-12 / 20160132616 - PLANNING A POWER DISTRIBUTION NETWORK | 15 |
Mark Howard Hassel | US | Thornton | 2016-03-24 / 20160081839 - Ankle-Foot Orthosis and Method of Manufacture | 1 |
Bernhard Hassel | DE | Ockenheim | 2015-02-19 / 20150051198 - Highly Concentrated Stable Meloxicam Solutions for Needleless Injection | 7 |
Tillmann Hassel | DE | Pulheim | 2013-07-18 / 20130184400 - Coating of substrates with curable fluorinated copolymers | 3 |
Diane L. Hassel | US | College Station | 2009-03-26 / 20090078727 - Garment drying hanger | 1 |
Jan Helge Hassel | NO | Royken | 2012-09-06 / 20120224924 - METHOD FOR LAYING A PIPELINE ON THE SEABED AND A PIPELINE INSTALLATION DEVICE | 1 |
Thomas Hassel | DE | Hannover | / - | 2 |
Jörg Hassel | DE | Erlangen | 2013-03-14 / 20130066566 - METHOD AND DEVICE FOR THE EARLY DETECTION OF THE DEVELOPMENT OF DAMAGE IN A BEARING | 9 |
Melis Hasselaar | NL | Ridderkerk | 2010-05-13 / 20100115988 - Treatment of Molybdate Containing Waste Streams | 1 |
Melis Hasselaar | NL | Rotterdam | 2009-06-04 / 20090143625 - PROCESS FOR THE PREPARATION OF POLYETHER POLYOLS | 1 |
Klaus Hasselbach | FR | Grenoble | 2014-06-26 / 20140175301 - Ion Source, Nanofabrication Apparatus Comprising Such Source, and a Method for Emitting Ions | 1 |
Jospeh P. Hasselbach | US | Burlington | 2013-06-13 / 20130147067 - LOCALLY TAILORING CHEMICAL MECHANICAL POLISHING (CMP) POLISH RATE FOR DIELECTRICS | 1 |
Joseph P. Hasselbach | US | Burlington | 2015-02-26 / 20150054123 - SELF-ALIGNED EMITTER-BASE REGION | 4 |
Hans Joachim Hasselbach | DE | Gelnhausen | 2016-03-10 / 20160068480 - PROCESS FOR THE PREPARATION OF METHIONINE | 12 |
John C. Hasselbach | US | Granville | 2009-08-06 / 20090193761 - Low Profile Packaging Assembly For Loose Fill Insulation Material | 1 |
John Hasselbach | US | Granville | 2012-03-29 / 20120074249 - ROTARY FORMING APPARATUS | 2 |
Dieter Hasselbach | DE | Biebertal | 2012-08-09 / 20120198749 - REVERSING SYSTEM FOR A SIGHTING TELESCOPE | 1 |
Jens Hasselbach | DE | Erfurt | 2012-06-28 / 20120167189 - PSEUDONYMIZED AUTHENTICATION | 2 |
Hans Joachim Hasselbach | DE | Gelnhausen | 2016-03-10 / 20160068480 - PROCESS FOR THE PREPARATION OF METHIONINE | 12 |
Frederick William Hasselback | US | Seattle | 2015-11-12 / 20150320209 - SECURE ACCESS MIRROR | 1 |
Timothy P. Hasselberg | US | Middletown | 2009-11-05 / 20090271984 - METHOD FOR REPAIRING A GAS TURBINE ENGINE COMPONENT | 5 |
Marcus Hasselblad | SE | Molndal | 2010-12-23 / 20100321134 - FLIPPED MICRO-STRIP FILTER | 2 |
Harald Hasselblad | SE | Gothenburg | 2015-04-09 / 20150096824 - VEHICLE STEERING ARRANGEMENT AND A VEHICLE COMPRISING SUCH A STEERING ARRANGEMENT | 1 |
Marcus Karl Hasselblad | SE | Molndal | 2013-01-03 / 20130005270 - RADIO SYSTEM | 4 |
Harald Hasselblad | SE | Goteborg | 2014-06-12 / 20140158450 - SAFETY ARRANGEMENT FOR A VEHICLE | 1 |
Robert Joel Hasselbring | US | Clarksville | 2011-05-05 / 20110100272 - Vortex incinerator | 1 |
Alan J. Hasselbring | US | Glendale | 2010-11-25 / 20100294836 - SYSTEMS AND METHODS FOR A LIGHTWEIGHT NORTH-FINDER | 1 |
Scott Hasselbring | US | Miami | / - | 1 |
Ernest Hasselbrink, Jr. | US | Danville | 2015-02-19 / 20150047690 - SOLAR CELL MODULE WITH HIGH ELECTRIC SUSCEPTIBILITY LAYER | 1 |
Michael Hasselbusch | US | Germantown Hills | 2014-03-27 / 20140083782 - GROUND-ENGAGING TRACK SYSTEM, LINK FOR A TRACK CHAIN, AND METHOD | 1 |
Michael Hasselbusch | US | Metamora | 2016-05-12 / 20160129954 - TRACK ASSEMBLY HAVING A WEAR MONITORING SYSTEM | 3 |
Michael D. Hasselbusch | US | Metamora | 2015-03-05 / 20150066291 - WEAR MONITORING SYSTEM FOR UNDERCARRIAGE COMPONENT | 3 |
Michael Dean Hasselbusch | US | Metamora | 2014-06-05 / 20140152086 - UNDERCARRIAGE TRACK LINK | 2 |
Kelvin Hasseler | US | Murriela | 2010-08-26 / 20100214596 - Routing Component Ready Commands | 1 |
Kelvin Hasseler | US | Murrieta | 2010-08-26 / 20100214597 - SERVICE STATION | 1 |
Gunnar Hasselgren | US | Tenafly | 2012-12-06 / 20120308963 - DENTAL DIAGNOSIC AND DENTAL RESTORATION METHODS, SYSTEMS, APPARATUSES, AND DEVICES | 1 |
Jon N. Hasselgren | SE | Bunkeflostrand, M | 2015-07-02 / 20150187124 - Adaptive Depth Offset Compression | 3 |
Jon N. Hasselgren | SE | Bunkeflostrand | 2016-05-12 / 20160133045 - Zero-Coverage Rasterization Culling | 32 |
Jon N. Hasselgren | SE | Bulkelflostrand | / - | 1 |
Goran Hasselgren | CH | Aadorf | 2010-12-16 / 20100317626 - The Use Of (3-Amino-2-Fluoropropyl) Phosphinic Acid For Treatment Of NERD | 1 |
Jon Hasselgren | CH | Bunkeflostrand | 2015-03-05 / 20150062139 - LOSSY COLOR COMPRESSION USING ADAPTIVE QUANTIZATION | 1 |
Jon Hasselgren | SE | Bunkeflostrand | 2014-10-30 / 20140320487 - Computer Graphics Processor and Method for Rendering a Three-Dimensional Image on a Display Screen | 11 |
Ralph Hasselgren | GB | Fife | 2012-05-10 / 20120117577 - USER TERMINAL SYSTEM AND METHOD | 1 |
Goran Hasselgren | SE | Molndal | 2008-11-13 / 20080280944 - Imidazo[1,2-A]Pyridine Derivatives For The Treatment Of Silent Gastro-Esophageal Reflux | 1 |
Jon N. Hasselgren | SE | Bunkeflostrand | 2016-05-12 / 20160133045 - Zero-Coverage Rasterization Culling | 32 |
Jon Hasselgren | SE | Brunkeflostrand | 2009-02-19 / 20090046935 - IMAGE PROCESSING | 1 |
Gunnar Hasselgren | US | New York | 2009-06-11 / 20090148486 - COMPOSITIONS AND METHODS FOR TREATING PULP INFLAMMATIONS CAUSED BY INFECTION OR TRAUMA | 1 |
Jon Hasselgren | US | 2010-02-04 / 20100026684 - Method, Apparatus, and Computer Program Product for Improved Graphics Performance | 1 | |
Jon Hasselgren | SE | Bunkeflostrand | 2014-10-30 / 20140320487 - Computer Graphics Processor and Method for Rendering a Three-Dimensional Image on a Display Screen | 11 |
Ewald Hasselkuss | DE | Fellbach | 2012-08-16 / 20120205880 - MACHINE TOOL HAVING A ROTARY TRANSMITTER FOR DATA | 4 |
Stephen Michael Hassell | US | Charlotte | 2009-10-22 / 20090260707 - Woven Textile Fabric with Cotton/Microdenier Filament Bundle Blend | 1 |
Joel G. Hassell | US | Golden | 2016-03-24 / 20160088342 - ELECTRONIC PROGRAM GUIDE WITH DIGITAL STORAGE | 6 |
Joel Hassell | US | Golden | 2015-03-26 / 20150087419 - METHOD AND SYSTEM FOR PLAYING AN INTERACTIVE GAME | 5 |
Derek Hassell | US | Lanexa | 2008-09-11 / 20080217446 - SAFETY ACTUATOR FOR A FOOD PROCESSOR HAVING A VISUAL INDICATION | 1 |
John W. Hassell | US | Tulsa | 2015-12-31 / 20150379783 - EMBEDDED HOIST HUMAN-MACHINE INTERFACE | 1 |
Gavin Hassell | US | Murrysville | 2009-02-05 / 20090036811 - Orthosis casting form and method of making the same | 1 |
David Jonathan Hassell | NZ | Titirangi | 2011-07-07 / 20110162753 - VAPOR RECOVERY PUMP REGULATION OF PRESSURE TO MAINTAIN AIR TO LIQUID RATIO | 1 |
Suzanne P. Hassell | US | Clearwater | 2016-02-04 / 20160036691 - ADAPTOR IMPLEMENTATION FOR INTERNET PROTOCOL ADDRESS AND PORT HOPPING | 6 |
Richard Hassell | US | Charleston | 2014-04-03 / 20140096292 - METHODS AND COMPOSITIONS FOR THE INHIBITION OF MERISTEMATIC GROWTH ON CUCURBIT ROOTSTOCK | 2 |
Chad Ross Hassell | US | Draper | 2009-05-28 / 20090133192 - NECK PILLOW AND ARM HOLDER | 2 |
Derek Hassell | US | Lenexa | 2008-12-04 / 20080298169 - ENCLOSURE FOR A BLENDING TOOL OF A MIXING DEVICE | 1 |
Joseph M. Hassell | US | Thornton | 2009-10-08 / 20090254943 - TECHNIQUE FOR IDENTIFYING FAVORITE PROGRAM CHANNELS FOR RECEIVING ENTERTAINMENT PROGRAMMING CONTENT OVER A COMMUNICATIONS NETWORK | 1 |
John Hassell | US | Tampa | 2011-10-06 / 20110244570 - VOLUME EXCLUSION AGENT TO ENHANCE FORMATION OF EXTRACELLULAR MATRIX | 1 |
Steve Hassell | US | Town & Country | 2009-12-03 / 20090300213 - METHODOLOGY FOR CONFIGURING AND DEPLOYING MULTIPLE INSTANCES OF A SOFTWARE APPLICATION WITHOUT VIRTUALIZATION | 1 |
Trent Hassell | US | Pleasant Grove | 2011-05-05 / 20110100703 - TRANSDUCER DEVICE HAVING STRAIN RELIEF COIL HOUSING | 2 |
Jon P. Hassell | US | Atlanta | 2016-03-31 / 20160088956 - MULTI-POSITION TRAY SUPPORT | 26 |
John R. Hassell | US | Tampa | 2013-05-09 / 20130112087 - ROASTING PAN | 2 |
Stuart J. Hassell | GB | West Midlands | 2015-08-13 / 20150224728 - Expandable Belt and Tread Drum With Varied Curvature Segments | 3 |
Joel G. Hassell | US | Arvada | 2015-09-17 / 20150264435 - PROGRAM GUIDE SYSTEM WITH COMBINATION CATEGORY SEARCH | 43 |
Kerry M. Hassell | US | West Lafayette | 2011-03-10 / 20110059546 - METHOD AND APPARATUS FOR CONVERSION OF MULTIPLE ANALYTE CATION TYPES TO A SINGLE ANALYTE ANION TYPE VIA ION/ION CHARGE INVERSION | 1 |
Carl T. Hasselman | US | Oakmont | 2011-11-10 / 20110276052 - Method of Preparing an Ankle Joint for Replacement, Joint Prosthesis, and Cutting Alignment Apparatus for Use in Performing an Arthroplasty Procedure | 3 |
Rainer Hasselmann | DE | Berlin | 2008-08-21 / 20080200440 - Progesterone receptor antagonists | 1 |
Holger Hasselmann | DE | Essen | 2012-05-17 / 20120119731 - ANGLE SENSOR | 1 |
Wolfgang Hasselmann | DE | Rheinfelden | 2012-12-13 / 20120315381 - COATING DEVICE AND METHOD | 4 |
Michael Hasselmann | DE | Panketal | 2014-04-24 / 20140115070 - APPARATUS AND ASSOCIATED METHODS | 1 |
Frank Hasselmann | DE | Osnabruck | 2015-04-16 / 20150103619 - MIXING DEVICE | 2 |
Frank Hasselmann | DE | Osnabrueck | 2015-04-23 / 20150107471 - DEVICE FOR ADJUSTING AN OPERATING PARAMETER OF INK FOR A PRINTING PROCESS OF A ROTARY PRINTING PRESS AS WELL AS METHOD THEREFORE | 2 |
Julio Hasselmeyer | SA | Dhahran | 2013-09-12 / 20130233766 - INTEGRATED HYDROTREATING AND STEAM PYROLYSIS PROCESS FOR DIRECT PROCESSING OF A CRUDE OIL | 2 |
Peer Hasselmeyer | DE | Frankfurt | 2016-02-11 / 20160043941 - METHOD AND SYSTEM FOR CONTROLLING AN UNDERLYING PHYSICAL NETWORK BY A SOFTWARE DEFINED NETWORK | 4 |
Björn Hasselqvist | SE | Jönköping | 2015-12-31 / 20150378936 - DYNAMIC MEMORY ACCESS MANAGEMENT | 1 |
Magnus Hasselqvist | SE | Finspong | 2016-02-04 / 20160032426 - OPTIMIZED NICKEL ALLOY AND TURBINE BLADE MADE THEREOF | 8 |
Magnus Hasselqvist | SE | Finspong | 2016-02-04 / 20160032426 - OPTIMIZED NICKEL ALLOY AND TURBINE BLADE MADE THEREOF | 8 |
Michael Patrick Hassel-Sheaer | GB | Little Haseley | 2014-01-30 / 20140028828 - Ion Beam Sample Preparation Apparatus and Methods | 1 |
Michael Patrick Hassel-Shearer | GB | Little Haseley | 2016-04-21 / 20160111249 - Methods and Apparatus for Determining, Using, and Indicating Ion Beam Working Properties | 4 |
Annika Hasselstrom | DK | Vilbdjerg | 2009-11-05 / 20090272763 - DISPENSER WITH A BAG INSIDE AND METHOD FOR MANUFACTURING OF THE SAME | 1 |
Charles Nathan Hassen | US | Tucson | 2014-09-11 / 20140255273 - METHODS AND APPARATUSES FOR DETECTING MICROSCOPE SLIDE COVERSLIPS | 1 |
Charles N. Hassen | US | Tucson | 2012-09-06 / 20120222427 - FLOW-SYNCHRONOUS FIELD MOTION REFRIGERATION | 2 |
Brian Maxwell Hassen | AU | Ardross | 2009-12-03 / 20090295099 - SLIDING SEAL | 2 |
Alarabi Omar Hassen | US | Aliso Viejo | 2010-10-28 / 20100275244 - ENTERPRISE WIRELESS LOCAL AREA NETWORK SWITCHING SYSTEM | 2 |
Alebel Arage Hassen | US | Kokomo | 2015-11-19 / 20150331086 - RADAR SYSTEM WITH IMPROVED MULTI-TARGET DISCRIMINATION | 2 |
Muktar Hassen | US | Blaine | 2014-04-17 / 20140109058 - TEST LANGUAGE INTERPRETER | 1 |
Omar Hassen | US | Irvine | 2014-05-01 / 20140118032 - Buck Converter Power Package | 1 |
Hussin Hassen | CA | Kitchener | 2014-01-16 / 20140018971 - COMPUTER IMPLEMENTED ELECTRICAL ENERGY HUB MANAGEMENT SYSTEM AND METHOD | 1 |
Bernd Hassen | DE | Sulzbach-Laufen | 2012-01-26 / 20120018038 - DEVICE FOR FILLING CONTAINERS | 1 |
Charles B. Hassenboehler, Jr. | US | Knoxville | 2010-09-23 / 20100236370 - CUTTING AID FOR A MOTORIZED SAW | 1 |
Rafik Hassen-Khodja | FR | Vaureal | 2009-04-02 / 20090086658 - BIDIRECTIONAL FREQUENCY MIXER, RADIOFREQUENCY TRANSCEIVER SYSTEM INCLUDING SUCH A MIXER | 1 |
Anne-Lise Hassenklover | US | Redwood City | 2014-04-17 / 20140109115 - HYBRID APPLICATIONS | 2 |
Eric G. Hassenpflug | US | Westerville | 2015-11-05 / 20150314071 - Valve Permitting Mixing in a Drug Delivery Device | 2 |
Eric Hassenpflug | US | Westerville | 2013-04-25 / 20130102772 - SYSTEMS, METHODS AND DEVICES FOR PRODUCING, MANUFACTURING AND CONTROL OF RADIOPHARMACEUTICALS-FULL | 3 |
Peter Hassenpflug | DE | Erlangen | 2009-10-08 / 20090251465 - Method for Interpolating an intermediate polygon p from two polygons p1 and p2 | 1 |
Christoph Hassenpflug | DE | Lindau | 2014-08-07 / 20140218481 - Method for Determining Whether a Vehicle can Pass Through an Object by Means of a 3-D Camera | 1 |
Frank Hassenpflug | DE | Vs-Schwenningen | 2009-09-17 / 20090232396 - Method for correcting chromatic aberration | 1 |
Ida Hassenrik | DE | Troisdorf | 2014-07-24 / 20140206463 - COUNTER TRACK JOINT | 3 |
Steve C. Hassenstab | US | Ashland | 2010-07-22 / 20100184366 - Cover for a static roof vent | 1 |
Steven Hassenzahl | US | Snellville | 2012-05-24 / 20120127307 - Controllable Kiosk Return Gate | 1 |
James Hassenzahl | US | Euless | 2013-10-03 / 20130258903 - SYSTEMS AND METHODS FOR MODELING TELECOMMUNICATION SWITCH INVESTMENTS | 1 |
Thorsten Hassenzahl | DE | Pfungstadt | 2010-07-15 / 20100176080 - CLOSURE WITH MEMBRANE AND ROTATABLE PROTECTIVE CAP | 1 |
Steven L. Hassenzahl | US | Snellville | 2009-02-05 / 20090032588 - SELF-SERVICE CHECKOUT SYSTEM WITH CASH NOT AVAILABLE MODE | 1 |
Robert D. Hassenzahl | US | Oxford | 2009-02-12 / 20090038137 - RIVET TOOL FOR STEEL STUDS | 1 |
Christopher J. Hasser | US | Los Altos | 2016-03-31 / 20160089013 - METHOD AND SYSTEM FOR FLUORESCENT IMAGING WITH BACKGROUND SURGICAL IMAGE COMPOSED OF SELECTIVE ILLUMINATION SPECTRA | 30 |
Greg Hassett | US | Cambridge | 2016-02-25 / 20160057576 - SYSTEMS AND METHODS FOR CONNECTING AND COMMUNICATING WITH OTHERS IN A MOBILE DEVICE ENVIRONMENT | 1 |
Alan F. Hassett | US | Berwyn | 2013-12-12 / 20130327214 - APPARATUS AND METHOD FOR INCREASING HYDRAULIC CAPACITY OF A SEWER | 1 |
Brendan Hassett | IE | Loughrea | 2014-08-07 / 20140221037 - CONTROL OF TRANSMITTER CONFIGURATION FOR BASE STATION | 3 |
Timothy Hassett | US | Santa Rosa | 2015-02-12 / 20150045180 - PARALLEL POWER INPUT GEARBOX | 8 |
Brendan Hassett | IE | Coosan | 2014-06-26 / 20140177430 - RECOVERY FROM MULTIPLE FAULTS IN A COMMUNICATIONS NETWORK | 1 |
Alan F. Hassett | US | Newtown Square | 2015-08-13 / 20150225938 - APPARATUS AND METHOD FOR INCREASING HYDRAULIC CAPACITY OF A GRAVITY SEWER | 1 |
Kimberly Hassett | US | Boulder | 2013-11-21 / 20130309273 - Thermostable Vaccine Compositions and Methods of Preparing Same | 1 |
Brendan Hassett | IE | Galway | 2014-02-20 / 20140051416 - METHOD AND APPARATUS FOR MODE DRIVEN NODE MONITORING | 1 |
Timothy J. Hassett | US | Santa Rosa | 2014-08-28 / 20140239756 - Radial Vent Composite Heat Pipe | 1 |
Dayne B. Hassett | US | Sandy | 2011-03-17 / 20110062689 - SIDE CURTAIN AIRBAG WITH FABRIC DIFFUSER | 3 |
Timothy Hassett | US | Santa Rosa | 2015-02-12 / 20150045180 - PARALLEL POWER INPUT GEARBOX | 8 |
Eric Sheldon Hassett | US | Golden | 2014-06-12 / 20140158583 - PROTECTIVE CASE FOR AN ELECTRONIC DEVICE | 1 |
Daniel J. Hassett | US | Cincinnati | 2016-01-28 / 20160024551 - MULTI-TIERED, HIGH THROUGH-PUT SCREEN FOR COMPOUNDS EFFECTIVE AGAINST BACTERIAL BIOFILM COMPOUNDS EFFECTIVE FOR INHIBITING AND ERADICATING BACTERIAL BIOFILM | 7 |
Dayne B. Hassett | US | Centerville | 2012-05-03 / 20120104735 - SIDE AIRBAG CUSHION AND METHOD OF MANUFACTURE | 3 |
Kurt A. Hassett | US | Manchester | 2009-04-02 / 20090084170 - Systems and Methods for Performing Cooling Airflow Analysis of Gas Turbine Engine Components | 2 |
Richard F. Hassett | US | Tonawanda | 2013-05-02 / 20130109094 - Dry powder cell culture products and methods of production thereof | 4 |
James A. Hassett | US | Eden Prairie | 2014-12-11 / 20140364843 - Design of handle set for ablation catheter with indicators of catheter and tissue parameters | 2 |
John Hassett | US | Marblehead | 2008-10-02 / 20080243714 - Methods and System for Determining Investment Performance Compensation | 1 |
Eric Hassett | US | Golden, | 2008-10-16 / 20080251554 - Personal Storage Apparatus for Wheelchairs and Other Mobility Assistance Devices | 1 |
Colin Michael Hassey | CA | Barrie | 2014-09-18 / 20140270623 - CAPILLARY ACTION FIBER SENSOR | 2 |
Jorma Hassfeld | DE | Dusseldorf | 2015-10-01 / 20150274719 - AMINO-SUBSTITUTED IMIDAZO[1,2-A]PYRIDINECARBOXAMIDES AND THEIR USE | 8 |
Jorma Hassfeld | DE | Dusseldorf | 2015-10-01 / 20150274719 - AMINO-SUBSTITUTED IMIDAZO[1,2-A]PYRIDINECARBOXAMIDES AND THEIR USE | 8 |
Jorma Hassfeld | DE | Berlin | 2013-01-03 / 20130005766 - 5-[(3,3,3-TRIFLUORO-2-HYDROXY-1-ARYLPROPYL)AMINO]-1H-QUINOLIN-2-ONES, A PROCESS FOR THEIR PRODUCTION AND THEIR USE AS ANTI-INFLAMMATORY AGENTS | 4 |
Jona Hassforther | DE | Heidelberg | 2014-05-22 / 20140143221 - Data Access Logging | 1 |
Eric Jon Hassfurther | US | Dallas | 2010-02-11 / 20100031462 - Portable golf ball damage repair device | 1 |
Lamyaa Hassib | US | Niskayuna | 2011-01-20 / 20110014087 - MATERIAL COMPOSITIONS FOR SENSORS FOR DETERMINATION OF CHEMICAL SPECIES AT TRACE CONCENTRATIONS AND METHOD OF USING SENSORS | 1 |
Ash Hassib | US | Acworth | 2015-12-31 / 20150379789 - SYSTEMS AND METHODS FOR TELEMATICS MONTORING AND COMMUNICATIONS | 7 |
Ash Hassib | US | Alpharetta | 2012-07-26 / 20120191481 - TELEMATICS SMART PINGING SYSTEMS AND METHODS | 2 |
Arjang Hassibi | US | Austin | 2014-10-30 / 20140318958 - INTEGRATED ELECTRO-ANALYTICAL BIOSENSOR ARRAY | 10 |
Babak Hassibi | US | San Marino | 2012-10-04 / 20120249353 - SYSTEMS AND METHODS FOR ACQUIRING AND DECODING SIGNALS USING COMPRESSED SENSING | 2 |
Arjang Hassibi | US | Santa Clara | 2014-08-28 / 20140242735 - Method for Aligning a Biochip | 3 |
Arjang Hassibi | US | Austin | 2014-10-30 / 20140318958 - INTEGRATED ELECTRO-ANALYTICAL BIOSENSOR ARRAY | 10 |
Brian M. Hassick | US | Downingtown | 2011-04-14 / 20110085530 - SYSTEM AND METHOD FOR COMMUNICATION IN A WIRELESS MOBILE AD-HOC NETWORK | 1 |
Brian M. Hassick | US | Downingtowm | 2011-03-31 / 20110078461 - SYSTEM AND METHOD FOR COMMUNICATION IN A WIRELESS MOBILE AD-HOC NETWORK | 1 |
Erik Hassid | US | Omaha | 2009-02-19 / 20090047398 - Meat product and method for making same | 1 |
Gilad Hassid | IL | Reshon Letzion | 2009-08-27 / 20090216917 - DEVICE AND METHOD FOR ARBITRATING BETWEEN DIRECT MEMORY ACCESS TASK REQUESTS | 3 |
Babak Hassid | US | New York | 2012-09-13 / 20120228439 - SUPPORT STRUCTURE FOR PROTECTIVE WEAR | 1 |
Yaron Hassid | IL | Rehovot | 2009-10-22 / 20090264734 - APPARATUS FOR MONITORING A SYSTEM PRESSURE IN SPACE WITH TIME AND METHOD FOR ASSESSING DRUG DELIVERY AND RESISTANCE TO THERAPY AND PRODUCT | 1 |
Pablo Hassid | CA | Dollard Des Ormeaux | 2010-03-25 / 20100071230 - LACED GARMENT CLOSURE | 1 |
Offer Hassidi | IL | Kiriat Ono | 2010-12-16 / 20100318400 - METHOD AND SYSTEM FOR LINKING INTERACTIONS | 2 |
Yoram Hassidim | IL | Modiin | 2015-06-25 / 20150179033 - METHODS AND APPARATUS FOR PAIRING ITEMS FOR SECURITY | 1 |
Aviv Hassidov | ES | Barcelona | 2015-07-30 / 20150210492 - PRINTING MEDIA | 1 |
Noam Hassidov | IL | Bustan Hagalil | 2015-12-24 / 20150367101 - TIP PROPELLED DEVICE FOR MOTION THROUGH A PASSAGE | 7 |
Noam Hassidov | IL | Western Galilee | 2012-03-29 / 20120078293 - APPLICATORS FOR PATCHES AND ADHESIVES | 1 |
Noam Hassidov | IL | Bostan Hagalil | 2010-04-22 / 20100100032 - CHEST DRAINAGE AND APPARATUS FOR THE INSERTION THEREOF | 1 |
Noam Hassidov | IL | Moshav Bustan Hagalil | 2015-09-17 / 20150257633 - DISTAL FRONT END FOR COORDINATED POSITIONING OF AN ENDOSCOPE WITH A SUCTION DEVICE | 6 |
Christian A. Hassig | US | Somerville | 2013-12-19 / 20130338024 - CLASS II HUMAN HISTONE DEACETYLASES, AND USES RELATED THERETO | 8 |
Christian A. Hassig | US | Somerville | 2013-12-19 / 20130338024 - CLASS II HUMAN HISTONE DEACETYLASES, AND USES RELATED THERETO | 8 |
Christian A. Hassig | US | Berkeley | 2009-12-10 / 20090305384 - Class II Human Histone Deacetylases, and Uses Related Thereto | 2 |
Itamar Hassin | US | Millburn | 2012-11-15 / 20120290698 - NETWORK IDENTITY MANAGEMENT SYSTEM AND METHOD | 2 |
Lital Hassine | IL | Herzliya | 2015-12-31 / 20150378763 - METHODS AND APPARATUS TO MANAGE MONITORING AGENTS | 1 |
Fatima Hassine | MA | Casablanca | 2014-10-30 / 20140322767 - DROPLET MICROREACTOR | 1 |
Lital Hassine | IL | Nes Ziona | 2015-08-27 / 20150242204 - METHODS AND APPARATUS TO GENERATE A CUSTOMIZED APPLICATION BLUEPRINT | 2 |
Tomi Hassinen | FI | Vantaa | 2016-03-10 / 20160072084 - SEMICONDUCTOR STRUCTURE AND METHOD FOR ITS PRODUCTION | 2 |
Esa Hassinen | FI | Lappeenranta | 2014-12-25 / 20140373752 - METHOD AND APPARATUS FOR PRODUCING BLACK DYE PIGMENT | 2 |
Reijo Hassinen | FI | Leppavesi | 2013-10-17 / 20130269896 - Doctor Blade for a Fiber Web Machine and Doctor Arrangement in a Fiber Web Machine | 3 |
Esa Hassinew | FI | Lappeenranta | 2010-03-11 / 20100059190 - Method of Manufacturing a Multilayer Fibrous Product | 1 |
Sebastian D. Hassinger | US | Yorktown Heights | 2014-07-10 / 20140195309 - METHOD AND SYSTEM FOR MANIPULATION OF COST INFORMATION IN A DISTRIBUTED VIRTUAL ENTERPRISE | 2 |
Harry Matthew Hassinger | US | Port Matilda | 2014-07-31 / 20140213141 - Game Call Device | 1 |
Jed N. Hassinger | US | Corvallis | 2013-05-23 / 20130131312 - PEPTIDE CONJUGATED, INOSINE-SUBSTITUTED ANTISENSE OLIGOMER COMPOUND AND METHOD | 1 |
Jed N. Hassinger | US | Philomath | 2014-07-31 / 20140213737 - ANTIBACTERIAL ANTISENSE OLIGONUCLEOTIDE AND METHOD | 3 |
Brian John Hassink | US | Cary | 2015-03-12 / 20150071074 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR REGULATION OF MULTI-PRIORITY TRAFFIC IN A TELECOMMUNICATIONS NETWORK | 1 |
Meinhard Hasslacher | AT | Wien | 2016-02-18 / 20160046922 - FACTOR X ACTIVATION | 4 |
Meinhard Hasslacher | AT | Vienna | 2016-02-11 / 20160039869 - PURIFICATION METHOD FOR VITAMIN K DEPENDENT PROTEINS BY ANION EXCHANGE CHROMATOGRAPHY | 18 |
Ulf Hassler | DE | Heilsbronn | 2011-08-18 / 20110202309 - Apparatus and Method for Determining a Distance Measure on Wound-up Materials | 1 |
Ben Hassler | US | Ferndale | 2015-12-03 / 20150343614 - Spark Plug Tool Device | 1 |
Mathew Ryan Hassler | US | San Antonio | 2015-12-24 / 20150367504 - Toolbag With Selectively-Removable Tray and Cushion | 3 |
Wade Hassler | US | Aromas | 2010-04-22 / 20100100991 - Charge-Amp Based Piezoelectric Charge Microscopy (CPCM) Reading of Ferroelectric Bit Charge Signal | 1 |
Julie Hassler | US | Chicago | 2013-05-02 / 20130108762 - CONFECTION WITH GELATIN COMPLEX | 2 |
Bret Hassler | US | Mission Viejo | 2009-01-22 / 20090021768 - SYSTEM AND METHOD FOR PEER TO PEER PRINTING OVER A NETWORK | 1 |
Garey L. Hassler | US | Castle Rock | 2013-01-03 / 20130007226 - CONTENT MULTICASTING | 1 |
Garey Hassler | US | Castle Rock | 2013-11-21 / 20130312018 - PERSONALIZING SERVICES USING PRESENCE DETECTION | 1 |
Kai Hassler | CH | Luzern | 2014-06-05 / 20140151576 - OPTICAL IMAGING SYSTEM | 1 |
Erhard Hassler | DE | Bad Laasphe | 2013-09-26 / 20130248675 - LONGITUDINAL ADJUSTER FOR A VEHICLE SEAT | 1 |
Edgar Glenn Hassler | US | Danville | 2015-06-11 / 20150163922 - LIGHTWEIGHT ELECTRONIC DEVICE FOR AUTOMOTIVE APPLICATIONS AND METHOD | 4 |
Thord Hassler | SE | Helsingborg | 2015-03-19 / 20150075543 - SMOKELESS TOBACCO COMPOSITION COMPRISING NON-TOBACCO FIBERS AND A METHOD FOR ITS MANUFACTURE | 1 |
Matthew Hassler | CA | Saskatoon | 2014-09-11 / 20140256926 - Ionic Tags for Synthesis of Oligoribonucleotides | 1 |
Brian L. Hassler | US | Lake Orion | 2010-12-16 / 20100314248 - RENEWABLE BIOELECTRONIC INTERFACE FOR ELECTROBIOCATALYTIC REACTOR | 2 |
Edgar Glenn Hassler | US | Sharpsville | 2012-11-08 / 20120281385 - LIGHTWEIGHT AUDIO SYSTEM FOR AUTOMOTIVE APPLICATIONS AND METHOD | 23 |
Bernd Hassler | DE | Illerkirchberg | 2010-05-27 / 20100128811 - APPARATUS AND METHOD FOR PRODUCING A SIGNAL TO REDUCE THE PAR IN A MULTICARRIER SYSTEM | 1 |
Michel Hassler | FR | Saint Ismier | 2013-11-14 / 20130304223 - INTERPOSITION IMPLANTS FOR THE HAND | 8 |
Carl R. Hassler | US | Gig Harbor | 2012-12-27 / 20120328681 - CERIA FOR USE AS AN ANTIMICROBIAL BARRIER AND DISINFECTANT IN A WOUND DRESSING | 9 |
Gerard Hassler | CH | Oberaegeri | 2010-01-21 / 20100015190 - Preparation for reducing and/or preventing body fat and respective uses, in particular together with a dressing material | 1 |
Michel Hassler | FR | Saint Ismier | 2013-11-14 / 20130304223 - INTERPOSITION IMPLANTS FOR THE HAND | 8 |
Bernd Hassler | DE | Munchen | 2011-02-10 / 20110032910 - Interface, apparatus, and method for communication between a radio eqipment control node one or more remote radio equipment nodes | 1 |
Klaus Hassler | DE | Mehlbach | 2010-06-10 / 20100140991 - VEHICLE SEAT, IN PARTICULAR COMMERCIAL VEHICLE SEAT | 1 |
Andreas Hassler | DE | Rohrdorf | 2010-02-11 / 20100036505 - PROSTHETIC DEVICE | 3 |
Carla Hassler | US | Durham | 2015-02-26 / 20150057268 - COMPOSITION AND METHOD FOR NEUROPEPTIDE S RECEPTOR (NPSR) ANTAGONISTS | 1 |
Edgar Glenn Hassler | US | Sharpsville | 2012-11-08 / 20120281385 - LIGHTWEIGHT AUDIO SYSTEM FOR AUTOMOTIVE APPLICATIONS AND METHOD | 23 |
Stephen P. Hassler | US | Muskego | 2012-03-08 / 20120055695 - Full Composite Insulator for Electrical Cutout | 1 |
William Hassler | US | Elyria | 2010-12-30 / 20100327086 - Thermally efficient adhesive nozzle assembly | 1 |
Sven Tomas Hassler | SE | Linkoping | 2015-03-12 / 20150074399 - PERSISTENT HOUSEHOLD KEYS FOR IN-HOME MEDIA CONTENT DISTRIBUTION | 1 |
Stefan Hassler | DE | Kirchenthumbach | 2016-04-21 / 20160109476 - METHOD FOR DETERMINING AT LEAST ONE SPEED IN A RAIL VEHICLE | 5 |
Michael Gerhard Hassler | US | Laconia | 2016-01-07 / 20160001091 - CHIP FOR TRANSDERMAL EMISSION OR AUGMENTATION OF ENERGY | 1 |
Richard Michael Hassler | US | Lanconia | 2016-01-07 / 20160001091 - CHIP FOR TRANSDERMAL EMISSION OR AUGMENTATION OF ENERGY | 1 |
Carl R. Hassler | US | Gig Harbor | 2012-12-27 / 20120328681 - CERIA FOR USE AS AN ANTIMICROBIAL BARRIER AND DISINFECTANT IN A WOUND DRESSING | 9 |
Bruce Hassler | US | Mapleton | 2014-12-25 / 20140379814 - SYSTEM AND METHOD FOR OPTIMIZED MESSAGE CREATION AND DELIVERY | 10 |
Bruce G. Hassler | US | Mapleton | 2014-06-12 / 20140164504 - SYSTEM AND METHOD FOR PROVIDING CUSTOMIZABLE COMMUNICATIONS | 3 |
Martin Hassler | DE | Munich | 2013-06-20 / 20130158927 - CALIBRATION DEVICE FOR A NETWORK ANALYZER (as amended) | 2 |
Michel Hassler | FR | St. Ismier | 2012-08-23 / 20120215320 - METATARSAL HEMIARTHROPLASTY IMPLANT | 1 |
David Hassler | FR | Orsay | 2015-10-15 / 20150292678 - SEALED AND INSULATING RESERVOIR TO CONTAIN A PRESSURIZED COLD FLUID | 1 |
Carl Hassler | US | Gig Harbor | 2013-02-07 / 20130032529 - RARE EARTH-CONTAINING FILTER BLOCK AND METHOD FOR MAKING AND USING THE SAME | 11 |
Bruce Hassler | US | Mapleton | 2014-12-25 / 20140379814 - SYSTEM AND METHOD FOR OPTIMIZED MESSAGE CREATION AND DELIVERY | 10 |
Carl Hassler | US | Gig Harbor | 2013-02-07 / 20130032529 - RARE EARTH-CONTAINING FILTER BLOCK AND METHOD FOR MAKING AND USING THE SAME | 11 |
David Michael Hassler | US | Prior Lake | 2012-02-09 / 20120033537 - COPY STATION | 1 |
James Hassler | US | Cheyenne | 2011-07-14 / 20110171892 - Sanding Block | 1 |
William L. Hassler, Jr. | US | Carlsbad | 2009-04-30 / 20090107398 - FLUID DISPENSERS AND METHODS FOR DISPENSING VISCOUS FLUIDS WITH IMPROVED EDGE DEFINITION | 2 |
William L. Hassler, Jr. | US | 2011-06-02 / 20110130626 - Non-Invasive Measurement of Fluid Pressure In An Adjustable Gastric Band | 1 | |
William L. Hassler, Jr. | US | Cincinnati | 2012-05-24 / 20120130273 - Non-Invasive Measurement Of Fluid Pressure In An Adjustable Gastric Band | 2 |
Bernd Hasslinger | DE | Carlsberg | 2015-11-05 / 20150313256 - PROCESS FOR REDUCING THE STICKINESS OF A CHEWING GUM CORE COMPOSITION TO A SURFACE OF A PROCESSING MACHINERY USING ISOMALT | 9 |
Mark John Hassman | GB | Cobham | 2010-03-04 / 20100051217 - Soft single-ply tissue | 1 |
Mark Hassman | US | Oak Hill | 2013-02-28 / 20130054686 - Content enhancement utility | 1 |
Mark John Hassman | US | Oshkosh | 2016-01-28 / 20160024715 - ENDLESS BELT CHANGING APPARATUS AND METHOD | 3 |
Ellen Hassman | US | New York | 2010-02-04 / 20100025934 - PORTABLE COOLER AND GAME ASSEMBLY | 1 |
Christian Hassman | DE | Mittelneufnach | 2010-12-09 / 20100307338 - FILTER MEDIUM | 1 |
Jeffrey T. Hassman | US | 2015-12-10 / 20150356535 - MODULAR MULTI-COMPONENT CHECKOUT STAND | 1 | |
Jeffrey T. Hassman | US | Canton | 2015-12-10 / 20150356535 - MODULAR MULTI-COMPONENT CHECKOUT STAND | 2 |
Jeffrey Hassman | US | Canton | 2009-05-07 / 20090119152 - METHOD OF DESIGNING, MANUFACTURING AND STANDARDIZING CUSTOM-BUILT CHECK STANDS OF DIFFERENT SIZES AND CONFIGURATIONS | 1 |
Howard Hassman | US | Boca Raton | 2013-08-08 / 20130200204 - PHLEBOTOMY CHAIR PROTECTOR | 2 |
Mark Hassman | US | Appleton | 2009-03-12 / 20090065160 - Methods of Making Two-Sided Cloth Like Webs | 1 |
Eric Hassman | US | San Francisco | 2009-03-26 / 20090083164 - LIGHTWEIGHT SEARCHABLE POINT-OF-SALE MECHANISM FOR LEVERAGING INTERACTIVE COMMUNITIES | 1 |
Ellen Hassman | US | Hollywood | 2012-11-22 / 20120294550 - NON-WOVEN COOLER BAG WITH SPEAKER AND MP3 HOOKUP | 3 |
Mojahedul Hoque Abul Hassnat | BD | Block E. Banani | 2013-11-14 / 20130304505 - Managing Secure Sharing of Private Medication Information Across Security Domains | 2 |
Martin Aureliano Hassner | US | Mountain View | 2015-12-17 / 20150363263 - ECC Encoder Using Partial-Parity Feedback | 7 |
Martin Hassner | US | Mountain View | 2009-10-08 / 20090254796 - Techniques for correcting errors and erasures using a single-shot generalized minimum distance key equation solver | 2 |
Tal Hassner | IL | Tel-Aviv | 2008-12-18 / 20080309662 - Example Based 3D Reconstruction | 1 |
Nir Hasson | IL | Meitar | 2009-06-04 / 20090143459 - Novel crystal forms of atorvastatin hemi-calcium and processes for their preparation as well as novel processes for preparing other forms | 1 |
Uri Hasson | US | Princeton | 2011-06-30 / 20110161011 - COMPUTER-ACCESSIBLE MEDIUM, SYSTEM AND METHOD FOR ASSESSING EFFECT OF A STIMULUS USING INTERSUBJECT CORRELATION | 1 |
Samuel A. Hasson | US | Los Angeles | 2014-09-04 / 20140249193 - MODULATORS OF MITOCHONDRIAL PROTEIN IMPORT | 1 |
Amir Hasson | IL | Kfar Saba | 2014-07-24 / 20140203661 - INDUCTIVE POWER RECEIVER HAVING DUAL MODE CONNECTOR FOR PORTABLE ELECTRICAL DEVICES | 1 |
Joseph J. Hasson | US | Encino | 2014-09-18 / 20140274352 - SYSTEMS AND METHODS FOR INTERACTIVE GAMES | 1 |
Marc Hasson | US | Los Angeles | 2015-11-26 / 20150341431 - CONTROL MESSAGE ROUTING WITHIN ANYCAST RELIANT PLATFORMS | 1 |
Kenton C. Hasson | US | Rockville | 2013-04-25 / 20130102061 - SYSTEMS AND METHODS FOR AUTO-CALIBRATION OF RESISTIVE TEMPERATURE SENSORS | 3 |
Kenton C. Hasson | US | Germantown | 2016-03-17 / 20160074864 - FLUID INTERFACE CARTRIDGE FOR A MICROFLUIDIC CHIP | 36 |
Robert Hasson | FR | Antibes | 2015-10-01 / 20150278862 - DIGITAL VOUCHER DISTRIBUTION SYSTEM | 12 |
Uri Hasson | DE | Munich | 2015-03-26 / 20150085866 - Service Process Control Method and Network Device | 2 |
David W. Hasson | US | Chicago | 2014-02-06 / 20140038141 - USING MOBILE DEVICES IN WAGERING GAME ENVIRONMENTS | 1 |
Tareq Hasson | DE | Ratingen | 2012-06-28 / 20120164900 - COATED REINFORCEMENT | 1 |
Samuel Hasson | US | Portland | 2016-01-28 / 20160024600 - COINCIDENCE REPORTER GENE SYSTEM | 1 |
Yoram Hasson | IL | Ramat Hasharon | 2014-02-20 / 20140047933 - USES OF HYDROPHOBIC AGGREGATES AND METHODS FOR PERFORMING THOSE USES | 1 |
Arik Hasson | IL | Kiryat-Ono | 2013-10-17 / 20130273013 - INSULIN PRODUCING CELLS DERIVED FROM PLURIPOTENT STEM CELLS | 1 |
Kenton C. Hasson | US | Gaithersburg | 2016-05-12 / 20160129446 - MICROFLUIDIC DEVICES WITH INTEGRATED RESISTIVE HEATER ELECTRODES INCLUDING SYSTEMS AND METHODS FOR CONTROLLING AND MEASURING THE TEMPERATURES OF SUCH HEATER ELECTRODES | 11 |
Noam Hasson | IL | Herzliya | 2015-08-20 / 20150235246 - CROSS-CHANNEL AUDIENCE SEGMENTATION | 1 |
Arye Hasson | IL | Kiryat Ono | 2014-10-02 / 20140296107 - METHOD OF IDENTIFYING AGENTS THAT AFFECT MATURATION, SURVIVAL AND MYELINATION | 1 |
Tal Hasson | IL | Petach Tikva | 2015-12-03 / 20150348109 - INTENT-DRIVEN TARGETING IN SOCIAL NETWORK ADVERTISING | 7 |
Uri Hasson | US | New York | 2016-03-03 / 20160058392 - COMPUTER-ACCESSIBLE MEDIUM, SYSTEM AND METHOD FOR ASSESSING EFFECT OF A STIMULUS USING INTERSUBJECT CORRELATION | 1 |
Kenton C. Hasson | US | Germantown | 2016-03-17 / 20160074864 - FLUID INTERFACE CARTRIDGE FOR A MICROFLUIDIC CHIP | 36 |
Joseph Hasson | IL | Neve Monoson | 2012-03-22 / 20120068000 - INTERCEPTION SYSTEM THAT EMPLOYS MINIATURE KILL VEHICLES | 1 |
Jaime Hasson | IL | Ganei Tikva | 2008-08-28 / 20080207145 - TRANSMITTER | 1 |
Joseph Hasson | IL | Neve Monson | 2008-10-23 / 20080258004 - Exo Atmospheric Intercepting System and Method | 1 |
Laurent D. Hasson | US | New York | 2015-03-26 / 20150088854 - SECURING APPLICATION INFORMATION IN SYSTEM-WIDE SEARCH ENGINES | 3 |
Laurent Hasson | US | New York | 2012-01-12 / 20120010921 - VISUALIZATION FOR AGGREGATION OF CHANGE TRACKING INFORMATION | 4 |
Yoram Hasson | IL | Raanana | 2011-11-24 / 20110284468 - USES OF HYDROPHOBIC AGGREGATES AND METHODS FOR PERFORMING THOSE USES | 1 |
Uri Hasson | IL | Kiryat Ono | 2011-08-25 / 20110208875 - Reducing energy consumption of servers | 1 |
Shaul Hasson | IL | Moshav Bet Arif | / - | 1 |
Ali Abdullah Tareq Hasson | DE | Aachen | 2009-12-10 / 20090306677 - REMOVAL OF TUBULAR TISSUE SUPPORTS | 4 |
Roie M. Hasson | US | North Attleboro | 2010-01-28 / 20100023960 - Detection of Video Program Viewing Behavior for Correlation with Advertisement Presentation | 1 |
Shaul Hasson | IL | Moshav Bet Aref | 2010-04-22 / 20100097498 - ZOOM CAMERA CONFIGURATIONS | 1 |
Robert Hasson | FR | Antibes | 2015-10-01 / 20150278862 - DIGITAL VOUCHER DISTRIBUTION SYSTEM | 12 |
Harrith Hasson | US | Albuquerque | 2014-01-02 / 20140005686 - Cannula Anchor | 2 |
Yaron Hasson | IL | Elad | 2014-03-27 / 20140087673 - CMOS Based TX/RX Switch | 4 |
Qaizar N. Hassonjee | US | Chadds Ford | 2011-11-24 / 20110288394 - TEXTILE-BASED ELECTRODE | 4 |
Qaizar Hassonjee | US | Chadds Ford | 2015-12-10 / 20150352406 - Group Performance Monitoring System And Method | 4 |
John Michael Hasson, Jr. | US | Elmira | 2012-06-21 / 20120152686 - Engagement Control Assembly for a Bi-Directional Overrunning Clutch | 1 |
Salah Hassoon | IL | Shefar-Am | 2011-04-14 / 20110085765 - OPTICAL FIBER COUPLER | 1 |
Basel S. Hassoun | US | Oklahoma City | 2015-10-29 / 20150305797 - SURGICAL INSTRUMENT | 1 |
Marwan Hassoun | US | Austin | 2016-01-28 / 20160027132 - FACILITATING BEVERAGE ORDERING AND GENERATION | 8 |
Bassam Hassoun | US | Riverside | 2009-11-26 / 20090292675 - System for Notification of Group Membership Changes in Directory Service | 1 |
Marwan Hassoun | US | Austin | 2016-01-28 / 20160027132 - FACILITATING BEVERAGE ORDERING AND GENERATION | 8 |
Basel Hassoun | US | Oklahoma City | 2012-12-13 / 20120316560 - SURGICAL INSTRUMENT | 1 |
Jusef Hassoun | IT | Rome | 2015-11-19 / 20150333385 - METHOD FOR MANUFACTURING A LITHIATED METAL-CARBON COMPOSITE ELECTRODE, LITHIATED METAL-CARBON COMPOSITE ELECTRODE MANUFACTURED THEREBY, AND ELECTROCHEMICAL DEVICE INCLUDING THE ELECTRODE | 1 |
Marwan M. Hassoun | US | Austin | 2012-06-21 / 20120156344 - System and Method for Managing the Generation of Brewed Beverages Using Shared Resources | 4 |
Jusef Hassoun | IT | Latina | 2014-05-08 / 20140127575 - POSITIVE ACTIVE MATERIAL FOR LITHIUM SULFUR BATTERY AND LITHIUM SULFUR BATTERY COMPRISING SAME | 2 |
Thierry Claude Hassoun | FR | Volvic | 2010-08-05 / 20100198105 - NON INVASIVE METHOD FOR DETECTING AN ELECTRONIC PARAMETER DEPENDING ON THE INTRALABYRINTH PRESSURE (PIL) IN A SUBJECT | 1 |
Bassam H. Hassoun | US | Riverside | 2011-12-15 / 20110307957 - Method and System for Managing and Monitoring Continuous Improvement in Detection of Compliance Violations | 2 |
Soha Hassoun | US | Lexington | 2014-03-13 / 20140073133 - METHOD TO MITIGATE THROUGH-SILICON VIA-INDUCED SUBSTRATE NOISE | 1 |
Emad Hassouneh | US | San Antonio | 2016-05-12 / 20160129438 - METHOD OF SEPARATING BIOLOGICAL FLUIDS INTO COMPONENT PARTS USING A FLUIDS CONCENTRATION CUP ASSEMBLY WITH HOURGLASS SHAPE | 1 |
Sandra J. Hasstedt | US | Salt Lake City | 2011-08-25 / 20110207128 - METHODS AND KITS FOR DETERMINING BIOLOGICAL AGE AND LONGEVITY BASED ON GENE EXPRESSION PROFILES | 1 |
Karl R. Hassur | US | San Jose | 2012-01-26 / 20120021624 - ADAPTER | 1 |
Thomas Scott Hassur | US | Windsor | 2011-11-10 / 20110274805 - Wine Decanting Device | 1 |
Jukka Hast | FI | Kiviniemi | 2011-01-13 / 20110008210 - BIOSENSOR AND A RELATED MANUFACTURING METHOD | 1 |
Steven E. Hast | US | Lynn Haven | 2011-07-28 / 20110179818 - Dual-connection drain pan | 1 |
Tom W. Hast | US | Dubuque | 2015-12-10 / 20150354602 - CONTROL APPARATUS FOR A WATER POWERED SUMP PUMP | 1 |
Marcus Hast | SE | Malmo | 2014-10-23 / 20140317186 - Organization of Captured Media Items | 1 |
Marcus Johan Hast | SE | Malmo | 2015-07-30 / 20150215573 - Automatic Image Deletion | 1 |
Michael Hast | DE | Munich | 2013-03-07 / 20130058091 - Lighting Module | 1 |
Michael Hast | DE | Muenchen | 2015-01-22 / 20150022115 - LIGHTING MODULE AND A CORRESPONDING LIGHTING SYSTEM | 1 |
Michael Hast | DE | Ebersberg | 2009-03-12 / 20090064891 - Rail for Self-Propelled Electric Trucks | 1 |
Jurij Hastanin | BE | Seraing | 2009-09-24 / 20090238236 - Thermal Detector | 1 |
Albin Hastbacka | US | West Chatham | 2015-02-26 / 20150053839 - Tornado Protection System and Related Methods | 1 |
Albin Andrew Hastbacka | US | W. Chatham | / - | 1 |
Alan Andrew Hastbacka | US | W. Chatham | / - | 1 |
Søren Bo Hasted | DK | Copenhagen O | 2010-11-11 / 20100286615 - INSERTER HAVING BISTABLE EQUILIBRIUM STATES | 2 |
Søren Bo Hasted | DK | Soro | 2011-02-17 / 20110040263 - Inserter Assembly | 2 |
Soren Bo Hasted | DK | Kobenhavn Ø | 2011-04-28 / 20110098652 - Moulded Connection between Cannula and Delivery Part | 1 |
Soren B. Hasted | DK | Kobenhaven O | 2009-08-13 / 20090204077 - Moulded Connection Between Cannula and Delivery Part | 1 |
Lars-Olof Haster | SE | Huddinge | 2011-12-01 / 20110292929 - SIGNALLING MESSAGES IN A COMMUNICATIONS NETWORK NODE TO COMMUNICATE A CALLED ADDRESS STRING | 2 |
Srinivas Hasti | US | Stamford | 2010-03-04 / 20100057880 - Method of Routing Messages to Multiple Consumers | 3 |
Srinivas Hasti | US | Durham | 2009-01-15 / 20090019166 - SYSTEM AND PROGRAM PRODUCT FOR MANAGING MULTIPLE NETWORK APPLICATION VERSIONS | 1 |
Veeraraghava Raju Hasti | IN | Bangalore | 2014-04-24 / 20140109581 - REVERSE-FLOW ANNULAR COMBUSTOR FOR REDUCED EMISSIONS | 2 |
Caitlyn Hastie | US | Danvers | 2015-08-06 / 20150216685 - GRAFT ANCHOR DEVICES, SYSTEMS AND METHODS | 1 |
Jeffrey J.m. Hastie | CA | Kingston | 2012-12-20 / 20120323008 - GROUP 11 MONO-METALLIC PRECURSOR COMPOUNDS AND USE THEREOF IN METAL DEPOSITION | 1 |
Alex R. Hastie | DE | Munich | 2010-08-26 / 20100216649 - Methods for protein interaction determination | 1 |
Helen Hastie | US | Florham Park | 2009-04-09 / 20090094036 - SYSTEM AND METHOD OF HANDLING PROBLEMATIC INPUT DURING CONTEXT-SENSITIVE HELP FOR MULTI-MODAL DIALOG SYSTEMS | 1 |
Neil Hastie | GB | Lydney Gloucestershire | 2012-01-26 / 20120023389 - REAL-TIME ERROR DETECTION BY INVERSE PROCESSING | 1 |
Neil Hastie | GB | Gloucestershire | 2014-09-11 / 20140258684 - System and Method to Increase Lockstep Core Availability | 1 |
Alex Hastie | US | San Diego | 2016-02-18 / 20160046992 - CHARACTERIZATION OF MOLECULES IN NANOFLUIDICS | 4 |
Neil Stuart Hastie | GB | Gloucestershire | 2013-08-15 / 20130212441 - System and Method for Signature-Based Redundancy Comparison | 1 |
Scott Hastie | CA | Toronto | 2015-10-22 / 20150298263 - COMPOSITE WELDING WIRE AND METHOD OF MANUFACTURING | 1 |
Jonathan Hastie | US | Halifax | 2009-03-19 / 20090071281 - Robot arm assembly | 1 |
Scott Hastie | US | Toronto | 2014-12-18 / 20140366996 - METHOD OF CLADDING AND FUSION WELDING OF SUPERALLOYS | 1 |
Matthew Duncan Hastie | US | La Jolla | 2012-12-06 / 20120311115 - ASSIGNMENT OF AGENT IDENTIFIER TO CLONED DEVICE | 2 |
Caitlyn Hastie | US | Billerica | 2015-11-19 / 20150328382 - CANNULA ASSEMBLY | 6 |
Neil Hastie | GB | Lydney | 2015-08-27 / 20150242233 - SAFETY HYPERVISOR FUNCTION | 5 |
David Robert Hastilow | CN | Hong Kong | 2011-12-22 / 20110309199 - CONTROL SYSTEM FOR A TRACTION WING | 1 |
Neil K. Hastilow | GB | Bristol | 2009-08-27 / 20090213216 - Performing a process on a workpiece | 1 |
Bradford L. Hastilow | US | Rochester Hills | 2012-10-04 / 20120247171 - Hot Stamping Die Apparatus | 2 |
William Hasting | US | Cinicinnati | 2012-06-21 / 20120156029 - LOW-DUCTILITY TURBINE SHROUD FLOWPATH AND MOUNTING ARRANGEMENT THEREFOR | 1 |
Joseph Hasting | US | Orefield | 2012-05-24 / 20120131283 - MEMORY MANAGER FOR A NETWORK COMMUNICATIONS PROCESSOR ARCHITECTURE | 1 |
Joseph R. Hasting | US | Orefield | 2013-04-04 / 20130086332 - Task Queuing in a Multi-Flow Network Processor Architecture | 1 |
William Howard Hasting | US | Cincinnati | 2015-09-10 / 20150252683 - SYSTEM AND METHOD FOR ACTIVE CLEARANCE CONTROL | 7 |
Joseph Hasting | US | 2011-09-15 / 20110225376 - MEMORY MANAGER FOR A NETWORK COMMUNICATIONS PROCESSOR ARCHITECTURE | 1 | |
Joseph Roy Hasting | US | Orefield | 2011-01-27 / 20110019814 - VARIABLE SIZED HASH OUTPUT GENERATION USING A SINGLE HASH AND MIXING FUNCTION | 1 |
Karl F. Hastings | US | Tampa | 2015-08-13 / 20150228206 - DYNAMICALLY PROGRAMMABLE ABDOMINAL SIMULATOR SYSTEM | 2 |
Stephen Roger Hastings | US | Kirkland | 2012-04-05 / 20120082319 - SPATIAL AUDIO ENCODING AND REPRODUCTION OF DIFFUSE SOUND | 2 |
Paul F. Hastings | US | Rockford | 2011-09-29 / 20110234237 - AIRCRAFT SLAT DISCONNECT SENSOR | 1 |
Calvin R. Hastings | US | Mt. Lebanon | 2016-02-11 / 20160041017 - Ultrasonic Flowmeter with Internal Surface Coating and Method | 10 |
Maria M. Hastings | GB | Nottingham | 2015-10-01 / 20150278944 - SYSTEMS AND METHODS OF ASSISTED STRATEGY DESIGN | 1 |
Nicholas Hastings | GB | Fen Drayton Cambridgeshire | 2015-08-06 / 20150219531 - EXTRACTION APPARATUS AND METHOD | 1 |
Brian Lee Hastings | US | Larimer County | 2015-02-12 / 20150043770 - SPECKLE SENSING FOR MOTION TRACKING | 1 |
Michelle L. Hastings | US | North Chicago | 2014-04-24 / 20140114057 - ANTISENSE COMPOUNDS TARGETING GENES ASSOCIATED WITH USHER SYNDROME | 1 |
Allen Mundt Hastings | US | Austin | 2012-08-23 / 20120210598 - Ambient Air Dryer with Improvements in Performance, Safety, Ease of Use and Cost of Manufacture | 1 |
Scott Hastings | US | Show Low | 2014-05-15 / 20140136217 - BIDDING PROCESS FOR HEALTH CARE SERVICES | 1 |
Karla Kay Hastings | US | Austin | 2012-08-23 / 20120210598 - Ambient Air Dryer with Improvements in Performance, Safety, Ease of Use and Cost of Manufacture | 1 |
Andrew Simon Hastings | AU | Victoria | 2011-08-25 / 20110206856 - COMPOSITION AND METHOD FOR PREPARATION OF ELECTRO-CONDUCTIVE POLYMER SURFACES | 1 |
John Kenneth Hastings | GB | Worcestershire | 2011-08-25 / 20110203088 - TOOL FOR INSTALLING RIVET NUTS | 1 |
James William Ian Hastings | GB | Worcestershire | 2011-08-25 / 20110203088 - TOOL FOR INSTALLING RIVET NUTS | 1 |
Nathan Allin Hastings | AU | Glen Iris | 2015-09-17 / 20150261655 - ENTROPY WEIGHTED MESSAGE MATCHING FOR OPAQUE SERVICE VIRTUALIZATION | 1 |
Matthew Robert Hastings | US | Maple Valley | 2015-07-16 / 20150200025 - INSPECTION APPARATUS AND METHOD OF INSPECTING A REACTOR COMPONENT USING THE SAME | 1 |
Matthew B. Hastings | US | Santa Barbara | 2016-02-04 / 20160034423 - Algorithm for Optimization and Sampling | 2 |
Stephen R. Hastings | US | Kirkland | 2015-11-19 / 20150332663 - SPATIAL AUDIO ENCODING AND REPRODUCTION OF DIFFUSE SOUND | 1 |
Mary Kent Hastings | US | Kirkwood | 2011-08-04 / 20110185591 - MULTI-PLUG DESIGN SHOE INSOLE | 1 |
Matthew S. Hastings | US | Midvale | 2012-08-09 / 20120201800 - Methods and Devices for Sustained In-Vivo Release Of an Active Agent | 1 |
Michal Hastings | US | Moorpark | 2015-06-11 / 20150159690 - Turbocharger Dual Ball Bearing System | 1 |
Gregory Howard Hastings | US | Greenbank | 2015-02-05 / 20150039161 - Tethered Gyroglider Control Systems | 1 |
Stephen Roger Hastings | US | Seattle | 2015-03-12 / 20150071610 - SYSTEMS AND METHODS FOR CONTENT PLAYBACK AND RECORDING | 1 |
Robert S. Hastings | US | Warsaw | 2015-10-01 / 20150272477 - SYSTEM AND METHOD FOR DETERMINING PATIENT FOLLOW-UP SUBSEQUENT TO AN ORTHOPAEDIC PROCEDURE | 4 |
Nathan Hastings | US | Dallas | 2014-06-26 / 20140174560 - BYPASS SEAL FOR ROTARY REGENERATIVE AIR PREHEATERS | 2 |
Christina E. Hastings | CA | Guelph | 2012-04-26 / 20120100996 - GENETIC MARKER IDENTIFICATION IN ATLANTIC COD | 1 |
Gregory W. Hastings | US | Elmer | 2014-03-13 / 20140069406 - Adjustable Paintball Marker Feed-Neck Accessory Holder | 1 |
Steven A. Hastings | US | Bellevue | 2013-12-05 / 20130318854 - INSECT VISUAL ATTRACTANT | 1 |
Karin Hastings | US | Buckeye | 2014-04-03 / 20140090431 - Methods and Compositions for Treating Soil and Plants | 1 |
John L. Hastings | US | North Salt Lake | 2013-12-12 / 20130328680 - TRACKING DEVICE INCORPORATING ENHANCED SECURITY MOUNTING STRAP | 1 |
Ryan Hastings | US | Seattle | 2016-03-17 / 20160077785 - EXECUTABLE VIRTUAL OBJECTS ASSOCIATED WITH REAL OBJECTS | 20 |
Erin J. Hastings | US | Orlando | 2010-12-23 / 20100323775 - Systems and Methods for Evolving Content for Computer Games | 1 |
Robert Hastings | US | Warsaw | 2012-03-15 / 20120065550 - System and Method for Determining Patient Follow-Up Subsequent to an Orthopaedic Procedure | 3 |
Paige Hastings | US | Bloomington | 2013-10-10 / 20130267824 - NAVIGABLE TISSUE TREATMENT TOOLS | 2 |
Craig Hastings | US | Perry | 2013-08-01 / 20130198905 - COMPOSITIONS AND METHODS FOR MODULATING EXPRESSION OF GENE PRODUCTS | 2 |
Nora Lee Hastings | US | Tucson | 2011-05-26 / 20110119818 - Cosmetic toilet seat and lid | 2 |
Dawson W. Hastings | US | Selma | 2014-02-27 / 20140053681 - GEARBOX WITH SIGHT WINDOW | 2 |
Jerome K. Hastings | US | Sussex | 2011-12-08 / 20110301772 - PROTECTION, MONITORING OR INDICATION APPARATUS FOR A DIRECT CURRENT ELECTRICAL GENERATING APPARATUS OR A PLURALITY OF STRINGS | 4 |
Mark Hastings | US | Mukilteo | 2016-04-28 / 20160118973 - PROGRAMMABLE SWITCHED CAPACITOR BLOCK | 6 |
Jedidiah M. Hastings | US | Kansas City | 2011-01-20 / 20110012625 - ZINC OXIDE SULFUR SENSOR | 1 |
Michael Thomas Hastings | US | San Francisco | 2012-08-02 / 20120198088 - INSERTION POINTS FOR STREAMING VIDEO AUTOPLAY | 1 |
Ryan Lucas Hastings | US | Seattle | 2013-01-10 / 20130013093 - PHYSICAL CHARACTERISTICS BASED USER IDENTIFICATION FOR MATCHMAKING | 5 |
Roy Alan Hastings | US | Allen | 2012-04-05 / 20120081168 - Implementing a piecewise-polynomial-continuous function in a translinear circuit | 2 |
Harold M. Hastings | US | Garden City | 2010-07-15 / 20100179433 - TRANSESOPHAGEAL ULTRASOUND USING A NARROW PROBE | 6 |
Mark S. Hastings | US | Indian Rocks Beach | 2011-11-17 / 20110277779 - DEVICE FOR STORING A PARTIALLY SMOKED CIGARETTE | 2 |
Thomas William Hastings | US | Elmira | 2010-11-18 / 20100288704 - Flow-Through Substrate Assemblies and Methods for Making and Using Said Assemblies | 1 |
Thomas W. Hastings | US | Raleigh | 2010-11-11 / 20100284877 - CATALYST SYSTEMS | 1 |
Brian L. Hastings | US | Fort Collins | 2014-02-20 / 20140049471 - Adjustment of an Optical Tracking Device | 4 |
John Hastings | US | Savage | 2011-08-04 / 20110190784 - CATHETER WITH REMOVABLE SHAPING SKELETON AND METHODS OF USING AND MAKING SAME | 3 |
Calvin R. Hastings | US | Mt. Lebanon | 2016-02-11 / 20160041017 - Ultrasonic Flowmeter with Internal Surface Coating and Method | 10 |
Douglas Reynolds Hastings | US | 2011-07-28 / 20110179784 - Vertical gravity/buoyancy power generator | 2 | |
Stephan Hastings | US | Hemet | 2011-02-17 / 20110037236 - FULL CONTACT FLEXIBLE SEAL ASSEMBLY FOR HEAT EXCHANGER | 2 |
Thomas N. Hastings | US | Manhattan Beach | 2010-12-23 / 20100321733 - Protocol allowing a document management system to communicate inter-attribute constraints to its clients | 1 |
Jerome Hastings | US | Standford | 2008-10-09 / 20080247512 - Sagittal Focusing Laue Monochromator | 1 |
W. Reed Hastings | US | Santa Cruz | 2010-01-14 / 20100010877 - APPROACH FOR ESTIMATING USER RATINGS OF ITEMS | 1 |
Gregg A. Hastings | US | Westlake Village | 2009-12-17 / 20090311263 - HUMAN VASCULAR IBP-LIKE GROWTH FACTOR | 5 |
Larry W. Hastings | US | Owensboro | 2014-06-26 / 20140174990 - SYSTEM AND METHOD FOR EXTRACTING BITUMEN FROM TAR SAND | 2 |
Gregg Hastings | US | Westlake Village | 2009-08-20 / 20090208974 - Human Neuronal Attachment Factor-1 | 1 |
Jordan Hastings | US | Santa Barbara | 2014-10-30 / 20140324844 - UNIFIED GEOGRAHIC DATABASE AND METHODS OF CREATING, MAINTAINING AND USING THE SAME | 2 |
Christopher A. Hastings | US | Garner | 2010-09-23 / 20100239215 - Methods for Terminating Optical Fiber Cables | 1 |
Dj Hastings | US | Crouse | 2010-08-26 / 20100215319 - Multi-Fiber Ferrule with Integrated, Molded Guide Pin | 1 |
Hill Hastings | US | Zionsville | 2013-05-16 / 20130123581 - SELF-RETAINING RETRACTOR | 2 |
Carl W. Hastings | US | Wildwood | 2013-08-08 / 20130202572 - CAFFEINE-FREE DIETARY SUPPLEMENTS FOR INCREASING ENERGY AND METHODS OF ADMINISTERING THE SAME | 2 |
Zachary Hastings | US | Lowell | 2012-10-04 / 20120250020 - HAND-HELD COLOR MEASUREMENT DEVICE | 1 |
John Hastings | US | Minneapolis | 2010-04-15 / 20100094225 - SLITTABLE DELIVERY DEVICE FOR THE DELIVERY OF A CARDIAC SURGICAL DEVICE | 1 |
Jedidah Hastings | US | Peoria | 2012-08-02 / 20120192626 - Zinc Oxide Sulfur Sensors and Methods of Manufacture Thereof | 1 |
Steven Hastings | US | Bolton | 2010-03-04 / 20100058421 - Methods and Apparatus for Updating Digital Television Firmware | 1 |
Daniel K. Hastings | US | Travelers Rest | 2010-02-11 / 20100032932 - Multiple use vehicle accessory | 3 |
Benjamin Edward Hastings | US | Christiansburg | 2010-01-28 / 20100023185 - DEVICES AND METHODS FOR WAYPOINT TARGET GENERATION AND MISSION SPOOLING FOR MOBILE GROUND ROBOTS | 1 |
Kenneth S. Hastings | US | Grand Prairie | 2010-01-14 / 20100007085 - Mail Sorting Machine with Improved Diverter Panel | 1 |
Arthur Hastings | US | Stafford | 2010-01-07 / 20100001927 - Helmet mounted modular night vision enhancement apparatus | 1 |
Steve Hastings | US | Kirkland | 2014-05-22 / 20140140181 - SYSTEMS AND METHODS FOR CONTENT PLAYBACK AND RECORDING | 2 |
Stephen Hastings | DE | Munich | 2012-12-20 / 20120320596 - POSITIONING APPARATUS AND SYSTEM FOR DIRECTING A BEAM | 2 |
Timothy Edmund Hastings | AU | Oakleigh | 2010-01-14 / 20100011087 - DELIVERING DICOM DATA | 1 |
Stephen Hastings | DE | Munchen | 2010-09-02 / 20100220373 - METHOD AND APPARATUS FOR REACTIVE OPTICAL CORRECTION OF GALVANO MOTOR SCANNING HEADS | 4 |
Michael Hastings | GB | Cambridge | 2009-10-22 / 20090264383 - Inhibitor of Adenylyl Cyclase for Treating a Disorder of the Circadian Rhythm | 1 |
Nicola Hastings | GB | Midlothian | 2010-01-07 / 20100003675 - Qtls for Mastitis Resistance in Cattle | 1 |
James R. Hastings | US | Chandler | 2011-06-30 / 20110155348 - LIQUID THERMAL INTERFACE MATERIAL DISPENSE AND REMOVAL SYSTEM | 1 |
Stephen John Hastings | AU | Endeavour Hills | 2010-06-17 / 20100147757 - DESALINATION SYSTEM | 1 |
Jeffrey Todd Hastings | US | Lexington | 2011-12-01 / 20110293847 - Particle-Beam Induced Processing Using Liquid Reactants | 2 |
Paige B. Hastings | US | Bloomington | 2013-08-08 / 20130204128 - TARGET IDENTIFICATION TOOL FOR INTRA-BODY LOCALIZATION | 3 |
Roger Hastings | US | 2009-07-30 / 20090192558 - METHODS AND SYSTEMS OF TREATING PANCREATITIS PAIN | 1 | |
Denny Hastings | US | Shelbyville | 2009-02-19 / 20090047076 - Water Filtration and erosion control system and method | 2 |
John M. Hastings | US | Minneapolis | 2012-06-21 / 20120157915 - Deflectable catheter constructed to inhibit component migration | 3 |
Jeffrey P. Hastings | US | Hanover | 2009-04-30 / 20090107309 - DYNAMIC ALIGNMENT MONITORING SYSTEM FOR ON-VEHICLE DISK BRAKE LATHE | 1 |
Patrick Hastings | US | Combined Locks | 2009-04-30 / 20090112538 - VIRTUAL REALITY SIMULATIONS FOR HEALTH CARE CUSTOMER MANAGEMENT | 1 |
David F. Hastings | US | Lake Oswego | 2009-04-16 / 20090099617 - IMPLANTABLE HEART STIMULATOR PROVIDING LONG TERM CARDIAC MONITORING WITH AUTOMATIC NOTIFICATION | 3 |
Carl Hastings | US | Chesterfield | 2016-01-21 / 20160015776 - PRODUCTS AND METHODS USING LUNASIN ENRICHED SOY EXTRACT MIXTURES TO REDUCE FREE FATTY ACID LEVELS, INCREASE LEPTIN LEVELS AND INCREASE ADIPONECTIN LEVELS IN PLASMA | 1 |
David John Hastings | CA | London | / - | 1 |
Jerome Kenneth Hastings | US | Sussex | 2015-06-11 / 20150160268 - BI-DIRECTIONAL DIRECT CURRENT SENSING CIRCUIT AND CURRENT SENSING ASSEMBLY INCLUDING THE SAME | 3 |
Roger Noel Hastings | US | Maple Grove | 2015-06-11 / 20150157384 - SYSTEMS AND METHODS FOR TREATING THE PROSTATE | 2 |
James D. Hastings | US | Victoria | 2016-02-04 / 20160030857 - Component Recovery Process | 2 |
Matthew Robert Hastings | US | Cincinnati | 2013-02-28 / 20130052012 - METALLIC FAN BLADE PLATFORM | 1 |
Ryan L. Hastings | US | Seattle | 2016-03-24 / 20160086382 - PROVIDING LOCATION OCCUPANCY ANALYSIS VIA A MIXED REALITY DEVICE | 16 |
Nicholas Hastings | GB | Fen Drayton | 2012-11-15 / 20120287434 - Optical Surveillance of Mixing and Separation | 1 |
Robert J. Hastings | US | Spring | 2015-12-10 / 20150357755 - LATCH TO GENERATE POSITIVE LOCKING LATCH RETENTION FORCE | 3 |
Robert Scot Hastings | PH | Taguig | 2013-05-23 / 20130132598 - METHOD AND SYSTEM FOR MANAGING AND DELIVERING DATA | 1 |
Roger N. Hastings | US | Maple Grove | 2015-04-16 / 20150105764 - COMPLIANT CRYOBALLOON APPARATUS FOR DENERVATION OSTIA OF THE RENAL ARTERIES | 22 |
Ryan L. Hastings | US | Seattle | 2016-03-24 / 20160086382 - PROVIDING LOCATION OCCUPANCY ANALYSIS VIA A MIXED REALITY DEVICE | 16 |
Ron Roy Hastings | US | Prescott | 2012-05-24 / 20120124927 - Foam injected wall panel | 1 |
Alex Hastings | US | St. Joseph | 2015-09-03 / 20150246740 - ROBOT WITH PACKING HEAD AND INTEGRATED VACUUM ARM | 1 |
Mark J. Hastings | US | New Berlin | 2011-12-08 / 20110299068 - Luminous Flux Depreciation Notification System for Light Fixtures Incorporating Light Emitting Diode Sources | 1 |
Thomas W. Hastings | US | Woodinville | 2013-01-17 / 20130015998 - MULTICHANNEL, MULTIMODE, MULTIFUNCTION L-BAND RADIO TRANSCEIVERAANM Jones; James B.AACI CarnationAAST WAAACO USAAGP Jones; James B. Carnation WA USAANM Meiyappan; PalAACI BellevueAAST WAAACO USAAGP Meiyappan; Pal Bellevue WA USAANM Ferguson; PaulAACI RedmondAAST WAAACO USAAGP Ferguson; Paul Redmond WA USAANM Virtue; MarkAACI KirklandAAST WAAACO USAAGP Virtue; Mark Kirkland WA USAANM Hastings; Thomas W.AACI WoodinvilleAAST WAAACO USAAGP Hastings; Thomas W. Woodinville WA US | 1 |
Karin L. Hastings | US | Goodyear | 2011-05-26 / 20110124089 - Process to grow and concentrate algae | 1 |
Robert D. Hastings | US | Eugene | 2013-10-24 / 20130279748 - OBJECT IDENTIFICATION USING OPTICAL CODE READING AND OBJECT RECOGNITION | 1 |
Michael L. Hastings | US | Canton | 2012-06-21 / 20120152524 - MODULAR FRACTURE PLUG AND METHOD OF CONSTRUCTION THEREOF | 1 |
Paul James Hastings | US | San Francisco | 2015-12-24 / 20150368329 - Methods of Treating Ocular Diseases | 1 |
Ryan Hastings | US | Seattle | 2016-03-17 / 20160077785 - EXECUTABLE VIRTUAL OBJECTS ASSOCIATED WITH REAL OBJECTS | 20 |
Karin L. Hastings | US | Buckeye | 2016-02-04 / 20160032237 - Methods and Compositions to Aggregate Algae | 2 |
Harold M. Hastings | US | Sheffield | 2014-08-28 / 20140243672 - Transesophageal Ultrasound Using a Narrow Probe | 1 |
Roger Hastings | US | Maple Grove | 2014-08-21 / 20140236172 - WIRELESS TISSUE ELECTROSTIMULATION | 40 |
Roger N. Hastings | US | Maple Grove | 2015-04-16 / 20150105764 - COMPLIANT CRYOBALLOON APPARATUS FOR DENERVATION OSTIA OF THE RENAL ARTERIES | 22 |
Roger N. Hastings | US | Plymouth | 2008-12-25 / 20080319303 - VARIABLE MAGNETIC MOMENT MR NAVIGATION | 1 |
Eric C. Hastings | US | Austin | 2016-04-14 / 20160105396 - DATA LEAK PROTECTION IN UPPER LAYER PROTOCOLS | 1 |
Mark E. Hastings | US | Mukilteo | 2016-03-03 / 20160065216 - INTEGRATED CIRCUIT DEVICE WITH PROGRAMMABLE ANALOG SUBSYSTEM | 1 |
Michelle L. Hastings | US | Lake Bluff | 2015-11-05 / 20150315590 - ANTISENSE OLIGONUCLEOTIDES THAT TARGET A CRYPTIC SPLICE SITE IN USH1C AS A THERAPEUTIC FOR USHER SYNDROME | 4 |
Jeff Hastings | US | Los Altos | 2012-02-16 / 20120039580 - Method and System for Remote Television Replay Control | 1 |
Joseph F. Hasto | US | Williamstown | 2010-04-15 / 20100089700 - Suspended Anchored Climbing Device with Safety Features | 1 |
Jared C. Haston | US | Grand Terrace | 2011-06-02 / 20110127306 - RETRACTABLE WRIST WARN NOTE PAD | 1 |
Deniz Hastorun | US | Seattle | 2016-04-21 / 20160110110 - SYSTEM AND METHOD FOR PROVIDING HIGH AVAILABILITY DATA | 4 |
James Joseph Hastreiter | US | Chanhassen | 2012-11-01 / 20120278018 - Degradation Monitoring System for Hose Assembly | 1 |
Hatice Hasturk | US | Brighton | 2016-01-07 / 20160000741 - Methods for Increasing Oral Osteogenesis Using Lipoxin A4 (LXA4) and Its Analogs | 3 |
Cemalettin Hastürk | TR | Tekirdag | 2015-02-26 / 20150054395 - COOLING DEVICE HAVING A MOVABLE CONTAINER | 3 |
Cemalettin Hastürk | TR | Tekirdag | 2015-02-26 / 20150054395 - COOLING DEVICE HAVING A MOVABLE CONTAINER | 3 |
Cemalettin Hastürk | TR | Cerkezkoy/tekirdag | 2013-06-27 / 20130160483 - CANTILEVERED SHELVING RACK | 2 |
Cemalettin Hastürk | TR | Tekirdag | 2012-06-14 / 20120146478 - COOLING DEVICE WITH SPACING ELEMENT | 3 |
Cemalettin Hastürk | TR | Tekirdag | 2012-06-14 / 20120146478 - COOLING DEVICE WITH SPACING ELEMENT | 3 |
Cemalettin Hastürk | TR | Cerkezkoy/tekirdag | 2013-06-27 / 20130160483 - CANTILEVERED SHELVING RACK | 2 |
Cemalettin Hastürk | TR | Cerkezkoy/tekirdag | 2015-01-15 / 20150013375 - DOMESTIC REFRIGERATION APPLIANCE WITH AN INNER CONTAINER AND A BASE | 3 |
Michael L. Hasty | US | Louisville | 2012-05-24 / 20120130792 - SYSTEM AND METHOD OF REDEEMING COUPONS AND PREVENTING WEB-BASED COUPON FRAUD | 1 |
William V. Hasty | US | Lake Mary | 2009-03-26 / 20090080333 - METHOD AND DEVICE FOR PROVIDING AN ALTERNATIVE BACKHAUL PORTAL IN A MESH NETWORK | 2 |
William T. Hasty | US | Manito | 2008-10-30 / 20080270074 - User customized machine data acquisition system | 1 |
Julia Hasty | US | Berkeley | 2014-11-27 / 20140345248 - COMPACT GASIFIER-GENSET ARCHITECTURE | 2 |
Paul Hasty | US | San Antonio | 2016-02-04 / 20160030401 - USE OF MTOR INHIBITORS FOR PREVENTION OF INTESTINAL POLYP GROWTH AND CANCER | 1 |
William H. Hasty | US | Hesston | 2013-06-20 / 20130157732 - Cascade Pan | 1 |
Noel M. Hasty | US | Wilmington | 2013-05-23 / 20130129951 - POLYMERIZATION WITH ENHANCED GLYCOL ETHER FORMATION | 10 |
Stephen Hasty | US | Parsonsfield | 2010-12-02 / 20100303603 - Portable Adjustable Height Platform | 1 |
Raymond Hasty | US | Woodstock | 2015-10-29 / 20150310406 - Systems and Methods for Automated Invoice Processing | 1 |
Jeff Hasty | US | Encinitas | 2016-02-04 / 20160033485 - COMPOSITIONS AND METHODS FOR CANCER DIAGNOSIS | 2 |
Ryan Hasty | US | Berkeley | 2015-08-20 / 20150232768 - DOWNDRAFT GASIFICATION SYSTEM AND METHOD | 1 |
Byron Hasty | US | Flower Mound | 2016-04-07 / 20160099493 - Antenna Device | 1 |
Robert Alan Hasty | US | Carrollton | 2012-05-24 / 20120130358 - LASER SURGERY DEVICE AND METHOD | 1 |
Noel M. Hasty | US | Wilmington | 2013-05-23 / 20130129951 - POLYMERIZATION WITH ENHANCED GLYCOL ETHER FORMATION | 10 |
Andrew Hasty | US | Parrish | 2015-05-07 / 20150121614 - Portable Swimming Pool Rail | 1 |
William Todd Hasty | US | Manito | 2009-01-01 / 20090006540 - System and method for remote machine data transfer | 1 |
Hugh Hasty | US | Lewisburg | 2012-03-08 / 20120055278 - Pivoting Mechanical Applicator | 1 |
David C. Hasty | US | Toledo | 2013-07-11 / 20130178118 - CAM LOCK | 1 |
Noelm. Hasty | US | Wilmington | 2011-09-01 / 20110213056 - COPOLYESTERS WITH ENHANCED TEAR STRENGTH | 1 |
Mashi Hasu | US | Framingham | 2011-04-14 / 20110086705 - MUSIC GAME SYSTEM AND METHOD OF PROVIDING SAME | 1 |
Masatoshi Hasu | JP | Saitama | 2012-06-21 / 20120154100 - COIL AND METHOD FOR FORMING A COIL | 3 |
Masashi Hasu | US | Framingham | 2011-04-14 / 20110086704 - MUSIC GAME SYSTEM AND METHOD OF PROVIDING SAME | 1 |
Aapo Matias Hasu | FI | Tampere | 2012-08-02 / 20120198343 - METHOD AND APPARATUS FOR REPRESENTING CONTENT DATA | 1 |
Tatsuhiro Hasu | JP | Matsumoto-Shi | 2015-05-14 / 20150130717 - DISPLAY APPARATUS, DISPLAY SYSTEM, AND CONTROL METHOD | 2 |
Takashi Hasuda | JP | Ibaraki | 2009-03-26 / 20090079863 - CAMERA MODULE, MANUFACTURING METHOD OF IMAGING APPARATUS AND HOT MELT MOLDING METHOD | 2 |
Masanori Hasuda | JP | Fujisawa-Shi | 2015-12-31 / 20150378244 - CAMERA ACCESSORY | 14 |
Masanori Hasuda | JP | Fujisawa | 2009-10-08 / 20090252484 - Image Blur Correction Device and Camera | 1 |
Yasuhiko Hasuda | JP | Nara | 2009-12-31 / 20090325755 - Full-toroidal continuously variable transmission | 2 |
Masanori Hasuda | JP | Fujisawa-Shi | 2015-12-31 / 20150378244 - CAMERA ACCESSORY | 14 |
Takashi Hasuda | JP | Ibaraki-Shi | 2011-12-29 / 20110317287 - DRIVE DEVICE, LENS PART, AND CAMERA MODULE | 2 |
Yasuhiko Hasuda | JP | Kashihara-Shi | 2014-08-28 / 20140238766 - VEHICLE AND VEHICLE DRIVING DEVICE | 1 |
Masakatsu Hasuda | JP | Chiba-Shi | 2011-09-22 / 20110226947 - Composite charged particle beam apparatus and sample processing and observing method | 3 |
Masakatsu Hasuda | JP | Tokyo | 2013-09-19 / 20130240730 - CHARGED PARTICLE BEAM APPARATUS AND SAMPLE TRANSPORTING APPARATUS | 1 |
Shigeki Hasui | JP | Tokyo | 2015-12-31 / 20150378516 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 9 |
Kazuhisa Hasui | JP | Kagoshima | 2015-12-03 / 20150343088 - ANTIBODY RECOGNIZING FOLATE RECEPTORS ALPHA AND BETA | 1 |
Akihiro Hasui | JP | Kagawa | 2013-11-21 / 20130310733 - ELECTRODE PAD USED FOR IONTOPHORESIS TREATMENT | 1 |
Tomoaki Hasui | JP | Kanagawa | 2016-02-25 / 20160052897 - HETEROCYCLIC COMPOUND | 12 |
Ryosuke Hasui | JP | Nara-Shi | 2008-09-11 / 20080218761 - SURFACE PLASMON RESONANCE SENSOR AND SENSOR CHIP | 1 |
Yasushi Hasui | JP | Kobe-Shi | 2009-02-26 / 20090053711 - PSEUDO-TISSUE FOR ACCURACY CONTROL, METHOD FOR CONTROLLING ACCURACY BY USING THE SAME, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Akihiro Hasui | JP | Higashikagawa-Shi | 2015-09-03 / 20150246215 - IONTOPHORESIS PATCH | 4 |
Kayo Hasui | JP | Nagoya-Shi | 2014-07-03 / 20140182431 - CUTTING APPARATUS, HOLDING MEMBER, AND CUTTING MEMBER | 6 |
Shigeki Hasui | JP | Tokyo | 2015-12-31 / 20150378516 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 9 |
Ryoji Hasui | JP | Kawasaki-Shi | 2013-07-18 / 20130181945 - DISPLAY DEVICE, DISPLAY METHOD, PROGRAM FOR THE DEVICE AND THE METHOD, AND TERMINAL DEVICE | 1 |
Ryosuke Hasui | JP | Kyoto-Shi | 2011-09-29 / 20110236265 - CHANNEL CHIP AND JIG | 1 |
Takahiro Hasui | JP | Shiga | 2009-06-11 / 20090145507 - Skin Care Goods and Production Method Thereof | 1 |
Kayo Hasui | JP | Nagoya | 2009-07-09 / 20090174297 - Image Forming Device | 1 |
Shogo Hasui | JP | Tokyo | / - | 1 |
Tomoaki Hasui | JP | Osaka | 2009-10-08 / 20090253687 - Fused Heterocyclic Compounds and Their Use as Mineralocorticoid Receptor Ligands | 1 |
Ryoji Hasui | JP | Minato-Ku | 2012-06-21 / 20120154331 - DISPLAY DEVICE | 1 |
Ryoji Hasui | JP | Tokyo | 2012-11-29 / 20120299856 - MOBILE TERMINAL AND CONTROL METHOD THEREOF | 1 |
Ryosuke Hasui | JP | Nara-Shi, Nara | 2010-03-18 / 20100067015 - CHIP FOR SURFACE PLASMON RESONANCE SENSOR AND SURFACE PLASMON RESONANCE SENSOR | 1 |
Tomoaki Hasui | JP | Osaka-Shi | 2010-04-15 / 20100094000 - PYRAZOLE COMPOUNDS | 1 |
Tomoaki Hasui | JP | Kanagawa | 2016-02-25 / 20160052897 - HETEROCYCLIC COMPOUND | 12 |
Kimitake Hasuike | JP | Kanagawa | 2015-08-06 / 20150220298 - DISPLAY CONTROL DEVICE, DISPLAY, DISPLAY SYSTEM AND COMPUTER-READABLE MEDIUM | 10 |
Hiroshi Hasuike | JP | Tokyo | 2011-10-27 / 20110259320 - SOLAR LIGHT COLLECTING METHOD IN MULTI-TOWER BEAM-DOWN LIGHT COLLECTING SYSTEM | 2 |
Masahito Hasuike | JP | Hamamatsu-Shi | 2009-12-24 / 20090314448 - Method for production of metal material | 1 |
Shouichi Hasuike | JP | Sakai-Shi | 2015-06-11 / 20150159931 - COOLING DEVICE MANAGEMENT SYSTEM | 1 |
Akira Hasuike | JP | Tokyo | 2010-04-01 / 20100083146 - Image Display updating system, server client system and drawing operation echo back script | 2 |
Kimitake Hasuike | JP | Kanagawa | 2015-08-06 / 20150220298 - DISPLAY CONTROL DEVICE, DISPLAY, DISPLAY SYSTEM AND COMPUTER-READABLE MEDIUM | 10 |
Atsushi Hasuike | JP | Tokyo | 2014-06-19 / 20140167081 - SEMICONDUCTOR DEVICE | 1 |
Hiroshi Hasuike | JP | Meguro-Ku | 2012-09-13 / 20120227731 - SOLAR LIGHT CONDENSING SYSTEM | 1 |
Tomohiro Hasuike | JP | Toyota-Shi | 2012-12-13 / 20120312414 - METHOD OF SUPPLYING PAINT TO A PAINT CARTRIDGE | 2 |
Kimitake Hasuike | JP | Yokohama-Shi | 2016-03-31 / 20160092079 - INFORMATION PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 4 |
Yoshinobu Hasuka | JP | Wako-Shi | 2010-11-18 / 20100291450 - CONTROL APPARATUS FOR STARTING FUEL CELL VEHICLE | 1 |
Yoshinobu Hasuka | JP | Toyota-Shi | 2013-12-05 / 20130323615 - FUEL CELL SYSTEM AND MOBILE ARTICLE | 6 |
Yoshinobu Hasuka | JP | Aichi | 2009-09-17 / 20090233132 - Fuel Cell System and Mobile Article | 2 |
Yoshinobu Hasuka | JP | Aichi-Ken | 2009-03-26 / 20090081492 - Fuel Cell System, Moving Object Equipped With Fuel Cell System, and Abnormality Judgement Method For Fuel Cell System | 1 |
Yoshinobu Hasuka | JP | Kagoshima-Shi | 2008-10-23 / 20080257621 - FUEL CELL VEHICLE SYSTEM | 1 |
Kazumi Hasuko | JP | Tokyo | 2016-04-07 / 20160098478 - DOCUMENT SORTING SYSTEM, DOCUMENT SORTING METHOD, AND DOCUMENT SORTING PROGRAM | 11 |
Kazumi Hasuko | JP | Tokyo | 2016-04-07 / 20160098478 - DOCUMENT SORTING SYSTEM, DOCUMENT SORTING METHOD, AND DOCUMENT SORTING PROGRAM | 11 |
Ryoji Hasumi | US | Crompond | 2012-04-05 / 20120080777 - TRIPLE OXIDATION ON DSB SUBSTRATE | 5 |
Keiji Hasumi | JP | Fuchu-Shi | 2012-05-31 / 20120135996 - CYTOPROTECTIVE AGENT | 1 |
Naomi Hasumi | JP | Tokyo | 2008-11-06 / 20080274305 - Liquid Crystal Sealant and Liquid Crystal Display Cell Utilizing the Same | 1 |
Takuya Hasumi | JP | Tokyo | 2009-10-15 / 20090256577 - Delay Lock Loop Circuit, Timing Generator, Semiconductor Test Device, Semiconductor Integrated Circuit, and Delay Amount Calibration Method | 2 |
Kenichiro Hasumi | JP | Tokyo | 2015-01-22 / 20150023997 - COMPOSITIONS, METHODS AND THERAPIES FOR ADMINISTERING ANTIGEN PEPTIDE | 6 |
Keiji Hasumi | JP | Tokyo | 2011-04-21 / 20110092442 - Anticancer agent containing bl-angiostatin | 3 |
Takashi Hasumi | JP | Inzai | 2012-01-12 / 20120010331 - CONCRETE COMPOSITIONS USING BLAST-FURNACE SLAG COMPOSITIONS | 1 |
Hideki Hasumi | JP | Tokyo | 2015-08-27 / 20150243950 - ONBOARD BATTERY | 1 |
Tomihiko Hasumi | JP | Yamagata | 2016-03-03 / 20160059372 - CENTERLESS GRINDING APPARATUS | 1 |
Kazuhisa Hasumi | JP | Tokyo | 2015-12-10 / 20150357158 - Method for Pattern Measurement, Method for Setting Device Parameters of Charged Particle Radiation Device, and Charged Particle Radiation Device | 2 |
Koji Hasumi | JP | Saitama-City | 2009-01-08 / 20090008237 - Device for producing active material for lithium secondary battery and method for producing active material for lithium secondary battery, method for manufacturing electrode for lithium secondary battery, and method for manufacturing lithium secondary battery | 1 |
Koji Hasumi | JP | Saitama-Shi | 2009-03-12 / 20090068560 - Non-aqueous electrolyte secondary battery | 1 |
Kenichiro Hasumi | JP | Suginami-Ku | 2009-03-19 / 20090074713 - DENDRITIC CELL TUMOR INJECTION (DCTI) THERAPY | 1 |
Mizuki Hasumi | JP | Chiba | 2009-08-13 / 20090202824 - TAPE SUBSTRATE AND ADHESIVE TAPE | 1 |
Keiji Hasumi | JP | Inagi-Shi | 2015-01-22 / 20150025251 - CHROMAN DERIVATIVE | 3 |
Kenichiro Hasumi | JP | Suginami-Ku Tokyo | 2015-03-19 / 20150079032 - THERAPY AND METHODS OF INTRODUCING IMMATURE DENDRITIC CELLS AND/OR CYTOTOXIC T LYMPHOCYTE AND ANTI-TNF ANTIBODY FOR TREATMENT OF TUMORS | 1 |
Koichi Hasumi | JP | Kanagawa-Ken | 2009-12-10 / 20090306145 - Pyridylisoxazole Derivatives | 2 |
Takashi Hasumi | JP | Nishitokyo-Shi | 2014-12-04 / 20140352541 - CANISTER | 1 |
Takayuki Hasumi | JP | Saitama | 2010-03-25 / 20100074059 - RADIO-CONTROLLED TIMEPIECE | 2 |
Taro Hasumi | JP | Yamato-Shi | 2014-12-04 / 20140354171 - IMAGE DISPLAY DEVICE AND DRIVING METHOD OF THE SAME | 4 |
Tomohisa Hasumi | JP | Kawaguchi-Shi | 2015-08-27 / 20150242088 - IMAGE DISPLAY PROGRAM AND IMAGE DISPLAY DEVICE | 3 |
Taro Hasumi | JP | Yamato | 2013-01-03 / 20130002637 - PIXEL CIRCUIT, IMAGE DISPLAY APPARATUS, DRIVING METHOD THEREFOR AND DRIVING METHOD OF ELECTRONIC DEVICE | 2 |
Motomitsu Hasumi | JP | Wakayama | 2010-10-14 / 20100261633 - DETERGENT BUILDER GRANULE | 4 |
Motomitsu Hasumi | JP | Wakayama-Shi | 2010-11-25 / 20100298199 - Softening Detergent Composition | 1 |
Kazuhisa Hasumi | JP | Hitachinaka | 2012-05-24 / 20120131529 - SEMICONDUCTOR DEFECT CLASSIFYING METHOD, SEMICONDUCTOR DEFECT CLASSIFYING APPARATUS, AND SEMICONDUCTOR DEFECT CLASSIFYING PROGRAM | 2 |
Naonobu Hasumi | JP | Yokohama | 2015-06-11 / 20150160274 - METHOD AND APPARATUS FOR POWER ESTIMATION | 1 |
Taro Hasumi | KR | Seoul | 2016-05-12 / 20160133874 - ORGANIC LIGHT EMITTING DISPLAY DEVICE AND FABRICATING METHOD THEREOF | 7 |
Mizuki Hasumi | JP | Ichihara-City | 2014-04-10 / 20140099503 - PRIMER COMPOSITION AND ADHESIVE TAPE | 1 |
Yuichi Hasumi | JP | Tokyo | 2014-07-31 / 20140211463 - LIGHT-EMITTING DEVICE AND METHOD FOR COMPENSATING CHROMATICITY OF LIGHT-EMITTING DEVICE | 1 |
Takashi Hasumi | JP | Tokyo | 2015-07-02 / 20150184621 - CANISTER | 3 |
Keigo Hasumi | JP | Kyoto-Shi | 2015-01-15 / 20150018183 - RIDGED PAPER EJECTION ROLLER AND MANUFACTURING METHOD THEREOF | 1 |
Koichi Hasumi | JP | Kawasaki-Shi | 2013-04-04 / 20130085127 - HETEROCYCLIC COMPOUND AND H1 RECEPTOR ANTAGONIST | 1 |
Mai Hasumura | JP | Kawasaki-Shi | 2011-03-24 / 20110071075 - PROMOTER FOR BICARBONATE SECRETION IN GASTROINTESTINAL TRACT | 1 |
Kazuhiro Hasunuma | JP | Utsunomiya-Shi | 2011-07-07 / 20110163867 - VEHICULAR INSTRUMENT DEVICE AND VEHICLE WITH VEHICULAR INSTRUMENT DEVICE | 1 |
Takashi Hasunuma | JP | Yamanashi | 2009-10-01 / 20090243609 - MAGNETIC SENSOR ELEMENT AND MAGNETIC SENSOR USING THE SAME | 1 |
Takashi Hasunuma | JP | Chiba | 2010-01-21 / 20100015522 - Sealing piece and battery pack using the same | 1 |
Masaki Hasunuma | JP | Tokyo | 2013-08-22 / 20130218405 - VEHICLE ELECTRIC POWER MANAGEMENT APPARATUS, VEHICLE ELECTRIC POWER MANAGEMENT SYSTEM, AND VEHICLE ELECTRIC POWER MANAGEMENT PROGRAM | 2 |
Masahiko Hasunuma | JP | Yokkaichi-Shi | 2015-03-12 / 20150069556 - MAGNETIC MEMORY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ryo Hasunuma | JP | Tokyo | 2016-05-05 / 20160121953 - ARTICLE STORAGE STRUCTURE FOR AUTOMATIC TWO-WHEELED VEHICLES | 1 |
Tomohisa Hasunuma | JP | Nada-Ku | 2012-11-08 / 20120282664 - PROCESS FOR PRODUCTION OF ETHANOL FROM BIOMASS | 1 |
Eiji Hasunuma | JP | Tokyo | 2015-11-19 / 20150333117 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 6 |
Masatsugu Hasunuma | JP | Tochigi | 2009-09-10 / 20090224109 - Articulated Robot | 1 |
Masahiko Hasunuma | JP | Yokohama-Shi | 2012-12-06 / 20120306081 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 4 |
Kazuhiro Hasunuma | JP | Tochigi-Ken | 2009-11-12 / 20090278677 - VEHICULAR INSTRUMENT DEVICE, VEHICLE WITH VEHICULAR INSTRUMENT DEVICE, VEHICULAR DISPLAY DEVICE, AND VEHICLE WITH VEHICULAR DISPLAY DEVICE | 1 |
Hitoshi Hasunuma | JP | Kobe-Shi | 2015-11-05 / 20150315537 - CELL DETACHMENT DEVICE | 2 |
Makoto Hasunuma | JP | Aichi | 2010-03-11 / 20100061591 - OBJECT RECOGNITION DEVICE | 2 |
Toshikatsu Hasunuma | JP | Hyogo | 2009-04-09 / 20090090424 - PIPING HAVING FLUID-MIXING REGION | 1 |
Masahiro Hasunuma | JP | Gyoda-Shi | 2015-11-12 / 20150323081 - SHUT-OFF VALVE | 5 |
Masahiro Hasunuma | JP | Saitama | 2016-05-05 / 20160122091 - PLUG-INTEGRATING CONTAINER | 21 |
Takashi Hasunuma | JP | Narita | 2010-12-30 / 20100328832 - Electrical Composite Element | 1 |
Tomohisa Hasunuma | JP | Kobe-Shi | 2014-05-01 / 20140120598 - Novel Method For Producing Ethanol | 1 |
Masahiko Hasunuma | JP | Kanagawa-Ken | 2008-11-20 / 20080284542 - FILM BULK ACOUSTIC RESONATOR | 1 |
Takuya Hasunuma | JP | Tokyo | 2014-01-02 / 20140006005 - INPUT SUPPORT APPARATUS, INPUT SUPPORT METHOD, AND STORAGE MEDIUM CONTAINING INPUT SUPPORT PROGRAM | 1 |
Mitsuhiro Hasunuma | JP | Zama-Shi, Kanagawa | 2015-12-10 / 20150352672 - LASER WELDING METHOD AND LASER WELDING DEVICE | 1 |
Takashi Hasunuma | JP | Narita City | 2015-08-13 / 20150229118 - PROTECTIVE DEVICE | 1 |
Eiji Hasunuma | JP | Chuo-Ku | 2012-05-31 / 20120132972 - SEMICONDUCTOR STORAGE DEVICE | 3 |
Tomohisa Hasunuma | JP | Hyogo | 2015-08-06 / 20150218592 - Method For Producing Ethanol From Biomass | 1 |
Masahiko Hasunuma | JP | Kanagawa | 2012-06-21 / 20120152168 - SEMICONDUCTOR DEVICE HAVING OXIDIZED METAL FILM AND MANUFACTURE METHOD OF THE SAME | 4 |
Tomotake Hasuo | JP | Kanagawa | 2012-03-22 / 20120069407 - IMAGE SENSOR MODULE AND IMAGE SENSOR | 2 |
Takashi Hasuo | JP | Tokyo | 2015-02-05 / 20150039254 - DATA PROCESSING DEVICE, DATA PROCESSING METHOD, AND PROGRAM | 8 |
Yusuke Hasuo | JP | Fukuoka | 2016-04-07 / 20160099615 - LAMINATED IRON CORE AND MANUFACTURING METHOD OF LAMINATED IRON CORE | 2 |
Yusuke Hasuo | JP | Kitakyushu-Shi | 2013-11-07 / 20130293060 - METHOD OF MANUFACTURING LAMINATED STATOR CORE AND LAMINATED STATOR CORE MANUFACTURED BY THE METHOD | 3 |
Makoto Hasuo | JP | Suita-Shi | 2011-11-24 / 20110285515 - LIGHTING REMOTE CONTROL SYSTEM | 1 |
Hiroto Hasuo | JP | Kitakyushu-Shi | 2009-08-20 / 20090209410 - Photocatalytic hydrophilifiable material | 1 |
Takeshi Hasuo | JP | Minamitsuru-Gun | 2014-10-02 / 20140290414 - INDUSTRIAL ROBOT PROVIDED WITH BALANCER DEVICE | 1 |
Takashi Hasuo | JP | Tokyo | 2015-02-05 / 20150039254 - DATA PROCESSING DEVICE, DATA PROCESSING METHOD, AND PROGRAM | 8 |
Satoshi Hasuo | JP | Saitama | 2010-12-23 / 20100322315 - MOTION VECTOR DETECTION APPARATUS AND METHOD, MOVING PICTURE ENCODING APPARATUS AND METHOD, AND MOVING PICTURE DECODING APPARATUS AND METHOD | 1 |
Tomotake Hasuo | JP | Yokohama-Shi | 2012-03-22 / 20120069227 - IMAGE READING DEVICE FOR READING IMAGE DATA | 1 |
Satoshi Hasuo | JP | Tokyo | 2014-03-20 / 20140082123 - CONTENT CACHING AND DELIVERING SYSTEM WITH TRAFFIC OF REPETITIVELY REQUESTED CONTENT REDUCED | 1 |
Takeshi Hasuo | JP | Yamanashi | 2014-10-02 / 20140290415 - ROBOT HAVING A LINE DISTRIBUTION BOARD | 1 |
Shoko Hasuo | JP | Kanagawa | 2013-07-18 / 20130183651 - SERVER, LEARNING TERMINAL APPARATUS, AND LEARNING CONTENT MANAGING METHOD | 1 |
Atsushi Hasuoka | JP | Ibaraki | 2010-06-17 / 20100152236 - Cyclic amine compound | 3 |
Atsushi Hasuoka | JP | Kanagawa | 2013-03-21 / 20130072467 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 3 |
Atsushi Hasuoka | JP | Osaka | 2014-11-20 / 20140343070 - PROTON PUMP INHIBITORS | 5 |
Atsushi Hasuoka | JP | Tsukuba-Shi | 2009-02-12 / 20090042967 - Cyclic amine compounds | 1 |
Atsushi Hasuoka | JP | Osaka-Shi | 2012-04-12 / 20120088797 - 1-HETEROCYCLYLSULFONYL, 3-AMINOMETHYL, 5- (HETERO-) ARYL SUBSTITUTED 1-H-PYRROLE DERIVATIVES AS ACID SECRETION INHIBITORS | 5 |
Lisa Hasvold | US | Grayslake | 2015-07-02 / 20150183775 - APOPTOSIS-INDUCING AGENTS FOR THE TREATMENT OF CANCER AND IMMUNE AND AUTOIMMUNE DISEASES | 14 |
Lisa A. Hasvold | US | Grayslake | 2016-02-11 / 20160039821 - TETRACYCLIC BROMODOMAIN INHIBITORS | 19 |
Lisa Hasvold | US | Grayslake | 2015-07-02 / 20150183775 - APOPTOSIS-INDUCING AGENTS FOR THE TREATMENT OF CANCER AND IMMUNE AND AUTOIMMUNE DISEASES | 14 |
Lisa A. Hasvold | US | Grayslake | 2016-02-11 / 20160039821 - TETRACYCLIC BROMODOMAIN INHIBITORS | 19 |
Dinesh K. Haswani | US | Plymouth | 2015-04-30 / 20150118303 - Immediate Release Abuse-Deterrent Granulated Dosage Forms | 6 |
Dinesh K. Haswani | US | Cincinnati | 2010-12-16 / 20100316712 - PHARMACEUTICAL COMPOSITIONS FOR TREATMENT OF PARKINSON'S DISEASE AND RELATED DISORDERS | 1 |
Dinesh K. Haswani | US | Plainville | 2008-12-04 / 20080299204 - Dosage forms for movement disorder treatment | 1 |
Aslam H. Haswarey | US | Portland | 2012-10-11 / 20120260078 - APPARATUSES FOR CONFIGURING PROGRAMMABLE LOGIC DEVICES FROM BIOS PROM | 2 |
Mustafa H. Haswarey | US | Hillsboro | 2012-06-21 / 20120156913 - QUICK RELEASE RETENTION MECHANISM FOR SOCKETED MICROELECTRONIC DEVICES | 1 |
Bashir Haswarey | US | Elmhurst | 2013-08-22 / 20130215744 - Environmental Aware PCI Management | 1 |
Bashir A. Haswarey | US | Elmhurst | 2010-06-10 / 20100146584 - AUTOMATIC GENERATION OF POLICIES AND ROLES FOR ROLE BASED ACCESS CONTROL | 1 |
Jonathan M. Haswell | US | Tucson | 2009-06-18 / 20090157690 - SYSTEM AND METHOD FOR PRESERVING FILEHANDLES ACROSS FILE SYSTEM MIGRATIONS ON A BEST EFFORT BASIS | 5 |
Thomas C. Haswell | US | Walnut Creek | 2016-02-11 / 20160042562 - System and Method for Displaying an Object Within a Virtual Environment | 1 |
Jonathan Haswell | US | San Francisco | 2014-09-18 / 20140274304 - METHOD AND APPARATUS FOR EVALUATION OF SKILL LEVEL PROGRESSION AND MATCHING OF PARTICIPANTS IN A MULTI-MEDIA INTERACTIVE ENVIRONMENT | 4 |
Stephen John Haswell | GB | Humberside | 2011-10-06 / 20110244467 - MICROFLUIDIC APPARATUS AND METHOD FOR DNA EXTRACTION, AMPLIFICATION AND ANALYSIS | 1 |
Stephen John Haswell | GB | Cottingham | 2013-09-26 / 20130248366 - METHODS AND APPARATUS FOR AMPLIFYING NUCLEIC ACIDS | 1 |
Courtney C. Haswell | US | Morrisville | 2011-08-04 / 20110190845 - PORTABLE NEGATIVE PRESSURE VENTILATION DEVICE AND METHODS AND SOFTWARE RELATED THERETO | 1 |
Jonathan M. Haswell | US | San Jose | 2013-12-05 / 20130326318 - ENHANCED CHECKSUM SYSTEM | 2 |
Robert J. Haswell | US | Nixa | / - | 1 |
Michael R. Haswell | US | San Francisco | 2010-04-15 / 20100094865 - SYSTEMS AND METHODS FOR DISTRIBUTING AND MAINTAINING PRODUCT OR SERVICE INFORMATION | 1 |
Robert M. Haswell | US | Ozark | / - | 1 |
Geoff Haswell | GB | Sheffield | 2010-06-10 / 20100139383 - APPARATUS AND METHOD FOR MONITORING TYRE WEAR | 1 |
Jonathan Haswell | US | Tucson | 2009-06-11 / 20090150996 - APPLICATION PROTECTION FROM MALICIOUS NETWORK TRAFFIC | 1 |
Thomas Clayton Haswell | US | Walnut Creek | 2013-10-17 / 20130275886 - SYSTEM AND METHOD FOR TRANSPORTING A VIRTUAL AVATAR WITHIN MULTIPLE VIRTUAL ENVIRONMENTS | 4 |
Wayne Charles Hasz | US | Pownal | 2014-06-19 / 20140169943 - COMPONENTS WITH POROUS METAL COOLING AND METHODS OF MANUFACTURE | 14 |
Michael W. Hasz | US | Reston | 2010-01-07 / 20100004745 - Fusing Bone | 1 |
Wayne Charles Hasz | US | Pownal | 2014-06-19 / 20140169943 - COMPONENTS WITH POROUS METAL COOLING AND METHODS OF MANUFACTURE | 14 |
Cam Haszczyn | CA | Ottawa | 2010-08-05 / 20100192479 - LOAD SENSITIVE SNOW BARRIER DEVICE | 1 |
Gordon Haszier | US | Fort Collins | 2014-09-18 / 20140272782 - Oral Irrigator with Massage Mode | 3 |
Matthew P. Haszto | US | Vermilion | 2013-08-29 / 20130219726 - BLADE GUIDE ASSEMBLY FOR POWER OPERATED ROTARY KNIFE | 1 |
Masami Hata | JP | Toyota-Shi | 2013-02-07 / 20130032445 - WET FRICTION MEMBER AND ITS MANUFACTURING METHOD | 1 |
Masaki Hata | JP | Nagoya-Shi | 2014-06-19 / 20140169841 - IMAGE FORMING APPARATUS | 3 |
Hideo Hata | JP | Kobe-Shi | 2015-01-15 / 20150013856 - METHOD FOR MANUFACTURING PRESS-FORMED PRODUCT AND PRESS-FORMED PRODUCT | 9 |
Koichiro Hata | JP | Settsu-Shi | 2013-01-24 / 20130020032 - WINDOW SHADE DEVICE | 2 |
Kiyoshi Hata | JP | Tokyo | 2016-04-28 / 20160115000 - SHEET PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND METHOD OF ADDITIONALLY FOLDING SHEET BUNDLE | 24 |
Tadashi Hata | JP | Kanagawa | 2013-09-12 / 20130235417 - INFORMATION PROCESSING DEVICE, IMAGE FORMING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Hidetoshi Hata | JP | Osaka | 2014-07-17 / 20140197728 - PLASMA DISPLAY PANEL | 2 |
Junichiro Hata | JP | Chiyoda-Ku | 2013-06-20 / 20130156861 - ALCOHOLIC INJURY MITIGATING AGENT | 1 |
Yoshio Hata | JP | Kayabe-Gun, Hokkaido | 2012-11-22 / 20120295848 - SUSTAINED-RELEASE COMPOSITION AND PROCESS FOR PRODUCING THE SAME | 1 |
Yutaka Hata | JP | Himeji-Shi | 2012-11-15 / 20120289839 - VESSEL PULSE WAVE MEASUREMENT SYSTEM CONDUCTING VESSEL PULSE WAVE MEASUREMENT BY OBTAINING PULSATION WAVEFORM OF BLOOD VESSEL | 1 |
Yuichi Hata | JP | Nagano | 2012-11-15 / 20120287552 - SUBSTRATE TEMPERATURE ADJUSTING-FIXING DEVICE | 1 |
Yasunori Hata | JP | Uji-Shi | 2012-11-08 / 20120279739 - FIXED STRUCTURE OF AN ECCENTRIC ROD, AND VIBRATION GENERATOR | 1 |
Tomoyuki Hata | JP | Yasugi-Shi | 2015-06-25 / 20150179206 - TARGET MATERIAL AND METHOD OF PRODUCING THE SAME | 3 |
Chiaki Hata | JP | Osaka | 2012-10-11 / 20120255461 - METHOD FOR PURIFYING ETHYL-2-CYANOACRYLATE ADHESIVE COMPOSITION AND ETHYL-2-CYANOACRYLOATE ADHESIVE COMPOSITION | 1 |
Hiroyuki Hata | JP | Tochigi | 2014-11-13 / 20140332819 - DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yutaka Hata | JP | Takasaki-Shi | 2013-08-08 / 20130200977 - ELECTRONIC COMPONENT TO BE EMBEDDED IN SUBSTRATE AND COMPONENT-EMBEDDED SUBSTRATE | 1 |
Suguru Hata | JP | Kanagawa | 2015-02-19 / 20150051596 - LUNG VOLUME REDUCTION METHOD | 5 |
Suguru Hata | JP | Ashigarakami-Gun | 2012-09-13 / 20120232557 - METHOD FOR IMPROVING BLOOD FLOW IN BONE HEAD | 3 |
Masahiko Hata | JP | Tsukuba-Shi | 2015-02-19 / 20150047708 - ORGANIC-INORGANIC HYBRID PHOTOELECTRIC CONVERSION DEVICE | 9 |
Hideo Hata | JP | Yokohama-Shi | 2013-02-21 / 20130045260 - Solid Emulsified Cosmetic | 3 |
Naoki Hata | JP | Gunma | 2014-05-01 / 20140116839 - MEDIUM STORAGE AND ADVANCING APPARATUS | 2 |
Shohei Hata | JP | Yokohama-Shi | 2012-10-04 / 20120248592 - LEAD COMPONENT AND METHOD FOR MANUFACTURING THE SAME, AND SEMICONDUCTOR PACKAGE | 2 |
Toshiyuki Hata | JP | Kanagawa | 2014-10-09 / 20140299979 - SEMICONDUCTOR DEVICE AND A METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE | 3 |
Masaki Hata | JP | Midori--Ku Nagoya-Shi | 2013-10-03 / 20130259545 - MOUNTING STRUCTURE FOR BEARING MEMBER, FIXING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Yoshitaka Hata | JP | Atsugi-Shi | 2012-06-21 / 20120153000 - CONVEYING DEVICE AND PRINTER | 1 |
Kenjiro Hata | CN | Hong Kong | 2013-10-24 / 20130279044 - THIN FILM PIEZOELECTRIC ELEMENT AND MANUFACTURING METHOD THEREOF, MICRO-ACTUATOR, HEAD GIMBAL ASSEMBLY AND DISK DRIVE UNIT WITH THE SAME | 2 |
Yuji Hata | JP | Odawara | 2012-05-24 / 20120127600 - STORAGE CONTROL DEVICE AND ROTATION SPEED CONTROL METHOD FOR STORAGE DEVICE | 1 |
Takayuki Hata | JP | Osaka | 2012-05-03 / 20120107674 - SECONDARY BATTERY HAVING ANTI-SCATTERING MECHANISM | 1 |
Yohsuke Hata | JP | Nagoya-Shi | 2014-10-02 / 20140294426 - IMAGE FORMING DEVICE HAVING INTAKE DUCT | 4 |
Kazuaki Hata | JP | Daito-Shi | 2012-05-03 / 20120105682 - IMAGE PICKUP APPARATUS GENERATING COMPOSITE IMAGE | 1 |
Masakatsu Hata | JP | Aichen-Ken | 2012-04-26 / 20120100091 - HAIR-TREATMENT COMPOSITION AND HAIR-TREATMENT METHOD USING SAME | 1 |
Yoshihiko Hata | JP | Kouka-Shi | 2012-04-19 / 20120094067 - MOISTURE-PERMEABLE WATER-PROOF SHEET FOR BUIILDING MATERIALS | 1 |
Kazuhiro Hata | JP | Shiga | 2012-04-19 / 20120092547 - OPTICAL SYSTEM DRIVE DEVICE, IMAGE CAPTURE DEVICE PROVIDED WITH OPTICAL SYSTEM DRIVE DEVICE, AND MOBILE DEVICE MOUNTED WITH IMAGE CAPTURE DEVICE | 1 |
Masahiro Hata | JP | Aichi | 2014-09-11 / 20140256855 - CARBON FIBER SIZING AGENT, AQUEOUS DISPERSION THEREOF, CARBON FIBER BUNDLE APPLIED WITH SIZING AGENT, SHEET-LIKE ARTICLE COMPRISING CARBON FIBER BUNDLE... | 4 |
Masakatsu Hata | JP | Aichi-Ken | 2012-04-05 / 20120080045 - HAIR-TREATMENT COMPOSITION AND HAIR-TREATMENT METHOD USING SAME | 1 |
Hirokazu Hata | JP | Susono-Shi | / - | 1 |
Takashi Hata | JP | Kochi | / - | 1 |
Naoya Hata | JP | Kariya-City | 2012-03-22 / 20120070711 - BATTERY PACK WITH COOLING PASSAGE | 1 |
Hiroshi Hata | JP | Isehara-Shi | 2013-06-27 / 20130164613 - TERMINAL LEAD | 5 |
Masataka Hata | JP | Osaka | 2012-02-16 / 20120040055 - COLLAGEN PEPTIDE COMPOSITION HAVING GOOD ABILITY TO ENTER THE BLOOD AND FOOD OR BEVERAGE CONTAINING THE SAME | 1 |
Suguru Hata | JP | Hyogo | 2012-04-19 / 20120095270 - NONCRYSTALLINE FORM OF FLUORENE DERIVATIVE AND PROCESS FOR PREPARATION THEREOF | 2 |
Mitsuhiro Hata | US | Mamaroneck | 2012-02-02 / 20120028188 - PHOTORESIST COMPOSITION | 1 |
Kaoru Hata | JP | Tokyo | 2012-02-02 / 20120026435 - COLOR FILTER AND COLOR FILTER MANUFACTURING METHOD | 1 |
Kazuo Hata | JP | Osaka | 2015-09-24 / 20150270569 - ELECTROLYTE SHEET FOR SOLID OXIDE FUEL CELL, METHOD FOR PRODUCING THE SAME, AND SINGLE CELL FOR SOLID OXIDE FUELL CELL INCLUDING THE SAME | 3 |
Toru Hata | JP | Tokyo | 2012-01-12 / 20120009112 - METHOD FOR PREPARING HIGH-PURITY ELEMENTAL PHOSPHORUS AND METHOD FOR PREPARING HIGH-PURITY PHOSPHORIC ACID | 1 |
Moriki Hata | JP | Tokyo | 2014-04-17 / 20140103046 - METHOD FOR CONSTRUCTING LOW-TEMPERATURE TANK AND LOW-TEMPERATURE TANK | 1 |
Yoshiyuki Hata | JP | Miyagi | 2011-12-29 / 20110316554 - SWITCHING APPARATUS AND TEST APPARATUS | 1 |
Kenji Hata | JP | Tokyo | 2011-12-22 / 20110308462 - APPARATUS FOR PRODUCING ALIGNED CARBON NANOTUBE AGGREGATES | 1 |
Yukihiko Hata | JP | Hamura-Shi | 2014-06-05 / 20140150996 - COOLING DEVICE, AND ELECTRONIC APPARATUS WITH THE COOLING DEVICE | 14 |
Toshiaki Hata | JP | Chiyoda-Ku | 2011-12-08 / 20110298276 - STARTER DRIVING SEMICONDUCTOR SWITCH APPARATUS | 1 |
Toshiyuki Hata | JP | Maebashi-Shi | 2013-10-10 / 20130264696 - SEMICONDUCTOR DEVICE | 3 |
Kenji Hata | JP | Tsukuba-Shi | 2016-03-17 / 20160075558 - METHOD FOR PRODUCING ALIGNED CARBON NANOTUBE ASSEMBLY | 17 |
Kayoko Hata | JP | Osaka | 2014-09-04 / 20140249159 - HETEROCYCLIC COMPOUNDS | 4 |
Toshio Hata | JP | Mihara-Shi | 2011-10-06 / 20110244606 - CHIP-TYPE LED AND METHOD FOR MANUFACTURING THE SAME | 8 |
Tomoyo Hata | JP | Osaka | 2011-09-29 / 20110236504 - VERSATILE DISINFECTANT | 1 |
Masahiko Hata | JP | Ibaraki | 2012-09-13 / 20120228673 - FIELD-EFFECT TRANSISTOR, SEMICONDUCTOR WAFER, METHOD FOR PRODUCING FIELD-EFFECT TRANSISTOR AND METHOD FOR PRODUCING SEMICONDUCTOR WAFER | 13 |
Yoshiyuki Hata | JP | Aichi-Gun | 2011-09-29 / 20110232384 - LAMINATED STRUCTURE PROVIDED WITH MOVABLE PORTION | 2 |
Yasuhiko Hata | JP | Gunma | 2011-09-01 / 20110210482 - RESIN MOLDED BODY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Katsuhiko Hata | JP | Nara | 2011-08-25 / 20110206671 - AXON REGENERATION PROMOTER | 1 |
Shohei Hata | JP | Tokyo | 2014-04-17 / 20140102500 - Thermoelectric Device Assembly, Thermoelectric Module and its Manufacturing Method | 1 |
Masato Hata | JP | Tokyo | 2013-01-03 / 20130002062 - STEPPING MOTOR | 1 |
Masaki Hata | JP | Tokyo | 2013-07-25 / 20130186080 - ACTUATOR | 1 |
Junichiro Hata | JP | Tokyo | 2014-05-01 / 20140120172 - ALCOHOLIC INJURY MITIGATING AGENT | 1 |
Katsura Hata | JP | Ibaraki | 2011-08-18 / 20110201816 - METHODS OF SCREENING FOR COMPOUNDS THAT INHIBIT THE BIOSYNTHESIS OF GPI IN MALARIA PARASITES | 1 |
Seiji Hata | JP | Osaka | 2013-04-18 / 20130096136 - Inhibitors of Protein Tyrosine Kinase Activity | 3 |
Makoto Hata | JP | Osaka | 2014-12-11 / 20140362115 - IMAGE EDITING METHOD, IMAGE EDITING PROGRAM AND IMAGE EDITING DEVICE | 2 |
Fumio Hata | JP | Mitaka-Shi | 2011-08-11 / 20110195631 - DISPLAY MANUFACTURING METHOD | 1 |
Yoshie Hata | JP | Kyoto | 2011-07-28 / 20110184031 - G-Protein-Conjugated Receptor Agonist | 1 |
Toshio Hata | JP | Osaka-Shi | 2016-03-24 / 20160086928 - LIGHT-EMITTING DEVICE HAVING A PLURALITY OF CONCENTRIC LIGHT TRANSMITTING AREAS | 37 |
Mitsuhiro Hata | US | Delmar | 2011-08-04 / 20110189618 - RESIST PROCESSING METHOD | 9 |
Kensei Hata | JP | Susono-Shi | 2015-10-22 / 20150298682 - POWER TRANSMISSION DEVICE FOR HYBRID VEHICLE AND HYBRID SYSTEM | 8 |
Kenshi Hata | JP | Tokyo | 2011-07-07 / 20110164276 - PRINTING APPARATUS, PRINTING METHOD, AND STORAGE MEDIUM STORING PROGRAM | 1 |
Tetsuya Hata | JP | Tokyo | 2012-05-10 / 20120113272 - IMAGING APPARATUS, IMAGING SYSTEM, AND CONTROL METHOD THEREOF | 2 |
Takashi Hata | JP | Osaka | 2014-12-25 / 20140380189 - MOBILE DEVICE, DISPLAY CONTROL PROGRAM, AND DISPLAY CONTROL METHOD | 2 |
Keisuke Hata | JP | Miyoshi-Shi | 2015-06-04 / 20150153751 - POWER SUPPLY CIRCUIT AND ELECTRONIC CONTROL UNIT EMPLOYING THE SAME | 3 |
Akiko Hata | US | Winchester | 2011-06-23 / 20110152352 - SMAD PROTEINS CONTROL DROSHA-MEDIATED MIRNA MATURATION | 1 |
Atsushi Hata | JP | Tokyo | 2011-06-23 / 20110148065 - CROSS MEMBER-INTERGRATED TRUNNION BRACKET | 1 |
Akiyoshi Hata | JP | Tokyo | 2011-06-16 / 20110144831 - POWER SUPPLY CONTROL SYSTEM AND POWER SUPPLY CONTROL METHOD | 1 |
Mitsutaka Hata | JP | Yokohama-Shi | 2013-10-10 / 20130265250 - DEVICE, METHOD AND STORAGE MEDIUM STORING PROGRAM | 3 |
Hiroshi Hata | JP | Hikone-Shi | 2014-03-13 / 20140072869 - TAB LEAD AND METHOD OF PRODUCING THE SAME | 1 |
Cary Hata | US | Irvine | 2015-12-31 / 20150374252 - Flexible high-density mapping catheter tips and flexible ablation catheter tips with onboard high-density mapping electrodes | 26 |
Masayuki Hata | JP | Osaka-Shi | 2015-09-10 / 20150253809 - TOUCH PANEL | 7 |
Kazuhiro Hata | JP | Osaka | 2011-06-02 / 20110128434 - LENS DRIVE DEVICE, IMAGE-CAPTURING DEVICE, AND ELECTRONIC APPARATUS | 1 |
Shinichiro Hata | JP | Shizuoka | 2011-10-27 / 20110259696 - Stepwise Automatic Transmission For Saddle Riding Type Vehicle, Power Unit Equipped With The Same, And Saddle Riding Type Vehicle Equipped With The Same | 2 |
Keisuke Hata | JP | Toyota-Shi | 2016-04-21 / 20160107690 - PARKING ASSISTANCE DEVICE | 5 |
Tetsuya Hata | JP | Kawasaki-Shi | 2013-12-26 / 20130343646 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Toshiyuki Hata | JP | Kawasaki-Shi | 2015-12-31 / 20150380378 - Semiconductor Device | 4 |
Kazuhiko Hata | JP | Kamisu-Shi | 2014-03-06 / 20140066644 - METHOD FOR PRODUCING OIL CONTAINING HIGHLY UNSATURATED FATTY ACID USING LIPASE | 1 |
Masato Hata | JP | Fukuroi-Shi | 2014-06-19 / 20140167534 - STEPPING MOTOR | 3 |
Yasunori Hata | JP | Aichi | 2014-01-23 / 20140021282 - WEBBING TAKE-UP DEVICE | 1 |
Jun Hata | JP | Nishinomiya | 2013-12-12 / 20130329419 - ELECTRONIC DEVICE AND ASSEMBLY METHOD OF THE SAME | 1 |
Kenjirou Hata | CN | Hong Kong | 2013-12-05 / 20130323534 - FERROELECTRIC CRYSTAL FILM, ELECTRONIC COMPONENT, MANUFACTURING METHOD OF FERROELECTRIC CRYSTAL FILM, AND MANUFACTURING APPARATUS THEREFOR | 1 |
Takayuki Hata | JP | Nagaokakyo | 2013-10-24 / 20130280569 - ELECTRIC STORAGE DEVICE | 1 |
Jun Hata | JP | Fukuoka-Shi | 2013-09-26 / 20130252265 - METHOD FOR TESTING FOR CEREBRAL INFARCTION VIA CARTILAGE ACIDIC PROTEIN 1 | 1 |
Kenji Hata | JP | Tsukuba-Shi Ibaraki | 2013-09-19 / 20130244019 - CNT AGGREGATE AND LAYERED PRODUCT | 1 |
Jun-Ichi Hata | JP | Shizuoka | 2013-05-02 / 20130109057 - METHOD FOR IMMUNIZING ANIMAL, COMPOSITION FOR IMMUNIZATION, METHOD FOR PRODUCING ANTIBODY, METHOD FOR PRODUCING HYBRIDOMA, AND METHOD FOR PRODUCING MONOCLONAL ANTIBODY | 1 |
Yoshiyuki Hata | JP | Tokyo | 2014-12-11 / 20140361790 - DRIVE CIRCUIT, SWITCH APPARATUS, AND TEST APPARATUS | 4 |
Yousuke Hata | JP | Ichikawa-Shi | 2016-04-14 / 20160101955 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 14 |
Ken-Ichiro Hata | JP | Gamagori-Shi | 2014-06-26 / 20140178990 - CELL PROLIFERATION-PROMOTING PEPTIDE AND USE THEREOF | 3 |
Yutaka Hata | JP | Hyogo | 2013-11-07 / 20130296717 - VESSEL PULSE WAVE MEASUREMENT SYSTEM CONDUCTING VESSEL PULSE WAVE MEASUREMENT BY OBTAINING PULSATION WAVEFORM OF BLOOD VESSEL | 1 |
Kengo Hata | JP | Osaka | 2014-05-29 / 20140144553 - COLD-ROLLED STEEL SHEET AND PROCESS FOR PRODUCTION THEREOF | 1 |
Yuusaku Hata | JP | Saku-Shi | 2010-01-21 / 20100015513 - BATTERY APPARATUS | 2 |
Shinsuke Hata | JP | Ehime | 2015-02-19 / 20150051538 - PHARMACEUTICAL INJECTION DEVICE | 2 |
Kengo Hata | JP | Toyono-Gun, Osaka | 2014-07-31 / 20140212686 - HOT-DIP GALVANIZED COLD-ROLLED STEEL SHEET AND PROCESS FOR PRODUCING SAME | 1 |
Takuya Hata | JP | Tsurugashima-Shi | 2010-09-30 / 20100244710 - Organic Luminescence Transistor Device and Manufacturing Method Thereof | 5 |
Takuya Hata | JP | Saitama | 2011-07-14 / 20110168430 - METHOD OF FORMING METAL WIRING AND ELECTRONIC PART INCLUDING METAL WIRING | 5 |
Ryosuke Hata | JP | Osaka | 2011-08-25 / 20110203827 - SUPERCONDUCTING CABLE | 5 |
Tadayo Hata | JP | Osaka | 2011-09-29 / 20110236504 - VERSATILE DISINFECTANT | 4 |
Kazuaki Hata | JP | Osaka | 2012-04-19 / 20120092516 - IMAGING DEVICE AND SMILE RECORDING PROGRAM | 2 |
Yukihiro Hata | JP | Osaka | 2009-02-12 / 20090040656 - MAGNETIC TAPE APPARATUS | 1 |
Masahiko Hata | JP | Tsukuba-Shi | 2015-02-19 / 20150047708 - ORGANIC-INORGANIC HYBRID PHOTOELECTRIC CONVERSION DEVICE | 9 |
Toshiyuki Hata | JP | Maebashi | 2011-12-22 / 20110309487 - SEMICONDUCTOR DEVICE, A METHOD OF MANUFACTURING THE SAME AND AN ELECTRONIC DEVICE | 10 |
Tadayoshi Hata | JP | Nagasaki | 2015-07-09 / 20150194359 - SEMICONDUCTOR DEVICE | 2 |
Takanori Hata | JP | Hirakata-Shi | 2014-07-17 / 20140196975 - HYDRAULIC EXCAVATOR | 1 |
Yusaku Hata | JP | Joetsu-Shi | 2015-10-22 / 20150299938 - METHOD OF PRODUCING INORGANIC FIBER MOLDED BODY | 3 |
Kazuhiko Hata | JP | Yokohama | 2014-05-15 / 20140136879 - TRANSMISSION APPARATUS AND TRANSMISSION APPARATUS CONTROL METHOD | 1 |
Yukitsugu Hata | JP | Hadano-Shi | 2010-11-18 / 20100290029 - RANGE-FINDING DEVICE | 1 |
Kotaro Hata | JP | Yokohama-Shi | 2014-06-12 / 20140158929 - MAGNETIC COMPOSITE MATERIAL | 1 |
Masahiro Hata | JP | Toyohashi-Shi | 2016-02-11 / 20160040322 - CARBON FIBER MANUFACTURING METHOD | 4 |
Katsuhiko Hata | JP | Kobe-Shi | 2014-08-21 / 20140235393 - HIGH LOAD TRANSMISSION V-BELT | 1 |
Nobuhiko Hata | US | Waban | 2015-03-26 / 20150087965 - SYSTEM AND METHOD FOR AUTOMATIC DETECTION AND REGISTRATION OF MEDICAL IMAGES | 4 |
Kengo Hata | JP | Toyono-Gun | 2014-08-28 / 20140238557 - METHOD FOR PRODUCING COLD-ROLLED STEEL SHEET | 1 |
Masuko Hata | JP | Osaka-Shi | 2014-09-04 / 20140246168 - FUNCTIONAL AIR CONDITIONING APPARATUS AND FUNCTIONAL AIR CONDITIONING METHOD | 1 |
Tadayo Hata | JP | Osaka-Shi | 2015-09-10 / 20150250225 - FUNCTIONAL CONTINUOUS RAPID FREEZING APPARATUS | 2 |
Tomoyo Hata | JP | Osaka-Shi | 2014-09-04 / 20140246168 - FUNCTIONAL AIR CONDITIONING APPARATUS AND FUNCTIONAL AIR CONDITIONING METHOD | 1 |
Yoshima Hata | JP | Takehara-Shi | 2014-09-11 / 20140252268 - Spinel Type Lithium-Manganese-Nickel-Containing Composite Oxide | 1 |
Yutaka Hata | JP | Tokyo | 2014-09-11 / 20140253794 - CAMERA MODULE | 2 |
Kenji Hata | JP | Ibaraki | 2016-05-19 / 20160137504 - CNT METAL COMPOSITE MATERIAL, AND METHOD FOR PRODUCING SAME | 23 |
Kayoko Hata | JP | Toyonaka-Shi | 2014-09-18 / 20140275074 - HETEROCYCLIC DERIVATIVE HAVING PGD2 RECEPTOR ANTAGONIST ACTIVITY | 1 |
Masahiko Hata | JP | Ibaraki | 2012-09-13 / 20120228673 - FIELD-EFFECT TRANSISTOR, SEMICONDUCTOR WAFER, METHOD FOR PRODUCING FIELD-EFFECT TRANSISTOR AND METHOD FOR PRODUCING SEMICONDUCTOR WAFER | 13 |
Masahiko Hata | JP | Saitama | 2010-09-16 / 20100235125 - ELECTRIC DEVICE AND DIAGNOSTIC APPARATUS | 1 |
Masahiko Hata | JP | Tsuchiura-Shi Ibaraki | 2010-01-28 / 20100019277 - EPITAXIAL SUBSTRATE FOR FIELD EFFECT TRANSISTOR | 1 |
Masahiko Hata | JP | Tsuchiura | 2011-09-29 / 20110233614 - COMPOUND SEMICONDUCTOR EPITAXIAL SUBSTRATE AND MANUFACTURING METHOD THEREOF | 2 |
Masahiko Hata | JP | Tokyo | 2008-09-18 / 20080229162 - TEST APPARATUS AND TEST METHOD | 1 |
Hideo Hata | JP | Kobe-Shi | 2015-01-15 / 20150013856 - METHOD FOR MANUFACTURING PRESS-FORMED PRODUCT AND PRESS-FORMED PRODUCT | 9 |
Masato Hata | JP | Yokohama-Shi | 2015-01-29 / 20150033019 - CRYPTOGRAPHIC COMMUNICATION SYSTEM, COMMUNICATION DEVICE, KEY DISTRIBUTION DEVICE, AND CRYPTOGRAPHIC COMMUNICATION METHOD | 2 |
Shinji Hata | JP | Shiojiri | 2014-10-02 / 20140293311 - PRINT APPARATUS | 1 |
Yujiro Hata | JP | Yokohama | 2014-10-02 / 20140297945 - STORAGE APPARATUS AND COPY CONTROL METHOD | 1 |
Takashi Hata | JP | Nankoku-Shi | 2014-10-23 / 20140313849 - FLUID MIXER AND FLUID MIXING METHOD | 1 |
William Y. Hata | US | Saratoga | 2014-08-28 / 20140239487 - HEAT PIPE IN OVERMOLDED FLIP CHIP PACKAGE | 5 |
Cary Hata | US | Irvine | 2015-12-31 / 20150374252 - Flexible high-density mapping catheter tips and flexible ablation catheter tips with onboard high-density mapping electrodes | 26 |
Cary K. Hata | US | Irvine | 2013-09-12 / 20130237791 - System and methods for locating and ablating arrhythomogenic tissues | 4 |
Haruhiko Hata | JP | Kawasaki-Shi | 2014-10-30 / 20140321937 - JET PUMP AND BOLT FIXING DEVICE OF JET PUMP BEAM | 1 |
Yoshihisa Hata | JP | Yokohama-Shi | 2012-08-23 / 20120212191 - METHOD FOR CONTROLLING POWER FACTOR OF THREE-PHASE CONVERTER, METHOD FOR CONTROLLING REACTIVE POWER OF THREE-PHASE CONVERTER, AND CONTROLLER OF THREE-PHASE CONVERTER | 3 |
Hideki Hata | JP | Yokohama-Shi | 2010-12-30 / 20100326267 - HYDRAULIC CYLINDER | 1 |
Takayuki Hata | JP | Yokohama-Shi | 2014-03-06 / 20140061337 - SPRAY GUN | 5 |
Masahiro Hata | JP | Yokohama-Shi | 2012-02-23 / 20120046205 - Compressor oil composition | 2 |
Seiichi Hata | JP | Yokohama-Shi | 2016-03-10 / 20160068422 - AMORPHOUS ALLOY MOLDING DIE AND METHOD FOR FORMING OPTICAL ELEMENT | 2 |
Yoichi Hata | JP | Yokohama-Shi | 2012-11-15 / 20120287338 - VIDEO IMAGE CODED DATA DISPLAY METHOD, DEVICE, AND COMMUNICATIONS SYSTEM | 4 |
Norihiko Hata | JP | Yokohama-Shi | 2009-04-30 / 20090111179 - Cell Culture Shaking Device and Shaking Culture Method as Cell Culture Method | 3 |
Haruhiko Hata | JP | Yokohama-Shi | 2012-04-12 / 20120087456 - APPARATUS AND METHOD FOR REINFORCING PIPING ARRANGEMENT OF REACTOR CORE SPRAY SYSTEM | 2 |
Hirokazu Hata | JP | Toyota-Shi | 2014-11-06 / 20140326199 - ENGINE COOLING CONTROL DEVICE | 1 |
Koutaro Hata | JP | Tochigi | 2009-10-08 / 20090250163 - Process for Production of Resin Composite Molded Article | 1 |
Mitsuhiro Hata | JP | Osaka | 2011-02-17 / 20110039209 - COMPOUND AND PHOTORESIST COMPOSITION CONTAINING THE SAME | 8 |
Yoshihiko Hata | JP | Tokyo | 2014-11-13 / 20140331660 - Hydraulic Machinery | 1 |
Yoichi Hata | JP | Kanagawa | 2011-12-08 / 20110299592 - MOVING IMAGE DATA COMPRESSING METHOD | 4 |
Ryuhei Hata | JP | Kanagawa | 2012-05-10 / 20120113222 - VIDEO SIGNAL PROCESSING APPARATUS, VIDEO SIGNAL PROCESSING METHOD, AND COMPUTER PROGRAM | 3 |
Hideo Hata | JP | Kanagawa | 2012-02-16 / 20120039830 - PROCESS FOR PRODUCING POWDERY COMPOSITION AND POWDERY COSMETIC | 3 |
Mitsutaka Hata | JP | Kanagawa | 2013-04-04 / 20130082847 - Telemeter System, Vending Machine, and Method for Terminal Device | 2 |
Ikuro Hata | JP | Kanagawa | 2010-11-18 / 20100289549 - ANALOG SCAN CIRCUIT, ANALOG FLIP-FLOP, AND DATA PROCESSING APPARATUS | 1 |
Masahiro Hata | JP | Kanagawa | 2010-04-15 / 20100093568 - REFRIGERATOR OIL, COMPRESSOR OIL COMPOSITION, HYDRAULIC FLUID COMPOSITION, METALWORKING FLUID COMPOSITION, HEAT TREATMENT OIL COMPOSITION, LUBRICANT COMPOSITION FOR MACHINE TOOL AND LUBRICANT COMPOSITION | 2 |
Kimimasa Hata | JP | Kanagawa | 2009-06-11 / 20090148557 - Foamed food comprising soybean flour as the main component | 1 |
Yoshimi Hata | JP | Takehara-Shi | 2016-04-21 / 20160111716 - Positive Electrode Active Material for Lithium Secondary Battery | 13 |
Kenji Hata | JP | Kanagawa | 2014-12-04 / 20140354937 - DISPLAY UNIT | 1 |
Masahiko Hata | JP | Tsuchiura-Shi | 2012-12-20 / 20120319170 - ELECTRONIC DEVICE AND METHOD FOR PRODUCING ELECTRONIC DEVICE | 25 |
Hiroaki Hata | JP | Tokyo | 2009-03-12 / 20090065468 - Resin-made storage container | 1 |
Yoshiaki Hata | JP | Tokyo | 2011-03-03 / 20110053638 - MOBILE TERMINAL DEVICE AND COMMUNICATION CONTROL METHOD | 1 |
Seiji Hata | JP | Tokyo | 2014-09-18 / 20140265002 - CELLULOSIC MICROPOWDER PRODUCTION SYSTEM | 5 |
Kenjiro Hata | JP | Tokyo | 2010-12-02 / 20100301705 - PIEZOELECTRIC ACTUATOR AND METHOD OF MANUFACTURING THE SAME | 3 |
Toshiyuki Hata | JP | Tokyo | 2013-08-15 / 20130207252 - Semiconductor Device | 6 |
Yuusaku Hata | JP | Tokyo | 2010-11-11 / 20100285677 - POWER SUPPLY CONNECTOR | 2 |
Kiyoshi Hata | JP | Tokyo | 2016-04-28 / 20160115000 - SHEET PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND METHOD OF ADDITIONALLY FOLDING SHEET BUNDLE | 24 |
Kazuhiko Hata | JP | Tokyo | 2010-08-26 / 20100217021 - PROCESS FOR PRODUCING HIGHLY PURIFIED ORANGE ROUGHY OIL | 1 |
Koji Hata | JP | Tokyo | 2016-05-05 / 20160123268 - ENGINE CONTROL DEVICE | 4 |
Yuki Hata | JP | Tokyo | 2009-12-17 / 20090311204 - CAROTENOID COMPOSITIONS USEFUL FOR WHITENING SKIN | 1 |
Shintaro Hata | JP | Tokyo | 2009-11-26 / 20090291761 - ONLINE GAME SYSTEM | 1 |
Yoshio Hata | JP | Tokyo | 2009-07-09 / 20090177307 - PRODUCTION MANAGEMENT SYSTEM | 1 |
Toshiaki Hata | JP | Tokyo | 2008-11-13 / 20080281505 - ENGINE STARTING SYSTEM | 1 |
Yosuke Hata | JP | Tokyo | 2010-11-25 / 20100297559 - PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE RESIN LAMINATE, METHOD FOR FORMING RESIST PATTERN AND PROCESS FOR PRODUCING PRINTED CIRCUIT BOARD, LEAD FRAME, SEMICONDUCTOR PACKAGE AND CONCAVOCONVEX BOARD | 3 |
Kazumichi Hata | JP | Tokyo | 2010-11-11 / 20100282714 - GAS-INSULATED SWITCHGEAR APPARATUS AND GAS FILTER DEVICE | 2 |
Tadayoshi Hata | JP | Tokyo | 2009-10-22 / 20090263087 - OPTICAL RECEPTACLE | 1 |
Hitoshi Hata | JP | Tokyo | 2009-09-03 / 20090218928 - ELECTRODE, METHOD FOR PRODUCING ELECTRODE, AND COLD-CATHODE FLUORESCENT LAMP | 1 |
Takehiro Hata | JP | Tokyo | 2008-11-20 / 20080284396 - SEMICONDUCTOR DEVICE PROVIDED WITH FEEDBACK CIRCUIT INCLUDING RESISTIVE ELEMENT AND CAPACITIVE ELEMENT | 2 |
Kenji Hata | JP | Tsukuba | 2014-12-25 / 20140377663 - SHEET COMPOSITE, MANUFACTURING METHOD THEREOF, AND ELECTRODE AND ELECTROCHEMICAL ELEMENT EMPLOYING SAID SHEET COMPOSITE | 1 |
Yuki Hata | JP | Atsugi | 2016-01-07 / 20160005872 - SEMICONDUCTOR DEVICE | 11 |
Yousuke Hata | JP | Ichikawa-Shi | 2016-04-14 / 20160101955 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 14 |
Kengo Hata | JP | Tokyo | 2015-02-05 / 20150037610 - COLD-ROLLED STEEL SHEET AND PROCESS FOR MANUFACTURING SAME | 2 |
Hanae Hata | JP | Yokohama | 2011-01-20 / 20110012263 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 2 |
Yuko Hata | JP | Kanagawa | 2015-02-12 / 20150045244 - METHOD FOR DETERMINING RHEUMATOID ARTHRITIS ACTIVITY INDICATOR, AND BIOMARKER USED THEREIN | 1 |
Hisashi Hata | JP | Kawasaki-Shi | 2015-02-12 / 20150046742 - DATA PROCESSING SYSTEM | 1 |
Naoki Hata | JP | Tokyo | 2015-02-19 / 20150048197 - MEDIUM PROCESSING APPARATUS | 1 |
Kenichi Hata | JP | Tokyo | 2015-02-26 / 20150052925 - REFRIGERATION APPARATUS AND REFRIGERATION CYCLE APPARATUS | 1 |
Yoshimi Hata | JP | Takehara-Shi | 2016-04-21 / 20160111716 - Positive Electrode Active Material for Lithium Secondary Battery | 13 |
Katsuyuki Hata | JP | Nagoya-Shi | 2015-09-10 / 20150253783 - PRESSURE REDUCING VALVE AND PRESSURE REGULATING DEVICE | 2 |
Kensei Hata | JP | Toyota-Shi | 2015-03-26 / 20150083543 - RELEASE MECHANISM FOR A FRICTION CLUTCH | 2 |
Saori Hata | JP | Hokkaido | 2010-12-23 / 20100323375 - AGENT AND METHOD FOR DIAGNOSIS ON THE OCCURRENCE OF ALZHEIMER'S DISEASE OR THE TENDENCY TO DEVELOP ALZHEIMER'S DISEASE | 1 |
Jun-Ichi Hata | JP | Gotenba-Shi | 2009-02-12 / 20090041761 - Method of immunizing animal, composition for immunization, method for producing antibody, method for producing hybridoma and method for producing monoclonal antibody | 1 |
Hirotsugu Hata | JP | Gunma | 2011-07-07 / 20110165765 - Semiconductor Device and Method of Manufacturing the Same | 2 |
Yukihiko Hata | JP | Hamura-Shi | 2014-06-05 / 20140150996 - COOLING DEVICE, AND ELECTRONIC APPARATUS WITH THE COOLING DEVICE | 14 |
Toshio Hata | JP | Osaka | 2016-02-04 / 20160035710 - LIGHT-EMITTING DEVICE AND LIGHTING DEVICE PROVIDED WITH THE SAME | 10 |
Satoko Hata | JP | Eichizen-Shi | 2010-04-08 / 20100087902 - EXOTHERMIC STRUCTURE THAT IS DIRECTLY APPLIED TO SKIN AND METHOD FOR PREPARING THE STRUCTURE | 1 |
Akihiro Hata | JP | Kawasaki | 2013-01-31 / 20130028082 - PACKET TRANSMISSION DEVICE, MEMORY CONTROL CIRCUIT, AND PACKET TRANSMISSION METHOD | 6 |
Hidetoshi Hata | JP | Nagoya | 2008-10-16 / 20080251349 - Sheet Handling Apparatus | 1 |
Hideyuki Hata | JP | Chiba | 2015-11-05 / 20150315053 - METHOD FOR REMOVING CESIUM IONS IN AQUEOUS SOLUTION EMPLOYING MAGNETIC PARTICLES | 3 |
Hiroaki Hata | JP | Chiba | 2009-04-09 / 20090092095 - COMMUNICATION METHOD, MOBILE AGENT DEVICE, AND HOME AGENT DEVICE | 1 |
Hitoshi Hata | JP | Chiba | 2010-09-23 / 20100237274 - LUBRICATING OIL COMPOSITION FOR COMPRESSION REFRIGERATOR HAVING TRACTION MECHANISM | 2 |
Hitoshi Hata | JP | Kyoto | 2013-10-03 / 20130261418 - Measuring Apparatus and Measuring System | 3 |
Hitoshi Hata | JP | Shinagawa-Ku | 2010-11-04 / 20100277058 - COLD CATHODE FLUORESCENT LAMP | 1 |
Jun Hata | JP | Fukuoka | 2009-12-31 / 20090324610 - GENE ASSOCIATED WITH ARTERIOSCLEROTIC DISEASE, AND USE THEREOF | 1 |
Kazuhiko Hata | JP | Kawasaki | 2010-07-01 / 20100165852 - NODE APPARATUS AND METHOD FOR PERFORMING A LOOPBACK-TEST ON A COMMUNICATION PATH IN A NETWORK | 1 |
Kazuhiro Hata | JP | Akishima | 2008-10-02 / 20080237752 - METHOD FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Kazuo Hata | JP | Suita-Shi | 2013-02-07 / 20130034796 - ELECTROLYTE SHEET FOR SOLID OXIDE FUEL CELL, METHOD OF PRODUCING THE SAME, SINGLE CELL FOR SOLID OXIDE FUEL CELL, AND SOLID OXIDE FUEL CELL | 5 |
Kazuyuki Hata | JP | Mie | 2009-01-15 / 20090018251 - POLYPROPYLENE TYPE AQUEOUS DISPERSION, POLYPROPYLENE TYPE COMPOSITE AQUEOUS EMULSION COMPOSITION AND ITS USE | 1 |
Kenji Hata | JP | Tsukuba-Shi | 2016-03-17 / 20160075558 - METHOD FOR PRODUCING ALIGNED CARBON NANOTUBE ASSEMBLY | 17 |
Kiyoshi Hata | JP | Hino-Shi | 2009-11-26 / 20090290959 - NOTCH FORMING APPARATUS, BOOKBINDING APPARATUS AND BOOKBINDING SYSTEM | 1 |
Koichi Hata | JP | Katano | 2010-03-18 / 20100067545 - METHOD AND APPARATUS FOR HEADER COMPRESSION | 1 |
Koichi Hata | JP | Tochigi | 2012-11-29 / 20120297634 - RELATIVE ANGLE SENSING DEVICE AND ELECTRIC POWER STEERING APPARATUS | 3 |
Koji Hata | JP | Okazaki-Shi | 2010-03-18 / 20100065018 - IN-CYLINDER INJECTION TYPE INTERNAL COMBUSTION ENGINE | 1 |
Koji Hata | JP | Yokohama | 2010-07-22 / 20100181108 - ELECTRONIC EQUIPMENT | 2 |
Masafumi Hata | JP | Yokosuka-Shi | 2009-08-06 / 20090197646 - METHOD AND SYSTEM FOR MOBILE COMMUNICATIONS | 7 |
Masaharu Hata | JP | Okayama-Shi | 2010-09-02 / 20100219884 - TRANSMITTER, RECEIVER, POWER AMPLIFICATION METHOD, AND SIGNAL DEMODULATION METHOD | 1 |
Masato Hata | JP | Hamamatsu-Shi | 2014-03-27 / 20140086426 - MASKING SOUND GENERATION DEVICE, MASKING SOUND OUTPUT DEVICE, AND MASKING SOUND GENERATION PROGRAM | 6 |
Masaya Hata | JP | Hiroshima | 2009-04-16 / 20090098384 - CURABLE ORGANOPOLYSILOXANE COMPOSITION AND ANTIFOULING COMPOSITE COATING FILM | 1 |
Mitsuhiro Hata | JP | Toyonaka-Shi | 2010-10-28 / 20100273113 - PROCESS FOR PRODUCING PHOTORESIST PATTERN | 1 |
Sahoko Hata | JP | Oita | 2009-03-19 / 20090074250 - Apparatus, method, and computer product for vehicle-type determination | 2 |
Satoshi Hata | JP | Hiroshima | 2009-09-03 / 20090217949 - EXTRANEOUS MATTER REMOVING SYSTEM FOR TURBINE | 1 |
Seiichi Hata | JP | Kanagawa-Ken | 2009-09-24 / 20090236494 - CORROSION AND HEAT RESISTANT METAL ALLOY FOR MOLDING DIE AND A DIE THEREWITH | 1 |
Shigeo Hata | JP | Toride-Shi | 2010-10-28 / 20100272454 - IMAGE FORMING APPARATUS | 4 |
Syohei Hata | JP | Yokohama | 2010-02-25 / 20100047588 - Electronic Component Union, Electronic Circuit Module Utilizing the Same, and Process for Manufacturing the Same | 1 |
Tadashi Hata | JP | Ehime | 2008-12-18 / 20080311021 - Apparatus for pulling single crystal by CZ method | 2 |
Tadashi Hata | JP | Hiratsuka-Shi | 2009-07-09 / 20090173272 - Apparatus for pulling single crystal by CZ method | 1 |
Takahiro Hata | JP | Ishikawa | 2009-05-21 / 20090126533 - Fume Disposal Process and Fume Disposal System | 1 |
Takao Hata | JP | Yokkaichi-City | 2014-05-15 / 20140134862 - LEVER-TYPE CONNECTOR | 7 |
Tomoyuki Hata | JP | Hiroshima | 2011-01-13 / 20110005891 - PARKING DEVICE OF TRANSMISSION | 1 |
Toshiyuki Hata | JP | Shizuoka-Ken | 2009-08-06 / 20090198495 - VOICE SITUATION DATA CREATING DEVICE, VOICE SITUATION VISUALIZING DEVICE, VOICE SITUATION DATA EDITING DEVICE, VOICE DATA REPRODUCING DEVICE, AND VOICE COMMUNICATION SYSTEM | 1 |
Toshiyuki Hata | JP | Hamamatsu-Shi | 2013-01-03 / 20130003983 - HEADPHONE | 3 |
Yasuaki Hata | JP | Fukuoka | 2009-02-12 / 20090042778 - Methods for Suppressing Neovascularization Using Ephrinb2 | 1 |
Yasuhiko Hata | JP | Ota-Shi | 2013-09-12 / 20130236591 - HOLLOW BODY MOLDING DEVICE | 2 |
Yasunori Hata | JP | Aichi-Ken | 2013-08-08 / 20130200195 - WEBBING TAKE-UP DEVICE | 5 |
Yasunori Hata | JP | Kyoto | 2010-04-29 / 20100102349 - SEMICONDUCTOR LIGHT-EMITTING DEVICE | 2 |
Yasunori Hata | JP | Kyoto-Shi | 2012-01-12 / 20120007124 - SEMICONDUCTOR LIGHT- EMITTING DEVICE | 3 |
Yoshihiro Hata | JP | Kodaira-Shi | 2009-07-23 / 20090185845 - IMAGE FORMING APPARATUS | 1 |
Masahiko Hata | US | Phoenix | 2015-06-04 / 20150155165 - METHOD OF PRODUCING COMPOSITE WAFER AND COMPOSITE WAFER | 3 |
Yoshikazu Hata | JP | Kanagawa-Ken | 2014-10-16 / 20140306849 - ELECTRONIC APPARATUS | 3 |
Yoshio Hata | JP | Kayabe-Gun | 2012-11-08 / 20120283187 - CONTROLLED RELEASE COMPOSITION AND METHOD OF PRODUCING THE SAME | 3 |
Yuichi Hata | JP | Nagano-Shi | 2008-11-13 / 20080278883 - ELECTROSTATIC CHUCK AND METHOD OF MANUFACTURING THE SAME | 2 |
Yuichi Hata | JP | Sapporo-Shi | 2010-02-11 / 20100031777 - ORE TREATING METHOD, ORE TREATING APPARATUS, IRON MANUFACTURING METHOD, AND IRON AND STEEL MANUFACTURING METHOD | 1 |
Yuki Hata | JP | Atsugi | 2016-01-07 / 20160005872 - SEMICONDUCTOR DEVICE | 11 |
Yukihiro Hata | JP | Ibaraki-Shi | 2009-01-15 / 20090016186 - TAPE DEVICE | 1 |
Katsura Hata | JP | Tsukuba-Shi | 2013-10-31 / 20130288956 - COMBINED PHARMACEUTICAL COMPOSITION AS ANTIFUNGAL AGENT | 6 |
Tsunehisa Hata | JP | Wako-Shi | 2015-06-25 / 20150175207 - FRICTION-STIR WELDED STRUCTURE | 2 |
Kensei Hata | JP | Okazaki-Shi Aichi | 2015-06-25 / 20150176694 - POWER TRANSMISSION UNIT | 1 |
Shohei Hata | JP | Yokohama | 2014-05-15 / 20140134767 - LED LIGHT SOURCE, ITS MANUFACTURING METHOD, AND LED-BASED PHOTOLITHOGRAPHY APPARATUS AND METHOD | 12 |
Yousuke Hata | JP | Matsudo-Shi | 2010-04-29 / 20100104297 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEREFOR | 3 |
Hideo Hata | JP | Hyogo | 2015-09-03 / 20150248996 - OXIDE SINTERED BODY AND SPUTTERING TARGET, AND METHOD FOR PRODUCING SAME | 9 |
Ryouta Hata | JP | Iizuka | 2010-08-05 / 20100194990 - IMAGE PROCESSING DEVICE, IMAGE DISPLAY DEVICE, AND IMAGE PROCESSING METHOD | 2 |
Ryouta Hata | JP | Fukuoka | 2015-07-02 / 20150187091 - SIZE MEASUREMENT DEVICE AND SIZE MEASUREMENT METHOD | 4 |
Hiroshige Hata | JP | Himeji-Shi | 2013-03-28 / 20130075697 - ULTRAVIOLET IRRADIATION APPARATUS | 5 |
Mitsuhiro Hata | KR | Suwon-Si | 2008-12-11 / 20080305638 - Coating compositions for use in forming patterns and methods of forming patterns | 1 |
Mitsuhiro Hata | KR | Gyeonggi-Do | 2009-10-22 / 20090263732 - MASK PATTERNS INCLUDING GEL LAYERS FOR SEMICONDUCTOR DEVICE FABRICATION | 1 |
Mitsuhiro Hata | US | Delmar | 2011-08-04 / 20110189618 - RESIST PROCESSING METHOD | 9 |
Kensei Hata | JP | Sunto-Gun | 2016-05-19 / 20160137050 - HYBRID VEHICLE | 4 |
Tetsuya Hata | JP | Yokohama-Shi | 2015-10-01 / 20150281667 - IMAGING APPARATUS AND IMAGE PROCESSING SYSTEM | 2 |
Takayuki Hata | JP | Kanagawa | 2015-09-17 / 20150260600 - PRESSURE SENSOR UNIT | 1 |
Michihiro Hata | JP | Okazaki-Shi | 2009-06-25 / 20090158722 - EMISSION CONTROL SYSTEM | 3 |
Yukito Hata | JP | Tokyo | 2015-10-01 / 20150281533 - IMAGE OBTAINING APPARATUS | 2 |
Keishi Hata | JP | Akita-Shi | 2008-09-25 / 20080234241 - MELANOGENESIS INHIBITORS AND WHITENING AGENTS COMPRISING ERGOSTEROL DERIVATIVE AND COMPOSITIONS CONTAINING ERGOSTEROL DERIVATIVE | 1 |
Ken-Ichiro Hata | JP | Aichi | 2015-10-15 / 20150290359 - ARTIFICIAL DERMIS FOR TRANSPLANTATION AND METHOD FOR PRODUCING SAME | 1 |
Yukiko Hata | JP | Tokyo | 2015-10-15 / 20150292999 - METHOD AND APPARATUS FOR MEASURING DYNAMIC PANEL STIFFNESS OF OUTER PANEL FOR AUTOMOBILE PARTS | 1 |
Shigeru Hata | JP | Tokyo | 2015-10-15 / 20150292152 - METHOD FOR PREPARING BLACK LIQUOR AND METHOD FOR PREPARING FLAVOR COMPONENT-CONTAINING LIQUID | 1 |
Kensei Hata | JP | Susono-Shi | 2015-10-22 / 20150298682 - POWER TRANSMISSION DEVICE FOR HYBRID VEHICLE AND HYBRID SYSTEM | 8 |
Toshio Hata | JP | Osaka | 2016-02-04 / 20160035710 - LIGHT-EMITTING DEVICE AND LIGHTING DEVICE PROVIDED WITH THE SAME | 10 |
Toshio Hata | JP | Hiroshima | 2011-02-24 / 20110044029 - LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Toshio Hata | JP | Osaka-Shi | 2016-03-24 / 20160086928 - LIGHT-EMITTING DEVICE HAVING A PLURALITY OF CONCENTRIC LIGHT TRANSMITTING AREAS | 37 |
Toshio Hata | JP | Mihara-Shi | 2011-10-06 / 20110244606 - CHIP-TYPE LED AND METHOD FOR MANUFACTURING THE SAME | 8 |
Hiroshi Hata | JP | Hiratsuka-Shi, Kanagawa | 2015-11-26 / 20150336426 - Pneumatic Tire and Method for Manufacturing Pneumatic Tire | 1 |
Hiroyuki Hata | JP | Tokyo | 2015-11-26 / 20150340300 - SEMICONDUCTOR DEVICE, MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR MODULE | 1 |
Hiroshi Hata | JP | Shiga | 2011-06-30 / 20110160062 - PYRAZOLE COMPOUNDS, PROCESS FOR THEIR PRODUCTION AND HERBICIDES CONTAINING THEM | 3 |
Hiroshi Hata | JP | Hiratsuka | 2010-05-06 / 20100108229 - PNEUMATIC TIRE | 1 |
Hiroshi Hata | JP | Susono-Shi | 2009-04-23 / 20090105035 - PLANETARY GEARSET | 1 |
Hiroshi Hata | JP | Ageo-Shi | 2011-04-28 / 20110094339 - FLUORINE ADSORBENT/DESORBENT APPLICABLE IN ELECTROLYTIC SOLUTION FOR ZINC ELECTRO-REFINING AND METHOD FOR REMOVING FLUORINE USING THE FLUORINE ADSORBENT/DESORBENT | 1 |
Hiroshi Hata | JP | Nagoya-Shi | 2011-03-03 / 20110049814 - SEALING STRUCTURE USING A LIQUID GASKET | 3 |
Hiroshi Hata | JP | Kanagawa | 2010-09-09 / 20100227213 - PACKING MATERIAL FOR BATTERY CASE AND BATTERY CASE | 4 |
Hiroshi Hata | JP | Hiratsuka-Shi | 2015-09-10 / 20150251495 - Pneumatic Tire | 5 |
Hiroshi Hata | JP | Saitama | 2009-07-02 / 20090166213 - PRODUCTION METHOD OF ELECTRO-DEPOSITED COPPER FOIL, ELECTRO-DEPOSITED COPPER FOIL OBTAINED BY THE PRODUCTION METHOD, SURFACE-TREATED COPPER FOIL OBTAINED BY USING THE ELECTRO-DEPOSITED COPPER FOIL AND COPPER-CLAD LAMINATE OBTAINED BY USING THE ELECTRO-DEPOSITED COPPER FOIL OR THE SURFACE-TREATED COPPER FOIL | 1 |
Hiroshi Hata | JP | Kanagawa-Ken | 2009-02-05 / 20090032176 - METHOD OF MANUFACTURING PNEUMATIC TIRE | 1 |
Hiroshi Hata | JP | Aichi-Ken | 2008-09-11 / 20080217867 - Sealing Structure of Casing | 1 |
Toshio Hata | JP | Osaka-Shi, Osaka | 2015-12-10 / 20150357532 - LIGHT EMITTING DEVICE | 1 |
Masayuki Hata | JP | Kadoma-Shi | 2012-06-07 / 20120142167 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 19 |
Kyosuke Hata | JP | Hamamatsu | 2009-12-10 / 20090301286 - ELECTRONIC MUSICAL INSTRUMENT | 1 |
Yudai Hata | JP | Tokyo | 2016-02-18 / 20160045980 - LASER BEAM SPOT SHAPE DETECTION METHOD | 1 |
Katsuhiko Hata | JP | Tokyo | 2016-03-24 / 20160087610 - SEMICONDUCTOR DEVICE | 1 |
Megumi Hata | JP | Aichi-Gun | 2010-12-02 / 20100303218 - IMAGE DISPLAY APPARATUS, IMAGE DISPLAY METHOD, AND RECORDING MEDIUM RECORDING AN IMAGE DISPLAY PROGRAM | 1 |
Hideo Hata | JP | Hyogo | 2015-09-03 / 20150248996 - OXIDE SINTERED BODY AND SPUTTERING TARGET, AND METHOD FOR PRODUCING SAME | 9 |
Kenji Hata | JP | Hyogo | 2011-05-12 / 20110108395 - Belt Conveyor | 1 |
Hiroshige Hata | JP | Hyogo | 2013-12-05 / 20130322484 - ELECTRON-BEAM-PUMPED LIGHT SOURCE | 5 |
Hiroyuki Hata | JP | Hyogo | 2014-12-25 / 20140378721 - METHOD FOR PRODUCING PARAFFINS AND APPARATUS FOR PRODUCING PARAFFINS | 4 |
Yuuji Hata | JP | Kagoshima | 2009-06-18 / 20090152997 - Piezoelectric Resonant Element and Piezoelectric Resonator Using the Same | 2 |
Hiroyuki Hata | JP | Osaka | 2016-03-31 / 20160090711 - WORKING MACHINE | 1 |
Masayuki Hata | JP | Osaka | 2015-08-27 / 20150241728 - DISPLAY DEVICE | 32 |
Kensei Hata | JP | Sunto-Gun, Shizuoka-Ken | 2016-04-14 / 20160101771 - DRIVE CONTROL SYSTEM FOR HYBRID VEHICLE | 1 |
Tsukasa Hata | JP | Kyoto | 2010-03-11 / 20100059172 - IN-MOLD DECORATING APPARATUS AND METHOD FOR MANUFACTURING IN-MOLD DECORATED MOLDED PRODUCT | 1 |
Yoji Hata | JP | Kyoto | 2011-08-11 / 20110195444 - NOVEL PROTEIN HAVING FRUCTOSYL VALYL HISTIDINE OXIDASE ACTIVITY, MODIFIED PROTEIN, AND USE OF THE PROTEIN OR THE MODIFIED PROTEIN | 3 |
Masayuki Hata | JP | Kodama City | 2010-02-11 / 20100034234 - SEMICONDUCTOR LASER DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Masayuki Hata | JP | Kadoma | 2008-12-18 / 20080310471 - SEMICONDUCTOR LASER DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Masayuki Hata | JP | Kadoma-City | 2009-07-09 / 20090174035 - Semiconductor Device | 1 |
Masayuki Hata | JP | Takatsuki-Shi | 2012-11-29 / 20120299052 - SEMICONDUCTOR LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND OPTICAL DEVICE | 7 |
Masayuki Hata | JP | Moriguchi-Shi | 2012-02-09 / 20120033701 - METHOD OF MANUFACTURING SEMICONDUCTOR LASER DEVICE, SEMICONDUCTOR LASER DEVICE AND LIGHT APPARATUS | 2 |
Nobuhiko Hata | US | Chestnut Hill | 2010-11-25 / 20100298635 - Steerable Capsule Apparatus and Method | 1 |
Naoko Hata | JP | Ibaraki | 2010-10-21 / 20100267754 - INTEGRIN EXPRESSION INHIBITOR | 3 |
Naoko Hata | JP | Ibaraki-Ken | 2010-04-08 / 20100086931 - METHOD FOR ASSAYING ACTION OF ANTITUMOR AGENT USING DECREASE IN GENE EXPRESSION LEVEL AS INDEX | 2 |
Noriaki Hata | JP | Tsukuba-Shi | 2014-06-26 / 20140178581 - FINE METAL PARTICLES AND FINE METAL OXIDE PARTICLES IN DRY POWDER FORM, AND USE THEREOF | 2 |
Yoshiaki Hata | JP | Nishitokyo | 2015-10-08 / 20150289252 - WIRELESS COMMUNICATION APPARATUS, WIRELESS COMMUNICATION METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 5 |
Haruhiko Hata | JP | Kanagawa-Ken | 2011-05-05 / 20110101177 - APPARATUS AND METHOD FOR REINFORCING PIPING ARRANGEMENT OF REACTOR CORE SPRAY SYSTEM | 1 |
Yoshiyuki Hata | JP | Nagoya-Shi | 2015-11-19 / 20150333046 - INTEGRATED DEVICE | 3 |
Kunitada Hatabayashi | JP | Minato-Ku | 2015-08-13 / 20150225686 - METHOD FOR DETACHING CELLS FROM ADHESION SURFACE AND CELL DETACHMENT SYSTEM | 1 |
Norichika Hatabe | JP | Hiratsuka | 2009-09-24 / 20090237828 - TAPE DEVICE DATA TRANSFERRING METHOD AND TAPE MANAGEMENT SYSTEM | 2 |
Norichika Hatabe | JP | Hiratuka | 2013-08-22 / 20130219406 - COMPUTER SYSTEM, JOB EXECUTION MANAGEMENT METHOD, AND PROGRAM | 1 |
Yasunori Hatabu | JP | Fukui | 2009-01-08 / 20090010620 - Video-Linked Controller of External Target Device and Video Recording Medium Used Teherin | 1 |
Kazuaki Hatabu | JP | Kumamoto | 2010-08-12 / 20100201862 - SOLID-STATE IMAGING DEVICE, DRIVING METHOD THEREOF, AND IMAGING APPARATUS | 1 |
Atsushi Hatabu | JP | Tokyo | 2016-03-10 / 20160071288 - STORAGE MEDIUM, METHOD, AND DEVICE FOR EVALUATING IMPORTANCE OF IN-IMAGE REGION | 3 |
Atsuhiro Hatabu | JP | Hiroshima | 2010-12-16 / 20100313561 - TURBOCHARGED ENGINE FOR VEHICLE | 1 |
Atsushi Hatabu | JP | Minato-Ku | 2011-08-11 / 20110194604 - CODE CONVERSION/TRANSMISSION METHOD AND APPARATUS FOR MOVING PICTURE DATA, AND CODE CONVERSION/RECEPTION METHOD AND APPARATUS FOR MOVING PICTURE DATA | 2 |
Atsuhiro Hatabu | JP | Hiroshima-Shi | 2015-02-26 / 20150052891 - TURBOCHARGING DEVICE OF ENGINE FOR VEHICLE | 2 |
Akiyoshi Hatada | JP | Kawasaki | 2015-10-15 / 20150295086 - SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF | 13 |
Naoki Hatada | JP | Hyogo | 2014-03-06 / 20140059857 - METHOD FOR DISMANTLING REFRIGERATORS, REFRIGERATOR DISMANTLING DEVICE, METHOD FOR DISMANTLING HOUSEHOLD ELECTRICAL APPLIANCES, AND HOUSEHOLD ELECTRICAL APPLIANCE DISMANTLING DEVICE | 2 |
Kenji Hatada | JP | Shiojiri-Shi | 2016-02-18 / 20160046137 - PRINTING APPARATUS | 14 |
Masanobu Hatada | JP | Minamitsuru-Gun | 2011-07-28 / 20110180516 - POSITION DETECTION DEVICE AND A POSITION DETECTION METHOD FOR A WORKPIECE TO BE WELDED | 2 |
Takahiro Hatada | JP | Tokyo | 2014-06-26 / 20140177339 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND MEMORY SYSTEM HAVING THE SAME | 2 |
Shigeo Hatada | JP | Numazu-Shi | 2015-06-04 / 20150152275 - PIGMENT DISPERSION, INKJET INK USING THE PIGMENT DISPERSION, METHOD FOR PREPARING THE PIGMENT DISPERSION AND IMAGE FORMING METHOD USING THE INKJET INK | 4 |
Susumu Hatada | JP | Tokyo | 2012-04-26 / 20120100448 - METHOD FOR LOAD FOLLOWING OPERATION OF FUEL CELL SYSTEM | 1 |
Ryouji Hatada | JP | Mishima-Gun | 2015-10-01 / 20150273740 - METHOD AND DEVICE FOR MANUFACTURING RESIN LAMINATE | 1 |
Shuji Hatada | JP | Ichihara-Shi | 2012-08-16 / 20120206829 - METHOD OF TESTING MAGNETIC RECORDING MEDIUM | 2 |
Masahiro Hatada | JP | Ishikawa | 2011-01-20 / 20110016256 - USB PORTABLE DEVICE | 1 |
Tamotsu Hatada | JP | Awaji-Shi | 2012-06-28 / 20120160601 - EXHAUST DEVICE OF VEHICLE AND STRADDLE-TYPE FOUR-WHEELED VEHICLE PROVIDED WITH THE SAME | 3 |
Akihiro Hatada | JP | Utsunomiya-Shi | 2015-05-14 / 20150131096 - MEASURING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE | 5 |
Takahiro Hatada | JP | Utsunomiya-Shi | 2016-03-03 / 20160062096 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING THE SAME | 11 |
Yuji Hatada | JP | Kanagawa | 2013-04-04 / 20130084621 - PLASMID VECTOR | 2 |
Susumu Hatada | JP | Kanagawa | 2014-09-11 / 20140255809 - INDIRECT INTERNAL REFORMING SOLID OXIDE FUEL CELL AND METHOD FOR SHUTTING DOWN THE SAME | 13 |
Yuji Hatada | JP | Yokosuka-Shi | 2015-06-25 / 20150175958 - CELL LINE DERIVED FROM THREAD-SAIL FILEFISH (STEPHANOLEPIS CIRRHIFER) | 5 |
Michinori Hatada | JP | Fujisawa-Shi | 2009-12-24 / 20090316363 - AIR VOLUME CONTROL MODULE FOR VEHICULAR AIR CONDITIONING APPARATUS | 1 |
Kenji Hatada | JP | Shiojiri | 2012-04-19 / 20120092671 - COLOR MEASUREMENT DEVICE AND COLOR MEASUREMENT METHOD | 1 |
Akiyoshi Hatada | JP | Kawasaki | 2015-10-15 / 20150295086 - SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF | 13 |
Shigeo Hatada | JP | Shizuoka | 2013-10-10 / 20130264406 - MEDIA STIRRER MILL AND METHOD OF PREPARING DISPERSION ELEMENT | 1 |
Yasutaka Hatada | JP | Fuji-Shi | 2014-12-25 / 20140379147 - HOT WATER SUPPLY SYSTEM, WATER HEATER AND HOT WATER SUPPLY CONTROL METHOD | 3 |
Kentaro Hatada | JP | Tokyo | 2013-11-21 / 20130311352 - SECURITIES TRADING SIMULATION SYSTEM | 2 |
Kenji Hatada | JP | Shioriji | 2013-05-16 / 20130120488 - RECORDING APPARATUS AND RECORDING MEDIUM CONVEYANCE METHOD | 1 |
Takahiro Hatada | JP | Utsunomiya-Shi | 2016-03-03 / 20160062096 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING THE SAME | 11 |
Izuho Hatada | JP | Kanagawa | 2015-08-13 / 20150228846 - METHOD FOR GROWING A NITRIDE-BASED III-V GROUP COMPOUND SEMICONDUCTOR | 3 |
Masanobu Hatada | JP | Yamanashi | 2015-11-12 / 20150321283 - TIP DRESSING SYSTEM WITH DRESSING DEVICE FOR CUTTING ELECTRODE TIPS OF SPOT WELDING GUN | 1 |
Akiyoshi Hatada | JP | Kawasaki-Shi | 2010-05-27 / 20100129971 - SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREOF | 1 |
Yoshikazu Hatada | JP | Chiryu-Shi, Aichi-Ken | 2016-01-28 / 20160023591 - CONTROL APPARATUS FOR CONTROLLING LIGHT EMISSION OF VEHICLE HEADLIGHT, AND HEADLIGHT SYSTEM PROVIDED WITH THE CONTROL APPARATUS | 1 |
Kenji Hatada | JP | Shiojiri-Shi | 2016-02-18 / 20160046137 - PRINTING APPARATUS | 14 |
Akiyoshi Hatada | JP | Yokohama | 2012-10-18 / 20120261760 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE | 2 |
Koki Hatada | JP | Kawasaki | 2016-04-28 / 20160117300 - SYSTEM, METHOD, AND RECORDING MEDIUM | 6 |
Susumu Hatada | JP | Kanagawa | 2014-09-11 / 20140255809 - INDIRECT INTERNAL REFORMING SOLID OXIDE FUEL CELL AND METHOD FOR SHUTTING DOWN THE SAME | 13 |
Hitoshi Hatada | JP | Sagamihara-Shi | 2010-11-18 / 20100290019 - EXPOSURE APPARATUS, EXPOSURE METHOD AND DEVICE FABRICATING METHOD | 1 |
Kazunari Hatade | JP | Tokyo | 2013-09-26 / 20130248926 - SEMICONDUCTOR DEVICE | 5 |
Kazunari Hatade | JP | Chiyoda-Ku | 2011-06-02 / 20110127575 - SEMICONDUCTOR DEVICE | 2 |
Kouei Hatade | JP | Osaka | 2015-01-29 / 20150029727 - OPTICAL ELEMENT | 2 |
Eiichiro Hatae | JP | Fukuoka | 2010-04-15 / 20100092750 - HIGH-DURABILITY SLEEVE BRICKS | 1 |
Munenori Hatae | JP | Fukuoka | 2009-01-01 / 20090005045 - Mobile device handover supporting method, radio network control device, radio base station and computer program | 1 |
Eiichi Hatae | JP | Fukuoka | 2015-02-05 / 20150036671 - CORDLESS TELEPHONE EQUIPMENT AND CORDLESS TELEPHONE SYSTEM | 2 |
Kazuhiko Hatae | JP | Kawasaki | 2016-05-12 / 20160134261 - SIGNAL PROCESSING APPARATUS, SIGNAL PROCESSING METHOD, AND SIGNAL PROCESSING SYSTEM | 10 |
Tomoya Hatae | JP | Osaka-Shi | 2014-05-15 / 20140130441 - CONNECTING FITTING, FRAME PROVIDED WITH SAME, AND BUILDING USING FRAME | 2 |
Shinji Hatae | JP | Tokyo | 2012-03-22 / 20120068678 - DC-DC CONVERTER | 1 |
Youko Hatae | JP | Otake-Shi | 2014-11-27 / 20140350186 - Polymer Powder, Curable Resin Composition and Cured Material Thereof | 3 |
Youko Hatae | JP | Hiroshima | 2016-04-07 / 20160096955 - Polymer Powder, Curable Resin Composition and Cured Material Thereof | 3 |
Akira Hatae | JP | Fukuoka | 2016-03-31 / 20160094900 - OPTICAL TRANSMISSION DEVICE AND OPU FRAME GENERATION METHOD | 1 |
Ryo Hatae | JP | Wako-Shi | 2015-04-02 / 20150090216 - INTERNAL COMBUSTION ENGINE | 1 |
Ryoju Hatae | JP | Tokyo | 2009-02-12 / 20090041248 - RECORDING MEDIUM, AUTHORING DEVICE, AND AUTHORING METHOD | 1 |
Kazuhiko Hatae | JP | Kawasaki | 2016-05-12 / 20160134261 - SIGNAL PROCESSING APPARATUS, SIGNAL PROCESSING METHOD, AND SIGNAL PROCESSING SYSTEM | 10 |
Toru Hatae | JP | Tokyo | 2010-11-18 / 20100291459 - Segmented-In-Series Solid Oxide Fuel Cell Stack and Fuel Cell | 1 |
Shinji Hatae | JP | Chiyoda-Ku | 2013-05-16 / 20130119968 - DC-DC CONVERTER WITH AN AUXILIARY CIRCUIT FOR PERFORMING SOFT-SWITCHING | 1 |
Toshifumi Hatagami | JP | Machida-Shi | 2011-06-23 / 20110149425 - SYSTEM FOR MONITORING LSI SUPPLYING CURRENT TO LOAD | 1 |
Michio Hatagi | JP | Chigasaki | 2014-03-20 / 20140078473 - SCANNING TYPE PROJECTOR | 6 |
Feras Hatahet | US | Boston | 2014-11-20 / 20140342398 - Method for producing disulfide bond containing proteins in a prokaryotic cytoplasm | 1 |
Satoko Hatahira | JP | Fujisawa | 2009-09-24 / 20090239274 - Yeast and Method of Producing L-Lactic Acid | 1 |
Ayako Hatai | JP | Wako-Shi, Saitama | 2016-02-25 / 20160054348 - VEHICLE WHEEL SPEED SENSOR PROTECTION STRUCTURE | 1 |
Akira Hatai | JP | Chiyoda-Ku | 2013-10-03 / 20130258602 - POWER CONVERSION APPARATUS | 2 |
Takashi Hatai | JP | Osaka | 2011-07-07 / 20110163686 - LIGHTING DEVICE | 1 |
Munehiro Hatai | JP | Osaka | 2013-09-12 / 20130237018 - ADHESIVE FOR ELECTRONIC COMPONENTS, AND MANUFACTURING METHOD FOR SEMICONDUCTOR CHIP MOUNT | 2 |
Tetsuya Hatai | JP | Fukuyama-Shi | 2009-10-15 / 20090258456 - Method for manufacturing a solid-state image capturing apparatus, and electronic information device | 2 |
Akira Hatai | JP | Tokyo | 2013-08-29 / 20130221895 - MOTOR DRIVE CIRCUIT | 1 |
Makoto Hataida | JP | Kawasaki | 2012-01-05 / 20120002677 - Arbitration method, arbiter circuit, and apparatus provided with arbiter circuit | 11 |
Takehisa Hataita | JP | Kyoto-Shi | 2014-08-21 / 20140230559 - STRUCTURE FOR USE WITH FLUID DIAPHRAGM | 3 |
Takehisa Hataita | JP | Kyoto | 2016-04-14 / 20160103030 - CAPACITIVE PRESSURE SENSOR | 1 |
Takehisa Hataita | JP | Kuse-Gun | 2013-06-27 / 20130162270 - CAPACITANCE TYPE MEASURING DEVICE | 1 |
Kimio Hatajiri | JP | Kanagawa | 2009-04-16 / 20090096724 - Display apparatus, quantity-of-light adjusting method for display apparatus and electronic equipment | 1 |
Yasuyuki Hatakawa | JP | Fujimino-Shi | 2015-07-23 / 20150208459 - WIRELESS COMMUNICATION TERMINAL, BASE STATION, WIRELESS COMMUNICATION METHOD, COMMUNICATION METHOD, WIRELESS COMMUNICATION PROGRAM, COMMUNICATION PROGRAM, AND WIRELESS COMMUNICATION SYSTEM | 6 |
Kazunori Hatakawa | JP | Yamanashi | 2013-06-06 / 20130140765 - SHEET STORAGE APPARATUS AND IMAGE FORMATION SYSTEM USING THE APPARATUS | 1 |
Yasuyuki Hatakawa | JP | Saitama | 2014-05-01 / 20140119352 - INTERLEAVING APPARATUS AND WIRELESS COMMUNICATION SYSTEM | 1 |
Kazunori Hatakawa | JP | Kai-Shi | 2013-03-14 / 20130063743 - Sheet post-processing apparatus and image forming apparatus usingthe same | 1 |
Kazuhiro Hatake | JP | Naka-Gun | 2013-07-25 / 20130190993 - Traction Control Apparatus | 4 |
Kiyohiko Hatake | JP | Tokyo | 2012-05-10 / 20120115146 - METHOD OF ANALYZING GENETICALLY ABNORMAL CELLS | 1 |
Kazuyuki Hatake | JP | Kyoto | 2011-01-13 / 20110010155 - TRACHEAL INTUBATION TRAINING APPARATUS | 1 |
Kazuhiro Hatake | JP | Kanagawa | 2012-02-16 / 20120041651 - Traction Control Device | 5 |
Shinji Hatake | JP | Fukushima | 2008-09-04 / 20080213658 - BATTERY | 1 |
Kazuhiro Hatake | JP | Nakagun | 2013-05-23 / 20130131935 - Vehicle Speed Estimator and Traction Control Device | 2 |
Darrin Hatakeda | US | Redmond | 2016-04-14 / 20160103832 - AD-HOC QUERIES INTEGRATING USAGE ANALYTICS WITH SEARCH RESULTS | 5 |
Darrin N. Hatakeda | US | Redmond | 2011-12-22 / 20110314383 - SECURED AND FILTERED PERSONAL INFORMATION PUBLISHING | 1 |
Takashi Hatakeda | JP | Tokyo | 2016-01-07 / 20160004404 - IMAGE DISPLAY DEVICE, IMAGE DISPLAY CONTROL METHOD, PROGRAM AND INFORMATION STORAGE MEDIUM | 9 |
Takashi Hatakeda | JP | Tokyo | 2016-01-07 / 20160004404 - IMAGE DISPLAY DEVICE, IMAGE DISPLAY CONTROL METHOD, PROGRAM AND INFORMATION STORAGE MEDIUM | 9 |
Kohei Hatakeda | JP | Ibaraki-Shi | 2015-08-20 / 20150232604 - POLYMERIZED ROSIN COMPOUND AND PRODUCTION METHOD THEREFOR | 1 |
Susumu Hatakenaka | JP | Tokyo | 2013-05-02 / 20130109134 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hiroyuki Hatakenaka | JP | Tokyo | 2009-08-06 / 20090198136 - ULTRASONIC DIAGNOSTIC APPARATUS | 2 |
Mikako Hatakenaka | JP | Tokyo | 2008-12-04 / 20080301681 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 1 |
Hiroyuki Hatakenaka | JP | Hyogo | 2010-08-05 / 20100196669 - PRESSURE-SENSITIVE ADHESIVE SHEET | 1 |
Takashi Hatakenaka | JP | Tokyo | 2015-11-19 / 20150332444 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 2 |
Makoto Hatakenaka | JP | Tokyo | 2010-07-29 / 20100191883 - INFORMATION DEVICE INCLUDING MAIN PROCESSING CIRCUIT, INTERFACE CIRCUIT, AND MICROCOMPUTER | 1 |
Kaname Hatakenaka | JP | Saitama | 2011-07-28 / 20110183219 - Method for Producing Lithium Difluorobis (Oxalato) Phosphate Solution | 1 |
Seiichi Hatakenaka | JP | Osaka | 2014-06-12 / 20140159833 - ELASTIC WAVE DEVICE | 1 |
Toshikazu Hatakenaka | JP | Mie | 2012-01-05 / 20120000070 - METHOD FOR MANUFACTURING PASTE-TYPE ELECTRODE OF LEAD-ACID BATTERY AND APPARATUS THEREFOR | 1 |
Kenta Hatakenaka | JP | Obu-Shi | 2015-10-08 / 20150288276 - POWER SUPPLY APPARATUS | 4 |
Michiru Hatakenaka | JP | Nagano | 2010-02-18 / 20100039044 - LIGHT-EMITTING COMPONENT AND ITS MANUFACTURING METHOD | 1 |
Kimie Hatakenaka | JP | Mie | 2013-12-19 / 20130335719 - EXPOSURE METHOD, EXPOSURE APPARATUS, AND PHOTOMASK | 1 |
Hideaki Hatakenaka | JP | Tokyo | 2009-08-13 / 20090200781 - Brake apparatus for vehicle | 1 |
Satoru Hatakeyama | JP | Tama-Shi | 2012-11-15 / 20120289411 - METHOD FOR SCREENING NUCLEIC ACID LIGAND | 1 |
Hirokazu Hatakeyama | JP | Tokyo | 2012-03-22 / 20120068855 - MEDICAL TELEMETRY SYSTEM AND MEDICAL TELEMETER | 1 |
Kazunori Hatakeyama | JP | Tokyo | 2016-03-17 / 20160079845 - DC POWER-SUPPLY DEVICE AND REFRIGERATION-CYCLE APPLICATION DEVICE INCLUDING THE SAME | 19 |
Izumi Hatakeyama | JP | Tokyo | 2015-08-06 / 20150222374 - RECEPTION DEVICE, RECEPTION METHOD, AND PROGRAM | 9 |
Norio Hatakeyama | JP | Akita | 2015-11-19 / 20150333038 - SEMICONDUCTOR DEVICE INCLUDING FILLING MATERIAL PROVIDED IN SPACE DEFINED BY THREE SEMICONDUCTOR CHIPS | 1 |
Takashi Hatakeyama | JP | Kanagawa-Ken | 2011-12-22 / 20110310169 - INKJET HEAD UNIT | 10 |
Kazuma Hatakeyama | JP | Saitama | 2011-08-25 / 20110203409 - TRANSMISSION | 1 |
Kiyoshi Hatakeyama | JP | Yokosuka-Shi | 2014-12-25 / 20140374459 - FUSION CUTTING DEVICE | 2 |
Koichi Hatakeyama | JP | Kyoto | 2014-10-09 / 20140302606 - METHOD FOR PRODUCING AURICULAR CARTILAGE TISSUE, AND AURICULAR CARTILAGE TISSUE | 1 |
Yoshihiro Hatakeyama | JP | Tokyo | 2011-09-08 / 20110215648 - UNINTERRUPTED POWER SUPPLY UNIT | 1 |
Satoshi Hatakeyama | JP | Yokohama | 2016-05-12 / 20160132016 - IMAGE FORMING APPARATUS AND LUBRICATING METHOD FOR THE SAME | 3 |
Koshi Hatakeyama | JP | Tokyo | 2016-04-21 / 20160110851 - IMAGE PROCESSING APPARATUS, IMAGE CAPTURING APPARATUS, IMAGE PROCESSING METHOD AND STORAGE MEDIUM STORING IMAGE PROCESSING PROGRAM | 39 |
Makoto Hatakeyama | JP | Tokyo | 2014-01-09 / 20140013410 - ACCESS RIGHT MANAGEMENT SYSTEM, ACCESS RIGHT MANAGEMENT METHOD, AND ACCESS RIGHT MANAGEMENT PROGRAM | 9 |
Tadahide Hatakeyama | JP | Kanagawa | 2014-06-12 / 20140162932 - AQUEOUS LIQUID FRAGRANCE COMPOSITION AND AQUEOUS LIQUID FRAGRANCE USING SAME | 1 |
Tomoyuki Hatakeyama | JP | Hitachinaka | 2015-04-02 / 20150092452 - DC-DC Converter | 3 |
Yohei Hatakeyama | JP | Yokohama Kanagawa | 2015-05-14 / 20150130647 - CURRENT AMPLIFIER CIRCUIT, INTEGRATOR, AND AD CONVERTER | 1 |
Hiroya Hatakeyama | JP | Chiba-Shi | 2014-08-07 / 20140219924 - MEDICAL TISSUE-MARKER AND MANUFACTURING METHOD FOR THE SAME | 1 |
Yoshiyuki Hatakeyama | JP | Fuji-Shi | 2015-07-02 / 20150187110 - VEHICLE INFORMATION DISPLAY DEVICE AND VEHICLE INFORMATION DISPLAY METHOD | 9 |
Akiyuki Hatakeyama | US | Austin | 2009-12-17 / 20090313456 - METHODS AND APPARATUS FOR DYNAMIC PREDICTION BY SOFTWARE | 2 |
Yoshinori Hatakeyama | JP | Tokyo | 2015-12-03 / 20150346146 - ELECTROPHORESIS METHOD AND ELECTROPHORESIS DEVICE | 1 |
Akiyuki Hatakeyama | JP | Tokyo | 2012-07-26 / 20120191765 - Information Processing Apparatus | 7 |
Eisuke Hatakeyama | JP | Mobara | 2011-07-21 / 20110175096 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Kumiko Hatakeyama | JP | Sagamihara-Shi | 2011-04-14 / 20110085824 - IMAGE BEARING MEMBER AND IMAGE FORMING APPARATUS | 5 |
Tomonobu Hatakeyama | JP | Shizuoka-Ken | 2012-10-11 / 20120258332 - METHOD OF WELDING DISSIMILAR METAL MATERIALS AND WELDED BODY OF DISSIMILAR METAL MATERIALS | 1 |
Mitsuaki Hatakeyama | JP | Gifu-Ken | 2014-11-20 / 20140340026 - INPUT-OUTPUT CIRCUIT | 2 |
Takeshi Hatakeyama | JP | Chiba | 2014-04-10 / 20140098277 - CATADIOPTRIC LENS SYSTEM AND IMAGE PICKUP UNIT | 10 |
Taito Hatakeyama | JP | Saitama | 2011-11-17 / 20110282077 - PROCESS FOR PRODUCING OPTICALLY ACTIVE ALCOHOL | 1 |
Eisuke Hatakeyama | JP | Tokyo | 2015-10-29 / 20150309349 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Mamoru Hatakeyama | JP | Okayama-Shi | 2015-05-28 / 20150147812 - CARRIER FOR GENE INTRODUCTION USE, GENE INTRODUCTION AGENT, METHODS FOR PRODUCING SAID CARRIER AND SAID GENE INTRODUCTION AGENT, AND METHOD FOR INTRODUCING GENE INTO CELL | 1 |
Koichi Hatakeyama | JP | Tokyo | 2013-10-31 / 20130290175 - COMMUNICATION DEVICE, COMMUNICATION METHOD, AND COMMUNICATION SYSTEM | 9 |
Kazunori Hatakeyama | JP | Tokyo | 2016-03-17 / 20160079845 - DC POWER-SUPPLY DEVICE AND REFRIGERATION-CYCLE APPLICATION DEVICE INCLUDING THE SAME | 19 |
Chisato Hatakeyama | JP | Kawasaki-Shi | 2011-06-30 / 20110158722 - CLEANING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Kenji Hatakeyama | JP | Kasukabe-Shi | 2011-06-30 / 20110157614 - IMAGE PROCESSING METHOD AND IMAGE PROCESSING APPARATUS | 1 |
Mitsuake Hatakeyama | JP | Gifu-Ken | 2012-09-27 / 20120242282 - INPUT-OUTPUT CIRCUIT | 1 |
Akira Hatakeyama | JP | Kanagawa | 2013-12-26 / 20130340830 - SOLAR CELL BACKSHEET, PRODUCING METHOD OF THE SAME, AND SOLAR CELL MODULE | 10 |
Kenichi Hatakeyama | JP | Hiroshima | 2013-05-09 / 20130111966 - METHOD FOR FORMING STEEL SHEET BY HOT PRESSING | 2 |
Jun Hatakeyama | JP | Joetsu-Shl | 2015-08-20 / 20150234274 - RESIST TOP-COAT COMPOSITION AND PATTERNING PROCESS | 1 |
Hideyuki Hatakeyama | JP | Tokyo | 2016-02-11 / 20160042754 - METAL MEMBER FOR MAGNETIC STORAGE MEDIUM AND MAGNETIC STORAGE MEDIUM | 3 |
Kumiko Hatakeyama | JP | Kanagawa-Ken | 2009-09-17 / 20090232541 - IMAGE FORMING APPARATUS, PROTECTANT APPLICATOR AND PROCESS CARTRIDGE | 1 |
Yoshiyuki Hatakeyama | JP | Mishima-Shi | 2014-05-15 / 20140132407 - VEHICLE INFORMATION TRANSMITTING APPARATUS | 1 |
Kazunori Hatakeyama | JP | Chiyoda-Ku | 2012-09-20 / 20120234031 - AIR CONDITIONER | 1 |
Izumi Hatakeyama | JP | Tokyo | 2015-08-06 / 20150222374 - RECEPTION DEVICE, RECEPTION METHOD, AND PROGRAM | 9 |
Yoshiharu Hatakeyama | JP | Osaka | 2015-04-02 / 20150090922 - THERMALLY CONDUCTIVE SHEET | 7 |
Yasuhiro Hatakeyama | JP | Saitama | 2011-11-10 / 20110273785 - LENS BARREL | 1 |
Masahiro Hatakeyama | JP | Ichihara-Shi | 2015-03-05 / 20150065755 - PHENOL PURIFICATION PROCESS | 1 |
Hidetoshi Hatakeyama | JP | Tokyo | 2010-12-16 / 20100315791 - Printed Wiring Board and Electronic Apparatus | 2 |
Makoto Hatakeyama | JP | Yokosuka | 2015-02-12 / 20150042645 - PROCESSING APPARATUS FOR THREE-DIMENSIONAL DATA, PROCESSING METHOD THEREFOR, AND PROCESSING PROGRAM THEREFOR | 1 |
Tomoyuki Hatakeyama | JP | Tokyo | 2016-05-12 / 20160128725 - PUNCTURE TREATMENT INSTRUMENT FOR ENDOSCOPE | 3 |
Shuji Hatakeyama | JP | Tokyo | 2008-11-27 / 20080293040 - INFLUENZA B VIRUSES WITH REDUCED SENSITIVITY TO NEURAMINIDASE INHIBITORS | 1 |
Kenji Hatakeyama | JP | Tokyo | 2012-09-27 / 20120242733 - PRINT MODULE, INFORMATION PROCESSING DEVICE, PRINT SYSTEM, PRINT UNIT, INK SUPPLY UNIT, PRINT METHOD, AND PROGRAM | 2 |
Yuki Hatakeyama | JP | Tokyo | 2009-11-05 / 20090276699 - DOCUMENT PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 3 |
Shigeaki Hatakeyama | JP | Tokyo | 2010-01-07 / 20100000746 - PROCESS FOR PRODUCING EXTINGUISHING AGENT AND THROW-TYPE FIRE EXTINGUISHER | 1 |
Yoshio Hatakeyama | JP | Tokyo | 2010-07-01 / 20100166840 - LIPOSOME HAVING LIPID MEMBRANE CONTAINING BACTERIAL CELL COMPONENT | 1 |
Kumiko Hatakeyama | JP | Kanagawa | 2009-05-07 / 20090116863 - IMAGE FORMING APPARATUS, PROCESS CARTRIDGE, CLEANING SYSTEM, AND IMAGE FORMING APPARATUS WITH CLEANING SYSTEM | 2 |
Koshi Hatakeyama | JP | Tokyo | 2016-04-21 / 20160110851 - IMAGE PROCESSING APPARATUS, IMAGE CAPTURING APPARATUS, IMAGE PROCESSING METHOD AND STORAGE MEDIUM STORING IMAGE PROCESSING PROGRAM | 39 |
Atsushi Hatakeyama | JP | Chuo-Ku | 2015-12-17 / 20150360150 - WATER PURIFIER | 1 |
Shigeru Hatakeyama | JP | Nagoya-Shi | 2013-10-03 / 20130255903 - VACUUM CASTING APPARATUS | 1 |
Tetsuo Hatakeyama | JP | Tachikawa-Shi | 2015-05-14 / 20150134820 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD AND STORAGE MEDIUM | 3 |
Atsushi Hatakeyama | JP | Osaka | 2012-10-04 / 20120249407 - Image Display Apparatus and Computer Apparatus Employing Same | 6 |
Tetsuo Hatakeyama | JP | Yokohama-Shi | 2010-04-01 / 20100078650 - SEMICONDUCTOR DEVICE | 1 |
Atsushi Hatakeyama | JP | Ome | 2009-04-23 / 20090103005 - Light Source Module | 1 |
Atsushi Hatakeyama | JP | Tokyo | 2016-01-07 / 20160004745 - DATA SEARCH METHOD AND DATA SEARCH SYSTEM | 8 |
Atsushi Hatakeyama | JP | Yokohama | 2015-07-30 / 20150212848 - SECURITY MANAGEMENT DEVICE AND METHOD | 4 |
Atsushi Hatakeyama | JP | Toyohashi-Shi | 2009-01-15 / 20090014366 - WATER DRINKING DEVICE | 1 |
Akiyuki Hatakeyama | JP | Kanagawa | 2015-10-22 / 20150301823 - Information Processing Device, Difference Information Generating Device, Program, And Recording Medium. | 1 |
Yoshiaki Hatakeyama | JP | Wako-City | 2014-03-27 / 20140087128 - HONEYCOMB STRUCTURE | 1 |
Shinya Hatakeyama | JP | Yokohama | 2014-03-20 / 20140078897 - COMMUNICATION CONTROL DEVICE, WIRELESS COMMUNICATION SYSTEM, AND WIRELESS COMMUNICATION METHOD | 1 |
Minoru Hatakeyama | JP | Wako-Shi | 2014-02-27 / 20140058215 - BIOLOGICAL INFORMATION MEASURING DEVICE | 1 |
Kazuma Hatakeyama | JP | Wako-Shi | 2013-10-03 / 20130260954 - TOROIDAL CONTINUOUSLY VARIABLE TRANSMISSION MECHANISM | 1 |
Takushi Hatakeyama | JP | Miyagi | 2012-07-19 / 20120183282 - PTC HEATER UNIT AND PRESSURE REDUCING VALVE FOR LPG FUEL | 2 |
Tetsuo Hatakeyama | JP | Tokyo | 2015-05-14 / 20150135304 - ELECTRONIC APPARATUS AND CONTROL METHOD THEREOF | 2 |
Takumi Hatakeyama | JP | Kyoto-Shi | 2008-09-04 / 20080212938 - Computer readable storage medium storing video contents display program and video contents display apparatus | 1 |
Shigeru Hatakeyama | JP | Fukushima-Ken | 2008-09-04 / 20080212209 - OPTICAL LENS DEVICE SOLDERABLE TO SUBSTRATE AND METHOD OF MANUFACTURING THE OPTICAL LENS DEVICE | 1 |
Tsutomu Hatakeyama | JP | Kanagawa-Ken | 2008-10-23 / 20080259700 - BUS CONTROL APPARATUS AND BUS CONTROL METHOD | 1 |
Hiroshi Hatakeyama | JP | Minami-Ashigara-Shi | 2008-10-30 / 20080269048 - CARDBOARD CYLINDER FOR A HEAT-SENSITIVE TRANSFER IMAGE-RECEIVING SHEET, ROLLED HEAT-SENSITIVE TRANSFER IMAGE-RECEIVING SHEET TO FORM A ROLL SHAPE, AND IMAGE-FORMING METHOD | 1 |
Kouzo Hatakeyama | JP | Ayase | 2008-11-27 / 20080294485 - System, method and program for supporting creating a business process | 1 |
Chisato Hatakeyama | JP | Osaka | 2012-08-09 / 20120201575 - Developing Device and Image Forming Apparatus Including the Same | 3 |
Kouichi Hatakeyama | JP | Iwata-Shi | 2009-01-15 / 20090014102 - Copper-based alloy and method of manufacturing same | 1 |
Tetsuo Hatakeyama | JP | Tsukuba-Shi | 2013-09-19 / 20130240906 - SIC SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Hiroto Hatakeyama | JP | Hokkaido | 2013-08-01 / 20130195962 - LIPID MEMBRANE STRUCTURE | 2 |
Rikizo Hatakeyama | JP | Miyagi | 2009-01-22 / 20090022648 - Induction fullerene producing device and producing method and induction fullerene | 1 |
Ryoh Hatakeyama | JP | Suzuka-Shi Mie | 2009-02-19 / 20090046446 - LIGHTING UNIT, BACKLIGHT UNIT, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Nami Hatakeyama | JP | Tomi-City | 2009-06-04 / 20090143509 - INKJET INK | 3 |
Naoyoshi Hatakeyama | JP | Ichihara-Shi | 2009-06-18 / 20090156854 - ADAMANTANE DERIVATIVES AND PROCESS FOR PRODUCING THE SAME | 1 |
Naoki Hatakeyama | JP | Yokkaichi-Shi | 2013-08-29 / 20130224401 - SILICON SEED ROD ASSEMBLY OF POLYCRYSTALLINE SILICON, METHOD OF FORMING THE SAME, POLYCRYSTALLINE SILICON PRODUCING APPARATUS, AND METHOD OF PRODUCING POLYCRYSTALLINE SILICON | 6 |
Kazuhiko Hatakeyama | JP | Sanjo-Shi | 2009-07-02 / 20090166917 - METHOD FOR PRODUCING EXTRUDED RESIN SHEET | 3 |
Tomoyuki Hatakeyama | JP | Hachioji-Shi | 2011-08-11 / 20110194859 - OPTICAL TRANSMITTER | 3 |
Takuya Hatakeyama | JP | Saitama | 2009-08-06 / 20090197353 - METHOD OF MANUFACTURING MATERIAL TO BE ETCHED | 1 |
Ichiro Hatakeyama | JP | Minato-Ku | 2009-08-06 / 20090196548 - SEMICONDUCTOR DEVICE HAVING OPTICAL SIGNAL INPUT-OUTPUT MECHANISM | 1 |
Masanori Hatakeyama | JP | Hokkaido | 2009-08-20 / 20090208458 - Transcriptional inhibitor for human k-ras gene | 1 |
Yasuhiro Hatakeyama | JP | Saitama-Shi | 2013-07-25 / 20130188268 - RETRACTABLE LENS BARREL | 2 |
Naoki Hatakeyama | JP | Hiratsuka-Shi | / - | 1 |
Shingo Hatakeyama | JP | Osaka | 2011-08-11 / 20110193276 - LIQUID-SEALED TYPE VIBRATION ISOLATOR | 2 |
Kenichi Hatakeyama | JP | Mobara | 2015-08-13 / 20150227010 - LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Shinji Hatakeyama | JP | Ibaraki | 2009-11-05 / 20090275751 - 1,2-DIHYDROPYRIDINE COMPOUNDS, MANUFACTURING METHOD THEREOF AND USE THEREOF | 1 |
Soh Hatakeyama | JP | Aichi | 2009-11-12 / 20090277911 - PACKAGING METHOD AND PACKAGING CONTAINER | 1 |
Takahisa Hatakeyama | JP | Kawasaki | 2009-12-03 / 20090300711 - ACCESS CONTROL POLICY COMPLIANCE CHECK PROCESS | 1 |
Yoshiyuki Hatakeyama | JP | Fuji-Shi | 2015-07-02 / 20150187110 - VEHICLE INFORMATION DISPLAY DEVICE AND VEHICLE INFORMATION DISPLAY METHOD | 9 |
Satoshi Hatakeyama | JP | Fujisawa | 2009-11-19 / 20090283885 - Semiconductor Device and a Method of Manufacturing the Same | 1 |
Yasushi Hatakeyama | JP | Yokkaichi-Shi | 2009-12-17 / 20090311977 - RADIO TRANSMITTER | 1 |
Kuniyuki Hatakeyama | JP | Kawasaki | 2010-01-21 / 20100014418 - Connection recovery device, method and computer-readable medium storing therein processing program | 2 |
Naoyoshi Hatakeyama | JP | Chiba | 2010-01-28 / 20100022730 - POLYMERIZABLE COMPOUND HAVING ADAMANTANE STRUCTURE, PROCESS FOR PRODUCTION OF THE SAME, AND RESIN COMPOSITION | 2 |
Satoru Hatakeyama | JP | Kawasaki-Shi | 2011-09-01 / 20110212842 - METHOD FOR SCREENING LIGAND | 5 |
Takuya Hatakeyama | JP | Inagi-Shi | 2010-02-25 / 20100045726 - PRINTING APPARATUS AND PRINT CONTROLLING METHOD | 1 |
Shuichi Hatakeyama | JP | Tochigi | 2010-03-25 / 20100071940 - CONNECTING TERMINAL, SEMICONDUCTOR PACKAGE USING CONNECTING TERMINAL AND METHOD FOR MANUFACTURING SEMICONDUCTOR PACKAGE | 1 |
Hiroshi Hatakeyama | JP | Tokyo | 2014-05-15 / 20140134461 - SECONDARY BATTERY | 13 |
Chisato Hatakeyama | JP | Osaka-Shi | 2010-06-17 / 20100150630 - IMAGE FORMING APPARATUS | 4 |
Takuya Hatakeyama | JP | Tsurugashima-Shi | 2010-07-29 / 20100187516 - ORGANIC SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR DEVICE | 2 |
Masanori Hatakeyama | JP | Yokohama-Shi | 2011-09-29 / 20110233640 - SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SEMICONDUCTOR DEVICE | 2 |
Yoshiyuki Hatakeyama | JP | Susono-Shi | 2010-09-16 / 20100234747 - SLEEPINESS JUDGING DEVICE | 2 |
Shuhei Hatakeyama | JP | Wako-Shi | 2010-09-30 / 20100242925 - ATMOSPHERE-OPENING STRUCTURE FOR CANISTER OF VEHICLE | 1 |
Shinichi Hatakeyama | JP | Koshi City | 2015-12-24 / 20150371853 - COATING TREATMENT METHOD WITH AIRFLOW CONTROL, AND NON-TRANSITORY RECORDING MEDIUM HAVING PROGRAM RECORDED THEREON FOR EXECUTING COATING TREATMENT WITH AIRFLOW CONTROL | 5 |
Mamoru Hatakeyama | JP | Yokohama-Shi | 2010-10-07 / 20100254908 - PROCESS FOR PRODUCTION OF SURFACE-COATED INORGANIC PARTICLES | 1 |
Ryoh Hatakeyama | JP | Suzuka-Shi | 2009-10-29 / 20090268125 - LIGHTING UNIT, BACKLIGHT UNIT, LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Yoshifumi Hatakeyama | JP | Miyagi | 2010-11-25 / 20100294544 - Bending-Type Rigid Printed Wiring Board and Process for Producing the Same | 1 |
Yuki Hatakeyama | JP | Yokohama | 2010-12-23 / 20100323783 - GAME APPARATUS AND STORAGE MEDIUM HAVING STORED THEREON GAME PROGRAM | 2 |
Takako Hatakeyama | JP | Aichi | 2010-12-30 / 20100330197 - ORAL OR ENTERAL COMPOSITION USEFUL FOR RECOVERY OF PHYSICAL FUNCTIONS | 1 |
Harumasa Hatakeyama | JP | Chiba | 2011-01-06 / 20110001275 - MOLDED BODY OF LAMINATED PLASTIC DERIVED FROM BIOMASS, AND MANUFACTURING METHOD THEREFORE | 1 |
Kazuyuki Hatakeyama | JP | Chiba | 2011-01-06 / 20110001275 - MOLDED BODY OF LAMINATED PLASTIC DERIVED FROM BIOMASS, AND MANUFACTURING METHOD THEREFORE | 1 |
Mamoru Hatakeyama | JP | Kanagawa | 2015-08-20 / 20150233935 - POLYMER PARTICLE CONTAINING FLUORESCENT MOLECULE AND METHOD FOR PRODUCING THE SAME | 7 |
Takayuki Hatakeyama | JP | Shiga | 2009-09-03 / 20090218969 - MOTOR DRIVE CONTROL DEVICE AND MOTOR DRIVE CONTROL SYSTEM | 1 |
Hideyuki Hatakeyama | JP | Iwaki | 2011-07-21 / 20110179276 - COMMUNICATION APPARATUS | 4 |
Shinya Hatakeyama | JP | Kawasaki | 2011-09-29 / 20110235634 - PACKET TRANSMITTING APPARATUS, PACKET RECEIVING APPARATUS, COMMUNICATION SYSTEM, AND PACKET COMMUNICATION METHOD | 6 |
Toshihiko Hatakeyama | JP | Tochigi | 2011-04-21 / 20110089719 - VEHICLE BODY STRUCTURE FOR FITTING PILLAR GARNISH AND FENDER PANEL | 1 |
Toshihiko Hatakeyama | JP | Hagagun | 2011-04-21 / 20110089720 - VEHICLE BODY STRUCTURE FOR MOUNTING WASHER TANK TO VEHICLE BODY | 1 |
Takumi Hatakeyama | JP | Kanagawa | 2016-04-21 / 20160107484 - PNEUMATIC TIRE AND PROCESS FOR PRODUCING THE SAME | 3 |
Takeshi Hatakeyama | JP | Osaka | 2016-04-28 / 20160119914 - WIRELESS COMMUNICATION SYSTEM | 9 |
Kouichi Hatakeyama | JP | Tokyo | 2011-09-29 / 20110234013 - Communication device, communication method and communication system | 1 |
Takahiro Hatakeyama | JP | Saitama | 2012-02-02 / 20120029182 - NOVEL STABLE CRYSTAL OF 1-(2'-CYANO-2'-DEOXY-BETA-D-ARABINOFURANOSYL)CYTOSINE MONOHYDROCHLORIDE | 1 |
Harumasa Hatakeyama | JP | Saku-Shi | 2013-03-28 / 20130075960 - Manufacturing Method and Apparatus for a Hollow Molded Part | 1 |
Seishi Hatakeyama | JP | Fukuyama | 2015-10-29 / 20150306649 - STEEL-SHEET SNAKING PREVENTING DEVICE AND STEEL-SHEET SNAKING PREVENTING METHOD FOR VERTICAL LOOPER | 1 |
Shigeru Hatakeyama | JP | Miyagi-Ken | 2009-04-02 / 20090086341 - LENS BARREL ASSEMBLY | 1 |
Tomoki Hatakeyama | JP | Ohta-Ku | 2014-12-04 / 20140357116 - CONNECTOR WITH WIRE COVER | 1 |
Keisuke Hatakeyama | JP | Toyonaka-Shi | 2011-05-19 / 20110117616 - METHOD FOR PRODUCING (1S,2R)-2-CHLORO-2-FLUOROCYCLOPROPANECARBOXYLIC ACID | 1 |
Makoto Hatakeyama | JP | Minato-Ku | 2012-09-27 / 20120246235 - ATTRIBUTE INFORMATION SHARING PROVIDING SYSTEM, ACCESS INFORMATION MANAGEMENT DEVICE, ACCESS INFORMATION PROXY MANAGEMENT DEVICE, METHOD AND PROGRAM THEREFOR | 3 |
Shingo Hatakeyama | JP | Hirosaki-Shi | 2015-09-17 / 20150260720 - METHOD AND KIT FOR DISTINGUISHING BETWEEN PROSTATE CARCINOMA AND BENIGN PROSTATIC HYPERPLASIA | 2 |
Makoto Hatakeyama | JP | Tokyo | 2014-01-09 / 20140013410 - ACCESS RIGHT MANAGEMENT SYSTEM, ACCESS RIGHT MANAGEMENT METHOD, AND ACCESS RIGHT MANAGEMENT PROGRAM | 9 |
Makoto Hatakeyama | JP | Fukuoka-Ken | 2009-11-05 / 20090272445 - Faucet | 2 |
Naoya Hatakeyama | JP | Ashigarakami-Gun | 2014-12-04 / 20140356760 - NITROGEN-CONTAINING CARBON ALLOY, METHOD FOR PRODUCING SAME, CARBON ALLOY CATALYST, AND FUEL CELL | 1 |
Masahiro Hatakeyama | JP | Tokyo | 2015-12-24 / 20150371813 - SURFACE PROCESSING APPARATUS | 24 |
Makoto Hatakeyama | JP | Fukuoka | 2009-07-30 / 20090188995 - FAUCET APPARATUS | 3 |
Shimpei Hatakeyama | JP | Kobe-Shi | 2015-02-19 / 20150048548 - METHOD, APPARATUS, AND SYSTEM FOR PRODUCTION OF A STRETCHED FILM | 5 |
Jun Hatakeyama | JP | Saitama-Shi | 2015-08-06 / 20150217623 - THERMAL MANAGEMENT SYSTEM FOR ELECTRIC VEHICLE AND ITS CONTROL METHOD | 3 |
Takuji Hatakeyama | JP | Kyoto | 2015-04-09 / 20150097162 - MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE | 1 |
Naoya Hatakeyama | JP | Tokyo | 2016-05-19 / 20160136810 - MANIPULATOR SYSTEM | 5 |
Yuichi Hatakeyama | JP | Ichinomiya-Shi | 2015-04-02 / 20150091238 - SHEET TRANSPORT APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Eriko Hatakeyama | JP | Tokyo | 2016-04-14 / 20160104065 - DUAL IC CARD | 2 |
Ryo Hatakeyama | JP | Tokyo | 2016-04-14 / 20160105596 - IMAGING DEVICE AND SHOOTING METHOD | 1 |
Koichi Hatakeyama | JP | Odawara-Shi | 2014-10-30 / 20140319778 - GASKET DEVICE FOR PRE-FILLED SYRINGE | 1 |
Takuya Hatakeyama | JP | Kawasaki-Shi | 2014-07-17 / 20140197391 - ORGANIC ELECTROLUMINESCENT PANEL AND METHOD FOR PRODUCING THE SAME | 1 |
Yoshiyuki Hatakeyama | JP | Toyota-Shi | 2013-01-24 / 20130021463 - BIOLOGICAL BODY STATE ASSESSMENT DEVICE | 1 |
Evan S. Hatakeyama | US | Boulder | 2012-07-26 / 20120186446 - IMIDAZOLIUM-BASED ROOM-TEMPERATURE IONIC LIQUIDS, POLYMERS, MONOMERS, AND MEMBRANES INCORPORATING SAME | 3 |
Takeshi Hatakeyama | JP | Ehime | 2014-01-30 / 20140030549 - GROUP III ELEMENT NITRIDE CRYSTAL PRODUCING METHOD AND GROUP-III ELEMENT NITRIDE CRYSTAL | 8 |
Akira Hatakeyama | JP | Shizuoka | 2015-12-31 / 20150380586 - LAMINATED SHEET AND BACK SHEET FOR SOLAR CELL MODULES | 2 |
Yuuki Hatakeyama | JP | Osaka-Shi, Osaka | 2015-11-12 / 20150320921 - METHOD FOR STERILIZING BLOOD PURIFIER AND BLOOD PURIFIER PACKAGE | 1 |
Minoru Hatakeyama | JP | Bunkyo-Ku | 2011-09-01 / 20110213268 - LIVING BODY INDEX MEASUREMENT APPARATUS | 2 |
Tetsuo Hatakeyama | JP | Kanagawa | 2012-09-13 / 20120228633 - SEMICONDUCTOR DEVICE | 2 |
Keiichi Hatakeyama | JP | Tsukuba-Shi | 2015-06-25 / 20150179494 - METHOD FOR PRODUCING SEMICONDUCTOR DEVICE | 10 |
Yuuki Hatakeyama | JP | Osaka | 2015-11-12 / 20150320921 - METHOD FOR STERILIZING BLOOD PURIFIER AND BLOOD PURIFIER PACKAGE | 3 |
Eisuke Hatakeyama | JP | Chiba | 2012-09-13 / 20120229750 - DISPLAY DEVICE | 1 |
Yori Hatakeyama | JP | Kawasaki | 2012-06-07 / 20120139527 - MIXED MODE FLUXGATE CURRENT TRANSDUCER | 1 |
Koichi Hatakeyama | JP | Tokyo | 2013-10-31 / 20130290175 - COMMUNICATION DEVICE, COMMUNICATION METHOD, AND COMMUNICATION SYSTEM | 9 |
Takashi Hatakeyama | JP | Kanagawa | 2011-01-27 / 20110020038 - DEVELOPING DEVICE | 6 |
Akira Hatakeyama | JP | Kanagawa | 2013-12-26 / 20130340830 - SOLAR CELL BACKSHEET, PRODUCING METHOD OF THE SAME, AND SOLAR CELL MODULE | 10 |
Junichi Hatakeyama | JP | Utsunomiya-Shi | 2012-08-30 / 20120218527 - IMAGE PROJECTION APPARATUS | 1 |
Takashi Hatakeyama | JP | Kanagawa-Ken | 2011-12-22 / 20110310169 - INKJET HEAD UNIT | 10 |
Takashi Hatakeyama | JP | Yokohama-Shi | 2010-03-18 / 20100067931 - DEVELOPING DEVICE | 3 |
Kumiko Hatakeyama | JP | Sagamihara City | 2009-01-15 / 20090016769 - PROTECTIVE LAYER SETTING UNIT, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS USING SAME | 3 |
Masahiro Hatakeyama | JP | Fujisawa-Shi | 2014-12-18 / 20140367570 - SUBSTRATE INSPECTION METHOD AND A SUBSTRATE PROCESSING METHOD | 4 |
Masahiro Hatakeyama | JP | Kanagawa-Ken | 2015-05-07 / 20150122993 - TESTING APPARATUS USING CHARGED PARTICLES AND DEVICE MANUFACTURING METHOD USING THE TESTING APPARATUS | 4 |
Masahiro Hatakeyama | JP | Kanagawa | 2014-02-06 / 20140034831 - INSPECTION SYSTEM BY CHARGED PARTICLE BEAM AND METHOD OF MANUFACTURING DEVICES USING THE SYSTEM | 6 |
Masahiro Hatakeyama | JP | Ohta-Ku | 2013-11-28 / 20130313429 - METHOD AND APPARATUS FOR INSPECTING SAMPLE SURFACE | 3 |
Kitsuko Hatakeyama | JP | Kanagawa | 2014-05-29 / 20140146099 - DISPLAY UNIT, ELECTRONIC APPARATUS, AND METHOD OF DRIVING DISPLAY UNIT | 1 |
Wataru Hatakeyama | JP | Iwata | 2015-09-24 / 20150267742 - SENSOR-EQUIPPED WHEEL BEARING DEVICE | 3 |
Motonobu Hatakeyama | JP | Tokyo | 2012-08-23 / 20120212980 - POWER SUPPLY APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Ichiro Hatakeyama | JP | Tokyo | 2010-12-23 / 20100321902 - CONNECTION STRUCTURE AND INFORMATION PROCESSING APPARATUS | 3 |
Evan S. Hatakeyama | US | Hillsboro | 2014-06-05 / 20140154499 - Method and Membrane for Nanoporous, Bicontinuous Cubic Lyotropic Liquid Crystal Polymer Membranes that Enable Facile Film Processing and Pore Size Control | 2 |
Takuji Hatakeyama | JP | Hyogo | 2015-08-20 / 20150236274 - POLYCYCLIC AROMATIC COMPOUND | 1 |
Evan Hatakeyama | US | Hillsboro | 2012-08-23 / 20120211424 - Novel Polymerizable Surfactant Platforms and Uses Thereof | 1 |
Keiichi Hatakeyama | JP | Tokyo | 2011-03-10 / 20110060136 - DENDRIMER-COATED MAGNETIC FINE PARTICLES, AND METHOD FOR PREPARING SAME AND UTILITY THEREOF | 2 |
Yutaka Hatakeyama | JP | Tokyo | 2013-06-27 / 20130161537 - Solar Simulator | 3 |
Kazuo Hatakeyama | JP | Tokyo | 2014-09-25 / 20140284690 - SEMICONDUCTOR DEVICE | 3 |
Jun Hatakeyama | JP | Jyoetsu | 2016-04-21 / 20160111287 - METHOD FOR FORMING MULTI-LAYER FILM AND PATTERNING PROCESS | 28 |
Keiichi Hatakeyama | JP | Ibaraki | 2010-12-09 / 20100311227 - METHOD FOR PRODUCING SEMICONDUCTOR CHIP WITH ADHESIVE FILM, ADHESIVE FILM FOR SEMICONDUCTOR USED IN THE METHOD, AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE | 5 |
Keiichi Hatakeyama | JP | Tsukuba-Shi | 2015-06-25 / 20150179494 - METHOD FOR PRODUCING SEMICONDUCTOR DEVICE | 10 |
Makoto Hatakeyama | JP | Yokosuka-Shi | 2013-04-04 / 20130083020 - THREE-DIMENSIONAL DATA PROCESSING APPARATUS, THREE-DIMENSIONAL DATA PROCESSING METHOD, AND THREE-DIMENSIONAL DATA PROCESSING PROGRAM | 2 |
Kazuhiko Hatakeyama | JP | Tokyo | 2013-10-24 / 20130276609 - SHEET CUTTING DEVICE | 1 |
Kenichi Hatakeyama | JP | Tokyo | 2014-12-11 / 20140362327 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Shimpei Hatakeyama | JP | Tokyo | 2015-03-19 / 20150076734 - METHOD FOR PRODUCTION OF AN OBLIQUELY STRETCHED FILM | 3 |
Hiroto Hatakeyama | JP | Sapporo-Shi | 2014-11-13 / 20140335157 - CATIONIC LIPID HAVING IMPROVED INTRACELLULAR KINETICS | 2 |
Shinji Hatakeyama | CH | Basel | 2016-03-17 / 20160075670 - BENZOTHIAZOLONE COMPOUND | 6 |
Takeshi Hatakeyama | JP | Sodegaura-Shi | 2012-12-13 / 20120316310 - ETHYLENE-alpha-OLEFIN COPOLYMER | 3 |
Takeshi Hatakeyama | JP | Chiba | 2014-04-10 / 20140098277 - CATADIOPTRIC LENS SYSTEM AND IMAGE PICKUP UNIT | 10 |
Takeshi Hatakeyama | JP | Osaka | 2016-04-28 / 20160119914 - WIRELESS COMMUNICATION SYSTEM | 9 |
Toru Hatakeyama | JP | Tokyo | 2015-07-09 / 20150194957 - SEMICONDUCTOR DEVICE WITH BUFFER AND REPLICA CIRCUITS | 3 |
Keiichi Hatakeyama | JP | Sunto-Gun | 2014-08-07 / 20140220624 - SYSTEM AND METHOD FOR RETRIEVAL TREATMENT OF PROTEINS IN FORMALIN-FIXED PARAFFIN-EMBEDDED TISSUE SECTION | 1 |
Kiyoshi Hatakeyama | JP | Kanagawa | 2015-10-22 / 20150301206 - UNDERWATER OBSERVATION APPARATUS | 1 |
Jun Hatakeyama | JP | Nakano-Ku | 2009-01-01 / 20090000322 - Control device and control method for air conditioning device | 1 |
Jun Hatakeyama | JP | Joetsu-Shi | 2016-05-19 / 20160139512 - PATTERN FORMING PROCESS AND SHRINK AGENT | 149 |
Takeshi Hatakeyama | JP | Ehime | 2014-01-30 / 20140030549 - GROUP III ELEMENT NITRIDE CRYSTAL PRODUCING METHOD AND GROUP-III ELEMENT NITRIDE CRYSTAL | 8 |
Jun Hatakeyama | JP | Jyoetsu | 2016-04-21 / 20160111287 - METHOD FOR FORMING MULTI-LAYER FILM AND PATTERNING PROCESS | 28 |
Kazushige Hatakeyama | JP | Kanagawa | 2012-07-19 / 20120181898 - ACOUSTIC WAVE DEVICE | 1 |
Katsunori Hatakeyama | JP | Tsu-Shi | 2013-09-26 / 20130254929 - METHOD FOR PRODUCING CRUCIFEROUS PLANT RESISTANT TO CLUBROOT | 1 |
Hiroyuki Hatakeyama | JP | Tokyo | 2016-05-05 / 20160123606 - DECORATIVE PANEL MOUNT STRUCTURE OF AIR-CONDITIONING APPARATUS AND INDOOR UNIT HAVING THE SAME | 3 |
Jun Hatakeyama | JP | Joetsu-Shi, Niigata-Ken | 2014-12-11 / 20140363955 - UNDERLAYER FILM-FORMING COMPOSITION AND PATTERN FORMING PROCESS | 1 |
Atsushi Hatakeyama | JP | Tokyo | 2016-01-07 / 20160004745 - DATA SEARCH METHOD AND DATA SEARCH SYSTEM | 8 |
Takeshi Hatakeyama | JP | Yokohama-Shi | 2009-09-17 / 20090232525 - IMAGE FORMING APPARATUS, METHOD OF DETERMINING DETERIORATION DEGREE OF DEVELOPMENT AGENT, AND PROGRAM OF DETERMINING DETERIORATION DEGREE OF DEVELOPMENT AGENT | 1 |
Takeshi Hatakeyama | JP | Tokyo | 2009-06-18 / 20090153072 - Inverter Circuit | 1 |
Takuji Hatakeyama | JP | Uji-Shi | 2016-02-18 / 20160049600 - POLYCYCLIC AROMATIC COMPOUND | 5 |
Hiroki Hatakeyama | JP | Hiroshima | 2010-07-15 / 20100175820 - LIGHT REGULATING FILM, LAMINATED LIGHT REGULATING FILM, AND METHOD FOR PRODUCING LIGHT REGULATING FILM AND LAMINATED LIGHT REGULATING FILM | 4 |
Koichi Hatakeyama | JP | Chiba-Shi | 2014-08-21 / 20140231006 - PRESSURE-SENSITIVE ADHESIVE LABEL, METHOD OF MANUFACTURING PRESSURE-SENSITIVE ADHESIVE LABEL, AND LABEL ISSUING DEVICE | 5 |
Tadashi Hatakeyama | JP | Tokyo | 2013-01-10 / 20130012292 - GAMING MACHINE | 1 |
Jun Hatakeyama | JP | Niigata | 2015-01-29 / 20150030983 - RESIST TOP COAT COMPOSITION AND PATTERNING PROCESS | 7 |
Rauno Hatakka | FI | Riihimaki | 2013-09-26 / 20130248300 - ELEVATOR SYSTEM | 4 |
Rauno Hatakka | FI | Riihimaeki | 2015-03-19 / 20150075919 - CALL PANEL FOR AN ELEVATOR | 1 |
Genichi Hatakoshi | JP | Yokohama-Shi | 2008-10-02 / 20080237616 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Edward Hatala | GB | Devizes | 2008-12-25 / 20080316996 - Controlling timing of synchronization updates | 2 |
Paul Hatala | US | Charlestown | 2016-04-28 / 20160114011 - MODIFIED POLYNUCLEOTIDES FOR THE PRODUCTION OF NUCLEAR PROTEINS | 16 |
Eero Hatala | FI | Oulu | 2010-04-08 / 20100084606 - METHOD FOR THE PREPARATION OF A REDUCING AGENT COMPOSITION | 1 |
Paul J. Hatala | US | Chartestown | 2010-02-18 / 20100041866 - Solid Support Reagents for Synthesis | 1 |
Paul Hatala | US | Charlestown | 2016-04-28 / 20160114011 - MODIFIED POLYNUCLEOTIDES FOR THE PRODUCTION OF NUCLEAR PROTEINS | 16 |
Sharon Marie Hatala | US | Folsom | 2009-02-19 / 20090044821 - DIGIT LEVEL DEVICES AND METHODS | 1 |
Jozef Hatala | US | San Mateo | 2015-04-09 / 20150100660 - SYSTEMS AND METHODS FOR CACHING CONTENT WITH NOTIFICATION-BASED INVALIDATION | 2 |
Edward Hatala | GB | Wilshire | 2012-10-25 / 20120270561 - LOCATION OF BASESTATION | 2 |
Atul Hatalkar | US | Chandler | 2016-05-12 / 20160132217 - PRESENTATION OF METADATA AND ENHANCED ENTERTAINMENT MEDIA CONTENT | 1 |
Jeffrey F. Hatalsky | US | Framingham | 2009-04-09 / 20090092332 - APPARATUS AND METHOD FOR CREATING EFFECTS IN VIDEO | 1 |
Olivia Hatalsky | US | Berkeley | 2014-04-10 / 20140098226 - IMAGE CAPTURE COMPONENT ON ACTIVE CONTACT LENS | 1 |
Mikiko Hatama | JP | Kawasaki-Shi | 2014-08-28 / 20140238023 - MIXED AIR REMOVAL DEVICE AND POWER GENERATOR INCLUDING THE SAME | 1 |
Arsham Hatambeiki | US | Irvine | 2016-04-21 / 20160110039 - SYSTEM AND METHOD FOR ENHANCED COMMAND INPUT | 39 |
Arsham Hatambeiki | US | Irvine | 2016-04-21 / 20160110039 - SYSTEM AND METHOD FOR ENHANCED COMMAND INPUT | 39 |
Safar Hatami | US | Los Angeles | 2012-09-27 / 20120245904 - WAVEFORM-BASED DIGITAL GATE MODELING FOR TIMING ANALYSIS | 3 |
Naquib U. Hatami | US | Fairfax | 2013-08-15 / 20130210395 - OPTIMIZING SELECTION OF METHOD OF DETERMINING LOCATION IN A SOCIAL NETWORKING SYSTEM USING MOBILE COMMUNICATIONS | 1 |
Naquib U. Hatami | US | Bristow | 2011-11-17 / 20110282718 - INTERACTIVE LOCATION BASED AUTOMATED GUIDE SERVICE | 5 |
Richard S. Hatami | US | Inverness | 2015-12-17 / 20150361010 - APPARATUS AND PROCESS FOR THE CONVERSION OF METHANE INTO ACETYLENE | 4 |
Richard Hatami | US | Inverness | 2010-01-28 / 20100019061 - SPRAYER FOR AT LEAST ONE FLUID | 1 |
Safar Hatami | CA | Calgary | 2014-07-31 / 20140211886 - ALL-DIGITAL MULTI-STANDARD TRANSMITTER ARCHITECTURE USING DELTA-SIGMA MODULATORS | 2 |
Ahmad Hatami | US | San Jose | 2010-12-09 / 20100309044 - On Demand Positioning | 1 |
Ahmad Hatami | US | Pleasanton | 2016-03-17 / 20160077215 - ON DEMAND POSITIONING | 2 |
Ahmad Hatami | US | Pleasonton | 2014-07-31 / 20140210662 - Demand Positioning | 1 |
Kianoosh Hatami | US | Norman | 2012-04-19 / 20120090400 - SENSOR-ENABLED GEOSYNTHETIC MATERIAL AND METHOD OF MAKING AND USING THE SAME | 2 |
Kamal Hatami | CA | Vancouver | 2013-10-17 / 20130270484 - Compact Pressure Swing Reformer | 2 |
Kamal Hatami Aghdam | CA | Vancouver | 2016-02-04 / 20160032920 - CHECK VALVE WITH IMPROVED RESPONSE TIME | 1 |
Mehdi Hatamian | US | Mission Viejo | 2012-05-03 / 20120106601 - System and Method for Packet Communication | 12 |
Mehdi Hatamian | US | Dove Canyon | 2012-03-22 / 20120068004 - Auto-hover and auto-pilot helicopter | 1 |
Mehdi Hatamian | US | Coto De Caza | 2012-06-21 / 20120153207 - VALVE FOR FACILITATING AND MAINTAINING SEPARATION OF FLUIDS AND MATERIALS | 7 |
Hamid Hatami-Hanza | CA | Thornhill | 2015-08-13 / 20150227559 - Methods and systems for investigation of compositions of ontological subjects | 17 |
Hamid Hatami-Hanza | CA | Thornhill | 2015-08-13 / 20150227559 - Methods and systems for investigation of compositions of ontological subjects | 17 |
Hamid Hatami-Hanza | US | 2012-12-06 / 20120310856 - Methods And Systems For Financing And Marketing | 1 | |
Hamid Hatami-Hanza | CA | Thomhill | 2011-05-26 / 20110125837 - Automatic Content Composition Generation | 1 |
Shigeo Hatamiya | JP | Hitachi | 2014-02-06 / 20140034752 - Atomizer | 11 |
Shigeo Hatamiya | JP | Hitachi | 2014-02-06 / 20140034752 - Atomizer | 11 |
Zahedeh Hatamkhani | US | Fremont | 2012-03-22 / 20120070496 - ANTIDEPRESSANT DOSAGE FORM | 2 |
Zahedeh Hatamkhany | US | Palo Alto | 2013-08-15 / 20130209562 - METHODS AND DEVICES FOR PROVIDING PROLONGED DRUG THERAPY | 1 |
Zahedeh Hatamkhany | US | San Mateo | 2015-03-19 / 20150080681 - Communication System Incorporated in an Ingestible Product | 3 |
Akitoshi Hatamochi | JP | Tokyo | 2015-07-02 / 20150188137 - CARBONACEOUS MATERIAL FOR ANODE OF NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shuei Hatamori | JP | Kawasaki | 2014-07-24 / 20140208139 - INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING POWER CONSUMPTION, AND STORAGE MEDIUM | 2 |
Satoshi Hatamoto | JP | Kanagawa | 2013-09-05 / 20130229973 - BROADCAST RECEIVING DEVICE AND METHOD | 1 |
Shunichi Hatamoto | JP | Omuta-Shi | 2012-07-05 / 20120168678 - Phosphor for Scintillator | 1 |
Mitsuo Hatamoto | JP | Gunma | 2009-02-12 / 20090039398 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 2 |
Minoru Hatamoto | JP | Kyoto | 2016-04-14 / 20160103994 - STORAGE MEDIUM HAVING STORED THEREIN BOOT PROGRAM, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, SEMICONDUCTOR APPARATUS, AND STORAGE MEDIUM HAVING STORED THEREIN PROGRAM | 4 |
Kouhei Hatamoto | JP | Tokyo | 2014-05-29 / 20140144817 - MICROPARTICLE SORTING DEVICE AND METHOD FOR CONTROLLING POSITION IN MICROPARTICLE SORTING DEVICE | 1 |
Osamu Hatamoto | JP | Chiba | 2009-05-21 / 20090130711 - Recombinant vector capable of increasing secretion of koji mold protease | 1 |
Masahiro Hatamoto | JP | Oyama-Shi | 2015-06-25 / 20150175551 - 2-AMINONICOTINIC ACID ESTER DERIVATIVE AND BACTERICIDE CONTAINING SAME AS ACTIVE INGREDIENT | 1 |
Mariko Hatamura | JP | Osaka | 2009-08-20 / 20090209693 - Silver Beta-Ketocarboxylate, Material Comprising the Same for Forming Silver Metal, and Use Thereof | 1 |
Koichi Hatamura | JP | Yachiyo-Shi | 2010-05-13 / 20100116255 - FOUR-CYCLE ENGINE | 2 |
Akihiko Hatamura | JP | Kyoto | 2011-09-29 / 20110233063 - ELECTROFORMING METHOD | 1 |
Akihiko Hatamura | JP | Kyoto-Shi | 2008-10-02 / 20080237050 - ELECTROCASTING METHOD | 1 |
Keisuke Hatamura | JP | Toyota-Shi | 2015-07-23 / 20150204230 - EXHAUST SYSTEM MEMBER | 1 |
Koichi Hatamura | JP | Chiba | 2010-09-23 / 20100236517 - FOUR-CYCLE ENGINE | 2 |
Koichi Hatamura | JP | Hiroshima | 2015-03-19 / 20150075463 - VARIABLE VALVE SYSTEM | 1 |
Masato Hatanaka | JP | Saitama | 2008-12-25 / 20080316770 - Backlight and light guide plate, method of manufacturing diffuser and light guide plate, and liquid crystal display apparatus | 3 |
Kaoru Hatanaka | JP | Wako-Shi | 2012-08-09 / 20120203407 - CONTROL DEVICE FOR ELECTRIC VEHICLE | 3 |
Tatsuya Hatanaka | JP | Aichi-Gun | 2009-01-29 / 20090029234 - Method of manufacturing membrane electrode assembly, and membrane electrode assembly | 2 |
Toshihiko Hatanaka | JP | Iruma-Gun | 2009-02-05 / 20090032055 - Method and Apparatus for Cleaning Tire Vulcanization Mold | 1 |
Taku Hatanaka | JP | Moriya-Shi | 2009-02-12 / 20090041519 - ELECTROPHOTOGRAPHIC CLEANING BLADE, PROCESS FOR PRODUCING ELECTROPHOTOGRAPHIC CLEANING BLADE, AND ELECTROPHOTOGRAPHIC APPARATUS | 3 |
Kazushige Hatanaka | JP | Kyoto | 2009-02-19 / 20090048118 - Oligonucleotides, Arrays Thereof for Detecting Microorganisms, and an Apparatus, a Method and a Kit for Detecting Microorganisms | 1 |
Toshihiko Hatanaka | JP | Mishima-Gun | 2015-07-02 / 20150183132 - METHOD FOR CUTTING HIGH-HARDNESS MATERIAL BY MULTI-WIRE SAW | 1 |
Hiroyuki Hatanaka | JP | Matsuyama-Shi | 2014-11-20 / 20140338615 - DRAINAGE COLLECTION SYSTEM | 1 |
Yuji Hatanaka | JP | Yokohama-Shi | 2011-09-15 / 20110221968 - RECEIVING APPARATUS FOR DIGITAL BROADCASTING SIGNAL AND RECEIVING/RECORDING/REPRODUCING APPARATUS THEREOF | 1 |
Kohei Hatanaka | JP | Kawasaki | 2013-01-03 / 20130002677 - SHAPE DATA GENERATION METHOD AND APPARATUS | 1 |
Tsubasa Hatanaka | JP | Ibaraki | 2013-05-30 / 20130135724 - GARNET-TYPE SINGLE CRYSTAL, OPTICAL ISOLATOR AND LASER PROCESSING MACHINE | 1 |
Haruo Hatanaka | JP | Kyoto City | 2012-11-15 / 20120287308 - ELECTRONIC DEVICE | 12 |
Mitsuyuki Hatanaka | JP | Gyoda City | 2016-03-10 / 20160069807 - IMAGING SYSTEM | 2 |
Tadata Hatanaka | JP | Kyoto | 2013-11-21 / 20130307594 - SAWTOOTH WAVE GENERATION CIRCUIT | 1 |
Kazuhisa Hatanaka | JP | Minowa | 2013-12-12 / 20130328452 - RESONATOR ELEMENT, RESONATOR, ELECTRONIC DEVICE, ELECTRONIC APPARATUS, MOBILE BODY AND METHOD OF MANUFACTURING RESONATOR ELEMENT | 1 |
Ayumu Hatanaka | JP | Tokyo | 2016-03-17 / 20160076498 - Electromagnetic Valve Control Unit and Internal Combustion Engine Control Device Using Same | 5 |
Yuji Hatanaka | JP | Koka-Shi | 2014-02-27 / 20140054062 - INSULATING VARNISH AND INSULATED ELECTRICAL WIRE USING SAME | 3 |
Hideyuki Hatanaka | JP | Hachioji-Shi | 2013-06-27 / 20130164541 - CURABLE RESIN COMPOSITION AND MULTI-LAYER LAMINATE MANUFACTURED USING THE SAME | 1 |
Motohide Hatanaka | JP | Tokyo-To | 2016-03-31 / 20160094259 - MODULAR FUNCTIONAL BAND LINKS FOR WEARABLE DEVICES | 1 |
Katsunori Hatanaka | JP | Kyoto-Shi | 2012-11-22 / 20120292811 - METHOD FOR PRODUCING RESIN-MOLDED BODY OF HOLLOW STRUCTURE AND A CORE USED IN IT | 1 |
Toshihiro Hatanaka | JP | Yokosuka-Shi | 2016-03-03 / 20160061437 - Light Emitting Module and Lighting Device | 7 |
Tadashi Hatanaka | JP | Funabashi-Shi | 2016-02-04 / 20160033702 - CURED FILM FORMATION COMPOSITION, ORIENTATION MATERIAL, AND RETARDATION MATERIAL | 14 |
Mitsuyuki Hatanaka | JP | Tokyo | 2016-03-10 / 20160073213 - SOUND PROCESSING APPARATUS AND METHOD, AND PROGRAM | 3 |
Hiroyuki Hatanaka | JP | Ehime | 2015-01-29 / 20150027384 - Closed Drain Recovery System | 1 |
Tatsuwo Hatanaka | JP | Nagoya-Shi | 2015-01-29 / 20150032315 - VEHICLE, CHARGING APPARATUS AND CHARGING SYSTEM | 1 |
Tomoyuki Hatanaka | JP | Saitama-Shi | 2016-04-28 / 20160115298 - MOLDED ARTICLE, INSULATING MATERIAL COMPRISING SAME, AND METHOD FOR IMPROVING ELECTRICAL-INSULATING PROPERTY OF POLYESTER RESIN COMPOSITION | 2 |
Hisaaki Hatanaka | JP | Hirakata-Shi | 2014-08-21 / 20140234411 - SEAMLESS CAPSULE AND MANUFACTURING METHOD THEREFOR | 1 |
Takumi Hatanaka | JP | Tokyo | 2010-06-03 / 20100132869 - APPARATUS AND METHOD FOR MANUFACTURING GYPSUM BOARD | 1 |
Takashi Hatanaka | JP | Tokyo | 2016-02-04 / 20160033791 - SPECTACLE LENS, MANUFACTURING METHOD THEREOF AND LENS SUPPLY SYSTEM | 8 |
Keiko Hatanaka | JP | Tokyo | 2011-02-17 / 20110039822 - HETEROCYCLIC JANUS KINASE 3 INHIBITORS | 3 |
Kiyoshi Hatanaka | JP | Tokyo | 2016-01-28 / 20160028227 - ESD PROTECTION DEVICE | 9 |
Tatsuya Hatanaka | JP | Tokyo | 2010-04-08 / 20100086643 - TOMATO JUICE-CONTAINING ALCOHOLIC DRINK AND METHOD OF THE PRODUCTION THEREOF | 1 |
Kunio Hatanaka | JP | Tokyo | 2009-07-23 / 20090186168 - Polycarbonate Resin Film and Manufacturing Process Thereof | 2 |
Shinichi Hatanaka | JP | Tokyo | 2015-11-19 / 20150328908 - INKJET RECORDING APPARATUS, INKJET RECORDING METHOD AND MEDIUM | 8 |
Takefumi Hatanaka | JP | Tokyo | 2008-12-25 / 20080318883 - Anti-aging supplement | 1 |
Hirofumi Hatanaka | JP | Tokyo | 2008-12-25 / 20080318883 - Anti-aging supplement | 1 |
Kentaro Hatanaka | JP | Tokyo | 2008-10-09 / 20080250100 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 1 |
Shoko Hatanaka | JP | Tokyo | 2010-05-13 / 20100117791 - Biometric Authentication Method, Biometric Authentication System, IC Card, and Terminal | 2 |
Keiji Hatanaka | JP | Tokyo | 2016-01-07 / 20160007006 - STEREOSCOPIC VIDEO RECORDING METHOD, STEREOSCOPIC VIDEO RECORDING MEDIUM, STEREOSCOPIC VIDEO REPRODUCING METHOD, STEREOSCOPIC VIDEO RECORDING APPARATUS, AND STEREOSCOPIC VIDEO REPRODUCING APPARATUS | 4 |
Tatsuya Hatanaka | JP | Funabashi-Shi | 2014-07-03 / 20140183419 - CONDUCTIVE COMPOSITION, AND CONDUCTIVE COMPLEX | 3 |
Keita Hatanaka | JP | Chiyoda-Ku | 2015-10-08 / 20150285869 - BATTERY INTERNAL STATE ESTIMATION APPARATUS | 10 |
Kiyoshi Hatanaka | JP | Tokyo | 2016-01-28 / 20160028227 - ESD PROTECTION DEVICE | 9 |
Takezo Hatanaka | JP | Ibaraki-Shi, Osaka | 2016-05-19 / 20160141885 - WIRELESS POWER TRANSMISSION APPARATUS AND SUPPLY POWER CONTROL METHOD OF WIRELESS POWER TRANSMISSION APPARATUS | 9 |
Mami Hatanaka | JP | Kanagawa | 2016-03-24 / 20160082726 - INK JET RECORDING APPARATUS AND INK JET RECORDING METHOD | 8 |
Yasuo Hatanaka | JP | Kahoku | 2012-09-13 / 20120231427 - JOB PROCEDURE DISPLAY APPARATUS, METHOD OF CONTROLLING JOB PROCEDURE DISPLAY APPARATUS AND NON-TRANSITORY, COMPUTER READABLE STORAGE MEDIUM | 1 |
Yuki Hatanaka | JP | Osaka | 2009-02-26 / 20090052726 - DIAPHRAGM AND LOUDSPEAKER UNIT USING THE SAME | 1 |
Nobuyuki Hatanaka | JP | Osaka-Shi | 2015-08-13 / 20150226895 - METHOD FOR PRODUCING ELONGATE POLARIZER PLATE | 11 |
Tadao Hatanaka | JP | Osaka | 2010-02-11 / 20100031600 - Load Bearing Frame | 1 |
Shinsuke Hatanaka | JP | Osaka | 2014-03-20 / 20140078877 - DETECTION LENS, LENS UNIT, OPTICAL PICKUP DEVICE, OPTICAL DISC DEVICE, COMPUTER, OPTICAL DISC PLAYER AND OPTICAL DISC RECORDER | 3 |
Motoi Hatanaka | JP | Osaka | 2015-11-12 / 20150325777 - METHOD FOR CONNECTING PIEZOELECTRIC ELEMENT AND CABLE SUBSTRATE, PIEZOELECTRIC ELEMENT HAVING CABLE SUBSTRATE, AND INKJET HEAD INCLUDING PIEZOELECTRIC ELEMENT WITH CABLE SUBSTRATE | 5 |
Itsuhiro Hatanaka | JP | Osaka | 2013-11-21 / 20130309483 - FOAM LAMINATED BODY FOR ELECTRICAL OR ELECTRONIC EQUIPMENT | 12 |
Haruyo Hatanaka | JP | Osaka | 2014-09-04 / 20140248689 - GLUCOSE-INDUCED INACTIVATION/DEGRADATION-RESISTANT TRANSPORTER GENE AND USE THEREOF | 5 |
Ryouta Hatanaka | JP | Osaka | 2009-12-17 / 20090311297 - Biocompatible Transparent Sheet, Method for Producing the Same, and Cultured Cell Sheet Used the Same Sheet | 1 |
Shinobu Hatanaka | JP | Tokyo | 2014-07-10 / 20140190420 - EXCREMENT TREATMENT MATERIAL | 3 |
Misaki Hatanaka | JP | Kanagawa | 2013-01-03 / 20130004475 - AGENT FOR INCREASING BIFIDOBACTERIA AND REDUCING THE DECREASE OF BIFIDOBACTERIA IN LARGE INTESTINE | 1 |
Shintaro Hatanaka | JP | Kodaira-Shi | 2014-10-02 / 20140290820 - PNEUMATIC RADIAL TIRE FOR PASSENGER VEHICLE AND METHOD FOR USING THE SAME | 7 |
Nobuyuki Hatanaka | JP | Osaka-Shi | 2015-08-13 / 20150226895 - METHOD FOR PRODUCING ELONGATE POLARIZER PLATE | 11 |
Hiroshi Hatanaka | JP | Tokyo | 2013-08-29 / 20130224520 - BISMUTH-SUBSTITUTED RARE-EARTH IRON GARNET CRYSTAL FILM AND OPTICAL ISOLATOR | 2 |
Makoto Hatanaka | JP | Yokohama-Shi | 2014-06-05 / 20140152968 - MICROLENS ARRAY AND SCANNING EXPOSURE DEVICE USING SAME | 7 |
Fumikazu Hatanaka | JP | Nara | 2012-02-23 / 20120044402 - IMAGE CAPTURING DEVICE, PROGRAM, AND IMAGE CAPTURING METHOD | 2 |
Tetsuo Hatanaka | JP | Toshima-Ku | 2013-09-05 / 20130231184 - IMAGE DISPLAY DEVICE, COMPUTER READABLE STORAGE MEDIUM, AND GAME CONTROL METHOD | 1 |
Keita Hatanaka | JP | Chiyoda-Ku | 2015-10-08 / 20150285869 - BATTERY INTERNAL STATE ESTIMATION APPARATUS | 10 |
Youko Hatanaka | JP | Kanagawa | 2015-08-27 / 20150238717 - MEDICAL DEVICE AND METHOD FOR CONTROLLING SAME | 2 |
Ayumu Hatanaka | JP | Naka | 2013-02-28 / 20130049459 - SERIES REGULATOR ON ELECTRONIC CONTROL UNIT FOR AUTOMOTIVE VEHICLE | 2 |
Takashi Hatanaka | JP | Tokyo | 2016-02-04 / 20160033791 - SPECTACLE LENS, MANUFACTURING METHOD THEREOF AND LENS SUPPLY SYSTEM | 8 |
Eisuke Hatanaka | JP | Tsukuba-Shi | 2015-07-23 / 20150202171 - PATCH | 1 |
Kazuki Hatanaka | JP | Kasugai-Shi | 2016-02-11 / 20160040813 - QUICK CONNECTOR | 2 |
Hidefumi Hatanaka | JP | Kirishima-Shi | 2013-09-26 / 20130250527 - ELECTRONIC DEVICE | 1 |
Masanobu Hatanaka | JP | Susono-Shi | 2012-02-09 / 20120031650 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS | 2 |
Masanobu Hatanaka | JP | Shizuoka-Ken | 2008-08-28 / 20080202423 - VACUUM FILM-FORMING APPARATUS | 1 |
Masanobu Hatanaka | JP | Kawasaki | 2011-09-22 / 20110227800 - DISPLAY DEVICE HAVING AN ANTENNA AND METHOD OF MANUFACTURING SAME | 5 |
Masanobu Hatanaka | JP | Shizuoka | 2010-07-22 / 20100180819 - FILM-FORMING APPARATUS | 3 |
Shinobu Hatanaka | JP | Tochigi | 2015-10-08 / 20150283734 - SEPARATION DEVICE | 4 |
Tsubasa Hatanaka | JP | Hibaraki | 2013-02-14 / 20130038927 - GARNET SINGLE CRYSTAL, OPTICAL ISOLATOR AND OPTICAL PROCESSOR | 1 |
Mami Hatanaka | JP | Kanagawa | 2016-03-24 / 20160082726 - INK JET RECORDING APPARATUS AND INK JET RECORDING METHOD | 8 |
Makoto Hatanaka | JP | Kanagawa | 2014-11-27 / 20140347744 - LENS AND LASER PROCESSING APPARATUS EQUIPPED WITH THE LENS | 1 |
Miho Hatanaka | JP | Nagakute-Shi | 2015-12-03 / 20150343425 - METHOD FOR PRODUCING EXHAUST GAS PURIFICATION CATALYST | 3 |
Kenji Hatanaka | JP | Tainai-Shi | 2016-02-11 / 20160038382 - DENTAL CURABLE COMPOSITION | 2 |
Takeshi Hatanaka | JP | Tokyo | 2014-10-16 / 20140306641 - MOTOR CONTROL APPARATUS | 1 |
Gentaro Hatanaka | JP | Tokyo | 2014-10-02 / 20140290599 - ACTIVE GRILLE SHUTTER | 1 |
Yukio Hatanaka | JP | Inuyama-Shi | 2012-07-05 / 20120168246 - SOUNDPROOFING COVER AND METHOD OF MANUFACTURING SAME | 1 |
Yusuke Hatanaka | JP | Haibara-Gun | 2014-05-01 / 20140117840 - INSULATING REFLECTIVE SUBSTRATE AND METHOD FOR PRODUCING SAME | 13 |
Kenichi Hatanaka | JP | Osaka | 2015-02-12 / 20150041228 - AGRICULTURAL TRACTOR | 2 |
Yuki Hatanaka | JP | Hyogo | 2011-12-29 / 20110317867 - SPEAKER UNIT AND PORTABLE INFORMATION TERMINAL | 3 |
Keita Hatanaka | JP | Tokyo | 2016-03-24 / 20160082850 - HYBRID DRIVE SYSTEM | 35 |
Shintarou Hatanaka | JP | Ohtake-Shi | 2015-12-31 / 20150376328 - TERTIARY-NITROGEN-ATOM-CONTAINING LACTONE POLYMER HAVING POLYMERIZABLE GROUP, AND METHOD FOR PRODUCING SAME | 1 |
Takezo Hatanaka | JP | Osaka | 2013-01-03 / 20130002041 - MAGNETIC ELEMENT FOR WIRELESS POWER TRANSMISSION AND POWER SUPPLY DEVICE | 1 |
Takezo Hatanaka | JP | Ibaraki-Shi, Osaka | 2016-05-19 / 20160141885 - WIRELESS POWER TRANSMISSION APPARATUS AND SUPPLY POWER CONTROL METHOD OF WIRELESS POWER TRANSMISSION APPARATUS | 9 |
Hidetoshi Hatanaka | JP | Kimitsu-Shi | 2011-11-03 / 20110268988 - HIGHLY CORROSION-RESISTANT HOT-DIP GALVANIZED STEEL PRODUCT EXCELLENT IN SURFACE SMOOTHNESS AND FORMABILITY AND PROCESS FOR PRODUCING SAME | 1 |
Akito Hatanaka | JP | Yokohama-Shi | 2013-10-24 / 20130280447 - SOLAR CONTROL GLASS AND SOLAR CONTROL DOUBLE GLASS HAVING THE SOLAR CONTROL GLASS | 1 |
Itsuhiro Hatanaka | JP | Ibraki-Shi | 2012-06-14 / 20120145305 - CARRIER TAPE FOR FOAM MATERIAL | 1 |
Yuki Hatanaka | JP | Tokyo | 2016-01-28 / 20160025899 - SUBSTRATE WITH ANTIREFLECTION LAYER | 5 |
Koji Hatanaka | JP | Kyoto | 2016-02-04 / 20160037683 - HEAT MODULE | 3 |
Kensaku Hatanaka | JP | Tokyo | 2015-08-13 / 20150226453 - HEAT PUMP WATER HEATER | 2 |
Tomoko Hatanaka | JP | Ibaraki-Shi | 2012-05-31 / 20120135174 - RELEASE AGENT, RELEASE MATERIAL, AND PRESSURE-SENSITIVE ADHESIVE TAPE | 1 |
Shinji Hatanaka | JP | Okazaki-City | 2015-07-30 / 20150212589 - OPERATION INPUT DEVICE | 15 |
Takezo Hatanaka | JP | Ibaraki-Shi | 2016-05-05 / 20160126751 - ELECTRICITY SUPPLY MODULE USING WIRELESS POWER TRANSMISSION AND POWER SUPPLY METHOD OF ELECTRICITY SUPPLY MODULE | 18 |
Yusuke Hatanaka | JP | Shizouka | 2008-11-20 / 20080284042 - ANISOTROPICALLY CONDUCTIVE MEMBER AND METHOD OF MANUFACTURE | 1 |
Yusuke Hatanaka | JP | Shizuoka | 2012-04-19 / 20120091495 - LIGHT REFLECTING SUBSTRATE AND PROCESS FOR MANUFACTURE THEREOF | 6 |
Yusuke Hatanaka | JP | Haibara-Gun | 2014-05-01 / 20140117840 - INSULATING REFLECTIVE SUBSTRATE AND METHOD FOR PRODUCING SAME | 13 |
Takashi Hatanaka | JP | Higashimurayama-Shi | 2015-12-10 / 20150351625 - PRISM PRESCRIPTION VALUE ACQUISITION SYSTEM, ACQUISITION METHOD, ACQUISITION APPARATUS AND PROGRAM FOR CORRECTING FIXATION DISPARITY | 1 |
Keishi Hatanaka | JP | Yatomi-Shi | 2015-12-10 / 20150352939 - ENGINE MOUNT | 1 |
Akimasa Hatanaka | JP | Shizuoka-Ken | 2011-11-17 / 20110278085 - VEHICLE BODY FRAME OF MOTORCYCLE | 1 |
Yasumichi Hatanaka | JP | Tokyo | 2013-11-28 / 20130312810 - SOLAR BATTERY MODULE AND MANUFACTURING METHOD THEREOF | 1 |
Hidekazu Hatanaka | JP | Hyogo | 2012-05-03 / 20120105943 - Laser ray wavelength modification apparatus | 1 |
Masaru Hatanaka | JP | Tokyo | 2015-12-03 / 20150343009 - ORALLY ADMINISTERED LIQUID FORMULATION | 1 |
Shingo Hatanaka | US | San Jose | 2016-03-03 / 20160062430 - MITIGATION OF POWER SUPPLY DISTURBANCE FOR WIRED-LINE TRANSMITTERS | 4 |
Motohide Hatanaka | US | Cupertino | 2016-03-10 / 20160069371 - CAPTIVE ELEMENTS OF AN ATTACHMENT SYSTEM | 3 |
Takezo Hatanaka | JP | Ibaraki-Shi | 2016-05-05 / 20160126751 - ELECTRICITY SUPPLY MODULE USING WIRELESS POWER TRANSMISSION AND POWER SUPPLY METHOD OF ELECTRICITY SUPPLY MODULE | 18 |
Yuukichi Hatanaka | JP | Kanagawa | 2010-05-20 / 20100125442 - MODEL PARAMETER EXTRACTING APPARATUS AND MODEL PARAMETER EXTRACTING PROGRAM FOR SEMICONDUCTOR DEVICE MODEL | 1 |
Mitsuyuki Hatanaka | JP | Kanagawa | 2016-05-12 / 20160133261 - ENCODING DEVICE AND METHOD, DECODING DEVICE AND METHOD, AND PROGRAM | 23 |
Shigeto Hatanaka | JP | Kanagawa | 2010-09-02 / 20100219102 - PROCESS FOR PRODUCING GASOLINE BASE AND GASOLINE | 1 |
Mami Hatanaka | JP | Ebina-Shi | 2015-09-24 / 20150267067 - INK, INK SET, AND RECORDING DEVICE | 1 |
Satoshi Hatanaka | JP | Kanagawa | 2013-12-19 / 20130336746 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD, AND COMPUTER PROGRAM PRODUCT FOR IMAGE FORMING METHOD, AND STORAGE MEDIUM HAVING RECORDED THE COMPUTER PROGRAM PRODUCT | 1 |
Shinichi Hatanaka | JP | Kanagawa | 2012-11-22 / 20120293579 - RECORDING APPARATUS, METHOD FOR ADJUSTING DEVIATION OF PRINT POSITION FOR RECORDING APPARATUS, AND COMPUTER PROGRAM PRODUCT | 4 |
Tadata Hatanaka | JP | Kanagawa | 2009-02-05 / 20090033324 - MAGNETIC FIELD DETECTING APPARATUS | 1 |
Kazuhiro Hatanaka | JP | Iyo-Gun | 2013-12-26 / 20130344305 - PREPREG, METHOD OF MANUFACTURING PREPREG, AND CARBON FIBER-REINFORCED COMPOSITE MATERIAL | 1 |
Kazuhiro Hatanaka | JP | Kanagawa | 2008-11-27 / 20080293875 - Oxetane Compound and Curable Composition Containing the Same | 1 |
Susumu Hatanaka | JP | Hiratsuka | 2013-01-31 / 20130025733 - HOSE FOR REFRIGERANT TRANSPORT USE | 1 |
Ichiro Hatanaka | JP | Osaka | 2011-06-16 / 20110141825 - SEMICONDUCTOR INTEGRATED CIRCUIT SYSTEM AND ELECTRONIC EQUIPMENT | 1 |
Tsutomu Hatanaka | JP | Hiratsuka-Shi | 2014-01-16 / 20140014644 - Heating Device | 2 |
Shinichi Hatanaka | JP | Tokyo | 2015-11-19 / 20150328908 - INKJET RECORDING APPARATUS, INKJET RECORDING METHOD AND MEDIUM | 8 |
Itsuhiro Hatanaka | JP | Osaka | 2013-11-21 / 20130309483 - FOAM LAMINATED BODY FOR ELECTRICAL OR ELECTRONIC EQUIPMENT | 12 |
Tomoyuki Hatanaka | JP | Nara | 2012-04-19 / 20120096107 - HOME APPLIANCE MANAGING SYSTEM | 2 |
Hayato Hatanaka | JP | Hitachi | 2012-03-15 / 20120061353 - GAS-INSULATED VACUUM CIRCUIT BREAKER | 1 |
Mitsuyuki Hatanaka | JP | Kanagawa | 2016-05-12 / 20160133261 - ENCODING DEVICE AND METHOD, DECODING DEVICE AND METHOD, AND PROGRAM | 23 |
Kohei Hatanaka | JP | Fujisawa | 2015-07-16 / 20150199840 - SHAPE DATA GENERATION METHOD AND APPARATUS | 5 |
Teruyoshi Hatanaka | JP | Tokyo | 2014-04-17 / 20140104952 - INTEGRATED CIRCUIT DEVICE | 2 |
Hokuto Hatanaka | JP | Tokyo | 2015-10-01 / 20150275346 - HEAT TREATMENT APPARATUS | 1 |
Emi Hatanaka | JP | Sakai City | 2015-04-02 / 20150094181 - BICYCLE CHAIN | 1 |
Iwao Hatanaka | US | Acton | 2012-12-27 / 20120327084 - Layered Personalization | 5 |
Itsuhiro Hatanaka | JP | Ibaraki-Shi | 2015-08-06 / 20150218422 - RESIN FOAM AND FOAM MATERIAL | 10 |
Hidekazu Hatanaka | KR | Gyeonggi-Do | 2010-02-04 / 20100026163 - Light emitting device using plasma discharge | 1 |
Tatsuya Hatanaka | JP | Aichi | 2010-12-30 / 20100330451 - ELECTRODE CATALYST SUBSTRATE AND METHOD FOR PRODUCING THE SAME, AND POLYMER ELECTROLYTE FUEL CELL | 1 |
Mitsuyuki Hatanaka | JP | Saitama | 2010-12-23 / 20100321933 - PROJECTOR DEVICE, LAMINATE TYPE LIGHT-EMITTING DIODE DEVICE, AND REFLECTION TYPE LIGHT-EMITTING DIODE UNIT | 1 |
Itsuhiro Hatanaka | JP | Ibaraki-Shi | 2015-08-06 / 20150218422 - RESIN FOAM AND FOAM MATERIAL | 10 |
Keiko Hatanaka | JP | Hyogo | 2010-04-29 / 20100105661 - CONDENSED PYRIDINE COMPOUND | 1 |
Yasunori Hatanaka | JP | Hyogo | 2011-04-21 / 20110088798 - STACK VALVE HAVING BUCKET PARALLEL MOVEMENT FUNCTION | 1 |
Hiroshi Hatanaka | JP | Ibaraki-Shi | 2015-06-04 / 20150150731 - MEDICAL PRESSURE-SENSITIVE ADHESIVE TAPE | 2 |
Tomoyuki Hatanaka | JP | Saitama | 2014-09-25 / 20140288217 - FLAME RETARDANT POLYOLEFIN RESIN COMPOSITION | 4 |
Toshihiro Hatanaka | JP | Kawasaki-Shi | 2014-10-09 / 20140303122 - CASR AGONISTS | 6 |
Shigeru Hatanaka | JP | Oita-Shi | 2012-09-27 / 20120245376 - METHOD FOR PRODUCING N-PROPYL ACETATE | 3 |
Yuji Hatanaka | JP | Suwa-Shi | 2011-03-10 / 20110057976 - PRINTING USING PLURALITY OF COLOR INK INCLUDING WHITE INK | 1 |
Yuji Hatanaka | JP | Shiojiri-Shi | 2011-06-16 / 20110141173 - FLUID EJECTING APPARATUS AND FLUID EJECTING METHOD | 5 |
Yuji Hatanaka | JP | Shicjlri-Shi | 2011-03-03 / 20110051196 - PRINT CONTROL APPARATUS | 1 |
Toshifumi Hatanaka | JP | Nara | 2011-01-27 / 20110017941 - Refrigerant Composition | 5 |
Hidekazu Hatanaka | JP | Chiba | 2014-11-06 / 20140327791 - IMAGING SYSTEMS, MOVING BODIES, AND IMAGING CONTROL METHODS FOR REMOTE MONITORING OF A MOVING TARGET | 2 |
Koji Hatanaka | JP | Yokohama-Shi | 2014-04-24 / 20140112326 - COMMUNICATION APPARATUS AND METHOD FOR CONTROLLING THE SAME | 6 |
Toshifumi Hatanaka | JP | Hyogo-Ken | 2011-09-08 / 20110218096 - SPRAY CAN PRODUCT AND METHOD OF MANUFACTURING SPRAY CAN PRODUCT | 3 |
Jyunichirou Hatanaka | JP | Fukuoka | 2014-09-25 / 20140285256 - TRANSCONDUCTANCE ADJUSTING CIRCUIT, FILTER CIRCUIT, AND ELECTRONIC APPARATUS | 1 |
Masayuki Hatanaka | JP | Kyoto | 2008-10-16 / 20080251627 - Winding Apparatus | 1 |
Taku Hatanaka | JP | Ibaraki | 2008-09-04 / 20080213421 - Centrifugal casting die, method for manufacturing thereof as well as casting material, blade obtained therefrom and method for manufacturing thereof | 1 |
Yoshihiro Hatanaka | JP | Kawasaki-Shi | 2010-10-14 / 20100259959 - ZERO VOLTAGE SWITCHING HIGH-FREQUENCY INVERTER | 3 |
Miho Hatanaka | JP | Aichi-Gun | 2010-09-09 / 20100227757 - INORGANIC MIXED OXIDE AND CATALYST FOR PURIFICATION OF EXHAUST GAS USING THE SAME | 3 |
Tsuyoshi Hatanaka | JP | Wakayama | 2010-09-02 / 20100221607 - ELECTRODE PLATE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE SAME | 6 |
Kiyoyuki Hatanaka | JP | Kawasaki | 2014-03-20 / 20140077834 - PRINTED WIRING BOARD, CRACK PREDICTION DEVICE, AND CRACK PREDICTION METHOD | 7 |
Junichi Hatanaka | JP | Osaka-Shi | 2010-08-05 / 20100196486 - METHODS FOR PRODUCING ARIPIPRAZOLE SUSPENSION AND FREEZE-DRIED FORMULATION | 1 |
Shinji Hatanaka | JP | Okazaki-City | 2015-07-30 / 20150212589 - OPERATION INPUT DEVICE | 15 |
Takashi Hatanaka | JP | Fukuoka | 2012-12-13 / 20120312116 - VERTICAL ARTICULATED ROBOT | 2 |
Yutaka Hatanaka | JP | Otsu-Shi | 2010-03-18 / 20100068746 - DIAGNOSIS METHOD FOR FATTY LIVER DISEASE, DIAGNOSIS APPARATUS, DIAGNOSIS PROGRAM, DIAGNOSTIC AGENT, AND METHOD FOR SCREENING FOR THERAPEUTIC AGENT FOR FATTY LIVER DISEASE | 1 |
Tetsuya Hatanaka | JP | Aichi-Gun | 2010-03-11 / 20100062305 - ELECTRODE CATALYST LAYER FOR FUEL CELL AND METHOD OF PRODUCING THE SAME | 1 |
Shigetoshi Hatanaka | JP | Kawaguchi City | 2010-02-25 / 20100049636 - CONSUMABLE SUPPLY MANAGEMENT SYSTEM, CONSUMABLE SUPPLY MANAGEMENT METHOD, AND CONSUMABLE SUPPLY MANAGEMENT PROGRAM | 1 |
Haruo Hatanaka | JP | Kyoto | 2012-02-02 / 20120027393 - ELECTRONIC EQUIPMENT | 3 |
Tadashi Hatanaka | JP | Toyama-Shi | 2010-02-04 / 20100028805 - POSITIVE PHOTOSENSITIVE RESIN COMPOSITION | 1 |
Hideaki Hatanaka | JP | Kyoto | 2016-03-17 / 20160080661 - IMAGE GENERATION DEVICE, IMAGING DEVICE, IMAGE GENERATION METHOD, AND PROGRAM | 4 |
Haruo Hatanaka | JP | Osaka | 2013-01-17 / 20130016246 - IMAGE PROCESSING DEVICE AND ELECTRONIC APPARATUS | 5 |
Haruo Hatanaka | JP | Kyoto City | 2012-11-15 / 20120287308 - ELECTRONIC DEVICE | 12 |
Hiroaki Hatanaka | JP | Tokyo | 2015-10-22 / 20150300992 - METHOD FOR MEASURING HEIGHT OF LACK OF PENETRATION AND ULTRASONIC FLAW DETECTOR | 3 |
Hiroshi Hatanaka | JP | Kobe-Shi | 2009-11-12 / 20090279236 - Electronic apparatus | 2 |
Kazushige Hatanaka | JP | Ibaraki-Shi | 2009-11-05 / 20090275118 - Bacteria Detecting Instrument, Bacteria Detecting Method, and Bacteria Detecting Kit | 1 |
Miho Hatanaka | JP | Aichi-Ken | 2009-10-29 / 20090266053 - EXHAUST GAS PURIFICATION SYSTEM FOR INTERNAL COMBUSTION ENGINE | 2 |
Tomoyuki Hatanaka | JP | Yamatotakada-Shi | 2009-10-29 / 20090271470 - Network Equipment System | 1 |
Masahide Hatanaka | JP | Takefu-Shi | 2009-10-22 / 20090264576 - BUILDING EXTERIOR WALL-COATING EMULSION COMPOSITIONS AND BUILDING EXTERIOR WALLS | 1 |
Takeshi Hatanaka | JP | Takasago-Shi | 2013-01-17 / 20130016580 - MIXING/EXTRUDING APPARATUS AND START-UP METHOD FOR MIXING/EXTRUDING APPARATUSAANM HOTANI; SHINAACI Takasago-shiAACO JPAAGP HOTANI; SHIN Takasago-shi JPAANM NAGAOKA; TATSUTOAACI Takasago-shiAACO JPAAGP NAGAOKA; TATSUTO Takasago-shi JPAANM HATANAKA; TAKESHIAACI Takasago-shiAACO JPAAGP HATANAKA; TAKESHI Takasago-shi JP | 1 |
Noriaki Hatanaka | JP | Minamitsuru-Gun | 2015-09-10 / 20150253758 - NUMERICAL CONTROL DEVICE | 2 |
Yasushi Hatanaka | JP | Ishikawa | 2009-10-01 / 20090247356 - Engine Revolutions Control Device of Working Vehicle and Method | 2 |
Akimasa Hatanaka | JP | Tokyo | 2014-03-06 / 20140066508 - METABOLIC SYNDROME AMELIORATING AGENT | 1 |
Motohide Hatanaka | JP | Tokyo | 2016-05-12 / 20160128863 - NASAL RESPIRATORY DEVICES | 12 |
Tadashi Hatanaka | JP | Funabashi-Shi | 2016-02-04 / 20160033702 - CURED FILM FORMATION COMPOSITION, ORIENTATION MATERIAL, AND RETARDATION MATERIAL | 14 |
Nobuyuki Hatanaka | JP | Osaka | 2015-12-31 / 20150378068 - OPTICALLY ABSORPTIVE ANISOTROPIC FILM, THREE-DIMENSIONAL OPTICALLY ABSORPTIVE ANISOTROPIC FILM AND METHOD FOR PRODUCING THE SAME | 2 |
Youji Hatanaka | JP | Hiroshima | 2015-10-22 / 20150299909 - Horizontal Heat Treatment Device | 1 |
Hidekatsu Hatanaka | JP | Chiba | 2011-07-21 / 20110177342 - Cured Organopolysiloxane Resin Film Having Gas Barrier Properties and Method Of Producing The Same | 2 |
Koujiro Hatanaka | JP | Kawasaki-Shi | 2009-04-02 / 20090089491 - SEMICONDUCTOR MEMORY DEVICE AND DATA MANAGEMENT METHOD USING SEMICONDUCTOR MEMORY DEVICE | 1 |
Tatsuya Hatanaka | JP | Aichi-Ken | 2009-09-24 / 20090239116 - ASSEMBLY FOR FUEL CELL, FUEL CELL, AND METHOD FOR MANUFACTURING FUEL CELL | 2 |
Hidefumi Hatanaka | JP | Kagoshima | 2009-08-27 / 20090211352 - Sensor Module, Wheel With Sensor and Tire/Wheel Assembly | 2 |
Tomio Hatanaka | JP | Tokyo | 2012-01-12 / 20120006710 - PACKAGE MATERIAL FOR ADHESIVE BANDAGE AND PACKAGED ADHESIVE BANDAGE | 1 |
Mitsuru Hatanaka | JP | Matsudo-Shi | 2009-08-13 / 20090202746 - Flat membrane element and regeneration method thereof | 2 |
Koji Hatanaka | JP | Saitama | 2009-07-23 / 20090185923 - FUEL SUPPLY PUMP | 1 |
Kentarou Hatanaka | JP | Tokyo | 2014-02-13 / 20140044755 - RNAi PHARMACEUTICAL COMPOSITION FOR SUPPRESSING EXPRESSION OF KRAS GENE | 1 |
Yosuke Hatanaka | JP | Tokyo | 2016-05-19 / 20160139800 - MOBILE CLIENT DEVICE, OPERATION METHOD, RECORDING MEDIUM, AND OPERATION SYSTEM | 3 |
Katsuhito Hatanaka | JP | Osaka-Shi | 2009-06-25 / 20090163395 - Skin detergent | 3 |
Shinji Hatanaka | JP | Okazaki-Shi | 2009-06-11 / 20090146651 - ROTATION ANGLE SENSOR | 2 |
Shun Hatanaka | JP | Tokyo | 2013-10-24 / 20130279925 - IMAGE FORMATION UNIT AND IMAGE FORMATION APPARATUS | 2 |
Hiroaki Hatanaka | JP | Yokohama-Shi | 2009-05-28 / 20090134867 - CORROSION EVALUATION DEVICE AND CORROSION EVALUATION METHOD | 2 |
Masaya Hatanaka | JP | Nagoya-Shi | 2009-05-14 / 20090120538 - Aluminum die cast product and method for manufacturing same | 1 |
Koichi Hatanaka | JP | Matsumoto | 2015-05-14 / 20150130662 - SEMICONDUCTOR DEVICE | 2 |
Tomoyuki Hatanaka | JP | Kitakatsuragi-Gun | 2012-06-14 / 20120150937 - NETWORK SYSTEM | 3 |
Yuichiro Hatanaka | JP | Shizuoka | 2011-12-29 / 20110318080 - PRINTER AND METHOD FOR DRIVING THE SAME | 1 |
Masakazu Hatanaka | JP | Fukui | 2009-02-19 / 20090044624 - ANGULAR VELOCITY SENSOR AND PROCESS FOR PRODUCING THE SAME | 1 |
Youji Hatanaka | JP | Otake-Shi | 2015-04-23 / 20150110705 - CARBON FIBER PRECURSOR ACRYLIC FIBER BUNDLE, METHOD FOR THERMALLY OXIDIZING PART THEREOF, THERMAL OXIDATION OVEN, AND PROCESS FOR PRODUCING CARBON FIBER BUNDLE | 1 |
Makoto Hatanaka | JP | Aichi | 2008-08-21 / 20080197993 - Vehicle Meter Display Altering Apparatus | 1 |
Norio Hatanaka | JP | Kyoto | 2012-02-02 / 20120026271 - OPTICAL DISC RECORDING DEVICE AND METHOD FOR DRAWING IMAGE ON OPTICAL DISC | 2 |
Ayumu Hatanaka | JP | Tokai | 2011-09-15 / 20110222202 - Electromagnetic Valve Driving Circuit | 3 |
Kokoro Hatanaka | JP | Minamitsuru-Gun | 2008-10-30 / 20080267737 - FITTING DEVICE | 1 |
Mitsuyuki Hatanaka | JP | Hiki-Gun | 2009-01-15 / 20090015797 - PROJECTOR DEVICE, LAMINATE TYPE LIGHT-EMITTING DIODE DEVICE, AND REFLECTION TYPE LIGHT-EMITTING DIODE UNIT | 1 |
Naohisa Hatani | JP | Kyoto | 2011-11-24 / 20110284727 - CCD CHARGE TRANSFER DRIVE DEVICE | 3 |
Tatsuhiko Hatano | JP | Nagoya-City | 2010-08-12 / 20100201983 - METHOD FOR INSPECTING DEFECT OF ARTICLE TO BE INSPECTED | 1 |
Kei Hatano | JP | Kanagawa | 2013-09-19 / 20130242341 - IMAGE FORMING APPARATUS, INFORMATION PROCESSING DEVICE, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM | 3 |
Hisaaki Hatano | JP | Kanagawa-Ken | 2013-03-21 / 20130073230 - ENERGY DECOMPOSITION CALCULATING APPARATUS AND METHOD THEREFOR, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Tomohiko Hatano | JP | Tokushima-Shi | 2015-03-26 / 20150082610 - DISPLAY DEVICE MANUFACTURING METHOD | 2 |
Hiroyuki Hatano | JP | Kasugai-Shi | 2016-04-21 / 20160107551 - VEHICLE SEAT | 1 |
Eisuke Hatano | JP | Ichinomiya-Shi, Aichi | 2014-06-26 / 20140177278 - LIGHT GUIDE MEMBER AND METHOD OF MANUFACTURING LIGHT GUIDE MEMBER | 1 |
Fukashi Hatano | JP | Toride-Shi | 2009-05-14 / 20090123194 - IMAGE FORMING APPARATUS | 3 |
Hiroshi Hatano | JP | Kawasaki | 2016-03-24 / 20160085557 - PROCESSOR AND PROCESSING METHOD OF VECTOR INSTRUCTION | 6 |
Keiichi Hatano | JP | Gunma | 2011-06-30 / 20110156540 - PIEZOELECTRIC CERAMIC, PROCESS FOR PRODUCING THE PIEZOELECTRIC CERAMIC, AND PIEZOELECTRIC DEVICE | 1 |
Yuuichirou Hatano | JP | Yamato-Shi | 2010-09-23 / 20100238333 - PHOTOELECTRIC CONVERSION DEVICE AND IMAGE SENSING SYSTEM | 2 |
Eri Hatano | JP | Shizuoka | 2011-07-21 / 20110178265 - POLYESTER POLYMERIZATION CATALYST AND METHOD FOR PRODUCING POLYESTER USING THE SAME | 1 |
Manabu Hatano | JP | Nagoya-Shi | 2014-03-27 / 20140088303 - PHOSPHORAMIDE COMPOUND, METHOD FOR PRODUCING THE SAME, LIGAND, COMPLEX, CATALYST AND METHOD FOR PRODUCING OPTICALLY ACTIVE ALCOHOL | 4 |
Tsuyoshi Hatano | JP | Otsu-Shi | 2013-05-09 / 20130112877 - BATTERY-OPERATED OBJECT DETECTING DEVICE | 1 |
Manabu Hatano | JP | Aichi-Ken | 2010-05-06 / 20100113786 - PHOSPHORAMIDE COMPOUND, METHOD FOR PRODUCING THE SAME, LIGAND, COMPLEX, CATALYST AND METHOD FOR PRODUCING OPTICALLY ACTIVE ALCOHOL | 1 |
Makoto Hatano | JP | Obu-Shi | 2010-09-30 / 20100242868 - PARTITION MEMBER FOR COOLING PASSAGE OF INTERNAL COMBUSTION ENGINE, COOLING STRUCTURE OF INTERNAL COMBUSTION ENGINE, AND METHOD FOR FORMING THE COOLING STRUCTURE | 2 |
Makoto Hatano | JP | Nagoya-Shi | 2015-03-12 / 20150068504 - CONTROL DEVICE FOR EXHAUST GAS RECIRCULATION VALVE | 6 |
Koji Hatano | JP | Shiga | 2008-09-11 / 20080217417 - AIR CONDITIONER | 1 |
Koji Hatano | JP | Yamaguchi | 2009-04-23 / 20090105190 - Method for Producing Fucoidan, Fucoidan, and Fucoidan-Containing Composition | 1 |
Koichi Hatano | JP | Yokohama | 2009-12-10 / 20090302970 - DUPLEXER | 1 |
Junichi Hatano | JP | Saitama | 2009-11-26 / 20090292447 - FUEL CONTROL FOR INTERNAL COMBUSTION ENGINE | 2 |
Junichi Hatano | JP | Wako-Shi | 2009-06-18 / 20090151697 - Control System for Internal Combustion Engine | 1 |
Junichi Hatano | JP | Saitama-Ken | 2008-09-25 / 20080234917 - CONTROL SYSTEM AND METHOD FOR INTERNAL COMBUSTION ENGINE AND ENGINE CONTROL UNIT | 1 |
Hokuto Hatano | JP | Toyokawa-Shi | 2012-07-26 / 20120189354 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Hitoshi Hatano | JP | Kobe-Shi | 2012-12-27 / 20120325364 - SEAMLESS STEEL PIPE FOR HIGH-STRENGTH HOLLOW SPRING | 2 |
Hisashi Hatano | JP | Kamisato | 2011-09-22 / 20110228258 - METHOD OF APPARATUS FOR DETECTING PARTICLES ON A SPECIMEN | 3 |
Hiroyuki Hatano | JP | Mohka | / - | 1 |
Hideki Hatano | JP | Ibaraki | 2009-05-21 / 20090130476 - Method of Forming Polarization Reversal Area, Apparatus thereof and Device Using it | 1 |
Akiro Hatano | JP | Utsunomiya-Shi | 2010-07-01 / 20100168370 - TRANSPARENT ARTICLES PREPARED FROM THERMOPLASTIC COMPOSITIONS HAVING HIGH FLOW AND DUCTILITY, AND METHOD OF PREPARING ARTICLES | 1 |
Akira Hatano | JP | Wakayama-Shi | 2010-05-20 / 20100125121 - ENVIRONMENTALLY-FRIENDLY WET PROCESS TYPE HARD FIBERBOARD | 2 |
Hiroshi Hatano | JP | Takatsuki-Shi | 2010-03-25 / 20100073803 - MAGNETIC RECORDING DEVICE AND MAGNETIC RECORDING HEAD DRIVE MECHANISM | 3 |
Toshinobu Hatano | JP | Kyoto | 2014-03-27 / 20140085498 - IMAGE PROCESSOR, IMAGE PROCESSING METHOD, AND DIGITAL CAMERA | 12 |
Masatake Hatano | JP | Tokyo | 2013-09-12 / 20130236692 - COMPOSITE MATERIAL STRUCTURE, AND AIRCRAFT WING AND AIRCRAFT FUSELAGE PROVIDED THEREWITH | 1 |
Masato Hatano | JP | Kanagawa | 2015-04-23 / 20150108624 - SEMICONDUCTOR DEVICE | 1 |
Keisuke Hatano | JP | Kanagawa | 2016-02-11 / 20160043125 - SOLID-STATE IMAGE PICKUP UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS | 8 |
Makoto Hatano | JP | Kariya-City | 2016-04-14 / 20160103010 - LIQUID LEVEL DETECTOR | 3 |
Haruhiko Hatano | JP | Hitachinaka | 2014-01-16 / 20140014835 - ELECTRON MICROSCOPE SAMPLE HOLDER AND SAMPLE OBSERVATION METHOD | 3 |
Nana Hatano | JP | Yokohama-Shi | 2009-09-24 / 20090236697 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Minoru Hatano | JP | Hoi-Gun | 2015-12-24 / 20150367295 - HANDY PUMP | 1 |
Hiroshi Hatano | JP | Tokyo | 2015-12-03 / 20150344915 - PROCESS AND APPARATUS FOR PRODUSING CHEMICAL PRODUCT | 4 |
Yukihiko Hatano | JP | Ichinomiya-Shi | 2012-08-30 / 20120217795 - INVERTER APPARATUS | 1 |
Takashi Hatano | JP | Saitama | 2013-08-29 / 20130222457 - IMAGE FORMING APPARATUS | 1 |
Kenta Hatano | JP | Tokyo | 2014-04-10 / 20140097830 - LOCATION DETECTOR DEVICE | 10 |
Kunimichi Hatano | JP | Wako-Shi | 2015-10-08 / 20150285347 - Electric Linear Actuator | 5 |
Masayuki Hatano | JP | Kanagawa-Ken | 2015-02-26 / 20150054188 - MOLD CLEANING APPARATUS AND MOLD CLEANING METHOD | 11 |
Hokuto Hatano | JP | Hachioji-Shi | 2014-04-10 / 20140099132 - IMAGE FORMING APPARATUS | 3 |
Kazuhiro Hatano | JP | Hitachi-Shi | 2014-11-13 / 20140331822 - Process of Leaching Gold | 4 |
Keiji Hatano | JP | Kyoto | 2014-06-05 / 20140152732 - IMAGE RECORDING APPARATUS, AND RECORDING DENSITY CORRECTION METHOD AND EJECTION TIMING CORRECTION METHOD | 2 |
Eisuku Hatano | JP | Ichinomiya-Shi | 2012-06-07 / 20120140517 - ILLUMINATION DEVICE | 1 |
Masatake Hatano | JP | Minato-Ku | 2013-08-22 / 20130216766 - COMPOSITE MATERIAL STRUCTURE AND AIRCRAFT WING PROVIDED THEREWITH | 1 |
Hiroji Hatano | JP | Tsu-Shi | 2008-12-11 / 20080303344 - POWER LINE COMMUNICATIONS SYSTEM | 1 |
Takuya Hatano | JP | Matsumoto-Shi | 2015-12-17 / 20150362829 - LIGHT SOURCE DEVICE AND PROJECTOR | 1 |
Masaharu Hatano | JP | Hikari-Shi | 2016-03-17 / 20160079455 - STAINLESS STEEL SUBSTRATE FOR SOLAR CELL HAVING SUPERIOR INSULATING PROPERTIES AND LOW THERMAL EXPANSION COEFFICIENT AND METHOD OF PRODUCING THE SAME | 2 |
Takumi Hatano | JP | Kanagawa-Ken | 2013-10-17 / 20130272754 - DEVELOPING DEVICE | 1 |
Kenji Hatano | JP | Tokyo | 2012-09-13 / 20120230063 - SELF-EXCITED SWITCHING POWER SUPPLY CIRCUIT | 2 |
Kengo Hatano | JP | Gifu | 2015-10-15 / 20150291074 - AUTOMOTIVE TUFTED CARPET | 1 |
Kaoru Hatano | JP | Atsugi | 2016-05-05 / 20160126493 - Light-Emitting Device, Lighting Device, and Electronic Device | 50 |
Mitsuru Hatano | JP | Kanagawa | 2013-09-12 / 20130235438 - IMAGE READER, IMAGE ERASER, AND RELATED METHODS | 2 |
Nana Hatano | JP | Kawasaki-Shi | 2010-09-16 / 20100230745 - POWER SEMICONDUCTOR DEVICE | 4 |
Takehisa Hatano | JP | Atsugi | 2016-01-07 / 20160005877 - SEMICONDUCTOR DEVICE | 12 |
Junichi Hatano | JP | Kariya | 2016-04-14 / 20160101705 - MANAGEMENT SYSTEM AND MANAGEMENT METHOD OF BATTERY AND BATTERY CHARGER, AND BATTERY CHARGER | 1 |
Yoshiaki Hatano | JP | Isehara-Shi, Kanagawa | 2016-02-18 / 20160047443 - AUTOMATIC TRANSMISSION FOR VEHICLES | 1 |
Hiroshi Hatano | JP | Chofu-Shi | 2010-11-04 / 20100277029 - ARMATURE WINDING OF ROTATING ELECTRICAL MACHINE | 2 |
Masaaki Hatano | JP | Yokkaichi | 2016-03-03 / 20160064269 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Tomihisa Hatano | JP | Kawasaki | 2009-01-08 / 20090013125 - MEMORY CARD | 1 |
Micho Hatano | JP | Tokyo | 2014-12-11 / 20140361167 - SCANNING ELECTRON MICROSCOPE | 1 |
Eisuke Hatano | JP | Aichi | 2012-10-04 / 20120250353 - MANUFACTURING METHOD FOR LIGHT GUIDE PLATE, LIGHT GUIDE PLATE, BACK LIGHT DEVICE, ILLUMINATION DEVICE, AND PARTITION PLATE DEVICE | 1 |
Keiyo Hatano | JP | Tokyo | 2010-12-09 / 20100309627 - PORTABLE TERMINAL | 1 |
Masaharu Hatano | JP | Tokyo | 2013-12-19 / 20130337289 - HIGH PURITY FERRITIC STAINLESS STEEL SHEET EXCELLENT IN CORROSION RESISTANCE AND ANTI-GLARE PROPERTY | 9 |
Takashi Hatano | JP | Hokkaido | 2015-08-13 / 20150225827 - NI-BASED ALLOY HAVING EXCELLENT HYDROGEN EMBRITTLEMENT RESISTANCE, AND METHOD FOR PRODUCING NI-BASED ALLOY MATERIAL | 1 |
Masayuki Hatano | JP | Yokohama | 2016-02-25 / 20160056036 - TEMPLATE, TEMPLATE FORMING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Yasukazu Hatano | JP | Shizuoka | 2010-04-22 / 20100095932 - IGNITION DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Yasukazu Hatano | JP | Numazu-Shi | 2009-05-21 / 20090126686 - CAPACITOR DISCHARGE ENGINE IGNITION DEVICE | 1 |
Ken Hatano | JP | Hamura-Shi | 2012-06-21 / 20120159141 - INFORMATION PROCESSING APPARATUS AND START-UP CONTROL METHOD | 9 |
Hisashi Hatano | JP | Tokyo | 2016-04-21 / 20160109382 - DEFECT INSPECTION METHOD AND DEVICE FOR SAME | 4 |
Masashi Hatano | JP | Chuo-Ku | 2011-07-07 / 20110166011 - Novel active clay and decolorizing agent for animal and plant fats and oils or for mineral oils | 1 |
Mutsuko Hatano | JP | Tokyo | 2015-08-13 / 20150228727 - DIAMOND SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Hiroshi Hatano | JP | Osaka | 2015-10-29 / 20150312496 - Compound Eye Optical System And Imaging Device Using The Same | 12 |
Yuka Hatano | JP | Tokyo | 2014-08-07 / 20140218368 - BIOLOGICAL INFORMATION MONITOR AND BIOLOGICAL INFORMATION MONITORING SYSTEM | 1 |
Kota Hatano | JP | Aichi | 2015-07-23 / 20150202243 - HDC Activation Inhibitor, HDC Activation Inhibition Composition, Antipruritic Agent, and Antipruritic Agent Composition | 1 |
Naoyuki Hatano | JP | Miyagi-Ken | 2015-07-30 / 20150212623 - INPUT DEVICE | 6 |
Hiroyuki Hatano | JP | Tokyo | 2012-06-21 / 20120158884 - CONTENT DISTRIBUTION DEVICE, CONTENT DISTRIBUTION METHOD, AND PROGRAM | 2 |
Osamu Hatano | JP | Mihara-Shi | 2015-01-22 / 20150024917 - SHEET FOLDING DEVICE AND CARTON FORMER | 9 |
Ken Hatano | JP | Kanagawa | 2009-05-14 / 20090120156 - WORK BENDING ANGLE DETECTING DEVICE AND WORK BENDING MACHINE | 1 |
Ken Hatano | JP | Hamura-Shi | 2012-06-21 / 20120159141 - INFORMATION PROCESSING APPARATUS AND START-UP CONTROL METHOD | 9 |
Yoshiyuki Hatano | JP | Osaka-Shi | 2014-10-09 / 20140299461 - EVAPORATIVE TREATMENT METHOD FOR AQUEOUS SOLUTION | 1 |
Keiichi Hatano | JP | Taito-Ku | 2013-07-25 / 20130188292 - CERAMIC COMPOSITION AND A LAMINATED CERAMIC ELECTRONIC COMPONENT INCLUDING THE SAME THEREOF | 1 |
Takuji Hatano | JP | Suita-Shi, Osaka | 2015-12-03 / 20150346403 - IR CUT FILTER AND IMAGE CAPTURING DEVICE INCLUDING SAME | 1 |
Susumu Hatano | JP | Tokyo | 2012-10-25 / 20120268173 - SEMICONDUCTOR MODULE INCLUDES SEMICONDUCTOR CHIP INITIALIZED BY RESET SIGNAL | 1 |
Masaharu Hatano | JP | Tokyo | 2013-12-19 / 20130337289 - HIGH PURITY FERRITIC STAINLESS STEEL SHEET EXCELLENT IN CORROSION RESISTANCE AND ANTI-GLARE PROPERTY | 9 |
Hisashi Hatano | JP | Hitachinaka | 2013-10-17 / 20130271754 - INSPECTION APPARATUS | 2 |
Hiroshi Hatano | JP | Osaka | 2015-10-29 / 20150312496 - Compound Eye Optical System And Imaging Device Using The Same | 12 |
Hiroshi Hatano | JP | Chofu | 2011-03-31 / 20110074241 - ROTATING ELECTRICAL MACHINE AND COIL | 1 |
Kazuaki Hatano | JP | Tokyo | 2011-02-17 / 20110039092 - Aluminum sheet material for lithographic printing plates | 1 |
Tomoyuki Hatano | JP | Akashi-Shi | 2013-03-07 / 20130055719 - FUEL SUPPLY DEVICE OF GAS TURBINE ENGINE | 2 |
Yuuichi Hatano | JP | Chiyoda-Ku | 2013-05-16 / 20130117967 - HINGE WITH TORQUE SETTING FUNCTION | 1 |
Matsuko Hatano | JP | Kokubunji | 2013-07-18 / 20130181204 - Image Display Device And The Method For Manufacturing The Same | 1 |
Mitsuru Hatano | JP | Kanagawa-Ken | 2012-04-26 / 20120099166 - IMAGE READING APPARATUS, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 9 |
Yoichi Hatano | JP | Tokyo | 2015-10-01 / 20150281091 - CONTROL APPARATUS, NODE, COMMUNICATION SYSTEM, COMMUNICATION METHOD, AND PROGRAM | 14 |
Kenta Hatano | JP | Tokyo | 2014-04-10 / 20140097830 - LOCATION DETECTOR DEVICE | 10 |
Yusuke Hatano | JP | Tokyo | 2010-12-23 / 20100325336 - INPUT-OUTPUT CONTROLLING APPARATUS AND ELECTRONIC MUSICAL INSTRUMENT | 1 |
Fukashi Hatano | JP | Abiko-Shi | 2015-09-10 / 20150253697 - BELT UNIT AND IMAGE FORMING APPARATUS | 6 |
Takashi Hatano | JP | Kanagawa | 2014-06-05 / 20140151491 - ROLLED RECORDING MEDIUM CONVEYANCE DEVICE, IMAGE FORMING APPARATUS, ROLLED RECORDING MEDIUM CONVEYANCE METHOD, AND COMPUTER PROGRAM PRODUCT | 2 |
Makoto Hatano | JP | Tokyo | 2012-12-27 / 20120325872 - Backpack Strap Attaching Structure | 2 |
Yuukichi Hatano | JP | Hyogo | 2013-12-12 / 20130330802 - METHOD FOR PRODUCTION OF RECOMBINANT HUMAN IDURONATE 2-SULFATASE | 1 |
Kunimichi Hatano | JP | Saitama | 2013-12-26 / 20130340422 - VEHICLE-BODY ATTACHMENT STRUCTURE FOR ELECTRIC BRAKE ACTUATOR | 21 |
Takumi Hatano | JP | Yokohama | 2014-10-23 / 20140314428 - TONER CARTRIDGE WITH MEMORY FOR IMAGE FORMING APPARATUS | 2 |
Taku Hatano | JP | Tokyo | 2015-08-20 / 20150234107 - METHOD FOR PRODUCING RETARDATION FILM | 15 |
Mutsuko Hatano | JP | Kokubunji | 2014-09-04 / 20140248748 - DISPLAY DEVICE | 26 |
Masayuki Hatano | JP | Osaka | 2009-07-02 / 20090165534 - METHOD AND APPARATUS FOR TESTING LEAKAGE OF PIPE PASSAGE | 1 |
Keiji Hatano | JP | Kyoto-Shi | 2015-01-22 / 20150022573 - APPARATUS FOR AND METHOD OF RECORDING IMAGE | 1 |
Masaharu Hatano | JP | Kanagawa | 2014-10-30 / 20140324470 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND NON-TEMPORARY COMPUTER-READABLE RECORDING MEDIUM WITH PROGRAM RECORDED THEREON | 5 |
Yoshiko Hatano | JP | Tokyo | 2013-01-10 / 20130010142 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND INFORMATION TERMINAL APPARATUS | 2 |
Masayuki Hatano | JP | Yokohama-Shi | 2015-08-06 / 20150221501 - IMPRINT METHOD, TEMPLATE, AND IMPRINT APPARATUS | 4 |
Yoshiyuki Hatano | JP | Kanagawa | 2011-05-19 / 20110117261 - FAT-AND-OIL COMPOSITION, AND OIL-IN-WATER EMULSIFIED PRODUCT CONTAINING THE FAT-AND-OIL COMPOSITION | 3 |
Keisuke Hatano | JP | Kanagawa | 2016-02-11 / 20160043125 - SOLID-STATE IMAGE PICKUP UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS | 8 |
Masaki Hatano | JP | Kanagawa | 2012-08-16 / 20120205817 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 3 |
Mitsuru Hatano | JP | Kanagawa-Ken | 2012-04-26 / 20120099166 - IMAGE READING APPARATUS, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 9 |
Yasushi Hatano | JP | Kanagawa | 2012-12-06 / 20120305692 - MAGNETIC TAPE WINDING-UP METHOD, MAGNETIC TAPE WINDING-UP APPARATUS, MANUFACTURING METHOD OF MAGNETIC TAPE CARTRIDGE, AND MAGNETIC TAPE CARTRIDGE | 5 |
Masayuki Hatano | JP | Kawasaki-Shi | 2012-03-15 / 20120061882 - IMPRINT APPARATUS AND METHOD | 2 |
Hisaaki Hatano | JP | Yokohama | 2015-09-24 / 20150269293 - DIAGNOSTIC MODEL GENERATING APPARATUS AND METHOD, AND ABNORMALITY DIAGNOSTIC APPARATUS | 2 |
Masayuki Hatano | JP | Kanagawa | 2012-09-27 / 20120244719 - IMPRINT METHOD, IMPRINTING EQUIPMENT, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Masayuki Hatano | JP | Kanagawa-Ken | 2015-02-26 / 20150054188 - MOLD CLEANING APPARATUS AND MOLD CLEANING METHOD | 11 |
Kouichi Hatano | JP | Kanagawa | 2010-02-11 / 20100032265 - ARTICLE CONVEYING DEVICE | 2 |
Osamu Hatano | JP | Mihara-Shi | 2015-01-22 / 20150024917 - SHEET FOLDING DEVICE AND CARTON FORMER | 9 |
Takumi Hatano | JP | Yokohama Kanagawa | 2016-05-19 / 20160139538 - TONER CARTRIDGE WITH MEMORY FOR IMAGE FORMING APPARATUS | 2 |
Takaaki Hatano | JP | Kanagawa | 2015-11-26 / 20150338603 - CAMERA MODULE AND TITANIUM-COPPER FOIL | 5 |
Tomoaki Hatano | JP | Yokohama-Shi | 2011-09-29 / 20110233637 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Kenji Hatano | JP | Kanagawa | 2011-06-09 / 20110136392 - PLUG | 1 |
Toshihisa Hatano | JP | Naka-Gun | 2009-07-16 / 20090178460 - GAS AMOUNT MEASUREMENT DEVICE | 1 |
Hiroshi Hatano | JP | Shizuoka | 2011-06-09 / 20110135429 - PRODUCTION FACILITY, AND PRODUCTION SYSTEM | 1 |
Yoshikazu Hatano | JP | Kanagawa | 2009-04-09 / 20090093930 - STEERING GUIDE DEVICE AND STEERING GUIDE METHOD | 1 |
Yasuo Hatano | JP | Kanagawa | 2009-03-19 / 20090072661 - TIRE WITH ELECTRIC POWER GENERATION DEVICE | 3 |
Yoshiaki Hatano | JP | Kanagawa | 2010-05-06 / 20100112161 - Edible fat and oil, process of producing the same, and chocolate containing fat and oil composition | 1 |
Masahiro Hatano | JP | Kanagawa | 2013-03-21 / 20130070118 - TIMING ADJUSTMENT CIRCUIT, SOLID-STATE IMAGE PICKUP ELEMENT, AND CAMERA SYSTEM | 4 |
Naoaki Hatano | JP | Kanagawa | 2010-03-04 / 20100053364 - INFORMATION PROCESSING APPARATUS, METHOD AND COMPUTER PROGRAM PRODUCT | 1 |
Takaichi Hatano | JP | Tokyo | 2014-09-25 / 20140286733 - LOAD PORT AND EFEM | 1 |
Kohei Hatano | JP | Tokyo | 2015-12-31 / 20150377043 - STEAM TURBINE VANE MANUFACTURING METHOD | 2 |
Keisuke Hatano | JP | Tokyo | 2015-08-20 / 20150230692 - ELECTRONIC ENDOSCOPE | 2 |
Shiro Hatano | JP | Chiyoda-Ku | 2011-06-02 / 20110128557 - TABLET PRINTING APPARATUS AND TABLET PRODUCTION METHOD, AND TABLET | 1 |
Kaoru Hatano | JP | Kanagawa | 2010-05-20 / 20100123160 - Light-Emitting Device, Method for Manufacturing the Same, and Cellular Phone | 1 |
Takehisa Hatano | JP | Kanagawa | 2009-03-12 / 20090065768 - Memory Element and Semiconductor Device | 1 |
Masaaki Hatano | JP | Kanagawa | 2012-06-21 / 20120152168 - SEMICONDUCTOR DEVICE HAVING OXIDIZED METAL FILM AND MANUFACTURE METHOD OF THE SAME | 2 |
Michio Hatano | JP | Tokyo | 2015-08-06 / 20150221471 - Charged Particle Beam Apparatus and Image Forming Method | 7 |
Kouji Hatano | JP | Tokyo | 2011-12-22 / 20110312365 - WIRELESS COMMUNICATION DEVICE AND PRIORITY CHANGE METHOD | 8 |
Takuji Hatano | JP | Tokyo | 2009-10-01 / 20090244729 - METHOD FOR MANUFACTURING OPTICAL ELEMENT, OPTICAL ELEMENT UNIT, AND IMAGING UNIT | 1 |
Hitoshi Hatano | JP | Hyogo | 2015-10-08 / 20150285410 - HOLLOW SEAMLESS PIPE FOR HIGH-STRENGTH SPRING | 3 |
Ryo Hatano | JP | Osaka | 2012-03-22 / 20120071666 - Method for Purifying a Pyrazolinone Derivative | 1 |
Takaichi Hatano | JP | Toyohashi-Shi | 2012-03-22 / 20120067770 - CASSETTE ADAPTER, ADAPTER MAIN BODY LOCKING APPARATUS AND SEATING SENSOR MECHANISM | 1 |
Haruyuki Hatano | JP | Tokyo | 2009-01-15 / 20090017308 - INTERMEDIATE LAYER MATERIAL AND COMPOSITE LAMINATE | 1 |
Tomohiko Hatano | JP | Naruto-Shi | 2016-03-03 / 20160064615 - DISPLAY DEVICE | 4 |
Toshinobu Hatano | JP | Kyoto | 2014-03-27 / 20140085498 - IMAGE PROCESSOR, IMAGE PROCESSING METHOD, AND DIGITAL CAMERA | 12 |
Toshinobu Hatano | JP | Kyota | 2011-03-03 / 20110050962 - ELECTRONIC ZOOMING DEVICE | 1 |
Taku Hatano | JP | Tokyo | 2015-08-20 / 20150234107 - METHOD FOR PRODUCING RETARDATION FILM | 15 |
Hisanori Hatano | JP | Osaka | 2013-02-28 / 20130055026 - CREATING DATA FOR PLAYING BACK TRANSACTIONS | 1 |
Kazuo Hatano | JP | Tokyo | 2009-01-08 / 20090012054 - Cephem Compounds and Use as Antimicrobial Agents | 1 |
Akinori Hatano | JP | Tokyo | 2008-10-02 / 20080240997 - Ultraviolet Irradiation System and Water Quality Monitoring Instrument | 1 |
Takashi Hatano | JP | Aki-Gun | 2015-04-02 / 20150089942 - EXHAUST GAS RECIRCULATION CONTROL DEVICE OF ENGINE | 1 |
Kouji Hatano | JP | Tokyo | 2011-12-22 / 20110312365 - WIRELESS COMMUNICATION DEVICE AND PRIORITY CHANGE METHOD | 8 |
Kouji Hatano | JP | Kanagawa | 2011-08-18 / 20110199178 - PORTABLE INPUT DEVICE AND INPUT METHOD IN PORTABLE INPUT DEVICE | 1 |
Hokuto Hatano | JP | Tokyo | 2015-09-10 / 20150253720 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 4 |
Satoshi Hatano | JP | Osaka-Shi | 2015-10-22 / 20150299876 - ANODE FOR USE IN ZERO-GAP BRINE ELECTROLYZER, BRINE ELECTROLYZER AND METHOD FOR ZERO-GAP BRINE ELECTROLYSIS EMPLOYING SAME | 1 |
Takayuki Hatano | JP | Nagaoka | 2013-06-27 / 20130162582 - INPUT DEVICE | 1 |
Keisuke Hatano | JP | Settsu-Shi | 2015-05-28 / 20150147550 - NON-BIREFRINGENT RESIN MATERIAL AND FILM | 2 |
Isami Hatano | JP | Tokyo | 2014-03-13 / 20140072535 - METHOD OF INHIBITING METHANOGENESIS | 2 |
Keiichi Hatano | JP | Tokyo | 2013-06-27 / 20130162109 - PIEZOELECTRIC CERAMICS AND MULTI-LAYERED PIEZOELECTRIC CERAMIC COMPONENTS | 1 |
Michio Hatano | JP | Hitachinaka | 2013-02-21 / 20130043388 - CHARGED PARTICLE RADIATION DEVICE | 2 |
Tsuyoshi Hatano | JP | Shiga | 2012-11-29 / 20120297570 - SUCTION TOOL FOR ELECTRIC CLEANER AND ELECTRIC CLEANER USING SAME | 1 |
Hiroshi Hatano | JP | Iwata-Shi | 2014-05-01 / 20140115857 - CLAMPING METHOD AND CLAMPING DEVICE | 1 |
Masaaki Hatano | JP | Yokohama-Shi | 2010-05-06 / 20100115479 - Method for generating pattern, method for manufacturing semiconductor device, semiconductor device, and computer program | 2 |
Toshihisa Hatano | JP | Ibaraki-Ken | 2009-03-05 / 20090056552 - APPARATUS AND METHOD FOR SEPARATING GAS | 1 |
Naoaki Hatano | JP | Tokyo | 2015-04-02 / 20150092070 - COMPOSITE IMAGE CREATION ASSIST APPARATUS, COMPOSITE IMAGE CREATION ASSIST METHOD, AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM | 1 |
Hidemasa Hatano | JP | Susono | 2015-10-01 / 20150278052 - STORAGE SYSTEM, STORAGE DEVICE, AND MONITORING SERVER | 1 |
Yoshinobu Hatano | JP | Wako-Shi | 2015-10-01 / 20150274948 - POLYPROPYLENE RESIN COMPOSITION AND USE THEREOF | 1 |
Shoji Hatano | JP | Aichi | 2013-06-13 / 20130151153 - METHOD FOR MEASURING CROP CULTIVATION FREQUENCY OF SOIL AND METHOD FOR ASSESSING PRODUCTION REGION DECEPTION | 4 |
Naoki Hatano | JP | Atsugi-Shi | 2013-06-20 / 20130158162 - FRICTION MATERIAL | 1 |
Hitoshi Hatano | JP | Tokyo | 2008-09-18 / 20080225906 - LASER SCANNING MICROSCOPE AND METHOD OF USE | 1 |
Manami Hatano | JP | Yokohama-Shi | 2016-03-03 / 20160062801 - IMAGE FORMING APPARATUS AND RESOURCE MANAGEMENT METHOD | 7 |
Kouji Hatano | JP | Yokohama-Shi | 2009-11-19 / 20090284636 - DEVICE FOR DISPLAYING TAKEN IMAGE AND METHOD OF DISPLAYING TAKEN IMAGE | 1 |
Yasuhiko Hatano | JP | Tokyo | 2008-09-04 / 20080213799 - Method for Detection of Substance Bound to Nuclear Receptor | 1 |
Masaharu Hatano | JP | Kanagawa-Ken | 2009-10-29 / 20090268371 - CAPACITOR ELECTRODE AND METHOD OF MANUFACTURING THE SAME | 1 |
Takumi Hatano | JP | Yokohama-Shi | 2014-01-02 / 20140003826 - TONER CARTRIDGE WITH MEMORY FOR IMAGE FORMING APPARATUS | 3 |
Rikuo Hatano | JP | Toyota-Shi | 2011-03-24 / 20110068983 - MULTI-FREQUENCY ANTENNA | 1 |
Hisaaki Hatano | JP | Yokohama-Shi | 2009-02-05 / 20090037576 - DATA ANALYZING SYSTEM AND DATA ANALYZING METHOD | 2 |
Koichi Hatano | JP | Yokohama-Shi | 2008-11-20 / 20080284540 - ANTENNA DUPLEXER | 1 |
Tatsuya Hatano | JP | Tokyo | 2009-04-09 / 20090092358 - LIGHT INPUT/OUTPUT TERMINAL MODULE OF THE OPTICAL COMPONENTS AND BEAM CONVERTING APPARATUS | 1 |
Tomoaki Hatano | JP | Kanagawa-Ken | 2011-09-15 / 20110220996 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tatsuo Hatano | JP | Yamanashi-Ken | 2010-07-01 / 20100167540 - Film Forming Method, Plasma Film Forming Apparatus and Storage Medium | 4 |
Tatsuo Hatano | JP | Nirasaki-Shi | 2014-04-17 / 20140103529 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS AND STORAGE MEDIUM | 7 |
Tatsuo Hatano | JP | Yamanashi | 2015-02-12 / 20150044368 - PLACING TABLE STRUCTURE | 16 |
Akitsugu Hatano | JP | Osaka-Shi | 2010-04-22 / 20100095816 - BASE MATERIAL PROCESSING DEVICE AND BASE MATERIAL PROCESSING METHOD USING THE SAME | 2 |
Akitsugu Hatano | JP | Nara-Shi | 2010-03-04 / 20100051330 - WIRING BOARD AND DISPLAY UNIT | 1 |
Masaharu Hatano | JP | Chiyoda-Ku | 2014-08-07 / 20140216614 - FERRITIC STAINLESS STEEL PLATE WHICH HAS EXCELLENT RIDGING RESISTANCE AND METHOD OF PRODUCTION OF SAME | 2 |
Yukichi Hatano | JP | Hyogo | 2015-07-30 / 20150210992 - METHOD FOR PRODUCTION OF RECOMBINANT HUMAN ALPHA-GALACTOSIDASE A | 1 |
Shinya Hatano | JP | Nara-Shi | 2015-07-02 / 20150183323 - ON-BOARD ELECTRICAL APPARATUS | 1 |
Sadajiro Hatano | JP | Ehime | 2013-01-24 / 20130020251 - SEPARATION MEMBRANE AND METHOD FOR PRODUCING SAME | 1 |
Sousuke Hatano | JP | Seto-Shi | 2013-04-25 / 20130098560 - CARBON FIBER-CONTAINING RESIN SHEET CARRYING APPARATUS | 1 |
Tatsuo Hatano | JP | Yamanashi | 2015-02-12 / 20150044368 - PLACING TABLE STRUCTURE | 16 |
Makoto Hatano | JP | Saitama | 2013-04-04 / 20130082573 - CRYSTAL UNIT AND METHOD FOR FABRICATING THE SAME | 1 |
Takahisa Hatano | JP | Hokkaido | 2009-10-01 / 20090243994 - BACKLIGHT CONTROL DEVICE AND DISPLAY APPARATUS | 2 |
Nana Hatano | JP | Kanagawa-Ken | 2011-03-03 / 20110049615 - POWER SEMICONDUCTOR DEVICE | 8 |
Tatsuo Hatano | JP | Nirasaki City | 2015-08-27 / 20150243556 - Method of Supplying Cobalt to Recess | 7 |
Hiroyuki Hatano | JP | Kyoto | 2012-07-05 / 20120170323 - CHARGING AC ADAPTOR | 1 |
Takuji Hatano | JP | Suita-Shi | 2013-12-26 / 20130344633 - MANUFACTURING METHOD FOR LIGHT EMITTING DEVICE AND PHOSPHOR MIXTURE | 3 |
Yuji Hatano | US | Arlington | 2008-08-28 / 20080207158 - TERMINAL APPARATUS | 1 |
Takehisa Hatano | JP | Atsugi | 2016-01-07 / 20160005877 - SEMICONDUCTOR DEVICE | 12 |
Eisuke Hatano | JP | Ichinomiya-Shi | 2012-07-19 / 20120182766 - MANUFACTURING METHOD FOR LIGHT GUIDE PLATE, LIGHT GUIDE PLATE, BACK LIGHT DEVICE, ILLUMINATION DEVICE, AND MANUFACTURING APPARATUS FOR LIGHT GUIDE PLATE | 1 |
Harumi Hatano | JP | Utsunomiya-Shi | 2009-01-01 / 20090004533 - Fuel cell stack | 1 |
Masaru Hatano | JP | Osaka-Shi | 2015-12-31 / 20150378277 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS PROVIDED WITH SAME | 1 |
Hiroshi Hatano | JP | Kanagawa | 2012-06-28 / 20120163113 - MEMORY CONTROLLER AND MEMORY CONTROLLING METHOD | 1 |
Keiichi Hatano | JP | Takasaki-Shi | 2015-05-14 / 20150132529 - PIEZOELECTRIC CERAMIC, METHOD OF MANUFACTURING SAME, AND PIEZOELECTRIC CERAMIC SPEAKER USING SAME | 6 |
Yoichi Hatano | JP | Tokyo | 2015-10-01 / 20150281091 - CONTROL APPARATUS, NODE, COMMUNICATION SYSTEM, COMMUNICATION METHOD, AND PROGRAM | 14 |
Kunimichi Hatano | JP | Utsunomiya-Shi | 2013-10-17 / 20130270895 - VEHICLE BRAKE SYSTEM | 1 |
Takuji Hatano | JP | Osaka | 2011-11-17 / 20110279012 - WAVELENGTH CONVERSION ELEMENT AND LIGHT EMITTING DEVICE | 5 |
Keisuke Hatano | JP | Osaka | 2016-02-25 / 20160053104 - RESIN COMPOSITION AND FILM THEREOF | 2 |
Akito Hatano | JP | Kyoto-Shi | 2016-03-31 / 20160091306 - SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHODS | 3 |
Eri Hatano | JP | Nagoya-Shi | 2014-07-10 / 20140194570 - PRODUCTION METHOD OF CRYSTALLINE POLYAMIDE RESIN | 1 |
Yuki Hatano | JP | Kasugai-Shi | 2010-02-18 / 20100040424 - INSERT AND CUTTING TOOL | 1 |
Yuichiro Hatano | JP | Yamato-Shi | 2009-07-09 / 20090174800 - SHIFT REGISTER, AND SOLID STATE IMAGE SENSOR AND CAMERA USING SHIFT REGISTER | 1 |
Yoshiyuki Hatano | JP | Yokosuka-Shi | 2015-08-13 / 20150223482 - COMBINED CONFECTIONERY | 4 |
Gaku Hatano | JP | Inuyama-Shi | 2014-12-11 / 20140361000 - GLOW PLUG | 3 |
Shunichi Hatano | JP | Yokosuka-Shi | 2013-10-31 / 20130287619 - NEUTRON SHIELDING MATERIAL, METHOD OF MANUFACTURING THE SAME, AND CASK FOR SPENT FUEL | 2 |
Yoshiyuki Hatano | JP | Yokosuka | 2011-01-13 / 20110008499 - OIL COMPOSITION FOR COATING | 1 |
Yoshiyuki Hatano | JP | Itabashi-Ku | 2009-10-01 / 20090242494 - Method and device for treating grinding water of lens grinding device | 1 |
Yoshio Hatano | JP | Aichi | 2011-05-05 / 20110106056 - MEDICAL TUBE AND CATHETER USING THE SAME | 1 |
Seiji Hatano | JP | Odawara-Shi | 2011-07-21 / 20110177281 - OPTICAL INFORMATION RECORDING MEDIUM, METHOD OF RECORDING INFORMATION AND AZO METAL COMPLEX DYE | 1 |
Yasuo Hatano | JP | Yokohama | 2010-02-25 / 20100046749 - CONTENT PROTECTION APPARATUS, AND CONTENT UTILIZATION APPARATUS | 4 |
Toshiyuki Hatano | JP | Hachioji | 2008-12-25 / 20080318282 - Nucleic acid amplification method | 1 |
Tomoyuki Hatano | JP | Nagoya-City | 2012-03-29 / 20120073796 - ALUMINUM ALLOY FOR DIE CASTINGS AND PRODUCTION PROCESS OF ALUMINUM ALLOY CASTINGS | 3 |
Tomoyuki Hatano | JP | Chiba | 2010-01-28 / 20100021974 - Method for Preparation of cRNA | 3 |
Tomoyuki Hatano | JP | Matsudo-Shi | 2013-10-03 / 20130256208 - MATERIAL FOR CAPTURING MICROBES, DEVICE FOR CAPTURING MICROBES, METHOD OF CAPTURING MICROBES, AND METHOD OF PRODUCING MATERIAL FOR CAPTURING MICROBES | 2 |
Tomoki Hatano | JP | Higashiomi-Shi | 2012-04-19 / 20120092401 - HEAD DRIVE DEVICE OF INKJET PRINTER AND INKJET PRINTER | 5 |
Tomoki Hatano | JP | Shiga-Ken | 2009-03-12 / 20090066739 - HEAD DRIVE DEVICE OF INKJET PRINTER AND INK JET PRINTER | 2 |
Takashi Hatano | JP | Kure-Shi | 2010-09-30 / 20100250103 - CONTROL OF INTERNAL COMBUSTION ENGINE | 1 |
Takashi Hatano | JP | Nishikasugai-Gun | 2010-03-25 / 20100072508 - Group III nitride semiconductor light-emitting device and method for producing the same | 1 |
Takaaki Hatano | JP | Koza-Gun | 2009-05-21 / 20090130480 - Sn-Plated Copper Alloy Strip Having Improved Fatigue Characteristics | 1 |
Yuji Hatano | JP | Kanagawa | 2015-12-31 / 20150374250 - MAGNETIC MEASUREMENT APPARATUS | 1 |
Susumu Hatano | JP | Shiga | 2013-08-01 / 20130196242 - FUEL CELL SYSTEM | 6 |
Shinya Hatano | JP | Osaka | 2015-01-29 / 20150033244 - Actuator, Optical Pickup, and Disc Apparatus | 3 |
Shigeo Hatano | JP | Ibaraki | 2009-01-08 / 20090008595 - FLEXIBLE POLYURETHANE FOAM AND PROCESS FOR ITS PRODUCTION | 1 |
Masaya Hatano | JP | Nagakute City | 2012-07-26 / 20120186952 - SWITCH WITH LOCKING MECHANISM | 1 |
Ryo Hatano | JP | Kodaira-Shi | 2011-12-29 / 20110320612 - ELECTRONIC APPARATUS, WIRELESS DEVICE, AND COMMUNICATION CONTROL METHOD | 3 |
Ichiro Hatano | JP | Tokyo | 2008-08-28 / 20080208708 - PAYMENT SYSTEM AND METHOD, SERVER APPARATUS, PAYMENT PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Ryo Hatano | JP | Ibaraki-Shi | 2012-08-09 / 20120201092 - MIXING APPARATUS OF COMBUSTIBLE GAS AND COMBUSTION SUPPORTING GAS | 5 |
Osamu Hatano | JP | Hiroshima-Ken | 2010-11-18 / 20100288142 - PRINTER FOR CORRUGATED CARDBOARD SHEET AND BOX MAKING MACHINE FOR CORRUGATED CARDBOARD SHEET | 1 |
Osamu Hatano | JP | Hiroshima | 2012-05-17 / 20120122641 - SLOTTER, A METHOD FOR CUTTING BY SLOTTER, SLOTTER KNIFE, AND SWIVEL | 3 |
Norihiko Hatano | JP | Kasugai | 2011-01-20 / 20110011514 - APPARATUS FOR MANUFACTURING BONDED SUBSTRATE | 1 |
Naoyuki Hatano | JP | Fukushima-Ken | 2009-06-25 / 20090160766 - COORDINATE INPUT DEVICE | 1 |
Masashi Hatano | JP | Seki-Shi | 2010-09-30 / 20100250979 - Command Processing Apparatus | 1 |
Masaki Hatano | JP | Nagoya-Shi | 2011-02-24 / 20110043024 - SEAT FOR VEHICLE | 1 |
Masakazu Hatano | JP | Ikoma-Shi | 2011-10-27 / 20110263638 - Therapeutic agent for glaucoma comprising rho kinase inhibitor and - blocker | 3 |
Masaru Hatano | JP | Osaka | 2016-04-21 / 20160109829 - DEVELOPING DEVICE AND IMAGE FORMING DEVICE PROVIDED WITH SAME | 6 |
Timo Hatanpaa | FI | Espoo | 2016-02-04 / 20160031919 - SYNTHESIS AND USE OF PRECURSORS FOR ALD OF TELLURIUM AND SELENIUM THIN FILMS | 9 |
Takuya Hatao | JP | Tochigi | 2009-11-05 / 20090273073 - CONNECTING STRUCTURE FOR FLIP-CHIP SEMICONDUCTOR PACKAGE, BUILD-UP LAYER MATERIAL, SEALING RESIN COMPOSITION, AND CIRCUIT BOARD | 1 |
Yosuke Hatao | JP | Matsumoto-Shi | 2016-01-28 / 20160023457 - FLUID DROPLET EJECTION DEVICE AND EJECTION INSPECTION METHOD | 2 |
Yosuke Hatao | JP | Suwa-Shi | 2012-09-27 / 20120242731 - FLUID DROPLET EJECTION DEVICE AND EJECTION INSPECTION METHOD | 1 |
Masato Hatao | JP | Kanagawa | 2013-07-04 / 20130169951 - ULTRAVIOLET PROTECTION EFFECT EVALUATION METHOD, EVALUATION APPARATUS, AND RECORDING MEDIUM | 4 |
Masato Hatao | JP | Yokohama-Shi | 2009-08-20 / 20090208525 - Antiaging method for skin using pleurotus abalonus | 2 |
Shinichiro Hataoka | JP | Osaka | 2009-04-23 / 20090103007 - DIELECTRIC BARRIER DISCHARGE LAMP, BACKLIGHT DEVICE, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Yukari Hataoka | JP | Kyoto | 2014-05-29 / 20140148577 - METHOD FOR IMMOBILIZING PROTEIN A ON A SELF-ASSEMBLED MONOLAYER | 5 |
Isao Hataoka | JP | Hiroshima-Shi | 2010-06-10 / 20100143544 - CHILLED PRODUCT OF COOKED FOOD, FROZEN PRODUCT OF COOKED FOOD, STORAGE METHOD AND STORAGE APPARATUS | 1 |
Jun Hataoka | JP | Saitama | 2013-02-21 / 20130046814 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, AND INFORMATION PROCESSING SYSTEM | 2 |
Yukari Hataoka | JP | Osaka | 2013-01-31 / 20130029364 - METHOD FOR IMMOBILIZING GLUCOSE OXIDASE ON A SELF-ASSEMBLED MONOLAYER | 4 |
Keisuke Hatasaki | JP | Chiyoda-Ku | 2012-07-12 / 20120179823 - COMPUTER SYSTEM, MANAGEMENT METHOD OF COMPUTER RESOURCE AND PROGRAM | 1 |
Kosuke Hatasaki | JP | Tokyo | 2012-04-05 / 20120083432 - WATER-BASED LUBRICANT FOR PLASTIC PROCESSING HAVING EXCELLENT CORROSION RESISTANCE AND METAL MATERIAL HAVING EXCELLENT PLASTIC PROCESSABILITY | 1 |
Keisuke Hatasaki | JP | Yokohama | 2013-02-21 / 20130047027 - FAILOVER METHOD THROUGH DISK TAKE OVER AND COMPUTER SYSTEM HAVING FAILOVER FUNCTION | 4 |
Keisuke Hatasaki | JP | Kokubunji | 2009-05-28 / 20090138580 - METHOD OF BOOTING AN OPERATING SYSTEM | 1 |
Keisuke Hatasaki | JP | Tokyo | 2015-12-31 / 20150378604 - COMPUTER SYSTEM AND CONTROL METHOD FOR COMPUTER SYSTEM | 5 |
Keisuke Hatasaki | JP | Kawasaki-Shi | 2014-05-22 / 20140143391 - COMPUTER SYSTEM AND VIRTUAL SERVER MIGRATION CONTROL METHOD FOR COMPUTER SYSTEM | 1 |
Keisuke Hatasaki | JP | Kawasaki | 2015-10-22 / 20150301745 - COMPUTER REALIZING HIGH-SPEED ACCESS AND DATA PROTECTION OF STORAGE DEVICE, COMPUTER SYSTEM, AND I/O REQUEST PROCESSING METHOD | 47 |
Yoshika Hatasako | JP | Kitakyushu-Shi | 2015-01-15 / 20150013741 - THERMOELECTRIC CONVERSION MATERIAL | 1 |
Akihiko Hatasawa | JP | Akita | 2008-08-21 / 20080199979 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Yasunari Hatasawa | JP | Tokyo | 2015-04-30 / 20150116456 - VIDEO OUTPUT DEVICE, VIDEO OUTPUT PROGRAM, AND VIDEO OUTPUT METHOD | 11 |
Akihiko Hatasawa | JP | Tokyo | 2016-01-28 / 20160027755 - SEMICONDUCTOR CHIP AND SEMICONDUCTOR DEVICE PROVIDED WITH SEMICONDUCTOR CHIP | 2 |
Yasunari Hatasawa | JP | Tokyo | 2015-04-30 / 20150116456 - VIDEO OUTPUT DEVICE, VIDEO OUTPUT PROGRAM, AND VIDEO OUTPUT METHOD | 11 |
Kazuya Hatase | JP | Kyoto | 2012-12-20 / 20120319578 - DIELECTRIC BARRIER DISCHARGE LAMP AND LAMP UNIT | 1 |
Yuichi Hatase | JP | Fukuoka | 2016-04-14 / 20160100748 - ENDOSCOPE | 5 |
Minoru Hatase | JP | Nagaokakyo-Shi | 2013-01-03 / 20130002042 - METHOD FOR MANUFACTURING MODULE WITH PLANAR COIL, AND MODULE WITH PLANAR COIL | 3 |
Yuichi Hatase | JP | Kumamoto | 2012-02-23 / 20120044693 - LASER LIGHT SOURCE APPARATUS | 2 |
Yuichi Hatase | JP | Osaka | 2011-02-24 / 20110043766 - INFORMATION PROCESSOR WITH PROJECTOR | 1 |
Masako Hatase | JP | Tokyo | 2015-09-24 / 20150266904 - ALUMINUM COMPOUND, THIN-FIRM FORMING RAW MATERIAL, AND METHOD FOR PRODUCING THIN FILM | 3 |
Yoshiteru Hatase | JP | Osaka | 2008-12-25 / 20080317979 - Laser Weldable Label and Shaped Composite Article Therewith | 1 |
Chieko Hatashita | JP | Isehara-Shi | 2015-08-13 / 20150226938 - PLASTIC OPTICAL ELEMENT AND OPTICAL SCANNER AND IMAGING FORMING DEVICE INCLUDING THE SAME | 2 |
Masayasu Hatashita | JP | Amagasaki-Shi | 2014-08-07 / 20140220711 - APPARATUS, METHOD AND PROGRAM FOR MANUFACTURING NITRIDE FILM | 1 |
Masayasu Hatashita | JP | Hyogo | 2012-10-11 / 20120258604 - Deposition Method | 1 |
Jason D. Hatashita | US | Auburn | 2013-02-28 / 20130051376 - HYBRID BROADCAST PACKET REPLICATION FOR VIRTUAL LOCAL AREA NETWORKS | 1 |
Chieko Hatashita | JP | Kanagawa | 2014-07-31 / 20140211302 - OPTICAL ELEMENT, MOLD, AND OPTICAL DEVICE | 4 |
Kris Hatashita | CA | Kanata | 2009-10-29 / 20090270083 - Communication device intervention system and method | 1 |
Masahiko Hatatani | JP | Kamakura-Shi | 2015-10-01 / 20150279416 - Magnetization Control For Magnetic Shield In Magnetic Recording Head | 1 |
Masahiko Hatatani | JP | Kamakura | 2016-01-07 / 20160005428 - GRADED SIDE SHIELD GAP READER | 2 |
Masahiko Hatatani | JP | Kanagawa | 2009-10-22 / 20090262465 - Magnetic head and manufacturing method thereof | 1 |
Teruki Hatatani | JP | Osaka | 2013-04-04 / 20130082179 - OBJECT DETECTION DEVICE | 2 |
Teruki Hatatani | JP | Osaka-Shi | 2011-02-10 / 20110031419 - SMOKE SENSOR | 1 |
Atsuo Hatate | JP | Nara-Shi | 2014-05-08 / 20140127851 - METHOD FOR PRODUCING SEMICONDUCTOR LAYER, METHOD FOR PRODUCING PHOTOELECTRIC CONVERSION DEVICE, AND SEMICONDUCTOR STARTING MATERIAL | 1 |
Hitoshi Hatate | JP | Tokyo | 2014-08-28 / 20140242728 - METHOD OF ETCHING A MAGNESIUM OXIDE FILM | 10 |
Atsuo Hatate | JP | Higashiomi-Shi | 2012-01-12 / 20120006389 - Method of Manufacturing Photoelectric Conversion Device, Apparatus for Manufacturing Photoelectric Conversion Device, and Photoelectric Conversion Device | 2 |
Hitoshi Hatate | JP | Tokyo | 2014-08-28 / 20140242728 - METHOD OF ETCHING A MAGNESIUM OXIDE FILM | 10 |
Kazushi Hatauchi | JP | Kanagawa | 2011-02-17 / 20110039376 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Kazushi Hatauchi | JP | Tokyo | 2010-05-06 / 20100109148 - SEMICONDUCTOR DEVICE | 4 |
Leon Hataway | US | Granite Falls | 2009-10-22 / 20090260407 - LOCKING ASSEMBLY | 1 |
Hiroshi Hataya | JP | Osaka | 2009-07-02 / 20090169338 - Tape binding device | 3 |
Eiji Hataya | JP | Osaka | 2011-08-04 / 20110187222 - DUAL-ROTOR MOTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Mitsuhiko Hataya | JP | Nishinomiya-City | 2009-03-05 / 20090058571 - Connector and waveguide assembly | 1 |
Mitsuhiko Hataya | JP | Nishinomiya | 2015-02-26 / 20150054703 - ANTENNA DEVICE | 1 |
Koji Hataya | JP | Tokyo | 2015-05-14 / 20150132646 - NEGATIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE RECHARGEABLE BATTERY AND NONAQUEOUS ELECTROLYTE RECHARGEABLE BATTERY USING SAME | 2 |
Kouji Hataya | JP | Tokyo | 2014-01-16 / 20140017564 - LITHIUM-ION SECONDARY BATTERY, ELECTRODE FOR THE SECONDARY BATTERY, AND ELECTROLYTIC COPPER FOIL FOR ELECTRODE FOR THE SECONDARY BATTERY | 1 |
Nana Hataya | JP | Tokyo | 2013-01-31 / 20130029470 - METHOD OF FORMING SEMICONDUCTOR DEVICE | 1 |
Daisuke Hataya | JP | Gunma | 2011-08-25 / 20110204853 - POWER STORAGE SYSTEM | 2 |
Tomoaki Hatayama | JP | Nara | 2014-07-24 / 20140203300 - SiC SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD THEREOF | 1 |
Yoshiyuki Hatayama | JP | Chuo-Ku | 2014-04-03 / 20140090235 - COIL COMPONENT | 3 |
Yoshinori Hatayama | JP | Komaki-Shi | 2008-10-02 / 20080244082 - CONTENTS COMMUNICATION METHOD FOR TRANSMITTING CONTENTS BY USING A PREDETERMINED COMMUNICATION PROTOCOL, AND CONTENTS TRANSMITTING APPARATUS AND CONTENTS RECEIVING APPARATUS USING THE METHOD | 1 |
Minoru Hatayama | JP | Kanagawa | 2009-06-25 / 20090159490 - METHOD OF HYDROGENOLYSIS OF WAX AND PROCESS FOR PRODUCING FUEL BASE | 1 |
Yutaka Hatayama | JP | Kyoto | 2009-07-02 / 20090171246 - Method and Implement for Opening Hole in Soft Material | 1 |
Yosuke Hatayama | JP | Kyoto | 2015-09-03 / 20150246282 - COMMUNICATION GAME SYSTEM, GAME APPARATUS, SERVER, STORAGE MEDIUM STORING A PROGRAM, AND GAME CONTROL METHOD | 7 |
Seiji Hatayama | JP | Hino-Shi | 2009-11-26 / 20090291203 - SUBSTRATE TRAY AND FILM FORMING APPARATUS | 1 |
Hideo Hatayama | JP | Kyoto | 2009-12-03 / 20090297066 - Image transmitting apparatus and image transmitting program | 1 |
Yu Hatayama | JP | Osaka-Shi | 2009-12-10 / 20090301640 - METHOD AND APPARATUS OF ADHERING BELT EDGE TAPE | 1 |
Koji Hatayama | JP | Ebina-City | 2014-12-25 / 20140376014 - SHEET LOADING DEVICE, IMAGE READING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Hiroshi Hatayama | JP | Shiga | 2010-01-14 / 20100009249 - SEPARATOR FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND MULTILAYER SEPARATOR FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Hirotaka Hatayama | JP | Tokyo | 2015-01-15 / 20150014410 - INFORMATION BEARING MEDIUM AND INFORMATION PROCESSING SYSTEM | 2 |
Yasuyuki Hatayama | JP | Kyoto | 2010-01-21 / 20100014005 - REMOTE CONTROL SYSTEM, TELEVISION SET AND REMOTE CONTROLLER | 1 |
Yu Hatayama | JP | Osaka | 2013-08-15 / 20130206340 - TIRE BUILDING METHOD AND RUBBER STRIP BONDING APPARATUS | 10 |
Akira Hatayama | JP | Osaka | 2010-04-08 / 20100087442 - DIKETOHYDRAZINE DERIVATIVE COMPOUNDS AND DRUGS CONTAINING THE COMPOUNDS AS THE ACTIVE INGREDIENT | 1 |
Hiroshi Hatayama | JP | Tokyo | 2015-02-19 / 20150050545 - POROUS MEMBRANE AND MULTILAYER POROUS MEMBRANE | 3 |
Kazuhisa Hatayama | JP | Gunma | 2010-08-12 / 20100202954 - METHOD FOR MANUFACTURING OF SILICON, SILICON, AND SOLAR CELL | 2 |
Akihiro Hatayama | JP | Hachioji-Shi | 2012-11-15 / 20120288097 - CONTENT DISTRIBUTION SYSTEM, MANAGEMENT APPARATUS, AND MOBILE TERMINAL | 2 |
Tadatomo Hatayama | JP | Tochigi | 2016-03-03 / 20160059387 - DISC-SHAPED GRINDSTONE | 1 |
Satoru Hatayama | JP | Sanyoonoda-Shi | 2012-03-08 / 20120059136 - METHOD FOR PRODUCING HIGHLY BRANCHED POLYMER | 1 |
Kouta Hatayama | JP | Ayase-Shi | 2013-03-28 / 20130079499 - FC BINDING PROTEIN AND METHOD FOR MANUFACTURING SAME | 1 |
Yukinori Hatayama | JP | Nagano-Shi | 2016-02-04 / 20160035694 - METHOD OF MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC COMPONENT MOUNTING DEVICE | 2 |
Kanako Hatayama | JP | Tokyo | 2012-05-10 / 20120113759 - ULTRASONIC DIAGNOSTIC APPARATUS AND METHOD THEREOF | 3 |
Kasumi Hatayama | JP | Osaka-Shi | 2014-04-03 / 20140095164 - MESSAGE ORIGINATING SERVER, MESSAGE ORGINATING METHOD, TERMINAL, ELECTRIC APPLIANCE CONTROL SYSTEM, AND ELECTRIC APPLIANCE | 1 |
Daiki Hatayama | JP | Yokkaichi-City | 2013-01-10 / 20130012042 - CONNECTOR | 1 |
Minoru Hatayama | JP | Yokohama | 2012-05-10 / 20120116139 - MULTIPLE ZEOLITE CATALYST | 2 |
Yoshiyuki Hatayama | JP | Tokyo | 2016-02-04 / 20160035483 - COIL COMPONENT | 8 |
Akito Hatayama | JP | Aichi | 2012-04-12 / 20120088104 - ACRYLONITRILE SWOLLEN FIBER FOR CARBON FIBER, PRECURSOR FIBER BUNDLE, STABILIZED FIBER BUNDLE, CARBON FIBER BUNDLE AND PRODUCTION METHODS THEREOF | 2 |
Kohji Hatayama | JP | Kanagawa-Ken | 2008-11-27 / 20080291501 - Image forming apparatus | 1 |
Kohji Hatayama | JP | Ebine-Shi | 2009-05-14 / 20090122330 - Image forming apparatus | 1 |
Satoru Hatayama | JP | Toyama-Shi | / - | 1 |
Kohji Hatayama | JP | Kanagawa | 2011-11-24 / 20110285078 - Automatic sheet feeder | 3 |
Koji Hatayama | JP | Kanagawa | 2016-05-05 / 20160127590 - SHEET CONVEYANCE DEVICE | 6 |
Kohji Hatayama | JP | Ebina-Shi | 2010-09-09 / 20100225977 - Sheet feeding unit, image reading device including same, and image forming apparatus including the image reading device | 4 |
Masahiro Hatayama | JP | Fuji-Shi | 2015-10-15 / 20150292506 - ROTARY COMPRESSOR AND REFRIGERATION CYCLE DEVICE | 2 |
Yoshiyuki Hatayama | JP | Natori City | 2014-10-30 / 20140320250 - COIL COMPONENT, POWDER-COMPACTED INDUCTOR AND WINDING METHOD FOR COIL COMPONENT | 1 |
Yoshiyuki Hatayama | JP | Tokyo | 2016-02-04 / 20160035483 - COIL COMPONENT | 8 |
Akito Hatayama | JP | Toyohashi-Shi | 2016-02-11 / 20160040322 - CARBON FIBER MANUFACTURING METHOD | 2 |
Yu Hatayama | JP | Osaka | 2013-08-15 / 20130206340 - TIRE BUILDING METHOD AND RUBBER STRIP BONDING APPARATUS | 10 |
Yoshinori Hatayama | JP | Aichi | 2010-12-23 / 20100323682 - COMMUNICATION METHOD AND, TERMINAL APPARATUS AND BASE STATION APPARATUS USING THE METHOD | 2 |
Hitoshi Hatayama | JP | Yokohama-Shi | 2011-06-30 / 20110155709 - LASER PROCESSING APPARATUS AND PROCESSING METHOD EMPLOYED THEREIN | 2 |
Atsushi Hatayama | JP | Saitama | 2008-09-04 / 20080212793 - Arrangement structure of sound system in motorcycle | 2 |
Hiroki Hatayama | JP | Hiroshima | 2008-09-11 / 20080220214 - Light regulating film, laminated light regulating film, and method for producing light regulating film and laminated light regulating film | 1 |
Tomoaki Hatayama | JP | Ikoma-Shi | 2013-07-18 / 20130183820 - METHOD FOR MANUFACTURING SILICON CARBIDE SEMICONDUCTOR DEVICE | 5 |
Kaichiro Hatazaki | JP | Tokyo | 2009-11-26 / 20090290689 - MONITORING DEVICE, EVALUATION DATA SELECTING DEVICE, AGENT EVALUATION DEVICE, AGENT EVALUATION SYSTEM, AND PROGRAM | 1 |
Kazunari Hatazaki | JP | Kashiwa-Shi | 2013-05-16 / 20130121739 - SHEET COOLING APPARATUS, SHEET CONVEYING APPARATUS AND SHEET MOISTURIZING APPARATUS | 3 |
Akitsugu Hatazaki | JP | Mie | 2014-02-27 / 20140054782 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 1 |
Kazunari Hatazaki | JP | Nagareyama-Shi | 2015-09-10 / 20150253701 - IMAGE HEATING APPARATUS | 8 |
Kazunari Hatazaki | JP | Toride-Shi | 2012-05-10 / 20120114402 - IMAGE FORMING APPARATUS | 1 |
Kazunari Hatazaki | JP | Nagareyama-Shi | 2015-09-10 / 20150253701 - IMAGE HEATING APPARATUS | 8 |
Yoshikazu Hatazawa | JP | Kanagawa | 2015-10-29 / 20150311351 - THIN FILM TRANSISTOR AND DISPLAY DEVICE | 1 |
Mari Hatazawa | US | Gardena | 2012-07-26 / 20120191344 - METHOD AND APPARATUS FOR DISPLAYING LANE COMPLEXITY INFORMATION FOR NAVIGATION SYSTEM | 1 |
Mamoru Hatazawa | JP | Ibaraki | 2015-03-12 / 20150073139 - Pesticidal Arylpyrrolidines | 10 |
Mari Hatazawa | US | Torrance | 2012-03-15 / 20120066202 - Method and apparatus for enhancing search results by extending search to contacts of social networks | 2 |
Kenji Hatazawa | JP | Osaka | 2009-01-29 / 20090027290 - RADIO WAVE RECEIVING CONVERTER AND SATELLITE BROADCAST RECEIVING ANTENNA DEVICE | 1 |
Mamoru Hatazawa | JP | Ibaraki | 2015-03-12 / 20150073139 - Pesticidal Arylpyrrolidines | 10 |
Yoshiyuki Hatazawa | JP | Shizuoka-Shi | 2014-10-16 / 20140308602 - COLLECTOR PLATE FOR FUEL CELLS AND METHOD FOR PRODUCING SAME | 1 |
Kenji Hatazawa | JP | Osaka-Shi | 2013-05-23 / 20130128493 - ILLUMINATION DEVICE | 1 |
Mamoru Hatazawa | JP | Tsukuba-Shi | 2014-05-08 / 20140128614 - PESTICIDAL DIARYL - HETEROCYCLYL DERIVATIVES | 3 |
Tsuyonobu Hatazawa | JP | Tokyo | 2010-09-30 / 20100244938 - FUNCTIONAL MOLECULAR ELEMENT, PROCESS FOR PRODUCING THE SAME AND FUNCTIONAL MOLECULAR DEVICE | 2 |
Robert E. Hatch | US | Boxborough | 2016-05-19 / 20160139266 - METHODS AND APPARATUS FOR PHASED ARRAY IMAGING | 1 |
Simon Hatch | US | San Francisco | 2015-10-15 / 20150293889 - PERCEPTION OF PAGE DOWNLOAD TIME BY OPTIMIZED RESOURCE SCHEDULING | 1 |
Andrew C. Hatch | US | Queen Creek | 2013-02-28 / 20130052649 - MULTILAYER HIGH DENSITY MICROWELLS | 1 |
Douglas Mcarthur Hatch | US | Running Springs | 2014-05-15 / 20140131471 - Apparatus to channel large air masses for climate modification | 1 |
Alyssa M. Hatch | US | Westfield | 2015-11-12 / 20150322857 - MICRO GAS TURBINE SYSTEMS AND USES THEREOF | 1 |
Stephen Mcgarry Hatch | US | Blue Springs | 2015-03-12 / 20150072566 - DUAL CONTACT POGO PIN ASSEMBLY | 3 |
Brandon Gordon Hatch | US | Provo | 2015-12-17 / 20150363745 - BLUETOOTH VERIFIED SMARTPHONE CLOCK-IN | 2 |
Michael L. Hatch | US | Tampa | 2015-01-29 / 20150029732 - RECESSED LED LIGHTING FIXTURE | 2 |
Paul Kay Hatch | US | Bentonville | 2014-10-09 / 20140304059 - Systems and Methods for Price Matching and Comparison | 5 |
Erik Hatch | US | Cypress | 2012-08-30 / 20120218069 - HIGH FREQUENCY ROTARY TRANSFORMER FOR SYNCHRONOUS ELECTRICAL MACHINES | 8 |
Jonathan D. Hatch | US | New Hartford | 2008-12-18 / 20080313096 - System and method for financial product management | 1 |
Michael R. Hatch | US | Mountain View | 2009-10-01 / 20090244786 - SYSTEM, METHOD AND APPARATUS FOR FLEXURE-INTEGRATED MICROACTUATOR | 1 |
Robert L. Hatch | US | Wellsville | 2014-06-05 / 20140150683 - GAS GENERATORS, LAUNCH TUBES INCLUDING GAS GENERATORS AND RELATED SYSTEMS AND METHODS | 1 |
Jeff Hatch | US | West Jordan | 2010-06-24 / 20100154934 - APPARATUS AND METHODS FOR SHAPING AND MACHINING ELONGATE WORKPIECES | 2 |
Paul Hatch | US | Chicago | 2015-09-03 / 20150249353 - Power Pack Vending Apparatus, System and Method of Use | 5 |
Adam Hatch | US | Cambridge | 2014-02-27 / 20140057280 - METHODS AND COMPOSITIONS FOR HIGHLY SPECIFIC CAPTURE AND RELEASE OF BIOLOGICAL MATERIALS | 1 |
Gareth P. Hatch | US | East Dundee | 2010-02-11 / 20100032952 - TURBINE GENERATOR HAVING DIRECT MAGNETIC GEAR DRIVE | 1 |
Marcus Hatch | US | Waltham | 2013-08-29 / 20130222784 - Fiber Optically Coupled Laser Rangefinder For Use In A Gimbal Systems | 2 |
Anson V. Hatch | US | Tracy | 2015-02-05 / 20150038372 - METHODS, MICROFLUIDIC DEVICES, AND SYSTEMS FOR DETECTION OF AN ACTIVE ENZYMATIC AGENT | 3 |
Andrew C. Hatch | US | Irvine | 2016-04-14 / 20160101418 - METHOD AND SYSTEM FOR ULTRA-HIGH DYNAMIC RANGE NUCLEIC ACID QUANTIFICATION | 3 |
David Hatch | US | Daly City | 2015-05-14 / 20150135328 - VEHICLE INTERFACE | 7 |
Matthew R. Hatch | US | Canastota | 2011-12-29 / 20110316315 - LAWN MOWER WITH SUSPENDED ERGONOMIC SEAT | 3 |
Charles Terrance Hatch | US | Gardnerville | 2015-04-23 / 20150107342 - SYSTEMS AND METHODS FOR MONITORING ROTARY EQUIPMENT | 20 |
Frederick R. Hatch | US | Ann Arbor | 2014-04-10 / 20140097572 - Radial Shaft Seal Assembly With Snap In Auxillary Member | 7 |
Duane Michael Hatch | US | Nashiville | 2009-02-12 / 20090042816 - Synthetic Ligands For The Differentiation Of Closely Related Toxins And Pathogens | 1 |
Thomas Clyde Hatch | US | Lexington | 2010-11-18 / 20100292658 - Device and methods for delivering fluids to animals | 3 |
Craig Lawrence Hatch | US | Albuquerque | 2015-12-03 / 20150347833 - Noncontact Biometrics with Small Footprint | 1 |
Tyler C. Hatch | US | Salt Lake City | 2015-12-03 / 20150343586 - TABLE SAW GUIDE AND SAFETY GUARD | 1 |
Daniel Hatch | US | South Jordan | 2012-08-02 / 20120198545 - System and Method for Providing Digital Content | 1 |
Erik Christopher Hatch | US | Cypress | 2013-02-28 / 20130049552 - REDUCING ANGULAR CLEARANCE BETWEEN A MOTOR SHAFT AND AN ANGULAR POSITION SENSOR | 1 |
Robert Hatch | US | Carlisle | 2015-02-26 / 20150058967 - Remote Access Manager for Virtual Computing Services | 1 |
Steven Hatch | US | West Orange | 2014-05-08 / 20140129939 - CUSTOMIZED CONTENT DELIVERY | 3 |
Ace J. Hatch | US | Durham | 2016-01-28 / 20160024585 - METHODS OF PREDICTING RESPONSIVENESS OF A CANCER TO AN AGENT AND METHODS OF DETERMINING A PROGNOSIS FOR A CANCER PATIENT | 1 |
Andrew C. Hatch | US | American Fork | 2012-07-19 / 20120184464 - SYSTEM AND METHOD FOR HIGH DENSITY ASSEMBLY AND PACKING OF MICRO-REACTORS | 1 |
Michael Hatch | US | Mountain View | 2014-05-22 / 20140139953 - DESIGN AND METHOD OF OPERATION OF MICRO-MILLIACTUATORS AND MICRO-MICROACTUATORS | 1 |
Stewart B. Hatch | US | Windsor | 2015-09-17 / 20150260054 - LOW COMPRESSOR HAVING VARIABLE VANES | 1 |
Steven A. Hatch | US | Danvers | 2014-02-20 / 20140053210 - USER INTERFACE FOR ENTERTAINMENT SYSTEMS | 4 |
Edwin B. Hatch | US | West Bend | 2010-03-11 / 20100058533 - SPA APPARATUS | 1 |
Jeff Hatch | US | Wilmington | 2010-08-05 / 20100194759 - MATHEMATICAL EXPRESSION ENTRY | 1 |
Erik Hatch | US | Cypress | 2012-08-30 / 20120218069 - HIGH FREQUENCY ROTARY TRANSFORMER FOR SYNCHRONOUS ELECTRICAL MACHINES | 8 |
Ronald R. Hatch | US | Willington | 2014-12-25 / 20140376598 - Phase Multi-Path Mitigation | 5 |
David K. Hatch | GB | Herefordshire | 2011-01-27 / 20110019367 - Method for Manufacturing a Plurality of Plug-In Cards from a Card Body | 1 |
William Michael Hatch | US | Peoria | 2015-12-24 / 20150368913 - Construction Element | 1 |
Larry P. Hatch | US | Tucson | 2014-12-25 / 20140373421 - Apparatus for Loading Cartridges into a Firearm Magazine | 1 |
Charles Terrance Hatch | US | Minden | 2015-10-15 / 20150292962 - SYSTEM AND METHOD OF MAGNETIC SHIELDING FOR SENSORS | 1 |
Lisa Hatch | US | Orem | 2014-04-10 / 20140099152 - DUAL EYELASH APPLICATOR WITH REVERSE ACTION APPARATUS | 1 |
Paul Hatch | US | Northbrook | 2008-12-18 / 20080308778 - Storage Apparatus | 1 |
Lani Hatch | US | Orem | 2013-10-17 / 20130274164 - Method and Composition for Removing Latex Paint | 1 |
Don Hatch | US | 2011-10-27 / 20110264825 - PORT SHARING ON A COMPUTING DEVICE | 1 | |
Justin W. Hatch | US | Springville | 2014-01-23 / 20140022038 - COIL SYSTEM AND HOUSING | 1 |
Daniel J. Hatch | US | Greeley | 2013-08-29 / 20130226248 - Locking Plate with Screw Fixation from Opposite Cortex | 1 |
Curtis Scott Hatch | US | Ozark | 2014-03-13 / 20140073468 - HYBRID POWER TRANSMISSION CORD | 1 |
David Hatch | US | Monson | 2014-11-20 / 20140338988 - OCCUPANT WEIGHT SENSOR | 1 |
Thomas Crofton Hatch | US | Williamson | 2015-05-07 / 20150125255 - SYSTEMS AND METHODS FOR IMPLEMENTING UNIQUE STACK REGISTRATION USING ROTATING SHELF STRUCTURES FOR SET COMPILING IN IMAGE FORMING DEVICES | 3 |
Christopher Byron Hatch | GB | London | 2014-07-17 / 20140198667 - MEASUREMENT METHOD | 1 |
Stephen Hatch | GB | Berkshire | 2013-05-30 / 20130138778 - COMPUTER-IMPLEMENTED METHOD, COMPUTER SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR SYNCHRONIZING OUTPUT OF MEDIA DATA ACROSS A PLURALITY OF DEVICES | 1 |
Glenn D. Hatch | US | Corning | 2015-09-10 / 20150252909 - LOW HEAD TO STEM RATIO POPPET VALVE | 2 |
Duane Michael Hatch | US | Nashville | 2015-10-29 / 20150309022 - Synthetic Ligands for the Differentiation of Closely Related Toxins and Pathogens | 1 |
Brandon Hatch | US | Provo | 2016-03-03 / 20160062332 - SMART HVAC | 4 |
David A. Hatch | US | Mashpee | 2010-03-25 / 20100072230 - Gasoline can | 1 |
Rhead Hatch | US | Kuna | 2014-04-24 / 20140114817 - SYSTEM AND METHOD FOR APPLYING DIVERSE ACCOUNTING EVENTS TO ACCOUNT BALANCES AND GENERATING FINANCIAL REPORTS | 1 |
Andrew Hatch | US | Irvine | 2014-09-11 / 20140255946 - CHIP-BASED DROPLET SORTING | 1 |
Justin W. Hatch | US | Spanish Fork | 2015-07-30 / 20150211739 - INTEGRATED VALVE TRAIN WITH TOP-LOADING SELF-CONTAINED VALVE CARTRIDGES AND INTEGRATED VALVE PROVING SYSTEM | 1 |
Greg Hatch | US | Roselle | 2008-10-30 / 20080264092 - SENSOR SYSTEM FOR A REFRIGERATOR DISPENSER | 1 |
Shaine Hatch | US | Salt Lake City | 2014-04-17 / 20140108953 - SYSTEMS AND METHODS FOR SELECTING COLORED FILMS AND DESIGNING LAYOUTS | 1 |
Matthew Stewart Hatch | US | Lexington | 2009-06-25 / 20090163126 - Disposable hygienic toilet bowl cleaner with wand | 1 |
Peter A. Hatch | US | Royal Oak | 2016-02-18 / 20160046246 - PROGRAMMABLE STANDBY VOLTAGE POWER SUPPLY | 2 |
Laird L. Hatch | US | Cave Creek | 2014-12-18 / 20140371790 - SUTURE PASSING SURGICAL INSTRUMENT | 2 |
Laird Hatch | US | Cave Creek | 2012-10-25 / 20120271349 - Suture Anchor and Method of Use | 2 |
Todd Hatch | US | Tucson | 2011-03-03 / 20110050527 - Broadband/Multi-Band Horn Antenna With Compact Integrated Feed | 1 |
Charles T. Hatch | US | Gardnerville | 2014-07-03 / 20140182388 - PROXIMITY AND STRAIN SENSING | 4 |
Guy Hatch | US | Vernon | 2010-07-22 / 20100180596 - METHOD AND SYSTEM FOR AUTONOMOUS LOAD SHARING | 2 |
Frederick Hatch | US | Ann Arbor | 2008-11-06 / 20080271301 - SEAL ASSEMBLY AND METHOD OF MANUFACTURING THE SAME | 1 |
Joshua D. Hatch | US | Middleton | 2011-06-16 / 20110138982 - Material Trimmer With Illuminated Cut Line Indicator | 2 |
Jonathan Hatch | CN | Hong Kong | 2015-06-25 / 20150178682 - METHOD AND SYSTEM FOR CONFIDENTIALLY AND ANONYMOUSLY MATCHING JOB CANDIDATES WITH JOB OPPORTUNITIES | 1 |
Ira Steven Hatch | US | Bountiful | 2011-07-21 / 20110178423 - Estrus detection device | 1 |
Christopher B. Hatch | GB | London | 2013-03-21 / 20130070908 - NETWORK TESTING | 1 |
Paul Hatch | US | Bentonville | 2014-07-31 / 20140214518 - SYSTEM AND METHOD FOR PRICE MATCHING AND COMPARISON | 1 |
Andrew M. Hatch | US | Lake Orion | 2012-12-06 / 20120308727 - CLEANER COMPOSITION FOR FORMED METAL ARTICLES | 1 |
Steven R. Hatch | US | Naperville | 2013-09-12 / 20130233796 - TREATMENT OF INDUSTRIAL WATER SYSTEMS | 3 |
Charles Terrance Hatch | US | Gardnerville | 2015-04-23 / 20150107342 - SYSTEMS AND METHODS FOR MONITORING ROTARY EQUIPMENT | 20 |
Andrew C. Hatch | US | Queen Creed | 2013-07-11 / 20130178378 - MULTIPLEX DIGITAL PCR | 1 |
Thomas W. Hatch | US | Bonita Springs | 2008-12-11 / 20080305758 - WIRELESS COMPUTER MOUSE RECEIVER | 1 |
Stanley Hatch | US | Spring | 2012-10-11 / 20120260242 - Zero Downtime Hard Disk Firmware Update | 1 |
Edwin Burton Hatch | US | The Villages | 2010-07-01 / 20100168857 - FLEXIBLY COMPLIANT CERAMIC PROSTHETIC MENISCUS FOR THE REPLACEMENT OF DAMAGED CARTILAGE IN ORTHOPEDIC SURGICAL REPAIR OR RECONSTRUCTION OF HIP, KNEE, ANKLE, SHOULDER, ELBOW. WRIST AND OTHER ANATOMICAL JOINTS | 3 |
George Hatch | US | Taunton | 2014-11-13 / 20140331711 - PHASE CHANGE MATERIAL BLADDER FOR USE IN A TEMPERATURE CONTROLLED PRODUCT SHIPPER | 1 |
Christopher Edward Hatch | US | San Diego | 2014-10-02 / 20140296787 - DRIVE MECHANISM FOR DRUG DELIVERY PUMPS WITH INTEGRATED STATUS INDICATION | 3 |
Boyd L. Hatch | US | West Valley City | 2013-08-01 / 20130193607 - AUTOMATED MATERIAL DELIVERY SYSTEM | 1 |
Kenneth F. Hatch | US | Sunol | 2015-12-03 / 20150346310 - DE-EMBEDDING AND CALIBRATION OF MIRROR SYMMETRIC RECIPROCAL NETWORKS | 3 |
Robert C. Hatch | US | Arroyo Grande | 2014-04-03 / 20140091910 - INTERROGATOR AND INTERROGATION SYSTEM EMPLOYING THE SAME | 1 |
Nathan Hatch | US | Claremont | 2010-09-23 / 20100239216 - Fiber Optic Cables and Methods for Forming the Same | 1 |
Lindsey Hatch | NZ | Pukekohe | 2009-02-12 / 20090044302 - Carex plant named 'REKOHU SUNRISE' | 1 |
Steven Hatch | US | Danvers | 2014-12-18 / 20140373073 - USER INTERFACE FOR ENTERTAINMENT SYSTEMS | 3 |
Ramona Eason Hatch | US | Andover | 2016-04-07 / 20160098087 - SYSTEMS AND METHODS FOR GESTURE RECOGNITION | 1 |
Scott Hatch | US | Sussex | 2016-02-18 / 20160046516 - Diffused Aeration Systems and Methods for Cleaning Fouled Diffusers in Aeration Systems | 1 |
Andrew O. Hatch | US | Oakland | 2012-02-23 / 20120047020 - Contextual advertising with user features | 2 |
Michael R. Hatch | US | Aliso Viejo | 2013-04-18 / 20130092570 - SHOE CASES | 1 |
Steven A. Hatch | US | Tolland | 2014-11-20 / 20140343973 - Computer System for Processing Data From a Plurality of Remote Input Devices for Transmission to a Third-Party Computer | 2 |
Ronald R. Hatch | US | Wilmington | 2012-07-12 / 20120176271 - Navigation System and Method for Resolving Integer Ambiguities Using Double Difference Ambiguity Constraints | 2 |
Jeffrey Alan Hatch | US | Pleasanton | 2009-06-11 / 20090150295 - VALIDATION SERVICE FOR PAYMENT CARDS WITH PRELOADED DYNAMIC CARD VERIFICATION VALUES | 1 |
Richard Hatch | US | Pleasanton | 2013-11-14 / 20130304053 - TISSUE TREATMENT APPARATUS WITH FUNCTIONAL MECHANICAL STIMULATION AND METHODS FOR REDUCING PAIN DURING TISSUE TREATMENTS | 2 |
Paul James Hatchell | NL | Gs Rijswijk | 2010-05-06 / 20100107753 - METHOD OF DETECTING A LATERAL BOUNDARY OF A RESERVOIR | 1 |
Esme Hatchell | AU | Mount Lawley | 2009-12-17 / 20090312245 - SRA binding protein | 1 |
Andrew Hatchell | US | Cary | 2011-10-20 / 20110255688 - METHOD AND SYSTEM FOR MONITORING ONLINE COMPUTER NETWORK BEHAVIOR AND CREATING ONLINE BEHAVIOR PROFILES | 3 |
Brian K. Hatchell | US | West Richland | 2013-09-05 / 20130229302 - PASSIVE MILLIMETER WAVE DIFFERENTIAL INTERFERENCE CONTRAST POLARIMETRY | 1 |
Paul James Hatchell | NL | Rijswijk | 2011-02-24 / 20110046934 - MONITORING A REGION OF INTEREST IN A SUBSURFACE FORMATION | 2 |
Paul James Hatchell | US | Katy | 2013-01-10 / 20130013212 - SEISMIC CLOCK TIMING CORRECTION USING OCEAN ACOUSTIC WAVES | 3 |
Brian K. Hatcher | US | San Jose | 2013-06-27 / 20130166088 - Combinatorial High Power Coaxial Switching Matrix | 8 |
William Henry Hatcher | US | Natural Bridge | 2009-04-02 / 20090084304 - Emergency safety reflector for automotive vehicles | 1 |
Kenneth Hatcher | US | Smyrna | 2014-04-10 / 20140096899 - DELUXE BIAS TAPE AND COVERED PIPING MAKING MACHINE | 3 |
Brady Hatcher | US | Watertown | 2014-04-03 / 20140094895 - STENT DELIVERY SYSTEM | 1 |
Wesley Edward Hatcher | US | Mesa | 2016-02-04 / 20160031164 - SYSTEMS AND METHOD FOR PRODUCING THREE-DIMENSIONAL ARTICLES FROM FLEXIBLE COMPOSITE MATERIALS | 5 |
Jonathan R. Hatcher | US | South Daytona | 2015-10-01 / 20150280355 - Harsh Environment Connector With Rolling Seals | 1 |
Jonathan Hatcher | GB | Essex | 2015-10-01 / 20150274818 - COMPOUNDS AND METHODS FOR TREATING PAIN | 1 |
Justin Cleve Hatcher | US | Renton | 2016-01-28 / 20160023775 - REFUELING BOOM CONTROL SYSTEM | 3 |
Rick L. Hatcher | US | Cicero | 2014-02-20 / 20140049101 - LIGHTWEIGHT AUDIO SYSTEM FOR AUTOMOTIVE APPLICATIONS AND METHOD | 18 |
John Hatcher | US | Fort Mill | 2014-07-24 / 20140202727 - Devices with Selectable Mounting and Related Assemblies and Kits | 2 |
Brian K. Hatcher | US | San Jose | 2013-06-27 / 20130166088 - Combinatorial High Power Coaxial Switching Matrix | 8 |
Katherine Hatcher | US | Ward | 2014-01-09 / 20140011165 - Little Chef Cooking Tools | 1 |
Patrick G. Hatcher | US | Suffolk | 2014-10-09 / 20140302569 - ALGAE STRAIN FOR BIODIESEL FUEL PRODUCTION | 7 |
Brian K. Hatcher | US | 2009-06-18 / 20090156011 - Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor | 2 | |
Timothy Gene Hatcher | US | Manteca | 2015-12-03 / 20150347354 - DISCRETE SOURCE CODE TIMELINES | 1 |
Cynthia Hatcher | US | Atlanta | 2013-11-07 / 20130295557 - SELECTIVE DETECTION OF HAEMOPHILUS INFLUENZAE | 1 |
Geoffrey Hatcher | US | Orange | 2012-05-31 / 20120133426 - PHASE-LOCKED LOOP BASED CONTROLLER FOR ADJUSTING AN ADAPTIVE CONTINUOUS-TIME FILTER | 1 |
Heathcliff Hatcher | US | San Diego | 2016-03-17 / 20160075018 - TRAINABLE MODULAR ROBOTIC APPARATUS | 4 |
Pamela S. Hatcher | US | Plano | 2013-10-24 / 20130276369 - UNIVERSAL DRAIN HOLE COVER FOR PLANTING CONTAINERS | 1 |
Timothy G. Hatcher | US | Cupertino | 2013-08-22 / 20130219013 - PROGRESS INDICATOR FOR LOADING DYNAMICALLY-SIZED CONTENTS | 1 |
Mark Andrew Hatcher | US | Research Triangle Park | 2016-05-05 / 20160120878 - DERIVATIVES OF BETULIN | 3 |
Robert Brent Hatcher | US | Crawfordsville | 2013-01-24 / 20130020357 - Pre-Assembled Brick Well and Purging Block Assembly for Steel Ladle | 1 |
Daniel Hatcher | GB | Royston | 2015-12-17 / 20150360213 - Oxidation Catalyst for a Compression Ignition Engine | 6 |
Stephen D. Hatcher | US | Dillsburg | 2010-09-30 / 20100244475 - EXTENDABLE REACHING TOOL | 4 |
Jeremy T. Hatcher | US | Urbana | 2014-08-07 / 20140220620 - MULTI-CHANNEL SYSTEM AND METHODS FOR SORTING PARTICLES | 18 |
Brian M. Hatcher | US | Gainesville | 2013-04-18 / 20130095147 - SOL-GEL DERIVED BIOACTIVE GLASS POLYMER COMPOSITE | 1 |
Arndra E. Hatcher | US | New Haven | 2010-02-18 / 20100037908 - Hair Enhancement Method and Apparatus with Hair Tracks | 1 |
Fred L. Hatcher | US | Parker | 2011-05-05 / 20110105907 - Real Time Ultrasound Probe | 1 |
Clifford Hatcher | US | Orlando | 2013-09-12 / 20130235391 - ONE-DIMENSIONAL COHERENT FIBER ARRAY FOR INSPECTING COMPONENTS IN A GAS TURBINE ENGINE | 12 |
Stephen D. Hatcher | US | Camp Hill | 2012-10-11 / 20120256383 - WHEEL FOR WHEELBARROWS AND CARTS | 4 |
Sylvester Hatcher | US | Birmingham | 2014-11-27 / 20140347440 - Omnidirectional Vehicle Camera System | 1 |
David Hatcher | US | Palm Bay | 2015-04-09 / 20150100064 - Device For Immobilizing A Primary Instrument And Method Therefor | 3 |
Kenneth Hatcher | US | Mt. Ayre | 2010-11-18 / 20100292064 - BIAS TAPE MAKER | 1 |
Brady Jon Hatcher | US | Rogers | 2014-11-13 / 20140336692 - RUPTURE-RESISTANT COMPLIANT RADIOPAQUE CATHETER BALLOON AND METHODS FOR USE OF SAME IN AN INTRAVASCULAR SURGICAL PROCEDURE | 2 |
Robert F. Hatcher | US | Macon | 2010-09-02 / 20100218959 - Method and device for suppression of fire by local flooding with ultra-fine water mist | 1 |
Rick L. Hatcher | US | Cicero | 2014-02-20 / 20140049101 - LIGHTWEIGHT AUDIO SYSTEM FOR AUTOMOTIVE APPLICATIONS AND METHOD | 18 |
Nathan A. Hatcher | US | Buda | 2011-11-03 / 20110266135 - SULFUR DEGASSING PROCESS | 3 |
Forest A. Hatcher | US | Palm Coast | 2010-02-18 / 20100037879 - Positive fit "lever" feed adapter for paintball gun | 1 |
Patrick G. Hatcher | US | Norfolk | 2010-02-11 / 20100031561 - Raceways for Cultivating Algae | 2 |
Ashley Hatcher | US | Columbus | 2012-09-13 / 20120228188 - Food Tray With Non-Slip Inserts | 3 |
Stephen Hatcher | US | Dillsburg | 2009-05-28 / 20090133769 - STRUCTURE OF HOSE | 1 |
John August Hatcher | US | Auburn | 2008-12-04 / 20080296356 - INSULATED FOOD CRATE | 1 |
Amy Hatcher | US | Auburn | 2008-12-04 / 20080296356 - INSULATED FOOD CRATE | 1 |
Diane Yang Hatcher | US | Cary | 2008-09-25 / 20080235231 - Computer-Implemented Systems And Methods For Database Access | 1 |
Jeremy Hatcher | US | Urbana | 2014-08-07 / 20140220621 - CHARACTERIZATION OF MOTION-RELATED ERROR IN A STREAM OF MOVING MICRO-ENTITIES | 4 |
Ryan M. Hatcher | US | Swarthmore | 2015-05-07 / 20150123075 - INTEGRATED CIRCUIT DEVICES INCLUDING STRAINED CHANNEL REGIONS AND METHODS OF FORMING THE SAME | 2 |
Jeremy T. Hatcher | US | Urbana | 2014-08-07 / 20140220620 - MULTI-CHANNEL SYSTEM AND METHODS FOR SORTING PARTICLES | 18 |
Jason Garett Hatcher | CA | Toronto | 2014-11-13 / 20140337915 - System And Method For Creating Unique Digital Content Compilations | 1 |
Brady James Hatcher | US | Rogers | 2012-10-18 / 20120265285 - MEDICAL DELIVERY SYSTEM AND METHOD FOR DELIVERY OF A MEDICALLY USEFUL PAYLOAD | 1 |
Ryan M. Hatcher | US | Round Rock | 2016-04-21 / 20160111337 - STRAINED STACKED NANOSHEET FETS AND/OR QUANTUM WELL STACKED NANOSHEET | 3 |
Brian Hatcher | US | San Jose | 2013-07-04 / 20130168231 - Method For Sputter Deposition And RF Plasma Sputter Etch Combinatorial Processing | 1 |
Geoffrey Hatcher | US | Lake Forest | 2016-03-24 / 20160087605 - ADAPTIVE CONTINUOUS-TIME FILTER ADJUSTMENT DEVICE | 1 |
Forest A. Hatcher | US | Flagler Beach | 2013-05-09 / 20130115574 - Pneumatic device utilizing controlled pressure release | 1 |
Donald Kurtis Hatcher | US | Chester | 2013-06-20 / 20130153522 - SEASONINGS STORAGE DEVICE | 1 |
Malcolm John Hatcher | GB | Caerphilly | 2008-12-04 / 20080300397 - MODIFIED SPIN COLUMN FOR SIMPLE AND RAPID PLASMID DNA EXTRACTION | 1 |
Kieran James Hatcher | NZ | Auckland | 2009-10-22 / 20090260737 - METHOD OF FORMING A CONDUIT | 2 |
Michael Hatcher | GB | Suffolk | 2011-05-05 / 20110101313 - Electroluminescent Devices Comprising Bus Bars | 2 |
Brenda Gail Hatcher | US | Hartselle | 2013-06-13 / 20130146071 - Cigar Holder Assembly | 1 |
John S. Hatcher | US | Hendersonville | 2014-07-31 / 20140209276 - SYSTEM AND METHOD FOR TRANSFERRING HEAT USING AN EXPANDED GAS | 1 |
Clifford Hatcher | US | Orlando | 2013-09-12 / 20130235391 - ONE-DIMENSIONAL COHERENT FIBER ARRAY FOR INSPECTING COMPONENTS IN A GAS TURBINE ENGINE | 12 |
Timothy Hatcher | US | San Jose | 2011-08-04 / 20110191344 - AUTOMATIC ORGANIZATION OF BROWSING HISTORIES | 1 |
Mark Shane Hatcher | US | Pfafftown | 2014-01-23 / 20140020340 - Five-Sided Cigarette Carton Packaging | 2 |
William Hatcher | US | Los Angeles | 2010-01-07 / 20100003647 - System and Method for Automated Meal Recommendations | 1 |
John M. Hatcher | US | Santa Maria | 2011-04-14 / 20110088099 - On demand visibility services and smart directory | 1 |
Geoffrey Hatcher | US | Newport Beach | 2011-03-31 / 20110075777 - Phase-Locked Loop Based Controller for Adjusting an Adaptive Continuous-Time Filter | 2 |
Brain K. Hatcher | US | San Jose | 2009-11-05 / 20090272492 - PLASMA REACTOR WITH CENTER-FED MULTIPLE ZONE GAS DISTRIBUTION FOR IMPROVED UNIFORMITY OF CRITICAL DIMENSION BIAS | 1 |
Timothy G. Hatcher | US | Tracy | 2014-11-13 / 20140337991 - METHODS AND APPARATUS FOR BLOCKING USAGE TRACKING | 3 |
Geoff Hatcher | US | Irvine | 2012-02-02 / 20120027137 - RECEIVER SECOND ORDER INTERMODULATION CORRECTION SYSTEM AND METHOD | 4 |
Timothy Gene Hatcher | US | San Jose | 2008-12-11 / 20080307328 - METHODS AND SYSTEMS FOR EDITING OF WEB PAGES IN AN APPLICATION CAPABLE OF DISPLAYING WEB PAGE CONTENT | 1 |
Clifford Hatcher, Jr. | US | Orlando | 2016-03-10 / 20160069829 - HARDWARE AND METHOD FOR IMPLEMENTATION OF IN SITU ACOUSTIC THERMOGRAPH INSPECTIONS | 17 |
Robert F. Hatcher, Jr. | US | Macon | 2012-05-24 / 20120125197 - DECONTAMINATION APPARATUSES AND METHODS | 1 |
Merrill Albert Hatcher, Jr. | US | Greensboro | 2013-09-05 / 20130230643 - ATOMIC LAYER DEPOSITION ENCAPSULATION FOR ACOUSTIC WAVE DEVICES | 4 |
Clifford Hatcher, Jr. | US | Orlando | 2016-03-10 / 20160069829 - HARDWARE AND METHOD FOR IMPLEMENTATION OF IN SITU ACOUSTIC THERMOGRAPH INSPECTIONS | 17 |
Merrill Albert Hatcher, Jr. | US | Greenboro | 2013-02-14 / 20130038390 - ATOMIC LAYER DEPOSITION ENCAPSULATION FOR POWER AMPLIFIERS IN RF CIRCUITS | 1 |
Robert Allen Hatcherson | US | Fort Worth | 2015-03-12 / 20150072316 - SYSTEM AND METHOD FOR STREAMING VIDEO INTO A CONTAINER-BASED ARCHITECTURE SIMULATION | 3 |
David W. Hatchett | US | Las Vegas | 2013-04-11 / 20130087464 - ROOM TEMPERATURE ELECTRODEPOSITION OF ACTINIDES FROM IONIC SOLUTIONS | 1 |
Albert Earl Hatchett | US | Jenkinsburg | 2011-04-07 / 20110079005 - Device & method for coverting a motorcycle exhaust system into a multiple exhaust system | 1 |
Derek Hatchett | US | Brookline | 2015-12-24 / 20150367117 - Transdermal Drug Delivery Device | 1 |
David Hatchett | US | Shenandoah Junction | 2014-09-04 / 20140247552 - External Drive Chassis Storage Array | 1 |
Keith W. Hatchett | US | Royal Palm Beach | 2012-03-08 / 20120059764 - Creating and Managing a Lease Agreement | 1 |
Joel Lynn Hatchett | US | Lexington | 2013-09-05 / 20130227779 - BATHING VESSEL AND METHOD THEREFOR | 1 |
David Hatchett | US | Las Vegas | 2013-09-12 / 20130233716 - Room Temperature Electrodeposition of Actinides from Ionic Solutions | 1 |
Joel Hatchett | US | Henderson County | 2009-08-06 / 20090194185 - HOSE-CLAMP ASSEMBLY | 2 |
Kevin Hatchett | US | Plano | 2014-02-27 / 20140058746 - MEDICAL BILL ANALYSIS AND REVIEW | 1 |
James Hatchett | US | Eagle | 2014-04-17 / 20140104076 - ENVIRONMENTAL SENSING AND COMMUNICATION | 4 |
Keith Hatchett | US | Riviera Beach | 2013-11-21 / 20130311384 - LEASE DEPOSIT EXEMPTION POLICY | 1 |
Corey Hatchett | US | Alpharetta | 2012-07-26 / 20120187777 - CONTROL SYSTEM FOR A MATERIAL HANDLING APPLICATION | 1 |
Kevan Hatchman | GB | West Midlands | 2015-11-12 / 20150322757 - Surfactant composition | 1 |
Kevan Hatchman | GB | Wolverhampton | 2014-10-02 / 20140296185 - Solid formulations suitable for oilfield applications | 2 |
Kevan Hatchman | GB | Yorkshire | 2015-05-07 / 20150126417 - Surfactant composition | 2 |
Kazuki Hatcho | JP | Kobe-Shi | 2014-05-01 / 20140120530 - METHOD FOR CLASSIFYING/COUNTING LEUKOCYTES, REAGENT KIT FOR CLASSIFYING LEUKOCYTES, AND REAGENT FOR CLASSIFYING LEUKOCYTES | 1 |
Jun Hatcho | JP | Kitasaku-Gun | 2014-10-23 / 20140314351 - FLUID DYNAMIC PRESSURE BEARING OIL, FLUID DYNAMIC PRESSURE BEARING USING THE SAME, AND SPINDLE MOTOR | 2 |
Atsushi Hatcho | JP | Nagano | 2015-01-22 / 20150025376 - LIQUID INJECTOR FOR INJECTING CONTRAST MEDIUM AT VARIABLE RATE INTO A SUBJECT WHO IS TO BE IMAGED BY IMAGING DIAGNOSTIC APPARATUS | 2 |
Leah Hatch-Vallier | US | Ann Arbor | 2013-06-20 / 20130156742 - Scaffold-Free Three Dimensional Nerve Fibroblast Constructs | 1 |
Eli Hatchwell | US | Cold Spring Harbor | 2010-09-30 / 20100248236 - Evaluating Genetic Disorders | 1 |
Luke Hatchwell | AU | Adamstown | 2013-11-21 / 20130309238 - SIGNAL TRANSDUCTION PATHWAY MODULATION | 1 |
Eli Hatchwell | GB | Winchester | 2015-05-14 / 20150132295 - METHODS AND COMPOSITIONS FOR DIAGNOSING, PROGNOSING, AND TREATING ENDOMETRIOSIS | 9 |
Eli Hatchwell | UK | Winchester | 2014-03-27 / 20140088882 - EVALUATING GENETIC DISORDERS | 1 |
Eli Hatchwell | GB | Winchester | 2015-05-14 / 20150132295 - METHODS AND COMPOSITIONS FOR DIAGNOSING, PROGNOSING, AND TREATING ENDOMETRIOSIS | 9 |
Eli Hatchwell | US | Saint James | 2011-01-27 / 20110021366 - EVALUATING GENETIC DISORDERS | 1 |
Eli Hatchwell | US | St. James | 2010-10-21 / 20100267021 - METHODS FOR DETERMINING CYTOSINE METHYLATION IN DNA AND USES THEREOF | 1 |
Sudhanshu Hate | IN | Pune | 2015-03-26 / 20150087407 - METHOD AND SYSTEM FOR PROVIDING ENTERPRISE BASED GAMIFICATION AS A SERVICE | 2 |
Georgeta Hategan | US | Naperville | 2014-10-09 / 20140301999 - BIARYL PDE4 INHIBITORS FOR TREATING INFLAMMATORY, CARDIOVASCULAR AND CNS DISORDERS | 5 |
Georgeta Hategan | US | Woodridge | 2016-04-21 / 20160108335 - Lubricant Compositions Including Alpha-Olefin Copolymers | 6 |
Georgeta Hategan | US | Plainfield | 2015-12-24 / 20150368180 - ACID CATALYZED OLIGOMERIZATION OF ALKYL ESTERS AND CARBOXYLIC ACIDS | 7 |
Georgeta Hategan | US | Plainsfield | 2012-10-18 / 20120264664 - MALIENATED DERIVATIVES | 1 |
Brian Peter Hateley | GB | Wigan | 2011-10-06 / 20110240076 - WALKING AID | 1 |
Andrew D. Hately | US | Austin | 2016-03-24 / 20160085593 - PLACING VIRTUAL MACHINES IN A VIRTUAL ENVIRONMENT | 3 |
Graham Charles Hately | GB | Norfolk | 2010-11-11 / 20100282249 - DISPENSING DEVICES | 1 |
Andrew D. Hately | US | San Jose | 2016-03-17 / 20160077881 - MANAGING A WORKLOAD IN AN ENVIRONMENT | 1 |
Paul Alastair Hately | GB | Portsmouth | 2010-07-15 / 20100179451 - ACTIVATION AND CONTROL DEVICE FOR COUPLING TWO MUTUALLY ACTIVATABLE AUTOMATIC INTERVENTION SYSTEMS | 1 |
Andrew Douglas Hately | US | Austin | 2008-09-25 / 20080232783 - Personal Video Recording With Machine Learning For Messaging | 2 |
Graham Hately | GB | Norfolk | 2015-08-06 / 20150217066 - DOSE INDICATOR DEVICE | 2 |
Christopher R. Hatem | US | Cambridge | 2010-11-04 / 20100279479 - Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon | 2 |
Christopher Hatem | US | Salisbury | 2010-02-18 / 20100041218 - USJ TECHNIQUES WITH HELIUM-TREATED SUBSTRATES | 3 |
Matthew T. Hatem | US | Portsmouth | 2016-03-03 / 20160062980 - Question Correction and Evaluation Mechanism for a Question Answering System | 2 |
Tracy Mckie Hatem | US | Norfolk | 2011-12-15 / 20110302957 - Communication system, device and method | 2 |
Christopher R. Hatem | US | Hampton | 2013-03-14 / 20130064989 - PLASMA PROCESSING OF WORKPIECES TO FORM A COATING | 3 |
Christopher R. Hatem | US | Billerica | 2015-12-17 / 20150364325 - TECHNIQUES FOR INCREASED DOPANT ACTIVATION IN COMPOUND SEMICONDUCTORS | 1 |
Christopher Hatem | US | Billerica | 2015-10-01 / 20150279974 - CONVERSION PROCESS UTILIZED FOR MANUFACTURING ADVANCED 3D FEATURES FOR SEMICONDUCTOR DEVICE APPLICATIONS | 1 |
Christopher R. Hatem | US | Salisbury | 2014-09-18 / 20140273502 - TECHNIQUES TO MITIGATE STRAGGLE DAMAGE TO SENSITIVE STRUCTURES | 13 |
Christopher Hatem | US | Cambridge | 2011-02-17 / 20110039034 - PULSED DEPOSITION AND RECRYSTALLIZATION AND TANDEM SOLAR CELL DESIGN UTILIZING CRYSTALLIZED/AMORPHOUS MATERIAL | 1 |
Christopher Hatem | US | Hampton | 2014-06-05 / 20140154834 - USE OF DOPANTS WITH DIFFERENT DIFFUSIVITIES FOR SOLAR CELL MANUFACTURE | 4 |
Rina Hatemata | JP | Utsunomiya-Shi | 2011-04-28 / 20110097582 - ALUMINUM MAGNESIUM TITANATE-ALUMINA COMPOSITE CERAMICS | 1 |
Gary R. Hater | US | Cincinnati | 2012-10-18 / 20120264198 - In-Situ Reclaimable Anaerobic Composter | 4 |
Mark Sherman Hatfield | US | Providence | 2015-10-29 / 20150307050 - AIRBAG INFLATOR MOUNTING APPARATUS, METHODS, AND SYSTEMS | 1 |
Nathaniel K. Hatfield | US | Cary | 2010-05-20 / 20100123581 - RFID Security In An RFID-Enabled Medium | 1 |
Randal Hatfield | US | Oxnard | 2015-07-16 / 20150196902 - PALLADIUM SOLID SOLUTION CATAYST AND METHODS OF MAKING | 6 |
Rebecca Jane Hatfield | US | Orange City | 2011-09-29 / 20110232681 - Cosmetic Brush Cleaning Solution | 1 |
Randal Hatfield | US | Camarillo | 2014-10-09 / 20140301926 - Systems and Methods for Diesel Oxidation Catalyst with Decreased SO3 Emissions | 2 |
Eric E. Hatfield | US | Anthem | 2014-05-15 / 20140132093 - CONTROL UNIT FOR A POWER TOOL | 3 |
Adrian R. Hatfield | GB | Surrey | 2012-07-05 / 20120172887 - Proximal Release Expandable Prosthesis Delivery System | 1 |
Stuart Andrew Hatfield | GB | Cambridge | 2012-06-07 / 20120138180 - VALVE | 1 |
Laurence Hatfield | GB | Horton Kirby | 2013-09-26 / 20130247567 - EXHAUST-GAS RECIRCULATION SYSTEM AND METHOD FOR EXHAUST-GAS RECIRCULATION | 1 |
Kent E. Hatfield | US | Salt Lake City | 2012-11-22 / 20120292232 - METHOD AND APPARATUS FOR MAXIMIZING THROUGHPUT OF INDIRECTLY HEATED ROTARY KILNS | 3 |
David M. Hatfield | US | Midland | 2015-10-29 / 20150307660 - POLYCARBONATE BLOCK COPOLYMERS | 4 |
Eric Hatfield | US | Jacobus | 2009-05-07 / 20090115266 - POWER TOOL WITH FRAMELESS MOTOR AND TWO-PIECE BRUSH ASSEMBLY, AND METHOD OF ASSEMBLY | 2 |
Michael Luke Hatfield | CA | Saint John | 2015-07-02 / 20150183399 - Speed Limiting Vehicle Key Fob System | 2 |
Ronald D. Hatfield | US | Madison | 2014-01-09 / 20140011984 - METHOD FOR MODIFYING LIGNIN STRUCTURE USING MONOLIGNOL FERULATE CONJUGATES | 2 |
John T. Hatfield | US | Crosslanes | 2011-01-20 / 20110010948 - MAGNETIC TWO PART SCRAPING TOOL | 1 |
Lesley Hatfield | US | Jones Creek | 2015-01-15 / 20150017855 - NOVEL WICKING FABRIC AND CLOTHING | 1 |
Christopher Wilson Hatfield | US | Briarcliff | 2011-01-27 / 20110018778 - INTEGRATED RESONATOR AND DIPOLE FOR RADIATION OF HIGH POWER RF ENERGY | 1 |
Daniel A. Hatfield | US | Rochelle | 2011-02-03 / 20110024213 - Hood Assembly For A Machine And A Method Of Use Thereof | 1 |
Kirk Hatfield | US | Gainesville | 2015-09-24 / 20150268081 - Sediment Bed Passive Flux Meter (SBPFM) | 2 |
Mark S. Hatfield | US | Providence | 2016-02-11 / 20160039381 - AIRBAG INFLATOR RETAINERS AND RELATED METHODS AND SYSTEMS | 7 |
Martin Gregory Hatfield | US | Odessa | 2015-11-26 / 20150336041 - Segmented Filter Assembly | 10 |
Eric E. Hatfield | US | Jacobus | 2013-11-14 / 20130301244 - Power Tool With Light For Illuminating Workpiece | 7 |
David B. Hatfield | US | Oracle | 2012-05-03 / 20120104148 - GUIDED MUNITIONS INCLUDING SELF-DEPLOYING DOME COVERS AND METHODS FOR EQUIPPING GUIDED MUNITIONS WITH THE SAME | 4 |
Ryan Daniel Hatfield | US | San Jose | 2014-05-15 / 20140136356 - METHOD FOR MANAGING LISTING PRICES IN AN ECOMMERCE ENVIRONMENT | 1 |
Ryan Daniel Hatfield | US | Austin | 2011-04-07 / 20110082769 - METHOD FOR MANAGING ITEM QUANTITIES IN AN ECOMMERCE ENVIRONMENT | 1 |
Martin Hatfield | US | Odessa | 2011-04-28 / 20110097571 - OLEOPHOBIC, AIR PERMEABLE, AND BREATHABLE COMPOSITE MEMBRANE | 1 |
Tobie Hatfield | US | Lake Oswego | 2011-09-08 / 20110214313 - FLEX GROOVE SOLE ASSEMBLY WITH BIASING STRUCTURE | 1 |
Eric Hatfield | US | Towson | 2012-05-24 / 20120126639 - STATOR HOUSING ASSEMBLY HAVING OVERMOLDED MAGNETS | 1 |
Roy Hatfield | GB | London | 2009-01-22 / 20090024500 - System and Method of Transaction Settlement Using Trade Credit | 1 |
Stuart Hatfield | GB | Cambridge | 2015-07-23 / 20150202877 - LIQUID MANAGEMENT SYSTEM | 3 |
Brian D. Hatfield | US | Tucson | 2016-04-28 / 20160117128 - SYSTEMS AND METHODS FOR INITIALIZING A MEMORY SYSTEM | 23 |
Allen Hatfield | US | Grand Rapids | 2010-06-17 / 20100147656 - CONVEYOR WITH TROUGHED LOW FRICTION, POSITIVE DRIVE BELT | 1 |
Larry Tucker Hatfield | US | Bothell | 2010-07-08 / 20100171754 - CONVERTING DIGITAL INK TO SHAPES AND TEXT | 2 |
Terry B. Hatfield | US | St. Louis | 2016-02-11 / 20160038019 - Diagnostic, Prescriptive, And Data-Gathering System And Method For Macular Pigment Deficits And Other Eye Disorders | 5 |
Ryan Daniel Hatfield | US | San Angelo | 2012-05-24 / 20120130772 - METHOD FOR MANAGING LISTING PRICES IN AN ECOMMERCE ENVIRONMENT | 1 |
Randal L. Hatfield | US | Port Hueneme | 2016-02-04 / 20160030885 - SYSTEMS AND METHODS FOR DIESEL OXIDATION CATALYST WITH DECREASED SO3 EMISSIONS | 12 |
Tinker L. Hatfield | US | Portland | 2015-03-12 / 20150068572 - Collapsible Low- Profile Privacy Structure | 9 |
R. Scott Hatfield | US | Chico | 2015-02-26 / 20150053582 - CANNULA HOLDERS | 1 |
Tobie D. Hatfield | US | Lake Oswego | 2016-05-12 / 20160128429 - Easy Access Articles of Footwear | 18 |
L. Tucker Hatfield | US | Kirkland | 2013-06-13 / 20130152041 - INTEGRATED WORKFLOW VISUALIZATION AND EDITING | 3 |
Jeffrey D. Hatfield | US | Newcastle | 2013-08-29 / 20130226788 - Payment Account Management | 1 |
Alan Hatfield | IN | Mumbai | 2014-11-27 / 20140348950 - PYRROLIDINE- SUBSTITUTED FLAVONE DERIVATIVES FOR PREVENTION OR TREATMENT OF ORAL MUCOSITIS | 1 |
Keith Howard Hatfield | US | Tallahassee | 2014-01-16 / 20140018216 - Device and Method for Passive Flexibility Training | 1 |
Martin Gregory Hatfield | US | Lee'S Summit | / - | 1 |
Eric E. Hatfield | US | Flagstaff | 2013-08-15 / 20130207491 - STATOR ASSEMBLY FOR A BRUSHLESS MOTOR IN A POWER TOOL | 1 |
Richard Hatfield | CA | Edmonton | 2011-05-26 / 20110126165 - SYSTEM AND PROCESS FOR CLIENT DRIVEN AUTOMATED CIRCUITING AND BRANCH CIRCUIT WIRING | 1 |
Dolph L. Hatfield | US | Washington | 2010-10-07 / 20100255491 - MAMMALIAN SELENOPROTEIN DIFFERENTIALLY EXPRESSED IN TUMOR CELLS | 2 |
Sam Hatfield | US | Manassas | 2011-06-30 / 20110154710 - FIREARM ATTACHMENT | 1 |
David Hatfield | US | Warsaw | 2013-07-25 / 20130191085 - METHOD AND SYSTEM FOR CREATING PATIENT-SPECIFIC INSTRUMENTATION FOR CHONDRAL GRAFT TRANSFER | 1 |
David S. Hatfield | US | Warsaw | 2014-01-09 / 20140012273 - CONDYLE AXIS LOCATOR | 1 |
Meagan Hatfield | US | Indianapolis | 2015-05-14 / 20150133356 - PHOTORESIST AND POST ETCH RESIDUE CLEANING SOLUTION | 2 |
Randal L. Hatfield | US | Port Hueneme | 2016-02-04 / 20160030885 - SYSTEMS AND METHODS FOR DIESEL OXIDATION CATALYST WITH DECREASED SO3 EMISSIONS | 12 |
Alan Duane Hatfield | US | College Station | 2016-04-14 / 20160101842 - FUEL TRANSFER AND STORAGE SYSTEMS AND METHODS | 1 |
Stephen F. Hatfield | US | Hickory | 2014-01-09 / 20140011912 - COATED PRESSURE SENSITIVE ADHESIVE GRANULES | 1 |
Ryan Hatfield | US | Fargo | 2016-02-25 / 20160055708 - Wagering aid | 1 |
Jay D. Hatfield | US | Fort Wayne | 2015-05-28 / 20150147707 - CANDLE SCENT DEVICE | 1 |
Jason Hatfield | US | West Palm Beach | 2016-03-10 / 20160069215 - OFFTAKES FOR GAS TURBINE ENGINE SECONDARY GAS FLOWS | 1 |
John Hatfield | US | Granbury | 2016-03-24 / 20160082329 - GOLF PUTTER WITH ADJUSTABLE COUNTERBALANCE WEIGHT | 1 |
Joshua Lee Hatfield | US | Poquoson | 2016-03-24 / 20160084129 - Liquid Cooled Reductant Dosing Unit | 1 |
Walter Hatfield | US | Merritt Island | 2013-05-02 / 20130104665 - STRAIN SENSORS, METHODS OF MAKING SAME, AND APPLICATIONS OF SAME | 1 |
Tobie D. Hatfield | US | Lake Oswego | 2016-05-12 / 20160128429 - Easy Access Articles of Footwear | 18 |
Mark Hatfield | US | Providence | 2014-09-18 / 20140265263 - MOUNTING ARRANGEMENTS FOR AIRBAGS | 3 |
Marian Hatfield | GB | Staffordshire | 2015-01-29 / 20150032624 - FRAUD DETECTION ENGINE AND METHOD OF USING THE SAME | 1 |
Edward Hatfield | US | Louisville | 2009-08-20 / 20090205377 - LOAD SIZE MEASURING APPARATUS AND METHOD | 5 |
Edward James Hatfield | US | Louisville | 2010-07-29 / 20100186772 - METHOD AND SYSTEM FOR DISHWASHER OPERATION | 1 |
Larry T. Hatfield | US | Bothell | 2009-03-26 / 20090080801 - ALTERING THE APPEARANCE OF A DIGITAL IMAGE USING A SHAPE | 1 |
Steve Hatfield | US | Corinth | 2009-08-27 / 20090211705 - REDETACHABLE LABELS | 1 |
Martin G. Hatfield | US | Odessa | 2011-06-23 / 20110151118 - TREATMENT SYSTEM USING A FLUID CAPABLE OF PHASE CHANGE | 3 |
Michelle Renee Hatfield | US | Fort Wright | 2014-02-06 / 20140033396 - CONDUCTIVE GLOVE WITH CONDUCTIVE LINING AND CONDUCTIVE WATERPROOF BLADDER | 2 |
Joseph Aaron Hatfield | US | Georgetown | 2010-11-25 / 20100299588 - METHOD AND SYSTEM FOR PROVIDING INTERACTION BETWEEN A HOST SYSTEM AND WEB PAGES | 2 |
Roc Hatfield | US | Safety Harbor | 2016-02-25 / 20160052172 - Method of Manufacturing a High Performance Polymer and Nanotube Composite | 5 |
Robert James Hatfield | GB | Edinburgh Scotland | 2012-10-25 / 20120272089 - INTERFACE | 1 |
Marcus Craig Hatfield | US | Fairmont | 2010-11-25 / 20100293834 - FISH LURE BREAK AWAY SYSTEM | 1 |
Tinker L. Hatfield | US | Portland | 2015-03-12 / 20150068572 - Collapsible Low- Profile Privacy Structure | 9 |
G. Wesley Hatfield | US | Corona Del Mar | 2009-12-10 / 20090305359 - METHOD FOR PRODUCING CIRCULAR DUPLEX POLYNUCLEOTIDES FROM LINEAR DUPLEX POLYNUCLEOTIDES AND APPLICATIONS THEREOF | 1 |
L. Tucker Hatfield | US | Bothell | 2013-12-26 / 20130346924 - TOUCH INTERACTIONS WITH A DRAWING APPLICATION | 2 |
Randall Wade Hatfield | US | New Bern | 2010-02-18 / 20100038114 - CORD ORGANIZER AND RELATED METHODS | 1 |
Elizabeth Hatfield | US | Cary | 2010-02-25 / 20100049800 - Facilitated Help With Program Function From Experienced Users | 1 |
Marcus Craig Hatfield | US | Morgantown | 2010-03-11 / 20100058642 - FISH LURE BREAK AWAY SYSTEM | 1 |
Roger Hatfield | IE | Dublin | 2009-08-13 / 20090201372 - METHOD AND APPARATUS FOR INTEGRATED ATM SURVEILLANCE | 1 |
James C. Hatfield | US | Johnstown | 2011-12-15 / 20110307709 - MANAGING SECURITY OPERATING MODES | 1 |
Laurence Paul Hatfield | GB | Horton Kirby | 2012-12-06 / 20120304962 - METHOD FOR ESTIMATING A COMBUSTION TORQUE OF AN INTERNAL COMBUSTION ENGINE AND CONTROL UNIT FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Stuart Andrew Hatfield | GB | Cambridgeshire | 2010-12-09 / 20100310398 - FLUID DISC PUMP | 1 |
Brian David Hatfield | US | Tucson | 2010-04-01 / 20100082931 - INTELLIGENT EXTENT INITIALIZATION IN STORAGE ENVIRONMENT | 2 |
Tucker Hatfield | US | Redmond | 2013-06-13 / 20130152021 - STAGE AND STAGE VIEW WITHIN A WORKFLOW | 1 |
Ted Hatfield | US | Fairway | 2013-04-04 / 20130081314 - MAGAZINE ASSEMBLY FOR A FIREARM | 1 |
Robert James Hatfield | GB | Edinburgh | 2015-02-05 / 20150039303 - SPEECH RECOGNITION | 2 |
Luke Hatfield | CA | Saint John | 2016-03-31 / 20160089609 - Teeter-Totter Device | 2 |
Martin Gregory Hatfield | US | Odessa | 2015-11-26 / 20150336041 - Segmented Filter Assembly | 10 |
Brian D. Hatfield | US | Tucson | 2016-04-28 / 20160117128 - SYSTEMS AND METHODS FOR INITIALIZING A MEMORY SYSTEM | 23 |
Roy David Hatfield | GB | London | 2008-10-09 / 20080249848 - Method of Settling Commercial Indebtedness | 1 |
Thomas L. Hatfield | US | South St. Paul | 2010-05-20 / 20100124612 - PROCESS FOR PRESERVING WOOD USING FLUORO-MATERIALS | 1 |
Graham F. Hatfull | US | Pittsburgh | 2014-12-18 / 20140370495 - RECOMBINANT MYCOBACTERIOPHAGES FOR DELIVERY OF NUCLEIC ACIDS OF INTEREST INTO MYCOBACTERIA | 1 |
Mark Hathaway | GB | Kent | 2009-06-18 / 20090153874 - EN-FACE OCT WITH PARALLEL DETECTOR ARRAY | 2 |
Mark Nmi Hathaway | GB | Kent | 2010-08-12 / 20100202541 - SIGNAL ENCODING FOR FREQUENCY DIVISION MULTIPLEXING ON TRANSMISSION LINES | 1 |
Mark Hathaway | GB | Canterbury | 2015-12-17 / 20150359425 - FOCUSING ALGORITHM IN OCT-ONLY SYSTEMS | 2 |
Craig Hathaway | AU | Wollstonecraft | 2013-04-25 / 20130103433 - AUTOMATED INSURANCE SYSTEM | 2 |
Amy Marie Hathaway | US | Mission Viejo | 2014-07-24 / 20140206423 - Puzzle board game | 1 |
Evan C. Hathaway | US | Ponte Vedra Beach | 2015-01-15 / 20150017077 - SmartPump Fluid Delivery System | 1 |
David Hathaway | US | Woodbridge | 2012-12-13 / 20120312811 - Lid | 1 |
Jamie Hathaway | US | Weldon Spring | 2013-06-20 / 20130155692 - Interchangeable Decor Coverings | 1 |
Jamie Hathaway | US | Weldon Springs | 2013-06-27 / 20130160679 - Multi-Purpose Table with Inlaid Storage Container | 1 |
Michael Hathaway | US | Austin | 2012-10-11 / 20120260309 - System for Managing Real Time Ad-Hoc Service Relationships Between Services and Network Attached Client Devices | 1 |
Pat Hathaway | US | Minneapolis | 2013-08-29 / 20130226826 - AUTOMATED MAPPING OF PRODUCT ADJACENCY GROUPS WITH SUBGROUP MAPPING MASS UPDATING | 3 |
Rich Hathaway | US | Livonia | 2016-01-07 / 20160003350 - AUTOMATIC TRANSMISSION POWER FLOW DETECTION | 1 |
Robert Hathaway | US | 2012-07-05 / 20120173841 - Explicitly Regioned Memory Organization in a Network Element | 1 | |
Rich Reynolds Hathaway | US | Livonia | 2013-10-10 / 20130268171 - SUPPLEMENTAL ELECTRIC PARK BRAKE SYSTEM | 1 |
Richard Reynolds Hathaway | US | Livonia | 2016-02-25 / 20160053890 - SYSTEM FOR DETECTING PARK STATE OF A TRANSMISSION | 10 |
Douglas Hathaway | US | Cutler Bay | 2013-12-05 / 20130325706 - SYSTEM, METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR IMPROVED PAYMENT PROCESSING | 1 |
Eugene E. Hathaway | US | Pearland | 2015-11-26 / 20150341375 - PRESENTING LOCATIONS OF USERS AND STATUS OF DEVICES | 1 |
Ron Hathaway | US | Hereford | 2012-04-19 / 20120093582 - ASPHALT DISTRIBUTION DEVICE | 1 |
Brian M. Hathaway | US | Watertown | 2012-04-05 / 20120080111 - POSITIVE SWIVEL FITTING | 1 |
Richard R. Hathaway | US | Livonia | 2014-07-31 / 20140209429 - PARK MECHANISM FOR A MOTOR VEHICLE | 3 |
William M. Hathaway | US | Powell | 2015-03-19 / 20150082224 - COMPUTER GRAPHICAL USER INTERFACE SYSTEM, AND METHOD FOR PROJECT MAPPING | 12 |
Richard Reynolds Hathaway | US | Livonia | 2016-02-25 / 20160053890 - SYSTEM FOR DETECTING PARK STATE OF A TRANSMISSION | 10 |
Richard L. Hathaway | US | Fairfax | 2014-02-20 / 20140052619 - FINANCIAL TRANSACTION GIFT REGISTRY SYSTEM AND METHODS | 1 |
Ryan Hathaway | US | Lynn | 2013-02-21 / 20130043221 - Sintering Process and Apparatus | 2 |
Eric Hathaway | US | Lakeville | 2011-12-29 / 20110320349 - RENTAL PROPERTY PAYMENT SYSTEM | 1 |
Alden M. Hathaway | US | Cumming | 2011-12-22 / 20110313578 - METHOD AND APPARATUS FOR DETERMINING ENERGY SAVINGS BY USING A BASELINE ENERGY USE MODEL THAT INCORPORATES AN ARTIFICIAL INTELLIGENCE ALGORITHM | 1 |
John Eric Hathaway | US | Lakeville | 2014-10-30 / 20140324659 - Systems and Methods for Highest Payout of Funds Transferred in a Money Transfer Transaction | 1 |
Simon Hathaway | US | Albuquerque | 2014-11-13 / 20140334735 - IMAGE REGISTRATION VIA OPTIMIZATION OVER DISJOINT IMAGE REGIONS | 1 |
David J. Hathaway | US | Underhill Center | 2012-12-06 / 20120311515 - Method For Performing A Parallel Static Timing Analysis Using Thread-Specific Sub-Graphs | 3 |
Tyler S. Hathaway | US | Auburn | 2014-09-18 / 20140277551 - PROSTHETIC COMPONENTS WITH SECONDARY RETENTION | 5 |
Brandon J. Hathaway | US | Minneapolis | 2013-08-08 / 20130199093 - SOLAR GASIFIER | 1 |
Kyle Grahame Hathaway | CA | Kitchener | 2013-11-14 / 20130300312 - CONFIGURABLE LED DRIVER/DIMMER FOR SOLID STATE LIGHTING APPLICATIONS | 2 |
Cody Hathaway | US | Clinton | 2015-07-23 / 20150201759 - CHAIR | 1 |
John E. Hathaway | US | Richland | 2014-06-19 / 20140172503 - TRANSACTIVE CONTROL AND COORDINATION FRAMEWORK AND ASSOCIATED TOOLKIT FUNCTIONS | 1 |
Nathaniel A. Hathaway | US | San Francisco | 2014-07-17 / 20140199706 - Methods and Compositions for Enhancing Proteasome Activity | 1 |
Robert A. Hathaway | US | San Diego | 2014-08-07 / 20140223290 - Creating a transposed document defined by transposed markup language including transposed text | 1 |
Jonathan Hathaway | US | Kirkland | 2015-07-02 / 20150188800 - MANAGEMENT OF COMMUNITY WI-FI NETWORK | 1 |
Peter Hathaway | US | Lebanon | 2016-04-28 / 20160113638 - Triangulation Methods with Hollow Segments | 49 |
Rickey Hathaway | US | Mapleton | 2015-05-14 / 20150132728 - TRANSPORTABLE FIRE TRAINING APPARATUS AND METHOD | 1 |
Kevin Joseph Hathaway | US | Saratoga | 2014-08-28 / 20140240643 - THERMAL DESIGN FOR HIGH OUTPUT LED BACKLIGHTS | 3 |
Scott David Hathaway | US | Brooklyn | 2011-06-09 / 20110138305 - Method and system for random matching and real-time compatibility assessment to facilitate serendipitous dating | 1 |
David Hathaway | CA | Calgary | 2016-05-05 / 20160122036 - INTEGRATED COMMUNICATION AND APPLICATION SYSTEM FOR AIRCRAFT | 2 |
Kyle Hathaway | CA | Kitchener | 2016-05-05 / 20160128144 - APPARATUS AND METHOD FOR MONITORING AND LIMITING POWER TO SSL DEVICES | 2 |
Robert M. Hathaway | US | Oshkosh | 2011-05-26 / 20110120293 - STRESSED SKIN TILED VEHICLE ARMOR | 1 |
Matthew Robert Hathaway | US | Boston | 2014-11-27 / 20140351940 - SYSTEMS AND METHODS FOR ASSESSING SECURITY FOR A NETWORK OF ASSETS AND PROVIDING RECOMMENDATIONS | 2 |
David J. Hathaway | US | Underhill | 2016-03-24 / 20160085895 - SYSTEM AND METHOD FOR EFFICIENT STATISTICAL TIMING ANALYSIS OF CYCLE TIME INDEPENDENT TESTS | 35 |
Robert G. Hathaway | US | Sunnyvale | 2008-09-25 / 20080235558 - Subsystem and Method for Encoding 64-bit Data Nibble Error Correct and Cyclic-Redundancy Code (CRC) Address Error Detect for Use in a 76-bit Memory Module | 1 |
Philip Jeffrey Hathaway | US | Bryan | 2008-10-09 / 20080246282 - System and method for generating residential hydropower | 1 |
Philip John Hathaway | US | Bryan | 2008-10-09 / 20080246282 - System and method for generating residential hydropower | 1 |
William E. Hathaway | US | Denver | 2013-03-14 / 20130065260 - Compositions, Methods and Uses for Simultaneous Assay of Thrombin and Plasmin Generation | 2 |
John Hathaway | US | Pittsburgh | 2009-02-12 / 20090043868 - Community information exchange system and method | 1 |
Matthew Hathaway | US | Watertown | 2009-02-19 / 20090048971 - Payment Card with Dynamic Account Number | 1 |
Thomas D. Hathaway | US | Oro Valley | 2009-03-05 / 20090063180 - METHOD TO ORGANIZE NATIONWIDE SPORTING EVENTS | 1 |
Mathew J. Hathaway | US | Dacula | 2009-03-12 / 20090070407 - SYSTEMS AND METHODS FOR SCHEDULING, PRODUCING, AND DISTRIBUTING A PRODUCTION OF AN EVENT | 2 |
David R. Hathaway | US | Lincoln | 2014-07-17 / 20140200183 - TREATMENT OF CARDIAC ARRHYTHMIAS | 4 |
Carralee Hathaway | US | Saratoga | 2009-08-27 / 20090215199 - Caffeine Detection Via Internally-Referenced Two Part Assay | 1 |
Thomas J. Hathaway | US | Farmington Hills | 2009-10-08 / 20090250031 - Valve Lash Adjustment and Inspection Apparatus | 2 |
Richard C. Hathaway | US | Greenville | 2016-04-21 / 20160107850 - ENCLOSED RAILWAY FREIGHT CAR OR OVER-THE-ROAD TRAILER VAN HAVING WALLS AND ROOF MOVABLE IN A VERTICAL DIRECTION | 6 |
Edward J. Hathaway | US | Austin | 2009-12-17 / 20090313414 - MEMORY MANAGEMENT UNIT AND METHOD OF ACCESSING AN ADDRESS | 1 |
Richard B. Hathaway | US | Kalamazoo | 2009-12-17 / 20090308347 - PLANETARY ROTARY ENGINE | 1 |
Edwin Hathaway | US | Santa Monica | 2015-04-02 / 20150091716 - ADVANCED WARNING AND RISK EVASION SYSTEM AND METHOD | 8 |
Kevin J. Hathaway | US | Saratoga | 2010-03-18 / 20100066947 - HIGH OUTPUT LED BASED ILLUMINATOR THAT REPLACES CCFLS FOR LCD BACKLIGHTS | 1 |
Peter Hathaway | US | Lebaton | 2010-03-25 / 20100076260 - Handle Assembly for Articulated Endoscopic Instruments | 1 |
Royal D. Hathaway | US | Montgomery Village | 2013-12-12 / 20130330114 - FLUID APPLICATION DEVICE AND METHOD | 3 |
Brian Hathaway | US | Salt Lake City | 2010-08-19 / 20100209414 - Methods and Compositions Related to Cyclic Peptide Synthesis | 2 |
Carol C. Hathaway | US | Rochester | 2013-03-28 / 20130076086 - REAR IMPACT HEAD DISPLACEMENT REDUCTION THROUGH THE APPLICATION OF STRAIN RATE HARDENING CUSHIONING MATERIALS | 3 |
Daniel Hathaway | US | Baker | 2009-02-26 / 20090050341 - APPARATUS AND METHODS FOR LAND PREPARATION AND CLEARING | 1 |
William M. Hathaway | US | Powell | 2015-03-19 / 20150082224 - COMPUTER GRAPHICAL USER INTERFACE SYSTEM, AND METHOD FOR PROJECT MAPPING | 12 |
Robert Hathaway | US | Sunnyvale | 2014-07-03 / 20140189327 - ACKNOWLEDGEMENT FORWARDING | 11 |
Jennifer Ann Hathaway | US | Bryan | 2008-10-09 / 20080246282 - System and method for generating residential hydropower | 1 |
Alecia A. Hathaway | US | Fort Worth | 2009-01-15 / 20090016997 - Autologous/allogeneic human DNA grafting, anti-and reverse aging stem cell, and bone marrow compositions/methods | 1 |
David J. Hathaway | US | Essex Junction | 2011-03-31 / 20110077916 - Method of Distributing a Random Variable Using Statistically Correct Spatial Interpolation Continuously With Spatially Inhomogeneous Statistical Correlation Versus Distance, Standard Deviation, and Mean | 3 |
Jeff M. Hathaway | US | Lebanon | 2015-04-16 / 20150105690 - BIOPSY DEVICE | 1 |
Edwin Hathaway | US | Santa Monica | 2015-04-02 / 20150091716 - ADVANCED WARNING AND RISK EVASION SYSTEM AND METHOD | 8 |
Michael Hathaway | US | Eatontown | 2013-04-11 / 20130086849 - Bent Metal Panel Prefabricated Bathroom | 1 |
Robert Hathaway | US | Sunnyvale | 2014-07-03 / 20140189327 - ACKNOWLEDGEMENT FORWARDING | 11 |
Alex R. Hathaway Shields | US | Richland | 2009-12-17 / 20090308347 - PLANETARY ROTARY ENGINE | 1 |
Arvel Hathcock | US | Colleyville | 2011-03-10 / 20110060801 - AUTOMATIC INTEGRATION OF A MAIL SERVER WITH INTERNET SERVER (IS) | 1 |
James J. Hathcock | US | Forest Hills | 2014-10-30 / 20140318230 - STIRRER CELL MODULE AND METHOD OF USING | 1 |
Casey Hathcock | US | Lisle | 2013-01-03 / 20130003247 - ELECTROMUSCULAR INCAPACITATION DEVICE AND METHODS | 2 |
William Cory Hathcock | US | Lenoir | 2010-08-19 / 20100207357 - COUPLER CONVERTER ADAPTER | 1 |
Lance Hathcock | US | Jackson | 2009-02-26 / 20090053076 - SUITCASE STYLE AIR COMPRESSOR ASSEMBLY | 1 |
Lance S. Hathcock | US | Jackson | 2013-07-11 / 20130177452 - MULTI-PRESSURE GAS COMPRESSOR HAVING SIMULTANEOUS RUNNING AND CHARGING SYSTEMS | 1 |
William A. Hathcock | US | Red Hook | 2013-10-31 / 20130284092 - FACEPLATE HAVING REGIONS OF DIFFERING EMISSIVITY | 1 |
Ronald Hathcock | US | Longmont | 2013-05-02 / 20130106825 - VOLTAGE CONVERSION APPARATUS SUITABLE FOR A PIXEL DRIVER AND METHODS | 1 |
Peter John Hatherell | GB | Wiltshire | 2011-06-16 / 20110140887 - HARDWARE EQUIPMENT HIRE CABINET | 1 |
Mark A. Hatherill | US | Beverly Hills | 2016-04-21 / 20160106242 - NON-SPILL DRINKING CONTAINER | 27 |
Peter James Hatherly | AU | Lavender Bay | 2015-07-09 / 20150193691 - SYSTEMS AND METHODS FOR PROCESSING GEOPHYSICAL DATA | 3 |
Peter James Hatherly | AU | Lavendar Bay | 2009-09-24 / 20090240481 - METHOD AND SYSTEM FOR EXPLOITING INFORMATION FROM HETEROGENEOUS SOURCES | 1 |
Linda M. Hatheway | US | Wichita | 2009-10-01 / 20090246383 - Coating apparatus using items that roll | 1 |
Yagnesh Dalpatbhai Hathiwala | IN | Navsari | 2013-05-02 / 20130108463 - MATING STRUCTURE AND METHOD OF FORMING A MATING STRUCTURE | 1 |
Yagneshkumar Dalpatbhai Hathiwala | IN | Bangalore | 2014-09-04 / 20140245752 - SYSTEM AND METHOD FOR ATTACHING A ROTATING BLADE IN A TURBINE | 1 |
Yagnesh Dalpatbhai Hathiwala | IN | Bangalore | 2012-12-20 / 20120317808 - METHOD AND APPARATUS TO REPAIR A TURBOMACHINE ROTOR WHEEL | 1 |
Yagnesh Dalpatbhai Hathiwala | IN | Bangalore Karnataka | 2013-09-26 / 20130247377 - PROCESS OF REPAIRING A COMPONENT, A REPAIR TOOL FOR A COMPONENT, AND A COMPONENT | 1 |
Lori Hathon | US | Houston | 2016-03-03 / 20160063150 - ENHANCED OIL RECOVERY USING DIGITAL CORE SAMPLE | 1 |
Joseph Turner Hathorn | US | Sugar Land | 2014-10-23 / 20140316812 - Patient Intake E-Registration | 1 |
Elizabeth Hathorn | US | Edenton | 2008-10-16 / 20080252014 - Game and method for teaching | 1 |
James P. Hathorn | US | Efland | 2013-07-11 / 20130178893 - METHOD AND APPARATUS FOR TENSILE COLONOSCOPY COMPRESSION | 1 |
Mary Ellen Hathorn | US | Lafayette | 2012-09-06 / 20120223031 - Method for Storing Sandals and Other Loose Items | 3 |
Roger G. Hathorn | US | Tucson | 2016-04-28 / 20160119372 - INTERACTING WITH A REMOTE SERVER OVER A NETWORK TO DETERMINE WHETHER TO ALLOW DATA EXCHANGE WITH A RESOURCE AT THE REMOTE SERVER | 78 |
Roger G. Hathorn | US | Tuscon | 2009-11-05 / 20090276526 - Access Control List Endpoint Implementation | 1 |
James Hathorn | US | Durham | 2016-05-19 / 20160140830 - SYSTEM AND METHOD FOR TRACKING AND REDUCING HUMAN-TO-HUMAN TRANSMISSION OF INFECTIOUS PATHOGENS | 3 |
Roger Gregory Hathorn | US | Tucson | 2012-09-20 / 20120236732 - SUPPORTING NON-DELIVERY NOTIFICATION BETWEEN A SWITCH AND DEVICE IN A NETWORK | 18 |
Jean-Pierre Hathout | DE | Manisa | 2009-04-23 / 20090101110 - METHOD AND DEVICE FOR ASCERTAINING A CYLINDER PRESSURE FEATURE | 1 |
Jean-Pierre Hathout | TR | Bornova | 2009-09-03 / 20090222231 - Method and device for correcting a signal of a sensor | 1 |
Jean-Pierre Hathout | DE | Stuttgart | 2009-02-19 / 20090048756 - MULTI-MODE 2-STROKE/4-STROKE INTERNAL COMBUSTION ENGINE | 1 |
Hoang Hathuc | US | Bradenton | 2013-09-05 / 20130230629 - METHOD OF MANUFACTURING PROTEIN BEVERAGES AND DENATURIZING LOOP APPARATUS AND SYSTEM | 1 |
Viet Ha-Thuc | VN | Hue City | 2012-08-09 / 20120203752 - LARGE SCALE UNSUPERVISED HIERARCHICAL DOCUMENT CATEGORIZATION USING ONTOLOGICAL GUIDANCE | 1 |
Kamel Hatib | IL | Arrabe | 2011-02-03 / 20110030112 - POMEGRANATE TREE NAMED 'EMEK' | 5 |
Feras Hatib | US | Irvine | 2014-08-21 / 20140235971 - DETECTING A VASOACTIVE AGENT IN THE BLOODSTREAM | 16 |
Jean-Denis Hatier | CA | Sherbrooke | 2013-01-10 / 20130009471 - METHOD OF OPERATING A REMOTELY-CONTROLLED SWITCHING DEVICE OF AN ENERGY MANAGEMENT SYSTEM | 1 |
Gokhan Hatipoglu | US | State College | 2016-04-07 / 20160099132 - ULTRA-HIGH SPEED ANISOTROPIC REACTIVE ION ETCHING | 2 |
Oner Hatipoglu | TR | Istanbul | 2012-06-28 / 20120159984 - Arrangement For Starting A Single Phase Induction Motor | 1 |
Betul Hatipoglu | US | Beachwood | 2011-11-03 / 20110268717 - Herbal-Based Compositions for Alleviating Symptoms Associated with Autism | 1 |
Emmanouil Hatiris | GB | Essex | 2013-04-18 / 20130096895 - VEHICLE MANAGEMENT DEVICES | 4 |
Emmanouil Hatiris | GB | Kelvedon | 2016-02-04 / 20160035157 - VEHICULAR DIAGNOSTIC SYSTEM | 1 |
Tamir Hativa | IL | Tel Aviv | 2013-03-14 / 20130063784 - PRINTING SYSTEM WITH IN-LINE FINISHING | 1 |
Mark C. Hatje | US | Cheshire | 2013-04-18 / 20130095300 - SELF-FUSING CARBON FIBER SILICONE TAPE AND MANUFACTURING PROCESS | 1 |
Anastassios Hatjiissaak | GR | Serres | 2009-07-02 / 20090171062 - Aminoplast Resin of High Performance for Lignocellulosic Materials | 1 |
John Demetrius Hatjopoulos | US | Cincinnati | 2011-05-26 / 20110124545 - FLOWABLE PARTICULATES | 1 |
Triandafilos Hatjopoulos | US | Uxbridge | 2014-10-09 / 20140299118 - BARRIER APPARATUS ADAPTED FOR EXTENDING THE HEIGHT OF EXISTING BACKSPLASHES OF COMMERICAL COOKING APPLIANCES | 4 |
George Hatjopoulos | US | Blackstone | 2014-10-09 / 20140299118 - BARRIER APPARATUS ADAPTED FOR EXTENDING THE HEIGHT OF EXISTING BACKSPLASHES OF COMMERICAL COOKING APPLIANCES | 4 |
Stephen C. Hatkevich | US | Maumee | 2010-07-15 / 20100175446 - Method Of Forming Metal | 1 |
Christopher Andrew Hatko | CA | Ottawa | 2010-07-01 / 20100169795 - Method and Apparatus for Interrelating Virtual Environment and Web Content | 1 |
Michael Joseph Hatlak | US | Roselle | 2008-10-30 / 20080267375 - System and method for real-time fraud detection within a telecommunication network | 1 |
Loren L. Hatle | US | Humble | 2010-07-08 / 20100170534 - METHOD FOR REMOVAL OF SURFACE CONTAMINANTS FROM SUBSTRATES | 1 |
Ketki M. Hatle | US | Jamaica Plain | 2015-07-23 / 20150202257 - METHODS AND COMPOSITIONS FOR METABOLIC REGULATION | 1 |
Richard Hatle | US | Oviedo | 2015-10-01 / 20150273910 - SYSTEMS AND METHODS FOR AUTOMATIC PRINTER CONFIGURATION | 7 |
John N. Hatleberg | US | New York | 2010-07-15 / 20100178233 - SYNTHETIC DIAMONDS PREPARED FROM ORGANIC MATERIALS | 2 |
Kristian E. Hatlelid | US | Sammamish | 2009-01-29 / 20090031146 - OBFUSCATED STATE STORE FOR RIGHTS MANAGEMENT SYSTEM AND THE LIKE | 1 |
Kristjan E. Hatlelid | US | Sammamish | 2013-08-08 / 20130205382 - Resource Access Based on Multiple Credentials | 7 |
John Hatlestad | US | Maplewood | 2011-11-10 / 20110275942 - DEVICE FOR REPORTING HEART FAILURE STATUS | 12 |
John D. Hatlestad | US | Maplewood | 2016-01-07 / 20160000338 - MULTI-SENSOR STRATEGY FOR HEART FAILURE PATIENT MANAGEMENT | 57 |
Oyvind Hatlevik | US | Denver | 2012-01-19 / 20120012004 - MULTILAYER SULFUR-RESISTANT COMPOSITE METAL MEMBRANES AND METHODS OF MAKING AND REPAIRING THE SAME | 1 |
Julie R. Hatlewick | US | Saginaw | 2014-09-18 / 20140262426 - CONTROLLED PEEL LAMINATE ADHESIVE FILMS | 3 |
Richard Jonathan Hatley | GB | Stevenage | 2013-05-23 / 20130131338 - SUBSTITUTED DIKETOPIPERAZINES AS OXYTOCIN ANTAGONISTS | 2 |
Kenneth J. Hatley | US | Madison | 2009-02-05 / 20090031557 - TOOL FOR DRIVING WEDGES OR SLIDES | 2 |
William T. Hatley | US | San Jose | 2013-02-21 / 20130044604 - METHODS AND APPARATUSES FOR ENHANCED TRANSMISSION SELECTION-CAPABLE SIMULATED TRAFFIC GENERATION | 4 |
Steven Hatley | US | Round Rock | 2014-12-25 / 20140379600 - AUTHENTICATION SYSTEMS AND METHODS FOR ON-DEMAND PRODUCTS | 1 |
Brian J. Hatley | US | Issaquah | 2009-07-09 / 20090175258 - METHOD AND DEVICE OF GENERATING TIME-VARYING PREAMBLE SEQUENCE AND PSEUDORANDOM NOISE (PN) BINARY SEQUENCE IN DIRECT SEQUENCE SPREAD SPECTRUM (DSSS) COMMUNICATIONS | 1 |
Jeff Hatley | US | Mebane | 2014-03-06 / 20140064534 - LOUDSPEAKER SYSTEM | 4 |
Richard Hatley | GB | Hertfordshire | 2008-09-11 / 20080221108 - Anthranilic Acid Derivatives As Hm74A Receptor Agonists | 1 |
Kenneth John Hatley | US | Madison | 2009-07-09 / 20090172934 - METHODS AND SYSTEMS FOR IN-SITU MACHINE MAINTENANCE | 1 |
John Hatley | US | Houston | 2012-06-07 / 20120137682 - TURBOCHARGING ARRANGEMENT AND METHOD FOR OPERATING AN INTERNAL COMBUSTION ENGINE | 1 |
Richard M. Hatley | US | Convent Station | 2009-02-05 / 20090031557 - TOOL FOR DRIVING WEDGES OR SLIDES | 3 |
Richard Michael Hatley | US | Convent Station | 2016-05-12 / 20160131595 - MINIATURE AIR GAP INSPECTION CRAWLER | 2 |
Richard Michael Hatley | US | Morristown | 2016-03-10 / 20160069839 - SYSTEM AND METHOD FOR INSPECTING FLANGE CONNECTIONS | 2 |
David E. Hatley | US | Gray | 2015-12-24 / 20150366752 - Waterfall Hot Tub | 3 |
James E. Hatley | US | Simpsonville | 2011-05-12 / 20110111075 - TIRE MOLD WITH POSITIVE MOLD OPENING SYSTEM | 1 |
Richard Jonathan Daniel Hatley | GB | Hertfordshire | 2010-07-15 / 20100179128 - XANTHINE DERIVATIVES AS SELECTIVE HM74A AGONISTS | 3 |
Richard Hatley | US | Convent Station | 2010-09-09 / 20100225902 - Methods and apparatus for robotically inspecting gas turbine combustion components | 1 |
Troy Bryan Hatley | US | Lodi | 2015-02-26 / 20150054427 - SYSTEMS AND METHODS OF CONTROLLING THE OUTPUT OF A LIGHT FIXTURE | 6 |
Richard Jonathan Daniel Hatley | GB | Stevenage | 2011-10-20 / 20110257205 - XANTHINE DERIVATIVES AS SELECTIVE HM74A AGONISTS | 1 |
Richard Hatley | US | Morristown | 2014-02-27 / 20140055596 - FLEXIBLE LINKAGE CAMERA SYSTEM AND METHOD FOR VISUAL INSPECTION OF OFF LINE INDUSTRIAL GAS TURBINES AND OTHER POWER GENERATION MACHINERY | 7 |
Steven Hatley | US | Austin | 2014-09-18 / 20140279467 - SYSTEM AND METHOD OF DELAYED BILLING FOR ON-DEMAND PRODUCTS | 1 |
Eric Hatley | US | Simpsonville | 2014-09-18 / 20140265033 - METHOD FOR IMPROVED TIRE MOLD MANUFACTURING | 1 |
Tommy J. Hatmaker, Jr. | US | Charlottesville | 2014-05-01 / 20140120773 - DISPLAY PANEL MOUNTING ADAPTER FOR INDUSTRIAL CONTROL SYSTEM | 1 |
Victor G. Hatman | US | Easley | 2009-08-06 / 20090193785 - POWER GENERATING TURBINE SYSTEMS | 4 |
Anca Hatman | US | Easley | 2010-09-16 / 20100232944 - METHOD AND APPARATUS FOR GAS TURBINE ENGINE TEMPERATURE MANAGEMENT | 4 |
Takehiro Hato | JP | Nakahara-Ku | 2009-09-24 / 20090235733 - METAL DISK DISCRIMINATION APPARATUS | 1 |
Jumpei Hato | JP | Chiyoda-Ku, Tokyo | 2016-01-07 / 20160005222 - THREE-DIMENSIONAL INFORMATION PROCESSING DEVICE | 2 |
Kazuhito Hato | JP | Osaka | 2015-11-19 / 20150329976 - METHOD FOR GENERATING HYDROGEN AND HYDROGEN GENERATION DEVICE USED THEREFOR | 21 |
Toshiaki Hato | JP | Kawasaki-Shi | 2014-12-04 / 20140357896 - SULFONIUM SALT AND PHOTO-ACID GENERATOR | 9 |
Yoshio Hato | JP | Hokkaido | 2015-02-05 / 20150038483 - AROMATIC 5-MEMBERED HETEROCYCLIC DERIVATIVE HAVING TRPV4-INHIBITING ACTIVITY | 1 |
Tsunehiro Hato | JP | Yokohama-Shi | 2016-04-21 / 20160108718 - HIGHLY PRESSURE-RESISTANT COOLING CONTAINER FOR SENSOR AND UNDERGROUND PROBING EQUIPMENT | 1 |
Toshiaki Hato | JP | Kawasaki-Shi | 2014-12-04 / 20140357896 - SULFONIUM SALT AND PHOTO-ACID GENERATOR | 9 |
Naohito Hato | JP | Ehime | 2011-10-06 / 20110243356 - EMBEDDED AUDIPHONE | 1 |
Seiichi Hato | JP | Yokohama | 2012-09-13 / 20120232492 - PREFILLED SYRINGE WITH A LIMITED-WITHDRAWAL PLUNGER ROD | 1 |
Jun Hato | JP | Fujisawa-Shi | 2013-08-01 / 20130194905 - OPTICAL PICKUP AND OPTICAL DISK DEVICE USING OPTICAL PICKUP | 4 |
Yukinori Hato | JP | Tokyo | 2011-02-24 / 20110041324 - ELECTRICAL STORAGE DEVICE AND MANUFACTURING METHOD OF THE SAME | 9 |
Yuki Hato | JP | Hitachinaka-Shi | 2011-11-10 / 20110274953 - SECONDARY BATTERY CELL AND METHOD OF MANUFACTURING THE SAME | 2 |
Yoshio Hato | JP | Sapporo-Shi | 2014-09-18 / 20140275074 - HETEROCYCLIC DERIVATIVE HAVING PGD2 RECEPTOR ANTAGONIST ACTIVITY | 1 |
Kunio Hato | JP | Chiba-Shi | 2009-03-19 / 20090073874 - RING-SHAPED REDUNDANT COMMUNICATION PATH CONTROL METHOD | 1 |
Yoko Hato | JP | Imabari-City | 2012-05-24 / 20120126436 - MICRO-BUBBLE GENERATOR AND MICRO-BUBBLE GENERATION DEVICE | 1 |
Kunio Hato | JP | Tokyo Koganei-Shi | 2009-04-30 / 20090109848 - Flooding reduction method | 1 |
Shigenori Hato | JP | Osaka | 2009-08-27 / 20090211052 - VACUUM CLEANER AND DEVICE HAVING ION GENERATOR | 1 |
Jumpei Hato | JP | Chiyoda-Ku | 2016-01-07 / 20160005222 - THREE-DIMENSIONAL INFORMATION PROCESSING DEVICE | 2 |
Takeshi Hato | JP | Nagoya-Shi | 2009-10-01 / 20090243382 - BRAKING CONTROL APPARATUS | 1 |
Yoshikazu Hato | JP | Yokohama-Shi | 2010-09-30 / 20100245365 - IMAGE GENERATION SYSTEM, IMAGE GENERATION METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Tsunehiro Hato | JP | Kawasaki-Shi | 2014-11-27 / 20140345298 - LIQUID NITROGEN COOLING SENSOR DEVICE CONTAINER AND LIQUID NITROGEN COOLING SENSOR DEVICE | 1 |
Jumpei Hato | JP | Tokyo | 2015-01-22 / 20150026244 - COMMUNICATION SYSTEM, CLIENT TERMINAL, AND SERVER DEVICE | 2 |
Kazuhito Hato | JP | Osaka | 2015-11-19 / 20150329976 - METHOD FOR GENERATING HYDROGEN AND HYDROGEN GENERATION DEVICE USED THEREFOR | 21 |
Jun Hato | JP | Fujisawa | 2013-12-05 / 20130322056 - LIGHT SOURCE DEVICE AND IMAGE DISPLAY APPARATUS | 12 |
Jun Hato | JP | Fujisawa | 2013-12-05 / 20130322056 - LIGHT SOURCE DEVICE AND IMAGE DISPLAY APPARATUS | 12 |
Yuki Hato | JP | Hitachinaka | 2014-01-30 / 20140030568 - CYLINDRICAL SECONDARY BATTERY | 1 |
Yukinori Hato | JP | Sendai-Shi | 2012-02-23 / 20120045685 - ELECTRIC STORAGE DEVICE | 1 |
Tetsuhiro Hatogai | JP | Tokyo | 2013-08-29 / 20130224322 - Method For Cleaning Fine Pattern Surface Of Mold, And Imprinting Device Using Same | 2 |
Ataru Hatogai | JP | Haga-Gun | 2013-07-11 / 20130178298 - BEARING STRUCTURE | 1 |
Tetsuhiro Hatogai | JP | Chiyoda-Ku | 2010-02-11 / 20100034911 - NANOIMPRINT STAMPER AND A FINE-STRUCTURE TRANSFER APPARATUS USING THE STAMPER | 2 |
Takeshi Hatoh | JP | Nagoya | 2014-07-17 / 20140200801 - VEHICLE TRAVEL PATH GENERATING APPARATUS | 10 |
Hideyuki Hatoh | JP | Miyagi | 2014-12-11 / 20140361102 - GAS SUPPLY METHOD FOR SEMICONDUCTOR MANUFACTURING APPARATUS, GAS SUPPLY SYSTEM, AND SEMICONDUCTOR MANUFACTURING APPARATUS | 3 |
Hideyuki Hatoh | JP | Nirasaki | / - | 1 |
Kazuhito Hatoh | JP | Osaka | 2013-08-22 / 20130216939 - SEPARATOR FOR POLYMER ELECTROLYTE FUEL CELL, AND POLYMER ELECTROLYTE FUEL CELL | 17 |
Takeshi Hatoh | JP | Nagoya | 2014-07-17 / 20140200801 - VEHICLE TRAVEL PATH GENERATING APPARATUS | 10 |
Takeshi Hatomura | JP | Tokyo | 2015-11-05 / 20150316275 - AIR-CONDITIONING APPARATUS | 36 |
Takeshi Hatomura | JP | Chiyoda-Ku | 2016-04-28 / 20160116202 - AIR-CONDITIONING APPARATUS | 14 |
Jari Hatonen | FI | Porvoo | 2014-06-19 / 20140171611 - METHOD FOR RECOVERING POLYMER AND APPARATUS THEREFOR | 5 |
Atsuo Hatono | JP | Tokyo | 2009-02-26 / 20090051581 - PULSE RADAR, CAR RADAR AND LANDING ASSISTANCE RADAR | 1 |
Hironori Hatono | JP | Fukuoka | 2010-06-17 / 20100148389 - COMPOSITE STRUCTURE FORMING METHOD | 5 |
Hironori Hatono | JP | Fukuoka-Ken | 2012-11-01 / 20120276388 - PRE-FORMED CONTROLLED PARTICLES FORMED OF FINE PARTICLES NON-CHEMICALLY BONDED TOGETHER, PRE-FORMED CONTROLLED PARTICLES FOR USE IN AN AEROSOL DEPOSITION METHOD, AND COMPOSITE STRUCTURE FORMATION SYSTEM INVOLVING CONTROLLED PARTICLES | 6 |
Hironori Hatono | JP | Kitakyushu-Shi | 2009-08-06 / 20090196989 - SHARP BLADE AND ITS MANUFACTURING METHOD | 1 |
Tatsuya Hatori | JP | Kanagawa | 2011-09-29 / 20110235011 - EXPOSURE DEVICE, SIGNAL TRANSMISSION MECHANISM FOR THE EXPOSURE DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Yoshinari Hatori | JP | Shizuoka | 2011-10-06 / 20110244243 - SYNTHETIC RESIN LEATHER AND MANUFACTURING METHOD OF THE SAME | 1 |
Kazushige Hatori | JP | Saitama-Shi | 2013-11-07 / 20130293694 - MEDICAL SUPPORT SYSTEM | 9 |
Sakurako Hatori | JP | Tokyo-To | 2014-08-28 / 20140240805 - METHOD OF PRODUCING VOLUME HOLOGRAM LAMINATE | 2 |
Michio Hatori | JP | Tokyo | 2011-10-13 / 20110251129 - RHEUMATOID ARTHRITIS-PREVENTIVE AGENT FOR ORAL INTAKE | 2 |
Akira Hatori | JP | Chiba | 2011-01-27 / 20110021337 - DISPLAY APPARATUS | 4 |
Yu Hatori | JP | Tokyo | / - | 1 |
Nobuaki Hatori | JP | Kawasaki | 2011-06-16 / 20110140084 - OPTICAL SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING OPTICAL SEMICONDUCTOR DEVICE | 2 |
Makoto Hatori | JP | Fussa | 2011-10-20 / 20110254087 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 3 |
Kenji Hatori | JP | Yokohama-Shi | 2014-09-25 / 20140285518 - MIXED REALITY PRESENTING SYSTEM, VIRTUAL REALITY PRESENTING SYSTEM, DISPLAY APPARATUS, INFORMATION PROCESSING APPARATUS, CONTROL METHOD, AND PROGRAM | 4 |
Michio Hatori | JP | Shibuya-Ku | 2010-07-01 / 20100167979 - RHEUMATOID ARTHRITIS-PREVENTIVE AGENT FOR ORAL INTAKE | 1 |
Takayuki Hatori | JP | Tokyo | 2010-07-01 / 20100169425 - Electronic Mail Delivery System And Electronic Mail Delivery Program | 1 |
Kazushige Hatori | JP | Saitama-Shi | 2013-11-07 / 20130293694 - MEDICAL SUPPORT SYSTEM | 9 |
Yoshinari Hatori | JP | Shizuoka City | 2010-03-25 / 20100075559 - SYNTHETIC RESIN LEATHER | 1 |
Masayuki Hatori | JP | Saitama | 2010-03-25 / 20100076867 - Search supporting system, search supporting method and search supporting program | 1 |
Toshiki Hatori | JP | Tokyo | 2010-03-04 / 20100053349 - Imaging Apparatus and Imaging Method | 2 |
Masayuki Hatori | JP | Soka | 2014-08-07 / 20140218581 - PORTABLE INFORMATION DEVICE, IMAGING APPARATUS AND INFORMATION ACQUISITION SYSTEM | 2 |
Masahiko Hatori | JP | Tokushima-Shi | 2009-10-22 / 20090265615 - DATA PROCESSING SERVER AND DATA PROCESSING METHOD | 1 |
Hiromi Hatori | JP | Yokohama-Shi | 2009-10-08 / 20090254334 - TRANSLATION METHOD, TRANSLATION OUTPUT METHOD AND STORAGE MEDIUM, PROGRAM, AND COMPUTER USED THEREWITH | 1 |
Masami Hatori | JP | Kanagawa-Ken | 2009-09-17 / 20090231590 - SENSING SYSTEM | 2 |
Tsuruo Hatori | JP | Sagamihara-Shi | 2009-08-27 / 20090215160 - ENDOSCOPE WASHING AND DISINFECTING EVALUATION APPARATUS | 1 |
Kenji Hatori | JP | Saitama-Ken | 2012-06-14 / 20120151392 - SYSTEM CONTROL METHOD AND SYSTEM CONTROL APPARATUS | 2 |
Satoshi Hatori | JP | Yokohama City | 2009-06-04 / 20090142115 - CLEANING UNIT, IMAGE CARRYING UNIT AND IMAGE FORMING APPARATUS USING THE SAME | 1 |
Hiroaki Hatori | JP | Ibaraki | 2015-11-12 / 20150322593 - Precursor Fiber for Carbon Fibers, Carbon Fiber, and Method for Producing Carbon Fiber | 2 |
Kenji Hatori | JP | Chiyoda-Ku | 2009-03-19 / 20090072268 - SEMICONDUCTOR DEVICE | 1 |
Fumio Hatori | JP | Tokyo | 2009-01-15 / 20090014514 - Image Data Recording Method, Method of Recording Finished Work by Image Data, Image Data Recording Apparatus, and Finished Work Recording System Using Image Data | 1 |
Satoshi Hatori | JP | Kanagawa | 2015-10-22 / 20150301496 - TONER CONVEYANCE DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 24 |
Kenji Hatori | JP | Tokyo | 2015-03-26 / 20150084179 - SEMICONDUCTOR MODULE | 5 |
Nobuaki Hatori | JP | Tsukuba | 2015-10-01 / 20150277072 - SEMICONDUCTOR OPTICAL WAVEGUIDE DEVICE | 9 |
Takaaki Hatori | JP | Tenri-Shi | 2015-01-22 / 20150021201 - ADHESIVE HYDROGEL AND METHOD FOR ELECTROLYTIC PROTECTION OF CONCRETE STRUCTURE | 1 |
Hiroaki Hatori | JP | Takazona | 2014-12-25 / 20140377663 - SHEET COMPOSITE, MANUFACTURING METHOD THEREOF, AND ELECTRODE AND ELECTROCHEMICAL ELEMENT EMPLOYING SAID SHEET COMPOSITE | 1 |
Masayuki Hatori | JP | Yokohama | 2014-07-10 / 20140192093 - LASER BEAM DISPLAY DEVICE | 1 |
Hiroyuki Hatori | JP | Niiza-Shi | 2015-01-15 / 20150015706 - VEHICLE EXTERIOR IMAGE CAPTURING DEVICE | 1 |
Daisuke Hatori | JP | Musashino-Shi | 2014-05-15 / 20140132243 - TWO-WIRE TRANSMITTER STARTER CIRCUIT AND TWO-WIRE TRANSMITTER INCLUDING THE SAME | 1 |
Daisuke Hatori | JP | Tokyo | 2015-11-26 / 20150341018 - CURRENT-TO-VOLTAGE CONVERSION CIRCUIT AND SELF-OSCILLATION CIRCUIT | 2 |
Masami Hatori | JP | Kanagawa | 2010-08-12 / 20100201797 - ENDOSCOPE DEVICE AND METHOD FOR DRIVING ENDOSCOPE DEVICE | 1 |
Hirokazu Hatori | JP | Kanagawa | 2010-06-10 / 20100146467 - Circuit verification method for verifying circuit with timing information and logic information in library cell | 1 |
Satoshi Hatori | JP | Kanagawa | 2015-10-22 / 20150301496 - TONER CONVEYANCE DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 24 |
Nobuaki Hatori | JP | Kanagawa | 2015-02-05 / 20150036964 - LIGHT SOURCE CIRCUIT AND LIGHT SOURCE DEVICE EQUIPPED WITH SAME | 7 |
Tsuruo Hatori | JP | Kanagawa | 2009-03-26 / 20090081767 - ENDOSCOPE STERILIZATION EVALUATION DEVICE | 1 |
Kenji Hatori | JP | Kanagawa | 2011-12-01 / 20110292223 - INFORMATION PROCESSING APPARATUS, DATA STORAGE DEVICE, DATA TRANSFER SYSTEM AND DATA TRANSFER METHOD, PROGRAM AND RECORDING MEDIUM | 2 |
Sakurako Hatori | JP | Nagareyama-Shi | 2013-08-29 / 20130221656 - LIGHT-EMITTING MEDIUM | 3 |
Fumitoshi Hatori | JP | Yokohama-Shi | 2012-03-15 / 20120062314 - SEMICONDUCTOR INTEGRATED CIRCUIT AND SYSTEM OF CONTROLLING THE SAME | 1 |
Yukinori Hatori | JP | Nagano-Shi | 2016-04-07 / 20160099232 - FINGERPRINT RECOGNITION SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 3 |
Hiroki Hatori | JP | Nishio-Shi | 2010-10-14 / 20100262324 - VEHICULAR POWER TRANSMISSION CONTROL APPARATUS | 9 |
Hiroako Hatori | JP | Tsukuba-Shi | 2012-05-31 / 20120132861 - ELECTRODE MATERIAL AND ELECTRODE CONTAINING THE ELECTRODE MATERIAL | 1 |
Takaaki Hatori | JP | Nara | 2014-10-02 / 20140296418 - ADHESIVE HYDROGEL AND USE THEREOF | 2 |
Makiko Hatori | JP | Ibaraki | 2016-01-07 / 20160002271 - PRODUCTION METHOD FOR ALKOXYSILANES | 1 |
Satoshi Hatori | JP | Yokohama-Shi | 2010-12-16 / 20100316422 - Cleaning device, process cartridge, and image forming apparatus | 10 |
Hiroaki Hatori | JP | Tsukuba-Shi | 2012-07-26 / 20120187422 - SEMICONDUCTOR SUBSTRATE, METHOD FOR PRODUCING SEMICONDUCTOR SUBSTRATE, SUBSTRATE FOR SEMICONDUCTOR GROWTH, METHOD FOR PRODUCING SUBSTRATE FOR SEMICONDUCTOR GROWTH, SEMICONDUCTOR ELEMENT, LIGHT-EMITTING ELEMENT, DISPLAY PANEL, ELECTRONIC ELEMENT, SOLAR CELL ELEMENT, AND ELECTRONIC DEVICE | 1 |
Koichi Hatori | JP | Chuo-Ku | 2012-08-02 / 20120196129 - BEAD-LIKE HOLLOW PARTICLES, METHOD FOR PRODUCING THE SAME, AND FRICTION MATERIAL USING THE BEAD-LIKE HOLLOW PARTICLES | 1 |
Kimihito Hatori | JP | Ibaraki | 2016-02-04 / 20160033431 - THERMAL DIFFUSIVITY MEASURING DEVICE | 1 |
Fumitoshi Hatori | JP | Kanagawa | 2015-02-05 / 20150036676 - SEMICONDUCTOR INTEGRATED CIRCUIT AND TEST METHOD THEREOF | 1 |
Masahiko Hatori | JP | Kanagawa-Ken | 2015-07-16 / 20150200841 - METHOD AND APPARATUS FOR CONNECTING AN INFORMATION PROCESSOR TO MULTIPLE NETWORKS | 1 |
Akihiro Hatori | JP | Hachioji-Shi | 2013-12-05 / 20130323483 - MOLDING DIE FOR MULTICOLORED MOLDING, MOLDING METHOD OF MULTICOLORED MOLDED PIECE, AND MULTICOLORED MOLDED PIECE | 1 |
Kouji Hatori | JP | Obu-Shi | 2014-05-01 / 20140116751 - BUS BAR ASSEMBLY AND METHOD OF MANUFACTURING THE SAME | 1 |
Masahito Hatori | JP | Sendai-Shi | 2013-05-02 / 20130110024 - DEVICE FOR CORRECTING HALLUX VALGUS AND METHOD FOR PRODUCING DEVICE FOR CORRECTING HALLUX VALGUS | 1 |
Masayuki Hatori | JP | Soka-Shi | 2013-01-24 / 20130022275 - SEARCH SUPPORTING SYSTEM, SEARCH SUPPORTING METHOD AND SEARCH SUPPORTING PROGRAM | 1 |
Nobuaki Hatori | JP | Tsukuba | 2015-10-01 / 20150277072 - SEMICONDUCTOR OPTICAL WAVEGUIDE DEVICE | 9 |
Yu Hatori | JP | Meguro-Ku | 2014-01-02 / 20140001114 - Fluid Filters | 1 |
Shin Hatou | JP | Tokyo | 2014-10-23 / 20140315305 - METHOD FOR PRODUCING CORNEAL ENDOTHELIAL CELL | 1 |
Hisamitsu Hatou | JP | Tokyo | 2014-08-21 / 20140233689 - Water Jet Peening Apparatus and Water Jet Peening Method | 1 |
Takayoshi Hatou | JP | Toride-Shi | 2011-09-08 / 20110214741 - SOLENOID VALVE DRIVING CIRCUIT, SOLENOID VALVE, AND SOLENOID VALVE DRIVING METHOD | 1 |
Hisamitu Hatou | JP | Hitachi | 2013-02-21 / 20130044864 - X-Ray Diffraction Instrument | 3 |
Yukinori Hatou | JP | Tokyo | 2009-03-19 / 20090075172 - ELECTRIC STORAGE DEVICE | 2 |
Hisamitu Hatou | JP | Hitachi-Shi | 2014-01-02 / 20140000330 - Method of Executing Shot Peening | 1 |
Nagi Hatoum | US | New York | 2010-02-04 / 20100028255 - Method for production of power from aluminum | 1 |
Ida Hatoum | US | Weymouth | 2014-03-27 / 20140087999 - CLINICAL PREDICTORS OF WEIGHT LOSS | 1 |
Holia Hatoum-Makdad | US | Hamden | 2012-11-15 / 20120289552 - ARYL UREAS WITH ANGIOGENESIS INHIBITING ACTIVITY | 3 |
Holia Hatoum-Mokdad | US | Hamden | 2012-11-29 / 20120302587 - RHO-KINASE INHIBITORS | 9 |
Holia Hatoum-Mokdad | US | Waltham | 2010-06-03 / 20100137313 - HETEROCYCLIC DERIVATIVES AND METHODS OF USE THEREOF | 1 |
Holia Hatoum-Mokdad | US | Hamden | 2012-11-29 / 20120302587 - RHO-KINASE INHIBITORS | 9 |
Fumiaki Hatoyama | JP | Tokyo | 2012-01-05 / 20120002140 - Liquid crystal display | 1 |
Naomasa Hatoyama | JP | Mie | 2015-07-09 / 20150191590 - AIRBAG HOUSING COVER | 1 |
Yoshihisa Hatozaki | JP | Kobe-Shi | 2010-09-30 / 20100247301 - Power converting device | 1 |
Kosuke Hatozaki | JP | Tokyo | 2016-04-28 / 20160116501 - SEMICONDUCTOR EVALUATION APPARATUS AND SEMICONDUCTOR EVALUATION METHOD | 2 |
Osamu Hatozaki | JP | Tokyo | 2010-10-07 / 20100255356 - Electric storage device and its production method | 6 |
Michael John Hatrick | GB | Belfast | 2009-12-10 / 20090301056 - AIRCRAFT ENGINE THRUST REVERSER | 1 |
Michael Hatridge | US | New Haven | 2015-08-27 / 20150241481 - WIRELESS JOSEPHSON BIFURCATION AMPLIFIER | 2 |
Brenda Hatridge | US | Salem | 2012-05-10 / 20120110888 - DEER LURE DEVICE | 1 |
Kyle Davis Hatridge | US | Seattle | 2016-05-12 / 20160132199 - TARGETED PRESENTATION AND DELIVERY OF THEMES | 5 |
Pacer Hatridge | US | Salem | 2012-05-10 / 20120110888 - DEER LURE DEVICE | 1 |
Anaelle Hatsch | FR | Wittisheim | 2015-07-30 / 20150211036 - GENES AND PROCESSES FOR THE PRODUCTION OF CLAVINE-TYPE ALKALOIDS | 1 |
Didier Hatsch | FR | Riom | 2011-01-06 / 20110004410 - DEVICE FOR THE COLLECTION AND PROCESSING OF INFORMATION RELATING TO THE EXPOSURE OF ONE OR MORE PERSONS TO ONE OR MORE PRODUCTS OF CHEMICAL OR BIOLOGICAL ORIGIN AND METHOD FOR THE USE OF SUCH A DEVICE | 1 |
Joel Hatsch | DE | Holzkirchen | 2015-11-19 / 20150332756 - METHOD FOR ACCESSING A MEMORY AND MEMORY ACCESS CIRCUIT | 3 |
Michael Hatscher | CH | Zurich | 2011-03-31 / 20110078718 - TARGETING VIDEOS FOR ADVERTISEMENTS BY AUDIENCE OR CONTENT | 1 |
Stephan Hatscher | NL | Leidschendam | 2015-06-25 / 20150175872 - Process For Dissolving Deposits Comprising Alkaline Earth Metal Sulfates | 1 |
Deike Hatscher | DE | Syke | 2009-12-24 / 20090314057 - METHOD AND DEVICE FOR THE ANALYSIS OF ISOTOPE RATIOS | 2 |
Stephan Hatscher | DE | Syke | 2014-06-05 / 20140155255 - PROCESS FOR THE REGENERATION OF A COPPER, ZINC AND ZIRCONIUM OXIDE-COMPRISING ADSORPTION COMPOSITION | 20 |
Avner Hatsek | IL | Tel Aviv | 2014-05-15 / 20140136184 - TEXTUAL AMBIGUITY RESOLVER | 1 |
Sarah J. Hatsell | US | Nyack | 2016-03-17 / 20160075772 - Treatment of Fibrodysplasia Ossificans Progressiva | 1 |
Sarah Jane Hatsell | US | Nyack | 2014-09-18 / 20140283158 - Rodents With Conditional Acvr1 Mutant Alleles | 1 |
Alexander P. Hatsis | US | Massapequa | 2009-01-29 / 20090030513 - Multifocal Phakic Intraocular Lens | 1 |
George C. Hatsis | US | St. George | 2010-10-21 / 20100265699 - TRAFFIC SAFETY CONE INCORPORATING A FLASHING LIGHT MODULE | 1 |
Yasuyuki Hatsuda | JP | Okazaki-Shi | 2015-06-25 / 20150175062 - STOP LAMP LIGHTING CONTROL DEVICE FOR ELECTRIC VEHICLE | 6 |
Jiro Hatsuda | JP | Tokyo | 2014-12-18 / 20140372093 - AIRCRAFT DESIGN APPARATUS, AIRCRAFT DESIGN PROGRAM, AND AIRCRAFT DESIGN METHOD | 1 |
Masanori Hatsuda | JP | Osaka | 2010-04-22 / 20100099883 - PROCESS FOR THE PREPARATION OF COMPOUNDS USEFUL AS INHIBITORS OF SGLT | 1 |
Koichi Hatsuda | JP | Osaka | 2009-02-26 / 20090049872 - DRY-CLEANING MACHINE | 1 |
Hiroki Hatsuda | JP | Osaka | 2015-09-10 / 20150255872 - Antenna Device, Transmitter Module Using the Antenna Device, and Location Identifying System Using the Transmitter Module | 1 |
Motonobu Hatsuda | JP | Oyama-Shi | 2013-08-01 / 20130194568 - SURFACE INSPECTION METHOD AND SURFACE INSPECTION APPARATUS | 1 |
Masahiro Hatsuda | JP | Yamatokoriyama-Shi | 2010-06-03 / 20100134236 - SENSOR SHEET | 1 |
Kouki Hatsuda | JP | Tochigi | 2013-02-28 / 20130055298 - INFORMATION RECORDING MEDIUM AND METHOD FOR PRODUCING SAME, AND INFORMATION RECORDING MATERIAL | 6 |
Kosuke Hatsuda | JP | Minato-Ku | 2010-06-17 / 20100153626 - MEMORY SYSTEM | 1 |
Kenji Hatsuda | JP | Yokohama | 2010-09-09 / 20100228682 - Project Simulation Method and System | 1 |
Ryota Hatsuda | JP | Ibaraki-Shi | 2010-12-23 / 20100321613 - METHOD OF MANUFACTURING POLARIZER, POLARIZER, POLARIZING PLATE, OPTICAL FILM, METHOD OF MANUFACTURING COMPOSITE POLARIZING PLATE, COMPOSITE POLARIZING PLATE AND IMAGE DISPLAY | 1 |
Yasuyuki Hatsuda | JP | Tokyo | 2015-12-10 / 20150352978 - DRIVING-FORCE CONTROLLER FOR ELECTRIC VEHICLE | 2 |
Kosuke Hatsuda | JP | Tokyo | 2016-03-03 / 20160064073 - RESISTANCE CHANGE TYPE MEMORY DEVICE | 60 |
Kouki Hatsuda | JP | Tokyo | 2015-12-10 / 20150353558 - Ionic Liquid, Lubricating Agent, and Magnetic Recording Medium | 2 |
Kenichi Hatsuda | JP | Osaka-Shi | 2015-01-29 / 20150029443 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY | 2 |
Masanori Hatsuda | JP | Osaka-Shi | 2016-01-07 / 20160002276 - METHOD FOR PRODUCING a-HALO-TETRAACYL-GLUCOSE | 2 |
Masanori Hatsuda | JP | Otsu-Shi | 2009-01-22 / 20090023918 - PROCESS FOR PREPARING 3-ACYLAMINOBENZOFURAN-2-CARBOXYLIC ACID DERIVATIVE | 1 |
Ippei Hatsuda | JP | Nagaokakyo-Shi | 2011-09-15 / 20110220275 - METHOD FOR PRODUCING PIEZOELECTRIC COMPOSITE SUBSTRATE AND METHOD FOR PRODUCING PIEZOELECTRIC ELEMENT | 1 |
Tsutomu Hatsugai | JP | Wako-Shi | 2009-09-17 / 20090230697 - ENGINE-DRIVEN POWER GENERATOR | 1 |
Tsutomu Hatsugai | JP | Saitama | / - | 1 |
Choji Hatsugai | JP | Utsunomiya-Shi | 2011-05-05 / 20110101139 - Roll mill with automatic control of roll-to-roll distance and inter-roll pressure | 2 |
Emiko Hatsugai | JP | Tokyo | 2013-06-13 / 20130147630 - ALARM DISPLAY APPARATUS AND ALARM DISPLAY METHOD | 1 |
Takuya Hatsui | JP | Tokyo | 2015-05-21 / 20150136024 - LIQUID DISCHARGE HEAD | 34 |
Takaki Hatsui | JP | Hyogo | 2012-04-12 / 20120086079 - SEMICONDUCTOR DEVICE | 1 |
Yoshimi Hatsukade | JP | Aichi | 2011-02-10 / 20110031967 - NONDESTRUCTIVE INSPECTION APPARATUS USING SQUID MAGNETIC SENSOR | 1 |
Satoshi Hatsukawa | JP | Osaka-Shi | 2014-01-02 / 20140001482 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 10 |
Kensuke Hatsukawa | JP | Kanagawa | 2014-10-02 / 20140294009 - COMMUNICATION APPARATUS, COMMUNICATION SYSTEM, CONTROL METHOD OF COMMUNICATION APPARATUS AND PROGRAM | 1 |
Yuichi Hatsukawa | JP | Ibaraki | 2010-08-26 / 20100215137 - Method and apparatus for producing radioisotope | 1 |
Satoshi Hatsukawa | JP | Osaka | 2009-12-24 / 20090315082 - LATERAL JUNCTION FIELD EFFECT TRANSISTOR AND METHOD OF MANUFACTURING THE SAME | 2 |
Satoshi Hatsukawa | JP | Osaka-Shi | 2014-01-02 / 20140001482 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 10 |
Norihiko Hatsumi | JP | Anjyo-Shi | 2012-04-26 / 20120100958 - VEHICLE DRIVING FORCE CONTROL DEVICE | 2 |
Naoya Hatsumi | JP | Shibuya-Ku | 2010-07-15 / 20100177097 - IMAGE PROCESSOR, IMAGE PROCESSING METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Ryo Hatsumi | JP | Hadano | 2016-02-18 / 20160049428 - SEMICONDUCTOR DEVICE | 15 |
Naoya Hatsumi | JP | Minato-Ku | 2011-06-09 / 20110136557 - GAME DEVICE, METHOD FOR CONTROLLING GAME DEVICE, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Norihiko Hatsumi | JP | Tokyo | 2012-03-22 / 20120071296 - APPARATUS FOR CONTROLLING MOTOR TORQUE | 1 |
Norihiko Hatsumi | JP | Anjo-Shi | 2013-01-17 / 20130015791 - REGENERATION CONTROL DEVICE OF ELECTRICALLY POWERED VEHICLEAANM HATSUMI; NorihikoAACI Anjo-shiAACO JPAAGP HATSUMI; Norihiko Anjo-shi JPAANM Sano; YoshiakiAACI Okazaki-shiAACO JPAAGP Sano; Yoshiaki Okazaki-shi JPAANM Matsumi; ToshiyukiAACI Nagoya-shiuAACO JPAAGP Matsumi; Toshiyuki Nagoya-shiu JPAANM Sugimoto; TakanoriAACI Tsushima-shiAACO JPAAGP Sugimoto; Takanori Tsushima-shi JPAANM Miyamoto; HiroakiAACI Okazaki-shiAACO JPAAGP Miyamoto; Hiroaki Okazaki-shi JPAANM Hatsuda; YasuyukiAACI Okazaki-shiAACO JPAAGP Hatsuda; Yasuyuki Okazaki-shi JPAANM Hashizaka; AkiraAACI Okazaki-shiAACO JPAAGP Hashizaka; Akira Okazaki-shi JPAANM Watanabe; MasanoriAACI Okazaki-shiAACO JPAAGP Watanabe; Masanori Okazaki-shi JPAANM Ohta; NobuakiAACI Okazaki-shiAACO JPAAGP Ohta; Nobuaki Okazaki-shi JP | 2 |
Ryo Hatsumi | JP | Hadano | 2016-02-18 / 20160049428 - SEMICONDUCTOR DEVICE | 15 |
Akinori Hatsuno | JP | Ora-Gun | 2012-06-28 / 20120159908 - MEDICINE PACKAGING MACHINE | 2 |
Akinori Hatsuno | JP | Gunma-Ken | 2009-09-24 / 20090236954 - Medication Storage | 2 |
Kazuaki Hatsusaka | JP | Kita-Adachi-Gun | 2016-05-12 / 20160130502 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Kazuaki Hatsusaka | JP | Kita-Adachi-Gun | 2016-05-12 / 20160130502 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Yoshiharu Hatsusaka | JP | Tokyo | 2009-05-28 / 20090133989 - Work Carrier Apparatus in Assembly Line | 2 |
Kazuaki Hatsusaka | JP | Saitama-Shi | 2009-10-29 / 20090269513 - PHOTOALIGNMENT FILM COMPOSITION, OPTICALLY ANISOTROPIC MEDIUM AND METHOD FOR PREPARING THEREOF | 1 |
Kazuaki Hatsusaka | JP | Kitaadachi-Gun | 2010-07-29 / 20100189930 - COMPOSITION FOR PHOTO-ALIGNMENT FILM, PHOTO-ALIGNMENT FILM, AND OPTICALLY ANISOTROPIC MEDIUM | 2 |
Hidefumi Hatsuzawa | JP | Mito | 2012-12-06 / 20120304964 - Motor-Driven Throttle Valve Control Device Having Inductance-Based Noncontact Rotation Angle Detecting Device, and Rotation Angle Detecting Device Used for the Same | 1 |
Jeffrey M. Hatt | US | Livonia | 2014-08-07 / 20140216124 - METHOD FOR PRODUCING A MOTOR VEHICLE AXLE COMPONENT | 1 |
Hanns Hatt | DE | Bochum | 2015-09-17 / 20150260707 - METHOD FOR EVALUATING THE SCENT PERFORMANCE OF PERFUMES OR PERFUME MIXTURES | 1 |
Lotte Hatt | DK | Skanderborg | 2013-12-12 / 20130331284 - ENRICHMENT AND IDENTIFICATION OF FETAL CELLS IN MATERNAL BLOOD AND LIGANDS FOR SUCH USE | 2 |
Tony Hatt | US | Ephrata | 2015-12-03 / 20150349315 - BATTERY POST TERMINAL CONNECTOR | 1 |
Anthony J. Hatt | US | Ephrata | 2015-12-10 / 20150357739 - POWER CONNECTOR | 1 |
Alexander Hatt | CH | Zuchwil | 2014-07-31 / 20140214090 - BONE FIXATION SYSTEMS AND METHODS OF USE | 1 |
Clemence Hatt | FR | Montpellier | 2015-02-12 / 20150044677 - CLEM2, ACTIVE RETROTRANSPOSON OF COFFEE PLANTS | 1 |
Alexander Hatt | CH | Solothurn | 2013-12-26 / 20130345762 - SOFT TISSUE BONE REDUCTION FORCEPS | 2 |
Jacob Hatt | DK | Koge | 2014-11-06 / 20140330487 - System for Controlling the Adjustment of a Side Rearview Device | 1 |
John S. Hatt | US | Stafford | 2011-09-01 / 20110209278 - INTEGRAL TOILET SEALING MEMBER AND LEAK DETECTION DEVICE | 1 |
Ignaz Hatt | DE | Buchenberg | 2016-03-10 / 20160068147 - METHOD FOR DAMPING THE TORSION OF A SCREW DRIVE FOR AN ELECTROMECHANICAL BRAKE BOOSTER, SCREW DRIVE FOR AN ELECTROMECHANICAL BRAKE BOOSTER, AND ELECTROMECHANICAL BRAKE BOOSTER | 1 |
Fabienne Hatt | CH | Basel | 2016-04-21 / 20160106095 - TANK-MIX FORMULATIONS | 5 |
Kaoru Hatta | JP | Higashiomi-Shi | 2011-02-03 / 20110027027 - CUTTING INSERT, CUTTING TOOL USING THE SAME, AND CUTTING METHOD | 3 |
Kazuhito Hatta | JP | Fukushima | 2016-02-18 / 20160049620 - BATTERY | 10 |
Kenichiro Hatta | JP | Osaka | 2015-08-20 / 20150231671 - APPARATUS AND METHOD FOR SEPARATING MATERIAL | 1 |
Fumika Hatta | JP | Nagoya-Shi | 2009-01-22 / 20090021380 - STATUS COMMUNICATION DEVICE | 1 |
Yoshihisa Hatta | JP | Tokyo | 2015-10-22 / 20150301230 - MOLD FOR MANUFACTURING OPTICAL ELEMENT AND PRODUCTION METHOD FOR SAME, AND OPTICAL ELEMENT | 2 |
Hidetoshi Hatta | JP | Tokyo | 2016-02-11 / 20160041742 - REMOTE CONTROL DEVICE | 2 |
Bungo Hatta | US | Green Island | 2009-12-03 / 20090297832 - INTERLAYER FILM FOR GLASS LAMINATE AND GLASS LAMINATE | 1 |
Sadaharu Hatta | JP | Tokyo | 2011-10-13 / 20110249459 - VEHICLE LIGHT | 1 |
Atsushi Hatta | JP | Tokyo | 2012-06-14 / 20120151231 - POWER SUPPLY SWITCHING DEVICE, A POWER SUPPLY SWITCHING DEVICE CONTROL METHOD AND A POWER SUPPLY CONTROL PROGRAM | 1 |
Hidenori Hatta | JP | Tokyo | 2015-03-05 / 20150059934 - HIGH-STRENGTH ALUMINUM ALLOY THIN EXTRUDED SHAPE AND METHOD FOR PRODUCING THE SAME | 1 |
Masaharu Hatta | JP | Toyota | 2015-02-05 / 20150036955 - SLIDING MEMBER AND MANUFACTURING METHOD THEREFOR | 2 |
Eriko Hatta | JP | Gamagori | 2011-09-15 / 20110224308 - ORGANOSILICONE FINE PARTICLES, METHOD OF PRODUCTION THEREOF AND COSMETIC MATERIALS, RESIN COMPOSITIONS AND PAINT COMPOSITIONS CONTAINING SAME | 1 |
Naoya Hatta | JP | Yokohama-Shi | 2011-12-01 / 20110293860 - RECORDING MEDIUM | 2 |
Atsushi Hatta | JP | Suwa-Shi | 2011-03-17 / 20110063322 - IMAGE RETOUCHING PROGRAM | 1 |
Hirotaka Hatta | JP | Kawasaki | 2014-05-08 / 20140126942 - IMAGE FORMING APPARATUS | 2 |
Kazuhiro Hatta | JP | Osaka | 2016-03-17 / 20160081163 - ILLUMINATION SYSTEM AND ILLUMINATION APPARATUS USED THEREFOR | 3 |
Kazuya Hatta | JP | Yonago-Shi, Tottori | 2015-10-22 / 20150301266 - LIGHTING DEVICE AND DISPLAY DEVICE | 1 |
Tomonari Hatta | JP | Aichi | 2015-04-30 / 20150114095 - COMBUSTION PRESSURE SENSOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Naoki Hatta | JP | Ichihara-Shi | 2016-03-17 / 20160079601 - CATHODE MATERIAL FOR SECONDARY BATTERIES, METHOD FOR PRODUCING CATHODE MATERIAL FOR SECONDARY BATTERIES, AND SECONDARY BATTERY | 3 |
Masatomo Hatta | JP | Tokyo | 2015-05-07 / 20150121934 - OUTDOOR UNIT FOR AIR-CONDITIONING DEVICE | 4 |
Yasuhiro Hatta | JP | Kariya-Shi | 2015-10-15 / 20150291016 - REINFORCING STRUCTURE OF VEHICLE DOOR | 3 |
Koji Hatta | JP | Osaka | 2012-12-06 / 20120306860 - IMAGE GENERATION SYSTEM, IMAGE GENERATION METHOD, AND INFORMATION STORAGE MEDIUM | 1 |
Toshihisa Hatta | JP | Kahoku-Gun | 2015-10-08 / 20150285718 - KIT FOR PRODUCING CLEARED BIOLOGICAL SPECIMENS AND METHOD FOR PRODUCING CLEARED BIOLOGICAL SPECIMENS | 1 |
Kentano Hatta | JP | Kanagawa | 2011-12-08 / 20110300427 - VEHICLE BATTERY MOUNTING STRUCTURE | 1 |
Munehisa Hatta | JP | Kanagawa | 2015-10-29 / 20150306696 - WELDING EQUIPMENT FOR METALLIC MATERIALS AND METHOD FOR WELDING METALLIC MATERIALS | 2 |
Hiroshi Hatta | JP | Yokohama-Shi | 2012-12-06 / 20120304955 - METHOD FOR PROCESSING CYLINDER BLOCK, CYLINDER BLOCK AND THERMAL-SPRAYED CYLINDER BLOCK | 1 |
Ken Hatta | JP | Aichi | 2015-02-26 / 20150053808 - Filament Winding Apparatus | 2 |
Kazuya Hatta | JP | Osaka-Shi | 2013-01-10 / 20130010415 - DISPLAY DEVICE | 1 |
Hiromi Hatta | JP | Osaka | 2011-05-19 / 20110119200 - METHOD AND SYSTEM FOR DETERMINING FREIGHT RATE AND FEES | 1 |
Kazuya Hatta | JP | Yonago-Shi | 2015-09-10 / 20150253484 - ILLUMINATION DEVICE AND DISPLAY DEVICE | 5 |
Masanori Hatta | JP | Aichi-Ken | 2012-12-20 / 20120317963 - REDUCING AGENT INJECTION NOZZLE AND NITROGEN OXIDE PURIFICATION SYSTEM WITH REDUCING AGENT INJECTION NOZZLE | 1 |
Tomonori Hatta | JP | Ashigarakami-Gun | 2013-07-04 / 20130172921 - METHOD FOR TREATMENT OF EMPHYSEMA | 1 |
Ken Hatta | JP | Toyota-Shi | 2015-09-24 / 20150266232 - Filament Winding Device | 14 |
Taiyou Hatta | JP | Joso-Shi | 2014-04-24 / 20140110615 - FLOW PATH OPENING/CLOSING VALVE | 1 |
Hidenori Hatta | JP | Aichi | 2014-09-04 / 20140246127 - HIGH-STRENGTH ALUMINUM ALLOY AND PROCESS FOR PRODUCING SAME | 3 |
Yukari Hatta | JP | Tokyo | 2015-04-30 / 20150121372 - VIRTUAL COMPUTER SYSTEM FOR RESTORING NETWORK CONNECTION OF LIVE-MIGRATED VIRTUAL COMPUTER | 2 |
Atsushi Hatta | JP | Nagano | 2015-01-29 / 20150029557 - IMAGE RETOUCHING PROGRAM | 2 |
Motoyoshi Hatta | JP | Kariya-City | 2015-02-19 / 20150051776 - VEHICLE CONTROL APPARATUS | 4 |
Tomomi Hatta | JP | Hyogo | 2013-08-15 / 20130209837 - FLUOROPOLYETHER COMPOUND, LUBRICANT AND MAGNETIC DISK EACH CONTAINING THE SAME | 1 |
Yoshihiro Hatta | JP | Tokyo | 2015-02-05 / 20150038238 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM | 2 |
Tetsuya Hatta | JP | Kuwana-Shi | 2014-10-16 / 20140308545 - POWER STORAGE APPARATUS AND METHOD OF OPERATING POWER STORAGE APPARATUS | 7 |
Shinji Hatta | JP | Hachioji-Shi | 2014-07-10 / 20140194868 - SURGICAL APPARATUS | 1 |
Tetsuya Hatta | JP | Kuwana-City | 2014-06-12 / 20140162091 - METHOD OF CONTROLLING STORAGE BATTERY, APPARATUS FOR CONTROLLING STORAGE BATTERY, AND ELECTRIC POWER CONTROL SYSTEM | 1 |
Toshihisa Hatta | JP | Ishikawa | 2012-03-22 / 20120070897 - Method For Enucleating Nucleated Erythrocyte, And Enucleation Inducer | 1 |
Yoshihisa Hatta | TW | Chu-Nan | 2012-11-08 / 20120280259 - SYSTEM FOR DISPLAYING IMAGES | 1 |
Yoshihisa Hatta | TW | Miao-Li County | 2012-11-01 / 20120273817 - TOP-EMISSION ORGANIC LIGHT-EMITTING DIODE STRUCTURE | 1 |
Masato Hatta | US | Madison | 2009-12-31 / 20090324640 - NEURAMINIDASE-DEFICIENT LIVE INFLUENZA VACCINES | 1 |
Ken Hatta | JP | Okazaki-Shi | 2015-11-19 / 20150329315 - TANK MANUFACTURING METHOD, HELICAL WINDING DEVICE, AND FILAMENT WINDING APPARATUS | 8 |
Tomonori Hatta | JP | Hadano-Shi | 2016-04-28 / 20160113662 - COLLECTION METHOD | 2 |
Minoru Hatta | JP | Chiba | 2011-06-16 / 20110143113 - SEALING STRIPS FOR VEHICLE WINDOWS | 1 |
Naoya Hatta | JP | Kawasaki-Shi | 2015-10-08 / 20150283841 - RECORDING MEDIUM | 15 |
Bungo Hatta | JP | Osaka | 2013-08-01 / 20130194659 - INTERLAYER FOR LAMINATED GLASS, AND LAMINATED GLASS | 1 |
Hirotaka Hatta | JP | Kanagawa | 2014-10-23 / 20140314461 - IMAGE FORMING APPARATUS | 3 |
Akira Hatta | JP | Kyoto-Shi | 2015-05-14 / 20150130830 - MEASUREMENT INFORMATION DISPLAY APPARATUS, MEASUREMENT INFORMATION DISPLAY SYSTEM, AND MEASUREMENT INFORMATION DISPLAY METHOD | 1 |
Koji Hatta | JP | Kyoto | 2012-10-04 / 20120250036 - LAYOUT TEMPLATE, LAYOUT DATA GENERATING APPARATUS, AND LAYOUT DATA GENERATING METHOD AND PROGRAM | 1 |
Masataka Hatta | JP | Yamanashi | 2016-02-18 / 20160047856 - PROBE DEVICE | 1 |
Masaharu Hatta | JP | Toyota-Shi | 2013-04-11 / 20130089282 - SLIDING MEMBER AND MANUFACTURING METHOD THEREOF | 2 |
Tomonori Hatta | US | Cupertino | 2016-05-05 / 20160120570 - MEDICAL DEVICE AND TREATMENT METHOD | 4 |
Kentaro Hatta | JP | Kawasaki-Shi | 2015-10-15 / 20150291019 - BATTERY TEMPERATURE CONTROL DEVICE | 4 |
Kunihiko Hatta | JP | Yokohama City | 2015-09-17 / 20150264759 - LIGHT SOURCE DRIVING DEVICE AND METHOD FOR DRIVING LIGHT SOURCE | 1 |
Ken Hatta | JP | Toyota-Shi | 2015-09-24 / 20150266232 - Filament Winding Device | 14 |
Shinji Hatta | JP | Tokyo | 2011-06-30 / 20110160577 - LIGHT MARKER FOR INDWELT USE IN PATIENTS BODY AND FOR IDENTIFICATION OF LESIONS INSIDE PATIENTS TUBULAR ORGAN USING THE LIGHT MARKER | 1 |
Masataka Hatta | JP | Nirasaki City | 2015-01-15 / 20150015285 - PROBE APPARATUS | 2 |
Madoka Hatta | JP | Koto-Ku | 2014-08-07 / 20140221437 - N-HETERO-RING-SUBSTITUTED AMIDE DERIVATIVE | 1 |
Tomonari Hatta | JP | Komaki-Shi | 2015-03-19 / 20150076136 - GLOW PLUG AND METHOD FOR MANUFACTURING SAME | 1 |
Tomonori Hatta | JP | Kanagawa | 2016-01-28 / 20160022960 - ELONGATED MEMBER | 7 |
Izumi Hatta | JP | Tokyo | 2016-05-19 / 20160135910 - METHOD OF CONTROLLING A MEDICAL MASTER/SLAVE SYSTEM | 3 |
Shoji Hatta | JP | Fukuoka | 2012-05-10 / 20120113297 - CAMERA DEVICE, EXPOSURE CONTROL METHOD, AND PROGRAM | 1 |
Masao Hatta | JP | Nagoya-Shi | 2012-09-13 / 20120227193 - METHOD OF UPGRADING SEISMIC PERFORMANCE OF EXISTING SPILLWAY PIERS ON DAMS AND COUPLED EARTHQUAKE-RESISTANT STRUCTURE | 1 |
Takeshi Hatta | JP | Sanda-Shi | 2015-02-05 / 20150034489 - METHOD OF ELECTROPLATING WITH Sn-ALLOY AND APPARATUS OF ELECTROPLATING WITH Sn-ALLOY | 2 |
Munehisa Hatta | JP | Tokyo | 2012-05-24 / 20120129006 - WELDING STRUCTURAL PART AND WELDING METHOD OF THE SAME | 2 |
Madoka Hatta | JP | Tokyo | 2013-08-22 / 20130217733 - ACYLBENZENE DERIVATIVE | 1 |
Yasuko Hatta | US | Madison | 2016-04-28 / 20160115518 - SCREEN FOR INHIBITORS OF FILOVIRUS AND USES THEREFOR | 3 |
Kazuyo Hatta | JP | Shiga | 2015-09-03 / 20150247647 - SENSING METHOD AND SENSING SYSTEM, AND AIR CONDITIONING DEVICE HAVING THE SAME | 1 |
Naoya Hatta | JP | Kawasaki-Shi | 2015-10-08 / 20150283841 - RECORDING MEDIUM | 15 |
Kaoru Hatta | JP | Tokyo | 2015-08-06 / 20150221685 - LAYERED STRUCTURE, THIN FILM TRANSISTOR ARRAY, AND METHOD OF MANUFACTURING THE SAME | 4 |
Masataka Hatta | JP | Nirasaki-Shi | 2008-10-23 / 20080257537 - TEMPERATURE CONTROL METHOD, TEMPERATURE CONTROL APPARATUS AND HIGH/LOW TEMPERATURE PROCESSING SYSTEM | 1 |
Yoshiaki Hatta | JP | Yokkaichi-Shi | 2013-04-25 / 20130103959 - PROCESSING SYSTEM, PROCESSING DEVICE AND POWER SUPPLY CONTROL METHOD | 2 |
Naoki Hatta | JP | Hachioji-Shi | 2013-09-05 / 20130228797 - SILICON CARBIDE SUBSTRATE AND SEMICONDUCTOR DEVICE | 1 |
Masahiro Hatta | JP | Fujinomiya-Shi | 2015-12-10 / 20150355021 - ULTRAVIOLET-SENSITIVE SHEET, METHOD FOR MANUFACTURING ULTRAVIOLET-SENSING SHEET, AND METHOD FOR SENSING ULTRAVIOLET | 2 |
Naoki Hatta | JP | Zama-Shi | 2008-11-27 / 20080289570 - Process for Producing Silicon Carbide Single Crystal | 1 |
Koichi Hatta | JP | Gumma | 2009-01-22 / 20090021350 - Portable electronic device, security system and method for determining allowable operating range of portable electronic device | 1 |
Shin-Ichiro Hatta | JP | Nara-Shi | 2009-01-22 / 20090021137 - LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE AND LIGHTING DEVICE USING SAME | 1 |
Kentaro Hatta | JP | Yokosuka-Shi | 2009-03-05 / 20090061261 - GAS PHYSICAL QUANTITY DETECTING DEVICE, FUEL CELL SYSTEM AND VEHICLE | 1 |
Kazuhito Hatta | JP | Fukushima | 2016-02-18 / 20160049620 - BATTERY | 10 |
Yoshihiko Hatta | JP | Toyokawa-Shi | 2009-05-14 / 20090122365 - DOUBLE-SIDE IMAGE READING DEVICE AND IMAGE FORMING APPARATUS CAPABLE OF EXECUTING READING OF ORIGINAL WITH HIGH THROUGHPUT WHILE TAKING IMAGE QUALITY OF READ ORIGINAL INTO ACCOUNT | 1 |
Fumika Hatta | JP | Kuwana-Shi | 2009-07-02 / 20090167754 - ELECTROPHORETIC DISPLAY CONTROL DEVICE, ELECTROPHORETIC DISPLAY DEVICE, AND COMPUTER-READABLE MEDIUM STORING PROGRAM OF CONTROLLING REDRAWING OF IMAGE OF ELECTROPHORETIC DISPLAY PANEL | 1 |
Masaharu Hatta | JP | Aichi | 2011-06-30 / 20110158829 - SWASH PLATE TYPE COMPRESSOR | 5 |
Yasunori Hatta | JP | Tokyo | 2009-10-29 / 20090270644 - BASE AGENT FOR ELECTRICAL INSULATING OIL | 1 |
Kazuhito Hatta | JP | Tokyo | 2010-02-18 / 20100040942 - LAMINATE PACKING MATERIAL FOR BATTERY AND LAMINATE BATTERY | 1 |
Maki Hatta | JP | Tachikawa-Shi | 2010-02-18 / 20100037460 - LIQUID DISCHARGE HEAD AND MANUFACTURING METHOD OF THE SAME | 1 |
Toshie Hatta | JP | Yokohama-Shi | 2010-03-04 / 20100055382 - Floor mat | 1 |
Ken Hatta | JP | Okazaki-Shi | 2015-11-19 / 20150329315 - TANK MANUFACTURING METHOD, HELICAL WINDING DEVICE, AND FILAMENT WINDING APPARATUS | 8 |
Kentaro Hatta | JP | Kanagawa | 2015-08-06 / 20150217707 - HIGH-VOLTAGE HARNESS CONNECTION STRUCTURE FOR ELECTRICALLY DRIVEN VEHICLE | 3 |
Tatsuo Hatta | JP | Tokyo | 2014-12-18 / 20140367557 - OPTICAL RECEIVER MODULE | 6 |
Hirotaka Hatta | JP | Kawasaki-Shi | 2010-09-30 / 20100247123 - Image forming apparatus and process cartridge | 1 |
Kaoru Hatta | JP | Chiba | 2015-08-20 / 20150234567 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 2 |
Bungo Hatta | JP | Koka-Shi | 2014-10-02 / 20140295192 - INTERLAYER FILM FOR LAMINATED GLASS AND LAMINATED GLASS | 6 |
Jun Hatta | JP | Nagoya-Shi | 2013-09-12 / 20130235128 - Ink Jet Printer | 3 |
Bungo Hatta | JP | Shiga | 2012-07-05 / 20120171498 - INTERMEDIATE FILM FOR LAMINATED GLASS, AND LAMINATED GLASS | 4 |
Shoji Hatta | JP | Kanagawa | 2010-12-30 / 20100328520 - CAMERA DEVICE, AND METHOD AND PROGRAM FOR SUPPORTING FOCUS ADJUSTMENT | 1 |
Naoki Hatta | JP | Shinjuku-Ku | 2011-01-13 / 20110006310 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Naoki Hatta | JP | Hachioji | 2013-09-12 / 20130234164 - SILICON CARBIDE SUBSTRATE, SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SILICON CARBIDE SUBSTRATE | 1 |
Yukari Hatta | JP | Hadano | 2013-06-13 / 20130152083 - VIRTUAL COMPUTER SYSTEM AND CONTROL METHOD OF MIGRATING VIRTUAL COMPUTER | 7 |
Stephen J. Hattan | US | Lowell | 2015-10-22 / 20150299761 - Substrates and Methods for Preparing Samples for Mass Spectrometry | 1 |
Paul Hattan | US | Minnetonka | 2016-03-31 / 20160091373 - METHOD TO DETERMINE HEAT TRANSFER EFFICIENCY OF A HEATING DEVICE AND SYSTEM THEREFOR | 1 |
Paul J. Hattan | US | Minnetonka | 2015-06-25 / 20150176860 - LOW NOISE AIR CIRCULATION DEVICE | 1 |
Paul Hattan | US | Minneapolis | 2010-05-06 / 20100114158 - DELIVERY ASSEMBLY, DELIVERY TIP, AND METHOD OF USING SAME | 1 |
Hirokatsu Hattanda | JP | Tajimi-Shi | 2014-05-15 / 20140131400 - METHOD OF MANUFACTURING RECYCLED PLATE FOR SLIDING NOZZLE AND RECYCLED PLATE | 2 |
Hirokatsu Hattanda | JP | Gifu | 2012-11-01 / 20120276387 - High-Temperature Assembly, Method for Producing High-Temperature Assembly, and Heat-Resistant Sealing Material | 1 |
Gaurish Vijay Hattangadi | IN | Pune | 2014-04-10 / 20140100909 - SYSTEM AND METHOD FOR TESTING AND VALIDATION | 1 |
Neil Hattangadi | US | San Diego | 2014-09-18 / 20140276687 - ASSESSMENT OF VARICOSE VEIN ABLATION VIA IMAGING OR FUNCTIONAL MEASUREMENT ANALYSIS | 5 |
Neil Hattangadi | US | New York | 2014-02-20 / 20140052105 - DOUBLE BALLOON CATHETER AND METHODS FOR HOMOGENEOUS DRUG DELIVERY USING THE SAME | 1 |
Neil Hattangadi | US | Plymouth | 2012-10-11 / 20120259315 - DOUBLE BALLOON CATHETER AND METHODS FOR HOMOGENEOUS DRUG DELIVERY USING THE SAME | 2 |
Rafat F. Hattar | US | Macomb | 2010-03-04 / 20100057330 - MULTI-PULSE ENABLE DETERMINATION AND TRANSITION CONTROL SYSTEMS AND METHODS | 1 |
Rafat F. Hattar | US | Milford | 2013-05-16 / 20130124144 - PRESSURE DIAGNOSTIC SYSTEM AND METHOD | 1 |
Rafat F. Hattar | US | Royal Oak | 2015-02-05 / 20150039208 - SYSTEM AND METHOD FOR CONTROLLING AIR FLOW THROUGH AN ENGINE BASED ON A FUEL INJECTION DURATION LIMIT | 7 |
Khalid Mikhiel Hattar | US | Albuquerque | 2016-01-28 / 20160027547 - Ion Beam Modification of Noble Metals for Electrical Contacts | 1 |
Christer Hattar | FI | Vasa | 2014-02-13 / 20140041637 - CONTROL METHOD FOR AN INTERNAL COMBUSTION ENGINE AND INTERNAL COMBUSTION ENGINE | 2 |
Elizabeth A. Hattara | US | Medina | 2013-02-28 / 20130049348 - DEVICE, SYSTEM, AND METHOD FOR ORGANIZING AND RETRIEVING CARDS | 1 |
Mirko Hattass | DE | Stuttgart | 2016-05-19 / 20160138666 - Micromechanical spring for an inertial sensor | 21 |
Miko Hattass | DE | Stuttgart | 2014-01-23 / 20140021515 - MICROMECHANICAL STRUCTURE, IN PARTICULAR SENSOR ARRANGEMENT, AND CORRESPONDING OPERATING METHOD | 1 |
Mirko Hattass | DE | Stuttgart | 2016-05-19 / 20160138666 - Micromechanical spring for an inertial sensor | 21 |
Owen Hattemar | US | Pierdido Beach | 2014-10-23 / 20140314952 - SPRAY COATING SYSTEM AND METHOD | 1 |
Paul Robert Hatten | US | Carlsbad | 2009-12-17 / 20090308595 - Well cleaning apparatus | 1 |
Thomas R. Hatten | US | Los Altos | 2009-01-15 / 20090018498 - INFUSION TREATMENT AGENTS, CATHETERS, FILTER DEVICES, AND OCCLUSION DEVICES, AND USE THEREOF | 2 |
Steve A. Hatten | US | Boise | 2009-06-25 / 20090162158 - Hand Held Portable Drill Leverage Unit | 1 |
Paul Hatten | US | Valley Center | 2016-03-24 / 20160084041 - WASTE WATER TREATMENT SYSTEMS AND METHODS | 2 |
Paul Hatten | US | Carlsbad | 2013-04-04 / 20130082008 - WASTE WATER TREATMENT USING MULTI-STAGED OXIDATION REACTOR | 5 |
Thomas Ray Hatten | US | Los Altos | 2011-08-25 / 20110208286 - CATHETER ASSEMBLY AND METHOD FOR TREATING BIFURCATIONS | 3 |
Lars-Olof Hattenbach | DE | Ludwigshafen | 2015-01-15 / 20150018746 - DEVICE FOR USE IN GLAUCOMA SURGERY | 1 |
Heidi A. Hattendoft | US | Chicago | 2012-11-22 / 20120295566 - ELECTRONIC COMMUNICATION SYSTEMS AND METHODS FOR REAL-TIME LOCATION AND INFORMATION COORDINATION | 2 |
Bodo Hattendordf | CH | Zurich | 2013-08-15 / 20130207000 - Laser-Ablation Ion Source with Ion Funnel | 1 |
Michael L. Hattendorf | US | Beaverton | 2012-04-19 / 20120091542 - METHODS FOR THE DEPOSITION OF TERNARY OXIDE GATE DIELECTRICS AND STRUCTURES FORMED THEREBY | 6 |
Heike Hattendorf | DE | Werdohl | 2016-03-10 / 20160071632 - METHOD FOR PRODUCING A METAL FILM | 15 |
Michael Hattendorf | US | Portland | 2014-11-20 / 20140339646 - NON-PLANAR TRANSITOR FIN FABRICATION | 1 |
Judy Hattendorf | US | Marina Del Ray | 2016-03-10 / 20160067165 - METHODS FOR LIGHTENING SKIN USING ARBUTIN COMPOSITIONS | 2 |
Douglas Hattendorf | US | Mountain View | 2014-04-10 / 20140099686 - Production of Fatty Alcohols with Fatty Alcohol Forming Acyl-CoA Reductases (FAR) | 4 |
Douglas A. Hattendorf | US | Mountain View | 2015-03-26 / 20150087028 - CBH1A VARIANTS | 3 |
Michael Hattendorf | US | Aloha | 2013-10-10 / 20130264617 - NON-PLANAR TRANSISTORS AND METHODS OF FABRICATION THEREOF | 1 |
Douglas A. Hattendorf | US | Oakland | 2016-03-31 / 20160090612 - GENE DISTRUPTANTS PRODUCING FATTY ACYL-COA DERIVATIVES | 1 |
Judy Hattendorf | US | Marina Del Rey | 2014-06-12 / 20140161751 - STABLE SKIN CARE COMPOSITIONS AND METHODS FOR MANUFACTURING THEM | 2 |
Heike Hattendorf | DE | Werdohl | 2016-03-10 / 20160071632 - METHOD FOR PRODUCING A METAL FILM | 15 |
Guido Hattendorf | US | Phoenix | 2015-03-12 / 20150073270 - IMAGING METHOD AND APPARATUS | 4 |
Michael L. Hattendorf | US | Portland | 2015-03-12 / 20150069473 - TRANSISTOR FABRICATION TECHNIQUE INCLUDING SACRIFICIAL PROTECTIVE LAYER FOR SOURCE/DRAIN AT CONTACT LOCATION | 2 |
Michael L. Hattendorf | US | Porttand | 2015-03-19 / 20150079776 - INTEGRATED CIRCUITS WITH SELECTIVE GATE ELECTRODE RECESS | 1 |
Horst-Dieter Hattendorff | DE | Bad Schwartau | 2009-12-31 / 20090320846 - MEASURING GAS SAMPLE HOLDER FOR MEASURING THE CONCENTRATIONS OF GAS COMPONENTS AND PROCESS FOR MANUFACTURING A MEASURING GAS SAMPLE HOLDER | 1 |
Donald Hatter | US | Lincroft | 2011-11-24 / 20110284570 - INNER WIPES | 2 |
Daniel Hatter | US | Blue Ridge | 2015-06-25 / 20150174681 - Integrated Controller/Power Source for an Arc Welding Apparatus | 1 |
Christine A. Hatter | US | Rockville Centre | 2014-12-11 / 20140360411 - DESK STAND | 1 |
Brenda F. Hatter | US | Corona | 2012-02-09 / 20120036081 - METHOD AND SYSTEM FOR A REAL-TIME INTERACTIVE WEB/MEDIA-BASED ELECTRONIC NEW OR REMOTE HIRE DOCUMENT PROCESSING SYSTEM INTERFACED/INTERLINK TO AN EMPLOYER AUTHORIZED DISTAL/REMOTE NOTARIES PUBLIC OR 3RD PARTY AGENT | 1 |
Michael D. Hatter | US | Compton | 2012-02-09 / 20120036081 - METHOD AND SYSTEM FOR A REAL-TIME INTERACTIVE WEB/MEDIA-BASED ELECTRONIC NEW OR REMOTE HIRE DOCUMENT PROCESSING SYSTEM INTERFACED/INTERLINK TO AN EMPLOYER AUTHORIZED DISTAL/REMOTE NOTARIES PUBLIC OR 3RD PARTY AGENT | 1 |
Jesse Andrew Hatter | US | Corona | 2009-12-31 / 20090327144 - SYSTEM FOR EXECUTING REMOTE ELECTRONIC NOTARIZATION AND SIGNATORY VERIFICATION AND AUTHENTICATION | 2 |
Brenda Fate Hatter | US | Corona | 2009-12-31 / 20090327144 - SYSTEM FOR EXECUTING REMOTE ELECTRONIC NOTARIZATION AND SIGNATORY VERIFICATION AND AUTHENTICATION | 1 |
Miykael S. Hatter | US | Corona | 2012-02-09 / 20120036081 - METHOD AND SYSTEM FOR A REAL-TIME INTERACTIVE WEB/MEDIA-BASED ELECTRONIC NEW OR REMOTE HIRE DOCUMENT PROCESSING SYSTEM INTERFACED/INTERLINK TO AN EMPLOYER AUTHORIZED DISTAL/REMOTE NOTARIES PUBLIC OR 3RD PARTY AGENT | 1 |
Brenda Faye Hatter | US | Corona | 2009-02-19 / 20090049298 - System for remote electronic notarization and signatory verification and authentication/ interface/ interlinked with an advanced steganographic cryptographic protocol | 1 |
Rosie J. Hatter | US | Compton | 2012-02-09 / 20120036081 - METHOD AND SYSTEM FOR A REAL-TIME INTERACTIVE WEB/MEDIA-BASED ELECTRONIC NEW OR REMOTE HIRE DOCUMENT PROCESSING SYSTEM INTERFACED/INTERLINK TO AN EMPLOYER AUTHORIZED DISTAL/REMOTE NOTARIES PUBLIC OR 3RD PARTY AGENT | 1 |
Sariyah Y. Hatter | US | Corona | 2012-02-09 / 20120036081 - METHOD AND SYSTEM FOR A REAL-TIME INTERACTIVE WEB/MEDIA-BASED ELECTRONIC NEW OR REMOTE HIRE DOCUMENT PROCESSING SYSTEM INTERFACED/INTERLINK TO AN EMPLOYER AUTHORIZED DISTAL/REMOTE NOTARIES PUBLIC OR 3RD PARTY AGENT | 1 |
Jesse A. Hatter, Ii | US | Corona | 2012-02-09 / 20120036081 - METHOD AND SYSTEM FOR A REAL-TIME INTERACTIVE WEB/MEDIA-BASED ELECTRONIC NEW OR REMOTE HIRE DOCUMENT PROCESSING SYSTEM INTERFACED/INTERLINK TO AN EMPLOYER AUTHORIZED DISTAL/REMOTE NOTARIES PUBLIC OR 3RD PARTY AGENT | 1 |
Simon Richard Hattersley | GB | Bickley | 2012-09-13 / 20120229130 - Apparatus and Method for Determining Magnetic Properties of Materials | 2 |
Simon Richard Hattersley | GB | Bromley | 2014-08-07 / 20140218745 - DETECTOR CIRCUITS FOR INTERFEROMETERS | 1 |
Gary Hattersley | US | Stow | 2014-02-13 / 20140046293 - Method Of Drug Delivery For PTH, PTHrP And Related Peptides | 5 |
John R. Hattersley | US | Skaneateles | 2015-08-27 / 20150242668 - Frame and App for Smartphone for Audio Reading Coded Text | 1 |
Simon Hattersley | GB | Bickley | 2014-08-28 / 20140243701 - Temperature Measurement System and Method | 1 |
Simon Richard Hattersley | GB | Bickley Kent | 2009-08-13 / 20090201016 - Apparatus and method for determining magnetic properties of materials | 1 |
Simon Richard Hattersley | GB | Kent | 2016-05-05 / 20160124057 - MAGNETIC DETECTOR | 6 |
Harold James Hattersley, Jr. | US | Brick | 2009-10-22 / 20090260493 - AUTOMATED SCRAP WIRE REMOVAL METHOD AND APPARATUS | 1 |
Larry Hattery | US | Beaverton | 2011-06-09 / 20110138450 - Secure Transaction Systems and Methods using User Authenticating Biometric Information | 9 |
Larry E. Hattery | US | Beaverton | 2014-01-02 / 20140002238 - CREDENTIAL QUALITY ASSESSMENT ENGINE SYSTEMS AND METHODS | 2 |
Brenda Hattery | US | San Diego | 2014-04-03 / 20140092288 - Multispectral imaging for quantitative contrast of functional and structural features of layers inside optically dense media such as tissue | 2 |
Michael Andrew Hattey | GB | Wokingham | 2016-01-28 / 20160025949 - OPTICAL APPARATUS | 1 |
Sunil Suresh Hatti | IN | Bangalore | 2009-03-12 / 20090070768 - System and Method for Using Resource Pools and Instruction Pools for Processor Design Verification and Validation | 7 |
Sunil Suresh Hatti | IN | Gokul | 2010-01-14 / 20100011248 - LIGHT WEIGHT AND HIGH THROUGHPUT TEST CASE GENERATION METHODOLOGY FOR TESTING CACHE/TLB INTERVENTION AND DIAGNOSTICS | 10 |
Kiran Hatti | US | Chesterfield | 2009-10-08 / 20090251892 - Lighting Apparatus | 1 |
Ashwin A. Hattiangadi | US | Dunlap | 2008-12-04 / 20080299306 - Multi-layer substrate and method of fabrication | 1 |
Ashwin A. Hattiangadi | US | Edwards | 2012-12-27 / 20120325171 - Hydraulic Lash Adjuster Including Band Of Radial Recirculation Openings | 2 |
Bharathi Hattiangady | US | Belton | 2015-09-24 / 20150265675 - Treatment of Brain Injury or Trauma with TSG-6 Protein | 1 |
Myron Hattig | US | Portland | 2012-11-08 / 20120281608 - POWER MANAGEMENT OF PERIODIC TRANSMISSIONS FROM NETWORKING APPLICATIONS | 4 |
Ruan Hattingh | GB | Cambridge | 2014-09-25 / 20140283628 - APPARATUS AND METHOD FOR LIQUID SAMPLE INTRODUCTION | 2 |
Daniel Gerhardus Hattingh | ZA | Port Elizabeth | 2011-08-18 / 20110198389 - Friction Welding Apparatus | 1 |
Jacobus G. Hattingh | US | Sugar Land | 2016-03-17 / 20160080710 - TRI-SURFACE IMAGE PROJECTION SYSTEM AND METHOD | 1 |
Mike Hattingh | US | Troy | 2015-04-02 / 20150089907 - CENTRIFUGAL SPARK ARRESTOR ASSEMBLY | 1 |
James Matthew Hattis | US | Saint Louis Park | 2009-04-30 / 20090112503 - On-chip over-temperature detection | 1 |
Stanley Hattman | US | Rochester | 2010-02-11 / 20100035945 - SMALL MOLECULE INHIBITORS OF BACTERIAL DAM DNA METHYLTRANSFERASES | 1 |
T. Alan Hatton | US | Sudbury | 2016-05-19 / 20160138174 - Electrochemically Responsive Composites of Redox Polymers and Conducting Fibers | 6 |
David Hatton | US | Seattle | 2012-07-26 / 20120189493 - ANTI-MICROBIAL SYSTEMS AND METHODS | 2 |
Steve Hatton | GB | Surrey | 2015-05-07 / 20150122503 - Marine Subsea Free-Standing Riser Systems and Methods | 2 |
Philip J. Hatton | US | Portland | 2015-08-20 / 20150231478 - Golf Clubs and Golf Club Heads | 12 |
Ross Hatton | GB | Coventry | 2012-09-27 / 20120241717 - Organic Photosensitive Optoelectronic Devices | 1 |
Gregory John Hatton | US | Houston | 2016-05-12 / 20160130918 - JUMPER LINE CONFIGURATIONS FOR HYDRATE INHIBITION | 9 |
Gregory John Hatton | US | Houston | 2016-05-12 / 20160130918 - JUMPER LINE CONFIGURATIONS FOR HYDRATE INHIBITION | 9 |
John F. Hatton | US | Saint Louis | 2012-03-29 / 20120073469 - DENTAL REPAIR MATERIAL | 1 |
Trevor Alan Hatton | US | Sudbury | 2016-05-12 / 20160129019 - COMPOSITIONS, METHODS, AND SYSTEMS RELATING TO CONTROLLED CRYSTALLIZATION AND/OR NUCLEATION OF MOLECULAR SPECIES | 12 |
Gregory J. Hatton | US | Houston | 2015-11-26 / 20150337170 - Superhydrophobic Compositions And Coating Process For The Internal Surface Of Tubular Structures | 1 |
Thomas E. Hatton | US | Blairstown | 2014-09-11 / 20140252099 - VAPOR MITIGATION SYSTEM, VAPOR MITIGATION CONTROLLER AND METHODS OF CONTROLLING, MONITORING AND MITIGATING VAPORS | 3 |
Scott Hatton | US | Filmore | 2011-06-09 / 20110136375 - GUARDED COAXIAL CABLE ASSEMBLY | 1 |
James Ronald Hatton | US | Knoxville | 2014-06-05 / 20140150163 - Fan Assembly for a Hat | 1 |
Jan Adrian Robert Hatton | GB | Burghfield Common | 2015-12-03 / 20150343829 - SECURITY DEVICE | 1 |
Mark Andrew Hatton | GB | Eye | 2013-05-16 / 20130122979 - COMPUTING A TARGET SPEED IN A VIRTUAL RACING ENVIRONMENT | 7 |
Ian Raymond Hatton | GB | Grantham | 2011-09-22 / 20110227344 - METHOD AND APPARATUS FOR USING DE-COMPRESSED FLUID (AIR) AS A COOLANT | 2 |
Benjamin David Hatton | CA | Hamilton | 2009-05-21 / 20090130412 - Method of transformation of bridging organic groups in organosilica materials | 1 |
Stephen Hatton | GB | Woking | 2010-12-16 / 20100314865 - JOINING METAL PIPES | 1 |
James A. Hatton | US | Liberty | 2012-03-22 / 20120071847 - Disposable Absorbent Article | 1 |
Trevor Alan Hatton | US | Cambridge | 2010-09-02 / 20100222519 - Responsive Materials for Isolating Organic Compounds | 1 |
Cole Hatton | US | San Francisco | 2015-07-30 / 20150208729 - VAPORIZATION DEVICE SYSTEMS AND METHODS | 1 |
Heather J. Hatton | US | Wilmington | 2011-09-08 / 20110216868 - IRRADIATION TARGET POSITIONING DEVICES AND METHODS OF USING THE SAME | 1 |
Richard Hatton | GB | Conwy | 2010-11-04 / 20100279290 - MICROBIOLOGICAL DETECTION METHOD | 1 |
Christopher Hatton | GB | Bradford | 2010-07-29 / 20100187490 - Wind resistant hedgerow | 1 |
Jason Hatton | US | Essexville | 2014-02-27 / 20140054330 - VALVE-CONTROLLED DISPENSING CLOSURE | 2 |
Christopher Hatton | US | Athens | / - | 1 |
Ross Andrew Hatton | GB | Church Lawford | 2013-08-29 / 20130220412 - TRANSPARENT ELECTRODES FOR SEMICONDUCTOR THIN FILM DEVICES | 2 |
James Hatton | GB | St. Leonards-On-Sea | 2015-02-12 / 20150042109 - DOOR BRACE | 5 |
Geoffrey Hatton | GB | Peterborough | 2009-10-01 / 20090242689 - CRAFT HAVING A ROTATABLE FLUID PROPULSION DEVICE | 2 |
James Hatton | US | Odessa | 2013-05-16 / 20130124268 - SYSTEMS AND METHODS FOR IDENTIFYING EXPERTS | 7 |
Richard J. Hatton | US | Wellington | 2015-09-24 / 20150266255 - BANNER MAKING MACHINE | 1 |
Mark Hatton | GB | Eye | / - | 1 |
Benjamin Hatton | US | Cambridge | 2014-07-03 / 20140187666 - SLIPPERY LIQUID-INFUSED POROUS SURFACES AND BIOLOGICAL APPLICATIONS THEREOF | 7 |
Ross Andrew Hatton | GB | Guildford | 2009-07-02 / 20090166591 - Thin Film Production | 1 |
David Hatton | US | Port Orchard | 2014-07-10 / 20140194519 - Antiseptic compositions, methods and systems | 3 |
Erik W. Hatton | US | Atkinson | 2014-09-11 / 20140252183 - Sensor Hoop Storage and Transport Apparatus | 1 |
Philip Hatton | US | Portland | 2013-10-10 / 20130267339 - Golf Clubs and Golf Club Heads | 5 |
Phillip J. Hatton | US | Portland | 2013-10-31 / 20130288821 - GOLF CLUBS AND GOLF CLUB HEADS | 1 |
Stephen Hatton | CH | Bellikon | 2013-12-12 / 20130331196 - Golf Swing Instructional Device | 1 |
Trevor Alan Hatton | US | Sudbury | 2016-05-12 / 20160129019 - COMPOSITIONS, METHODS, AND SYSTEMS RELATING TO CONTROLLED CRYSTALLIZATION AND/OR NUCLEATION OF MOLECULAR SPECIES | 12 |
Mark A. Hatton | GB | Eye | 2009-02-26 / 20090051690 - Motion line switching in a virtual environment | 1 |
Geoffrey Hatton | GB | Cambridgeshire | 2008-09-04 / 20080213090 - Thrust Generating Apparatus | 1 |
Jason D. Hatton | US | Essexville | 2015-09-17 / 20150260179 - Fluent Product Dispensing Package and Diaphragm Pump For Use Therein | 7 |
Scott Hatton | US | Fillmore | 2014-09-11 / 20140251685 - GUARDED COAXIAL CABLE ASSEMBLY | 2 |
Diane Hatton | GB | Cambridge | 2012-09-13 / 20120231500 - Cells For Transient Expression And Uses Thereof | 2 |
Michael C. Hatton | US | Washington | 2012-10-04 / 20120247378 - Fertilizer Applicator With In-Frame Folding Actuator For Folding An Outer Frame Member Relative To An Inner Frame Member | 2 |
Andrew Hatton | US | Wilmington | 2014-08-28 / 20140244448 - System and Method for Mapping Financial Data | 1 |
Ryan D. Hatton | US | Louisville | 2014-08-07 / 20140222121 - ATHLETIC COOLING AND HEATING SYSTEMS, DEVICES AND METHODS | 1 |
David L. Hatton | US | Houston | 2014-02-20 / 20140048231 - INLET AIR FLOW GUIDE FOR ACDX FAN COIL | 3 |
Richard Hatton | US | Okemos | 2011-08-04 / 20110186057 - ICU/CCU/NICU PATIENT GOWN | 1 |
Trevor A. Hatton | US | Sudbury | 2014-10-23 / 20140311507 - Porous Catalytic Matrices for Elimination of Toxicants Found in Tobacco Combustion Products | 1 |
Leslie Hatton | FR | Paris | 2016-05-12 / 20160132782 - ESTIMATION OF A DELETED FLUID CONSUMPTION | 1 |
Edward Douglas Hatton | CA | Peterborough | 2016-04-21 / 20160110575 - Dimensioning and Barcode Reading System | 5 |
Benjamin Hatton | CA | Toronto | 2015-10-08 / 20150285454 - Dynamic Light Control System And Methods For Producing The Same | 5 |
Angela Hatton | US | Brookings | 2013-11-07 / 20130298053 - SCOREBOARD MODELING | 1 |
James Henry Hatton | US | Lafayette | 2010-06-03 / 20100138037 - Vending Store Inventory Management and Reporting System | 1 |
David Hatton | US | Ada | 2015-12-17 / 20150364003 - Apparatus, System and Method For Utilizing a Secondary Controller For Multiple Game Play and Tracking Credits | 1 |
James Hatton | GB | Sussex | 2015-08-20 / 20150233159 - Case Latch Assembly | 2 |
David Hatton | US | Surprise | 2016-02-04 / 20160030614 - ANTISEPTIC COMPOSITIONS, METHODS AND SYSTEMS | 2 |
David Anthony Hatton | US | Berkley | 2016-03-10 / 20160071395 - SYSTEM AND METHOD OF DETERMINING OCCUPANT LOCATION USING CONNECTED DEVICES | 36 |
Heather Hatton | US | Wilmington | 2013-12-19 / 20130336436 - Method of Producing Isotopes In A Nuclear Reactor With An Irradiation Target Retention System | 3 |
Benjamin D. Hatton | CA | Toronto | 2014-05-08 / 20140123578 - THERMAL MANAGEMENT OF TRANSPARENT MEDIA | 1 |
Philippe Hatton | FR | Palaiseau | 2015-08-27 / 20150241124 - HIGH TEMPERATURE BAKING FURNACE | 1 |
Stephen Hatton | GB | Surrey | 2015-10-01 / 20150275629 - CONNECTION APPARATUS | 4 |
Ronald E. Hatton | US | Mesa | 2010-12-16 / 20100313848 - THROTTLE BODY AND A METHOD TO MODIFY A THROTTLE BODY | 1 |
David Anthony Hatton | US | Berkley | 2016-03-10 / 20160071395 - SYSTEM AND METHOD OF DETERMINING OCCUPANT LOCATION USING CONNECTED DEVICES | 36 |
Paul V. Hatton | GB | Sheffield | 2015-12-24 / 20150367023 - A NOVEL GLASS-IONOMER CEMENT | 1 |
Philip J. Hatton | US | Portland | 2015-08-20 / 20150231478 - Golf Clubs and Golf Club Heads | 12 |
Gregory Hatton | US | Houston | 2014-05-22 / 20140137976 - Superhydrophobic Compositions And Coating Process For The Internal Surface Of Tubular Structures | 1 |
James Hatton | GB | East Sussex | 2012-11-29 / 20120299312 - Case Latch Assembly | 1 |
Steve Hatton | US | Surrey | 2013-10-17 / 20130269947 - Marine Subsea Assemblies | 1 |
Graham Hatton-Downward | GB | Cheshire | 2008-08-21 / 20080198026 - Warning System | 1 |
Shigeki Hattori | JP | Yokohama-Shi | 2015-09-17 / 20150261092 - METHOD OF FORMING PATTERN AND LAMINATE | 6 |
Shigeki Hattori | JP | Kanagawa | 2016-03-17 / 20160079387 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 15 |
Tomoaki Hattori | JP | Nagoya | 2011-01-20 / 20110013947 - Developer Supply Apparatus and Image Forming Apparatus | 3 |
Tomoaki Hattori | JP | Nagoya-Shi | 2013-10-31 / 20130287445 - Developing Apparatus and Image Forming Apparatus | 6 |
Tomoaki Hattori | JP | Aichi | 2009-03-26 / 20090080941 - IMAGE FORMING DEVICE | 2 |
Yoshio Hattori | JP | Kanagawa | 2016-05-05 / 20160124359 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 16 |
Mayuko Hattori | JP | Chiba-Shi | 2011-09-15 / 20110225483 - CONTENT ADMINISTRATION SYSTEM | 2 |
Takuya Hattori | JP | Kyoto | 2014-05-22 / 20140139160 - SWITCH DRIVING CIRCUIT | 1 |
Yasuhisa Hattori | JP | Osaka | 2014-06-19 / 20140170472 - COIN BATTERY | 1 |
Hironori Hattori | JP | Kanagawa | 2015-09-17 / 20150262013 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND PROGRAM | 3 |
Masateru Hattori | JP | Kanagawa | 2015-11-26 / 20150338810 - IMAGE FORMING DEVICE, IMAGE FORMING SYSTEM, IMAGE-FORMATION COMMANDING DEVICE, AND IMAGE FORMING METHOD | 3 |
Hiroyuki Hattori | JP | Hachiouji-Shi | 2011-02-03 / 20110029232 - DISTRIBUTION SYSTEM AND VEHICLE-MOUNTED DEVICE | 1 |
Hiroyuki Hattori | JP | Tokyo | 2010-09-02 / 20100221582 - MAGNETIC RECORDING MEDIUM SUBSTRATE AND MAGNETIC RECORDING MEDIUM | 2 |
Hiroyuki Hattori | JP | Aichi-Ken | 2009-10-01 / 20090243423 - ROTATING ELECTRIC MACHINE | 2 |
Hiroyuki Hattori | JP | Okazaki-Shi | 2016-04-28 / 20160118869 - METHOD FOR INSULATING CONNECTION ENDS OF STATOR WINDING | 13 |
Hiroyuki Hattori | JP | Toyota | 2008-10-23 / 20080258668 - Stator position adjustment method, motor drive device and stator position adjustment system | 1 |
Shota Hattori | JP | Osaka-Shi | 2013-10-03 / 20130258035 - OPTICAL SCANNING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Kimihiko Hattori | JP | Nagoya-Shi | 2014-10-02 / 20140296414 - CARBON FIBER-REINFORCED THERMOPLASTIC RESIN COMPOSITION, AND PELLETS AND MOLDED ARTICLE THEREOF | 1 |
Tatsuya Hattori | JP | Kawasaki-Shi | 2012-03-22 / 20120071570 - NOVEL ACYL ACIDIC AMINO ACID ESTER | 3 |
Shigeki Hattori | JP | Kawasaki-Shi | 2012-09-27 / 20120244474 - METHOD OF FORMING PATTERN | 4 |
Masanori Hattori | JP | Kawasaki-Shi | 2013-07-11 / 20130179107 - MOVING STAGE ESTIMATION APPARATUS, METHOD AND PROGRAM | 2 |
Shunsuke Hattori | JP | Kawasaki-Shi | 2009-05-21 / 20090127768 - SHEET SEPARATING DEVICE AND METHOD FOR SEPARATING STACKED SHEETS | 2 |
Yoshio Hattori | JP | Kawasaki-Shi | 2012-06-28 / 20120163874 - DEVELOPING DEVICE, PROCESS CARTRIDGE, AND IMAGE-FORMING APPARATUS | 3 |
Yoahio Hattori | JP | Kawasaki-Shi | 2009-10-01 / 20090245882 - DEVELOPING UNIT, PROCESS CARTRIDGE INCLUDING SAME, AND IMAGE FORMING APPARATUS INCORPORATING SAME | 1 |
Hideaki Hattori | JP | Kawasaki-Shi | 2015-07-16 / 20150201202 - METHOD OF GENERATING MEDIA FILE AND STORAGE MEDIUM STORING MEDIA FILE GENERATION PROGRAM | 12 |
Toshihiro Hattori | JP | Wakayama | 2008-11-20 / 20080283638 - Method of Manufacturing Toner | 1 |
Toshihiro Hattori | JP | Kodaira | 2015-09-10 / 20150253832 - DATA PROCESSING SYSTEM AND DATA PROCESSOR | 7 |
Toshihiro Hattori | JP | Okazaki-Shi | 2013-07-11 / 20130176329 - SURROUNDING IMAGE DISPLAY SYSTEM AND SURROUNDING IMAGE DISPLAY METHOD FOR VEHICLE | 1 |
Kiyoyuki Hattori | JP | Kashihara-Shi | 2014-07-17 / 20140197003 - SLIDING MEMBER, CLUTCH PLATE, AND PRODUCTION METHODS THEREOF | 1 |
Hiroshi Hattori | JP | Saitama | 2013-04-25 / 20130103299 - APPARATUS FOR MONITORING VICINITY OF A VEHICLE | 2 |
Hiroshi Hattori | JP | Utsunomiya-Shi | 2009-02-19 / 20090046151 - Vehicle zone monitoring apparatus | 2 |
Hiroshi Hattori | JP | Gifu-Ken | 2013-02-07 / 20130033729 - CONTROL APPARATUS, STORAGE MEDIUM IN WHICH CONTROL PROGRAM IS STORED, AND PRINTER | 3 |
Hiroshi Hattori | JP | Shiga | 2010-09-02 / 20100219002 - MEASURING DEVICE | 3 |
Hiroshi Hattori | JP | Tokyo | 2013-02-07 / 20130034296 - PATTERN DISCRIMINATING APPARATUS | 6 |
Hiroshi Hattori | JP | Ritto-Shi | 2009-11-12 / 20090277692 - COMBINATION WEIGHING APPARATUS | 1 |
Hiroshi Hattori | JP | Akishima | 2014-12-11 / 20140366065 - Method, Scene List Output Device, Program, and Server | 2 |
Hiroshi Hattori | JP | Aichi-Ken | 2009-04-23 / 20090102308 - WINDING ARRANGEMENT FOR ROTATING ELECTRICAL MACHINE | 1 |
Motoyuki Hattori | JP | Kanagawa | 2014-12-04 / 20140358406 - VEHICLE ENGINE AUTOMATIC CONTROL DEVICE | 3 |
Reiji Hattori | JP | Fukuoka | 2009-05-28 / 20090135165 - CURRENT DRIVER DEVICE | 1 |
Yurie Hattori | JP | Kyoto-Shi | 2010-11-11 / 20100285873 - NETWORK SYSTEM, INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING PROGRAM | 1 |
Gaku Hattori | JP | Kawasaki-Shi | 2016-03-17 / 20160074304 - GELLANT | 2 |
Hiroyuki Hattori | JP | Maebashi-Shi | 2014-08-07 / 20140217713 - STEERING APPARATUS | 1 |
Yohichi Hattori | JP | Kanagawa-Ken | 2009-02-05 / 20090037480 - OPTIMIZATION OF TRACE OUTPUT TIMING BASED ON DISK OPERATING CONDITIONS AND TRANSACTION CHARACTERISTIC | 1 |
Kota Hattori | JP | Chuo-Ku | 2008-09-11 / 20080220616 - PROCESS FOR MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Toshiki Hattori | JP | Aichi | 2008-11-20 / 20080283154 - HOT DIP GALVANIZED HIGH STRENGTH STEEL SHEET EXCELLENT IN PLATING ADHESION AND HOLE EXPANDABILITY AND METHOD OF PRODUCTION OF SAME | 1 |
Tomoyuki Hattori | JP | Yokohama-Shi | 2015-08-13 / 20150226916 - MULTI MODE OPTICAL FIBER | 11 |
Akiyoshi Hattori | JP | Nagoya-City | 2010-01-07 / 20100000779 - BONDED STRUCTURE AND METHOD OF PRODUCING THE SAME | 1 |
Yasushi Hattori | JP | Minami-Ashigara-Shi | 2014-10-23 / 20140314658 - METHOD OF MANUFACTURING MAGNETIC PARTICLES | 9 |
Akiyoshi Hattori | JP | Handa-Shi | 2009-10-01 / 20090242101 - BONDING AGENT, ALUMINUM NITRIDE COMPOSITE BODY, AND MANUFACTURING METHOD OF THE SAME | 1 |
Reiko Hattori | JP | Kawasaki | 2012-09-20 / 20120236821 - RADIO BASE STATION APPARATUS, AND DATA FORWARDING METHOD IN RADIO BASE STATION APPARATUS | 4 |
Yoshikazu Hattori | JP | Kawasaki | 2010-08-26 / 20100215070 - MULTIWAVELENGTH OPTICAL DEVICE AND MANUFACTURING METHOD OF MULTIWAVELENGTH OPTICAL DEVICE | 1 |
Kenji Hattori | JP | Kawasaki | 2010-06-24 / 20100162223 - Control device, disk array device, and control method | 1 |
Hideharu Hattori | JP | Kawasaki | 2010-04-01 / 20100079669 - IMAGE DISPLAY APPARATUS AND IMAGE DISPLAY METHOD | 5 |
Ryou Hattori | JP | Kawasaki | 2009-10-29 / 20090270135 - Handheld device | 2 |
Hitoshi Hattori | JP | Yokohama-Shi | 2014-06-05 / 20140153689 - COOLER, X-RAY COMPUTED TOMOGRAPHY APPARATUS, AND MAINTENANCE METHOD OF X-RAY COMPUTED TOMOGRAPHY APPARATUS | 8 |
Shouji Hattori | JP | Kawasaki | 2009-09-24 / 20090235734 - FIBROUS PARTICLE GENERATING APPARATUS AND TEST SYSTEM | 1 |
Naoya Hattori | JP | Tokyo | 2015-01-22 / 20150026678 - CONTROL METHOD FOR COMPUTER, AND COMPUTER | 2 |
Masahiko Hattori | JP | Kawasaki | 2009-07-16 / 20090180245 - Electronic apparatus and guiding member | 3 |
Hideaki Hattori | JP | Kawasaki-Shi | 2015-07-16 / 20150201202 - METHOD OF GENERATING MEDIA FILE AND STORAGE MEDIUM STORING MEDIA FILE GENERATION PROGRAM | 12 |
Masao Hattori | JP | Toyama | 2014-06-26 / 20140179753 - COMPOUNDS FROM ANTRODIA CINNAMOMEA AND USE THEREOF | 8 |
Masato Hattori | JP | Minami-Ashigara-Shi | 2011-02-24 / 20110042574 - Radiation detecting apparatus, radiographic image capturing system, and radiographic image capturing method | 9 |
Kazuhiro Hattori | JP | Tokyo | 2015-09-17 / 20150257396 - LEFT/RIGHT DETERMINATION SYSTEM FOR ARM PART OF PIG CARCASS | 16 |
Ryoheita Hattori | US | San Jose | 2009-06-11 / 20090150637 - Method and system for dynamically allocating read and write sequence randomizer | 1 |
Sachiko Hattori | US | Sunnyvale | 2014-08-07 / 20140221740 - WIRELESS ENDOSCOPIC SURGICAL DEVICE | 1 |
Tomoki Hattori | US | Suwanee | 2015-09-03 / 20150248263 - TOOLS FOR FACILITATING PRINTER INSTALLATION | 9 |
Yasuhiro Hattori | US | Cordova | 2009-03-05 / 20090059200 - METHODS AND APPARATUS FOR MANUFACTURING DISPLAY MEDIUM, AND DISPLAY MEDIUM | 2 |
Yoshiyuki Hattori | JP | Yamanashi | 2013-05-23 / 20130129468 - METHOD OF MOUNTING ELECTRONIC PARTS | 3 |
Yoshiyuki Hattori | JP | Aichi-Gun | 2015-02-12 / 20150041850 - SEMICONDUCTOR DEVICE HAVING SWITCHING ELEMENT AND FREE WHEEL DIODE AND METHOD FOR CONTROLLING THE SAME | 6 |
Masakazu Hattori | JP | Tokyo | 2015-09-17 / 20150261454 - DATABASE DEVICE, COMPUTER PROGRAM PRODUCT AND DATA PROCESSING METHOD | 5 |
Masakazu Hattori | JP | Gifu | 2010-09-23 / 20100236652 - VALVE UNIT | 1 |
Masakazu Hattori | JP | Kanagawa | 2014-03-20 / 20140082020 - DEVICE AND METHOD FOR MANAGING STRUCTURED DOCUMENT, AND COMPUTER PROGRAM PRODUCT | 11 |
Masakazu Hattori | JP | Aichi | 2016-05-12 / 20160130492 - HEAT-STORAGE COMPOSITION | 2 |
Kenichi Hattori | JP | Tokushima-Ken | 2012-03-22 / 20120071511 - FENTANYL-CONTAINING ADHESIVE PREPARATION FOR EXTERNAL USE | 2 |
Kenichi Hattori | JP | Higashikagawa-Shi | 2012-11-08 / 20120283671 - ANTI-INFLAMMATORY ANALGESIC ADHESIVE PATCH FOR EXTERNAL USE | 1 |
Kenichi Hattori | JP | Hitachiota-Shi | 2013-11-14 / 20130300226 - ROTATING ELECTRIC MACHINE | 1 |
Kenichi Hattori | JP | Himeji-Shi | 2010-07-22 / 20100185317 - VARIABLE MEDIUM PROCESSING APPARATUS AND VARIABLE MEDIUM PROCESSING METHOD | 2 |
Kenichi Hattori | JP | Yokohama | 2016-05-12 / 20160131185 - Hydro Dynamic Bearing Device | 3 |
Kenichi Hattori | JP | Kagawa | 2015-01-15 / 20150017226 - TOLTERODINE-CONTAINING ADHESIVE PATCH | 1 |
Tomoki Hattori | US | Duluth | 2011-10-27 / 20110261391 - Printer Data Collection Cable | 9 |
Yoshiteru Hattori | JP | Ichinomiya-Shi | 2014-03-27 / 20140086626 - Image Forming Apparatus | 9 |
Takahiko Hattori | JP | Kanagawa | 2015-02-19 / 20150050052 - CHARGING ROLL, CHARGING UNIT, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS, AND METHOD OF MANUFACTURING CHARGING ROLL | 2 |
Michitaka Hattori | JP | Nagoya-Shi | 2012-09-27 / 20120241125 - INJECTION MOLDING DEVICE AND METHOD FOR DISCHARGING HEAT MEDIUM FOR INJECTION MOLDING DEVICE | 5 |
Tatsuya Hattori | JP | Nagoya-Shi | 2011-03-03 / 20110053002 - CERAMIC MATERIAL AND PREPARATION METHOD THEREFOR | 1 |
Shuji Hattori | JP | Nagoya-Shi | 2016-01-28 / 20160028285 - ROTATING ELECTRICAL MACHINE | 3 |
Atsushi Hattori | JP | Nagoya-Shi | 2011-08-04 / 20110189063 - IMMUNOCHROMATOGRAPHIC TEST PIECE, IMMUNOCHROMATOGRAPHIC TEST PIECE SET, IMMUNOCHROMATOGRAPHIC SYSTEM, AND IMMUNOCHROMATOGRAPHIC DEVICE | 2 |
Tsunetoshi Hattori | JP | Nagoya-Shi | 2009-12-10 / 20090302632 - VEHICLE SEAT | 3 |
Mitsuharu Hattori | JP | Nagoya-Shi | 2012-06-14 / 20120147408 - IMAGE FORMING APPARATUS | 3 |
Akiyoshi Hattori | JP | Nagoya-Shi | 2008-10-30 / 20080266745 - ELECTROSTATIC CHUCK WITH HEATER | 1 |
Yasuhiro Hattori | JP | Nagoya-Shi | 2008-09-11 / 20080220228 - ELECTROPHORETIC DISPLAY MEDIUM AND METHOD OF FORMING PARTITION MEMBERS AND SUBSTRATES THEREIN | 1 |
Makoto Hattori | JP | Aichi-Ken | 2010-09-16 / 20100232991 - ELECTRIC COMPRESSOR | 10 |
Hiroyuki Hattori | JP | Okazaki-Shi | 2016-04-28 / 20160118869 - METHOD FOR INSULATING CONNECTION ENDS OF STATOR WINDING | 13 |
Yuji Hattori | JP | Gotenba-Shi | 2015-05-28 / 20150144207 - HYDRAULIC CONTROL UNIT | 10 |
Yasuki Hattori | JP | Gunma-Ken | 2016-03-10 / 20160069408 - Friction Material | 2 |
Yoshiyuki Hattori | JP | Nagakute-Shi | 2016-05-19 / 20160141086 - ELECTROMAGNETIC COIL DEVICE | 2 |
Masaaki Hattori | JP | Chiba | 2010-09-02 / 20100220954 - SIGNAL PROCESSING APPARATUS | 10 |
Taiji Hattori | JP | Gifu | 2016-03-03 / 20160059298 - APPARATUS AND METHOD FOR MANUFACTURING A KNUCKLE BRACKET | 2 |
Asaki Hattori | JP | Tokyo | 2015-02-19 / 20150049167 - PHOTOGRAPHIC DEVICE AND PHOTOGRAPHIC SYSTEM | 2 |
Ryu Hattori | JP | Yokohama-Shi | 2015-03-19 / 20150076304 - CLIP AND CLIP DEVICE | 2 |
Shigeki Hattori | JP | Kanagawa-Ken | 2015-02-12 / 20150044835 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 5 |
Masato Hattori | JP | Kanagawa-Ken | 2011-11-17 / 20110280374 - Radiation detecting apparatus, radiographic image capturing system, and radiographic image capturing method | 1 |
Masanori Hattori | JP | Kanagawa-Ken | 2010-03-18 / 20100070235 - INFORMATION PROCESSING APPARATUS AND METHOD | 1 |
Kei Hattori | JP | Kanagawa-Ken | 2011-09-15 / 20110223769 - METHOD OF FABRICATING A SEMICONDUCTOR DEVICE | 1 |
Isao Hattori | JP | Gifu-City | 2014-12-25 / 20140373796 - VALVE TIMING CONTROL APPARATUS | 5 |
Isao Hattori | JP | Fukui | 2015-02-12 / 20150046737 - INERTIAL FORCE SENSOR AND ELECTRONIC DEVICE USING SAME | 3 |
Kuninori Hattori | JP | Kanagawa-Ken | 2012-06-07 / 20120141067 - OPTICAL 90-DEGREE HYBRID CIRCUIT | 5 |
Kyouhei Hattori | JP | Tokyo | 2014-05-08 / 20140127119 - CARBON DIOXIDE ABSORBER AND CARBON DIOXIDE SEPARATION/RECOVERY METHOD USING THE ABSORBER | 1 |
Hitoshi Hattori | JP | Kanagawa-Ken | 2011-03-10 / 20110058654 - ROTARY ANODE X-RAY TUBE | 1 |
Hitoshi Hattori | JP | Yokohama-Shi | 2014-06-05 / 20140153689 - COOLER, X-RAY COMPUTED TOMOGRAPHY APPARATUS, AND MAINTENANCE METHOD OF X-RAY COMPUTED TOMOGRAPHY APPARATUS | 8 |
Hitoshi Hattori | JP | Kanagawa | 2008-11-27 / 20080292347 - FIXING APPARATUS AND AN IMAGE FORMATION APPARATUS | 1 |
Takanori Hattori | JP | Aichi-Ken | 2013-01-17 / 20130015684 - ROOF APPARATUS FOR VEHICLEAANM HATTORI; TakanoriAACI Aichi-kenAACO JPAAGP HATTORI; Takanori Aichi-ken JPAANM Hirata; TetsuyaAACI Toyota-shiAACO JPAAGP Hirata; Tetsuya Toyota-shi JP | 1 |
Norikatsu Hattori | JP | Chiba | 2015-10-22 / 20150299573 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE | 46 |
Haruhiro Hattori | JP | Nagoya-Shi | 2013-05-02 / 20130109515 - CHAIN-TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Sadao Hattori | JP | Tokyo | 2015-02-19 / 20150049850 - COMPACT NUCLEAR POWER GENERATION SYSTEM | 1 |
Ryo Hattori | JP | Kawasaki | 2011-09-22 / 20110228554 - ILLUMINATION UNIT, DEVICE, AND MANUFACTURING METHOD | 10 |
Taiichiro Hattori | JP | Nishinoomote-Shi | 2015-02-19 / 20150052631 - STALK-LENGTH-RELATED MARKER DERIVED FROM GENOME OF WILD-TYPE SUGARCANE AND USE THEREOF | 1 |
Atsuo Hattori | JP | Iwata-Shi | 2012-09-27 / 20120240752 - VIBRATION SENSOR FOR MUSICAL INSTRUMENT AND PICKUP SADDLE | 6 |
Katsutaka Hattori | JP | Wako-Shi | 2013-05-16 / 20130118822 - RADIATOR STRUCTURE FOR SADDLE-RIDE TYPE VEHICLE | 1 |
Naoshi Hattori | JP | Iwata-Shi | 2010-01-21 / 20100014796 - Wheel support bearing assembly | 3 |
Tadaaki Hattori | JP | Hadano-Shi | 2010-06-24 / 20100158578 - DEVELOPMENT ROLLER, DEVELOPMENT DEVICE, PROCESSING CARTRIDGE AND IMAGE FORMING DEVICE | 9 |
Yoshikazu Hattori | JP | Aichi-Ken | 2009-01-15 / 20090018725 - VEHICLE DYNAMICS CONTROL SYSTEM AND METHOD OF CONTROLLING VEHICLE DYNAMICS | 1 |
Masaharu Hattori | JP | Aichi-Ken | 2009-07-23 / 20090185256 - ELECTROCHROMIC MIRROR | 4 |
Norifumi Hattori | JP | Aichi-Ken | 2009-10-01 / 20090244432 - Backlight unit | 1 |
Atsuhiro Hattori | JP | Aichi-Ken | 2009-12-10 / 20090304982 - CARPET AND METHOD OF MANUFACTURE THEREFOR | 1 |
Koji Hattori | JP | Aichi-Ken | 2010-02-04 / 20100030435 - VEHICLE SEAT CONTROL SYSTEM AND METHOD | 2 |
Masayoshi Hattori | JP | Aichi-Ken | 2010-05-27 / 20100131180 - CONTROL UNIT FOR VARIABLE VALVE TIMING MECHANISM | 4 |
Takeshi Hattori | JP | Kiyosu-Shi | 2013-09-12 / 20130236759 - BATTERY HOLDER | 1 |
Tadashi Hattori | JP | Osaka | 2010-12-23 / 20100320304 - REEL DEVICE FOR WINDING ELONGATED BODY | 1 |
Nobuyuki Hattori | JP | Osaka | 2015-09-03 / 20150248376 - MEASUREMENT APPARATUS AND DATA PROCESSING METHOD | 8 |
Daisuke Hattori | JP | Osaka | 2011-10-06 / 20110244225 - TRANSPARENT SUBSTRATE AND METHOD FOR PRODUCTION THEREOF | 4 |
Fumiyuki Hattori | JP | Osaka | 2015-12-24 / 20150366918 - METHOD OF CONSTRUCTING MASSES OF MYOCARDIAL CELLS AND USE OF THE MYOCARDIAL CELL MASS | 2 |
Akio Hattori | JP | Osaka | 2009-08-27 / 20090216411 - Power Take Off Control System | 1 |
Takehiro Hattori | JP | Osaka | 2011-09-01 / 20110213798 - LANDMARK SEARCH APPARATUS, ELECTRONIC APPARATUS AND IMAGING APPARATUS HAVING THE SAME, AND LANDMARK SEARCH METHOD | 2 |
Sizunao Hattori | JP | Osaka | 2010-10-14 / 20100258556 - ELECTROMAGNETIC INDUCTION HEATING DEVICE | 1 |
Wataru Hattori | JP | Tokyo | 2016-05-05 / 20160125211 - ARTICLE MANAGEMENT SYSTEM, ARTICLE MANAGEMENT METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING ARTICLE MANAGEMENT PROGRAM | 17 |
Yoshiaki Hattori | JP | Osaka | 2010-08-19 / 20100206513 - METHOD OF PRODUCING COPPER ALLOY WIRE | 1 |
Shinji Hattori | JP | Osaka | 2014-12-18 / 20140368618 - IMAGING DEVICE AND ELECTRONIC INFORMATION INSTRUMENT | 3 |
Satoshi Hattori | JP | Osaka | 2009-07-23 / 20090185939 - Trochoid Pump | 1 |
Mineharu Hattori | JP | Osaka | 2009-02-12 / 20090041031 - CONVERTER AND COMMUNICATION CONTROL METHOD | 1 |
Shingo Hattori | JP | Nagoya-Shi | 2014-10-02 / 20140292887 - LIQUID EJECTION APPARATUS | 33 |
Masanari Hattori | JP | Mie-Ken | 2015-03-12 / 20150069495 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Atsuo Hattori | JP | Hamamatsu-Shi | 2011-01-13 / 20110006380 - UNIAXIAL ACCELERATION SENSOR | 1 |
Yohichi Hattori | JP | Yokohama-Shi | 2015-03-12 / 20150073789 - CONVERTING DATA BETWEEN USERS | 1 |
Hiroshi Hattori | JP | Fuwa-Gun | 2013-01-10 / 20130009985 - IMAGE PROCESSING METHOD FOR FAST FILL-IN OF A FIGURE AND COMPUTER READABLE MEDIUM THEREFOR | 9 |
Akiko Hattori | JP | Minami-Ashigara-Shi | 2015-12-10 / 20150357599 - BARRIER LAMINATE AND GAS BARRIER FILM | 3 |
Akiko Hattori | JP | Minamiashigara-Shi | 2014-03-13 / 20140069889 - METHOD FOR PRODUCING MOLDS | 1 |
Akiko Hattori | JP | Haibara-Gun | 2015-08-13 / 20150228498 - METHOD FOR MANUFACTURING ADHESIVE FILM FOR IMPRINTS AND METHOD FOR FORMING PATTERNS | 6 |
Takamune Hattori | JP | Hachioji-Shi | 2015-03-19 / 20150076469 - ORGANIC ELECTROLUMINESCENT ELEMENT | 1 |
Kazunori Hattori | JP | Aichi-Ken | 2013-11-28 / 20130312382 - LAWN MOWER | 1 |
Takeo Hattori | JP | Yokohama-Shi | 2009-03-05 / 20090057739 - Ge channel device and method for fabricating ge channel device | 1 |
Yasuhiro Hattori | JP | Yokohama-Shi | 2008-12-18 / 20080309957 - Image forming apparatus and image forming method | 1 |
Ryuichi Hattori | JP | Yokohama-Shi | 2009-02-05 / 20090037578 - DATA PROCESSING APPARATUS AND NETWORK SYSTEM THAT OUTPUTS QUALITY OF SERVICE INFORMATION TO A USER | 1 |
Toshiaki Hattori | JP | Yokohama-Shi | 2016-02-11 / 20160041312 - OPTICAL FILM AND SURFACE LIGHT EMITTING BODY | 5 |
Mitsuaki Hattori | JP | Yokohama-Shi | 2013-08-29 / 20130222649 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 7 |
Tetsuya Hattori | JP | Yokohama-Shi | 2009-12-24 / 20090317929 - METHOD OF PRODUCING SEMICONDUCTOR OPTICAL DEVICE | 2 |
Yukako Hattori | JP | Yokkaichi-Shi | 2008-11-20 / 20080285324 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Shigeki Hattori | JP | Kawasaki | 2016-03-24 / 20160087202 - ORGANIC MOLECULAR MEMORY | 5 |
Katsuki Hattori | JP | Kiryu-City | 2010-10-14 / 20100260034 - TEST DISC AND DRIVE VERIFICATION METHOD | 1 |
Kunio Hattori | JP | Toyota-Shi | 2013-03-28 / 20130080004 - VEHICULAR SHIFT CONTROL APPARATUS | 7 |
Hirotaka Hattori | JP | Soja-Shi | 2008-11-20 / 20080286477 - Ball stud | 1 |
Yuki Hattori | JP | Aichi-Ken | 2015-04-02 / 20150091358 - CONVEYANCE SEAT | 1 |
Kaoru Hattori | JP | Saitama | 2012-06-21 / 20120154100 - COIL AND METHOD FOR FORMING A COIL | 3 |
Yasuaki Hattori | JP | Kariya-Shi | 2011-05-19 / 20110114433 - TORQUE CONVERTER APPARATUS | 1 |
Yasushi Hattori | JP | Kawasaki | 2016-03-24 / 20160083649 - PHOSPHOR, LIGHT-EMITTING DEVICE, AND METHOD FOR PRODUCING PHOSPHOR | 6 |
Yuji Hattori | JP | Hiroshima-Shi | 2015-04-23 / 20150108820 - SEAT | 1 |
Kiyoyuki Hattori | JP | Tokyo | 2015-04-23 / 20150113647 - APPARATUS FOR SWITCHING BETWEEN MULTIPLE SERVERS IN A WEB-BASED SYSTEM | 1 |
Yumi Hattori | JP | Yuki-Shi | 2010-10-21 / 20100267797 - Use of Tetramic Acid Derivatives for Controlling Pests by Watering or Droplet Application | 1 |
Koji Hattori | JP | Tokyo | 2009-04-02 / 20090087657 - GLASS FIBER FOR REINFORCING RUBBER PRODUCTS AND METHOD FOR PRODUCING IT | 1 |
Tetsuhiro Hattori | JP | Makinohara-Shi | 2009-11-12 / 20090281648 - SYSTEM FOR SYNCHRONIZING PART DESIGN AND DIE DESIGN, SERVER, PART DESIGN TERMINAL APPARATUS AND DIE DESIGN TERMINAL APPARATUS USED THEREFOR | 1 |
Keigo Hattori | JP | Yokohama-Shi | 2014-02-27 / 20140059070 - NON-TRANSITORY COMPUTER READABLE MEDIUM, INFORMATION SEARCH APPARATUS, AND INFORMATION SEARCH METHOD | 1 |
Kenji Hattori | JP | Odawara | 2015-10-01 / 20150278052 - STORAGE SYSTEM, STORAGE DEVICE, AND MONITORING SERVER | 4 |
Yoshihide Hattori | JP | Sakai-Shi | 2011-05-26 / 20110124914 - OPTICALLY ACTIVE ALPHA-AMINO ACID INTO WHICH BSH IS INTRODUCED AND METHOD FOR SYNTHESIZING THE SAME | 1 |
Haruhiro Hattori | JP | Nisshin-Shi | 2014-09-25 / 20140287854 - CONTINUOUSLY VARIABLE TRANSMISSION | 2 |
Yoshiteru Hattori | JP | Bisai-Shi | 2011-06-02 / 20110129242 - Image-forming device | 1 |
Tadaaki Hattori | JP | Hatano City | 2011-06-02 / 20110130074 - MAGNETIC PARTICLE CARRYING DEVICE, AND DEVELOPING UNIT, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS USING THE SAME, AND SURFACE TREATMENT METHOD OF THE SAME | 1 |
Yotaro Hattori | JP | Minato-Ku | 2013-09-12 / 20130237629 - CURABLE RESIN COMPOSITION | 7 |
Yotaro Hattori | JP | Kawasaki-Shi | 2010-09-16 / 20100233596 - THIOURETHANE COMPOUND AND PHOTOSENSITIVE RESIN COMPOSITION | 2 |
Yotaro Hattori | JP | Kanagawa | 2009-02-26 / 20090054543 - (Meth) Acryloyl Group-Containing Aromatic Isocyanate Compound and Production Process Thereof | 2 |
Makoto Hattori | JP | Wako-Shi | 2014-09-25 / 20140284187 - HANDLEBAR SWITCH | 2 |
Makoto Hattori | JP | Gamagori-Shi | 2014-03-20 / 20140080978 - DISPERSING AGENT FOR INORGANIC FILLERS AND METHOD OF PRODUCING SAME | 1 |
Toshiki Hattori | JP | Tokai-Shi | 2011-06-16 / 20110139317 - Hot dip galvanized high strength steel sheet excellent in plating adhesion and hole expandability and method of production of same | 1 |
Hitoshi Hattori | JP | Tokyo | 2013-07-11 / 20130175755 - SHEET CONVEYING SYSTEM, COMPUTER PROGRAM PRODUCT, AND SHEET CONVEYING METHOD | 33 |
Yoshikatsu Hattori | JP | Kyoto | 2009-05-07 / 20090115768 - IMAGE PROVIDING APPARATUS, IMAGE DISPLAY APPARATUS, AND IMAGE DISPLAY SYSTEM CONSTITUTED BY THE SAME | 3 |
Hisao Hattori | JP | Yokkaichi-Shi | 2011-06-16 / 20110140518 - VEHICLE POWER SUPPLY APPARATUS | 1 |
Yuji Hattori | JP | Shizuoka | 2011-05-19 / 20110118066 - SHEAVE POSITIONING DEVICE | 3 |
Yuji Hattori | JP | Shizuoka-Ken | 2010-09-16 / 20100230225 - FLUID COUPLING AND COUPLING METHOD THEREOF | 1 |
Yuji Hattori | JP | Toyota-Shi | 2016-03-03 / 20160061320 - HYDRAULIC CONTROL SYSTEM FOR A BELT-DRIVEN CONTINUOUSLY VARIABLE TRANSMISSION | 3 |
Yuji Hattori | JP | Gotenba-Shi | 2015-05-28 / 20150144207 - HYDRAULIC CONTROL UNIT | 10 |
Takamitsu Hattori | JP | Ibi-Gun | 2014-05-01 / 20140116769 - PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yuji Hattori | JP | Susono-Shi | 2009-02-26 / 20090054189 - ENDLESS BELT FOR POWER TRANSMISSION | 1 |
Yuji Hattori | JP | Hiroshima | 2011-06-23 / 20110148175 - ELASTIC MEMBER MADE OF EXPANDED RESIN BEADS, LAMINATED ELASTIC STRUCTURAL BODY, AND SEAT STRUCTURE | 1 |
Kyota Hattori | JP | Musashino-Shi | 2015-05-14 / 20150131991 - OPTICAL NETWORK SYSTEM, OPTICAL SWITCH NODE, MASTER NODE, AND NODE | 1 |
Daisuke Hattori | JP | Ibaraki-Shi | 2016-04-28 / 20160120029 - FLEXIBLE SUBSTRATE | 13 |
Akira Hattori | JP | Kyoto | 2013-02-21 / 20130045977 - TGF-beta SIGNAL TRANSDUCTION INHIBITOR | 1 |
Takayuki Hattori | JP | Kasai-Shi | 2016-04-28 / 20160118632 - SEALING PLATE FOR PRISMATIC SECONDARY BATTERY AND PRISMATIC SECONDARY BATTERY USING THE SEALING PLATE | 10 |
Akira Hattori | JP | Yokkaichi-City | 2012-08-30 / 20120216400 - CONNECTOR AND METHOD FOR PRODUCING THE SAME | 2 |
Akira Hattori | JP | Yokohama | 2014-11-20 / 20140341395 - AUDIO PROCESSING APPARATUS, REPRODUCTION APPARATUS, AUDIO PROCESSING METHOD AND PROGRAM | 2 |
Akira Hattori | JP | Abiko-City | 2015-04-23 / 20150112629 - VALIDATION METHOD FOR AUTOMATED ANALYZERS | 4 |
Akira Hattori | JP | Saitama | 2010-04-15 / 20100092002 - SOUND FIELD REPRODUCING DEVICE AND SOUND FIELD REPRODUCING METHOD | 1 |
Akira Hattori | JP | Nagasaki-Shi | 2010-04-01 / 20100080737 - EXHAUST GAS TREATMENT CATALYST AND EXHAUST GAS TREATMENT SYSTEM | 1 |
Takefumi Hattori | JP | Kyoto | 2013-02-14 / 20130040348 - GENE ENCODING LIGNAN METHYLTRANSFERASE AND USE THEREOF | 1 |
Makoto Hattori | JP | Nagoya | 2013-01-31 / 20130026705 - Forming Apparatus | 1 |
Kenji Hattori | JP | Yokohama-Shi | 2015-05-21 / 20150137527 - ACTUATOR | 1 |
Masashi Hattori | JP | Odawara-Shi | 2015-10-01 / 20150279392 - HIGHER STABILITY READ HEAD UTILIZING A PARTIAL MILLING PROCESS | 2 |
Toshihiro Hattori | JP | Okazaki-City | 2013-10-10 / 20130265431 - OUTSIDE VIEW MONITOR SYSTEM FOR VEHICLE | 24 |
Makoto Hattori | JP | Aisai-Shi | 2014-10-23 / 20140311468 - BLOW-BY GAS REFLUXING DEVICE | 2 |
Makoto Hattori | JP | Nagoya-Shi | 2010-12-30 / 20100329763 - IMAGE FORMING APPARATUS | 5 |
Makoto Hattori | JP | Anjo-Shi | 2010-12-23 / 20100323182 - INTERIOR MATERIAL FOR VEHICLE | 1 |
Makoto Hattori | JP | Kiyosu-Shi | 2015-12-24 / 20150372559 - INVERTER CIRCUIT BOARD AND INVERTER-CONTAINING ELECTRIC COMPRESSOR USING SAME | 5 |
Makoto Hattori | JP | Saitama | 2014-04-03 / 20140093087 - VEHICULAR AUDIO PROCESSING UNIT AND COMMUNICATION SYSTEM INCLUDING SAME | 4 |
Makoto Hattori | JP | Kiyosu | 2010-02-04 / 20100024457 - INVERTER SYSTEM FOR ON-VEHICLE AIR CONDITIONER | 3 |
Makoto Hattori | JP | Kyoto | 2009-07-23 / 20090184856 - DIGITAL-TO-ANALOG CONVERTER | 2 |
Makoto Hattori | JP | Gamagori | 2008-12-11 / 20080302079 - STRAIGHT-TYPE FINISH FOR SYNTHETIC FIBERS, PROCESSING METHOD FOR FALSE TWISTED TEXTURED YARNS USING SAME, AND FALSE TWISTED TEXTURED YARNS | 1 |
Makoto Hattori | JP | Wako-City | 2011-08-11 / 20110192211 - APPARATUS WITH ABNORMALITY DETERMINATION FUNCTION AND METHOD FOR DETERMINING ABNORMALITY | 2 |
Hiroshi Hattori | US | Bloomfield Hills | 2012-09-13 / 20120232740 - DRIVER RECORDING APPARATUS | 2 |
Takayuki Hattori | JP | Kobe-Shi | 2015-11-05 / 20150315125 - SYNTHESIS SYSTEM, RUBBER CHEMICAL SUBSTANCE FOR TIRES, SYNTHETIC RUBBER FOR TIRES, AND PNEUMATIC TIRE | 22 |
Makiko Hattori | JP | Tokyo | 2015-06-25 / 20150174312 - SEPARATION MEMBRANE FOR BLOOD TREATMENT AND BLOOD TREATMENT DEVICE HAVING SAME MEMBRANE INCORPORATED THEREIN | 2 |
Yuko Hattori | JP | Tokyo | 2010-05-27 / 20100128138 - IMAGING DEVICE, IMAGE DISPLAY DEVICE, AND PROGRAM | 2 |
Mitsuo Hattori | JP | Tokyo | 2010-02-25 / 20100046256 - Rush Current Reduction Circuit and Electric Appliance | 1 |
Sadanori Hattori | JP | Tokyo | 2016-05-05 / 20160126549 - POSITIVE ELECTRODE MATERIAL FOR LITHIUM ION SECONDARY BATTERIES, AND METHOD FOR PRODUCING SAME | 3 |
Shozo Hattori | JP | Tokyo | 2012-09-20 / 20120236077 - LIQUID EJECTION HEAD | 3 |
Seitarou Hattori | JP | Tokyo | 2011-03-03 / 20110053340 - METHOD OF FORMING A TRENCH ISOLATION | 1 |
Mitsuhiro Hattori | JP | Tokyo | 2015-12-24 / 20150371062 - SERVER DEVICE, CONCEALED SEARCH PROGRAM, RECORDING MEDIUM, AND CONCEALED SEARCH SYSTEM | 14 |
Takahisa Hattori | JP | Tokyo | 2013-05-23 / 20130132471 - Application Development Support Device, Program and Storage Medium | 4 |
Nobuhiko Hattori | JP | Tokyo | 2012-04-12 / 20120087663 - Subscriber Premises-Side Optical Network Unit | 3 |
Kaoru Hattori | JP | Tokyo | 2010-12-23 / 20100319802 - LINKED COIL FORMATION DEVICE AND METHOD OF FORMING LINKED COILS | 1 |
Masami Hattori | JP | Tokyo | 2010-12-02 / 20100305861 - SYSTEMS AND METHODS TO PROCESS OILFIELD DATA | 1 |
Fumiyuki Hattori | JP | Tokyo | 2010-11-25 / 20100297767 - NOVEL CELL CULTURE AND METHODS OF PRODUCING AND COLLECTING CELL MASSES USING THE SAME | 1 |
Masato Hattori | JP | Tokyo | 2015-03-05 / 20150065324 - SHEET PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 7 |
Kiyoshi Hattori | JP | Tokyo | 2010-09-09 / 20100224789 - CHARGED PARTICLE BEAM WRITING APPARATUS AND OPTICAL AXIS DEVIATION CORRECTING METHOD FOR CHARGED PARTICLE BEAM | 2 |
Eiji Hattori | JP | Tokyo | 2011-12-08 / 20110298387 - LIGHT EMITTING DEVICE, LIGHTING SYSTEM, BACKLIGHT UNIT FOR DISPLAY DEVICE AND DISPLAY DEVICE | 4 |
Sayaka Hattori | JP | Tokyo | 2010-08-19 / 20100208379 - Thin-Film Magnetic Head Having Microwave Magnetic Exciting Function and Magnetic Recording and Reproducing Apparatus | 1 |
Kiyohiko Hattori | JP | Tokyo | 2010-08-19 / 20100208782 - COMMUNICATION DEVICE AND TWO-DIMENSIONAL COMMUNICATION SYSTEM USING THE SAME | 1 |
Kiyosumi Hattori | JP | Tokyo | 2012-09-27 / 20120244269 - FOOD MADE OF BEAN PEEL AS RAW MATERIAL AND METHOD FOR PRODUCING FOOD MATERIAL THEREOF | 2 |
Iwakazu Hattori | JP | Tokyo | 2016-05-05 / 20160122501 - RUBBER COMPOSITION COMPRISING EMULSION-POLYMERIZED CONJUGATED DIENE POLYMER AND SILICA SUSPENSION, AND METHOD FOR PRODUCING SAME | 3 |
Toshiyuki Hattori | JP | Tokyo | 2013-04-25 / 20130100273 - MICROSCOPE APPARATUS | 9 |
Tomohiro Hattori | JP | Tokyo | 2010-01-28 / 20100020174 - DISPLAY SYSTEM | 1 |
Taiji Hattori | JP | Tokyo | 2009-11-05 / 20090272170 - Closing Method and Closing Machine | 1 |
Toshihiro Hattori | JP | Tokyo | 2009-07-30 / 20090193228 - MULTIPROCESSOR SYSTEM AND METHOD OF SYNCHRONIZATION FOR MULTIPROCESSOR SYSTEM | 1 |
Satoshi Hattori | JP | Tokyo | 2014-10-02 / 20140291655 - RESIN COMPOSITION FOR SEALING ORGANIC ELECTROLUMINESCENT DEVICE; METHOD OF PRODUCING THE SAME; AND ADHESIVE FILM, GAS-BARRIER FILM, ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT PANEL USING THE RESIN COMPOSITION | 2 |
Takashi Hattori | JP | Tokyo | 2015-08-13 / 20150228812 - COMPOSITION FOR FORMING PASSIVATION LAYER, SEMICONDUCTOR SUBSTRATE HAVING PASSIVATION LAYER, METHOD OF PRODUCING SEMICONDUCTOR SUBSTRATE HAVING PASSIVATION LAYER, PHOTOLOLTAIC CELL ELEMENT, METHOD OF PRODUCING PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL | 7 |
Masayuki Hattori | JP | Tokyo | 2008-11-06 / 20080274620 - CHEMICAL MECHANICAL POLISHING AGENT KIT AND CHEMICAL MECHANICAL POLISHING METHOD USING THE SAME | 1 |
Hideshi Hattori | JP | Tokyo | 2013-12-05 / 20130323842 - ARTIFICIAL TISSUE CONSTRUCT AND METHOD FOR PRODUCING THE SAME | 4 |
Takamune Hattori | JP | Tokyo | 2016-02-25 / 20160056392 - ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHTING DEVICE AND DISPLAY DEVICE WHICH ARE PROVIDED WITH SAME | 5 |
Yusuke Hattori | JP | Tokyo | 2016-01-07 / 20160006891 - IMAGE READING APPARATUS, IMAGE READING METHOD, IMAGE PROCESSING SYSTEM, AND STORAGE MEDIUM | 12 |
Takuo Hattori | JP | Tokyo | 2014-07-24 / 20140204499 - ELECTROSTATIC PROTECTION COMPONENT | 4 |
Kazuyuki Hattori | JP | Tokyo | 2012-07-12 / 20120178735 - 2H-CHROMENE COMPOUND AND DERIVATIVE THEREOF | 5 |
Yasuyuki Hattori | JP | Tokyo | 2012-12-20 / 20120323693 - Electronic Advertisement System and Method for Display Control therefor | 6 |
Kenji Hattori | JP | Tokyo | 2016-03-17 / 20160079455 - STAINLESS STEEL SUBSTRATE FOR SOLAR CELL HAVING SUPERIOR INSULATING PROPERTIES AND LOW THERMAL EXPANSION COEFFICIENT AND METHOD OF PRODUCING THE SAME | 2 |
Koh Hattori | JP | Tokyo | 2009-11-12 / 20090277773 - Method of Manufacturing Particle Wire | 2 |
Tomohide Hattori | JP | Tokyo | 2009-11-12 / 20090280799 - RADIO-WAVE PROPAGATION CHARACTERISTIC PREDICTION ASSISTING SYSTEM AND RADIO-WAVE PROPAGATION CHARACTERISTIC PREDICTION ASSISTING METHOD | 1 |
Shinichi Hattori | JP | Tokyo | 2009-01-29 / 20090028457 - Noise Elimination Apparatus and Noise Elimination Method | 2 |
Keijiro Hattori | JP | Tokyo | 2009-01-22 / 20090022989 - POLYESTER DIFFERENT-CONTRACTION COMMINGLED YARN | 1 |
Masaki Hattori | JP | Tokyo | 2008-11-27 / 20080292243 - Optical Power Supply Type Sensing System | 1 |
Isao Hattori | JP | Kanagawa | 2014-04-17 / 20140106266 - MASK BLANK AND PHOTOMASK | 1 |
Yoshihide Hattori | JP | Osaka | 2013-08-29 / 20130225861 - Boron Compound With Amino Acid Skeleton Containing Cyclo Ring | 2 |
Kenjiro Hattori | JP | Kanagawa | 2010-08-19 / 20100209347 - CYCLODEXTRIN COMPOUND MODIFIED WITH FOLIC ACID, PROCESS FOR PRODUCTION THEREOF, DRUG DELIVERY AGENT FOR TARGETING DRUG DELIVERY SYSTEM, PHARMACEUTICAL COMPOSITION, AND IMAGING AGENT | 1 |
Yoshio Hattori | JP | Kanagawa | 2016-05-05 / 20160124359 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 16 |
Jiro Hattori | JP | Kanagawa | 2013-03-14 / 20130065203 - MULTILAYER 3D FLOATING IMAGES IN A SINGLE SUBSTRATE | 3 |
Yasuhiro Hattori | JP | Kanagawa | 2011-10-27 / 20110261399 - Image processing apparatus and information processing system | 3 |
Atsushi Hattori | JP | Kanagawa | 2011-02-03 / 20110028095 - RADIO COMMUNICATION TERMINAL AND DEVICE START METHOD | 1 |
Nobuyoshi Hattori | JP | Kanagawa | 2013-11-28 / 20130313770 - SHEET PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 3 |
Tomoyuki Hattori | JP | Kanagawa | 2012-05-03 / 20120106906 - STRANDED OPTICAL CABLE WITH CONNECTORS | 2 |
Masayuki Hattori | JP | Kanagawa | 2015-12-24 / 20150371591 - DISPLAY PANEL, PIXEL CHIP, AND ELECTRONIC APPARATUS | 5 |
Ryuji Hattori | JP | Kanagawa | 2013-10-24 / 20130279946 - IMAGE FORMING APPARATUS | 9 |
Masanori Hattori | JP | Kanagawa | 2010-07-15 / 20100179757 - POSITIONING DEVICE AND POSITION MEASUREMENT INTERVAL CONTROL METHOD | 2 |
Yasushi Hattori | JP | Kanagawa | 2015-10-15 / 20150291577 - CYCLOPROPANAMINE COMPOUND AND USE THEREOF | 18 |
Toshio Hattori | JP | Kanagawa | 2009-05-07 / 20090116899 - Connector For Fuel Tank | 1 |
Yutaka Hattori | JP | Kanagawa | 2015-08-20 / 20150236619 - VIBRATORY GENERATOR DEVICE AND POWER SOURCE MODULE | 4 |
Ryohheita Hattori | JP | Kanagawa | 2011-04-07 / 20110080672 - METHOD FOR WRITING SERVO DATA TO A DISK AND DISK DRIVE CONFIGURED TO WRITE SERVO DATA UTILIZING THE METHOD | 2 |
Kazunari Hattori | JP | Kanagawa | 2009-10-22 / 20090263088 - Fusion Splicer | 2 |
Kazuo Hattori | JP | Kanagawa | 2011-11-17 / 20110282062 - 1-(2H)-ISOQUINOLONE DERIVATIVE | 2 |
Hideaki Hattori | JP | Kanagawa | 2008-08-28 / 20080205788 - Image Processing Apparatus and Method, and Computer Program and Computer-Readable Storage Medium | 1 |
Hirohito Hattori | JP | Nissin-Shi | 2009-08-20 / 20090208094 - ROBOT APPARATUS AND METHOD OF CONTROLLING SAME | 1 |
Kazushige Hattori | JP | Kariya-City | 2015-12-03 / 20150350572 - NIGHT-VISION DEVICE | 2 |
Naoya Hattori | JP | Yokohama | 2014-02-27 / 20140059389 - COMPUTER AND MEMORY INSPECTION METHOD | 9 |
Nao Hattori | JP | Tokyo | 2015-05-21 / 20150136312 - WORKPIECE PROCESSING METHOD | 2 |
Mitsuhiro Hattori | US | 2015-12-24 / 20150371062 - SERVER DEVICE, CONCEALED SEARCH PROGRAM, RECORDING MEDIUM, AND CONCEALED SEARCH SYSTEM | 2 | |
Yasunori Hattori | JP | Aichi-Ken | 2011-08-25 / 20110204173 - WEBBING RETRACTOR | 1 |
Toshiaki Hattori | JP | Kanagawa | 2015-07-23 / 20150207104 - LAMINATE, METHOD FOR PRODUCING LAMINATE, ELECTRODE, EL ELEMENT, SURFACE LIGHT EMITTER, AND SOLAR CELL | 1 |
Shinobu Hattori | JP | Kanagawa | 2015-07-23 / 20150208102 - FILE GENERATION APPARATUS, FILE GENERATING METHOD, FILE REPRODUCTION APPARATUS, AND FILE REPRODUCING METHOD | 3 |
Akihiro Hattori | JP | Tokyo | 2015-10-22 / 20150299758 - MAGNETIC NANOPARTICLES | 8 |
Etsuko Hattori | JP | Toyota-Shi | 2013-10-31 / 20130291232 - DNA INVOLVED IN GENE EXPRESSION REGULATION IN PHOTOSYNTHETIC TISSUE | 4 |
Masanori Hattori | JP | Yokohama-Shi | 2011-10-06 / 20110246122 - MOTION DETERMINATION APPARATUS, METHOD AND COMPUTER READABLE MEDIUM | 2 |
Kanako Hattori | JP | Naruto-Shi | 2010-10-14 / 20100260856 - CORE PARTICLE FOR PHARMACEUTICAL PREPARATION | 1 |
Naoki Hattori | JP | Tokyo | 2015-09-10 / 20150250400 - BIO-INFORMATION ANALYSIS DEVICE | 2 |
Yukiko Hattori | JP | Tokyo | 2014-03-13 / 20140073709 - FLUORINATED COPOLYMER AND ION EXCHANGE MEMBRANE | 1 |
Yukio Hattori | JP | Tokyo | 2016-03-31 / 20160093788 - Cooling Structure of Heating Element and Power Conversion Device | 5 |
Kazuo Hattori | JP | Nagaokakyo-Shi | 2016-01-28 / 20160027584 - CAPACITOR COMPONENT | 27 |
Yasuko Hattori | JP | Tokyo | 2015-08-13 / 20150228325 - FBC MEMORY OR THYRISTOR MEMORY FOR REFRESHING UNUSED WORD LINE | 1 |
Shintaro Hattori | JP | Kanagawa | 2016-04-28 / 20160116863 - IMAGE FORMING APPARATUS | 1 |
Yousuke Hattori | JP | Ama-Gun | 2015-12-31 / 20150375743 - COLLISION MITIGATION APPARATUS AND COLLISION MITIGATION PROGRAM | 2 |
Yosuke Hattori | JP | Aichi-Ken | 2015-08-20 / 20150232089 - APPARATUS AND PROGRAM FOR SETTING ASSISTANCE REGION | 2 |
Kazuya Hattori | JP | Nagoya-Shi | 2011-10-06 / 20110245030 - SPEED CHANGE GEAR AND MANUFACTURING METHOD THEREFOR | 1 |
Takayuki Hattori | JP | Minamiawaji-Shi | 2015-05-14 / 20150132635 - PRISMATIC SEALED SECONDARY BATTERY | 11 |
Makoto Hattori | JP | Wako | 2012-04-05 / 20120081914 - WIRING STRUCTURE OF REAR COMBINATION LIGHT | 2 |
Naoya Hattori | JP | Yokohama | 2014-02-27 / 20140059389 - COMPUTER AND MEMORY INSPECTION METHOD | 9 |
Tomoki Hattori | US | Suwanee | 2015-09-03 / 20150248263 - TOOLS FOR FACILITATING PRINTER INSTALLATION | 9 |
Toshirou Hattori | JP | Tokyo | 2011-10-20 / 20110256008 - SCREW COMPRESSOR HAVING LUBRICATING OIL SYSTEM | 1 |
Hideshi Hattori | JP | Sapporo | 2013-09-12 / 20130237735 - ZEOLITE CATALYST FOR THE ALKYLATION OF TOLUENE WITH METHANOL | 2 |
Nobuyuki Hattori | JP | Osaka | 2015-09-03 / 20150248376 - MEASUREMENT APPARATUS AND DATA PROCESSING METHOD | 8 |
Hidetaka Hattori | JP | Aichi | 2011-10-27 / 20110259104 - APPARATUS AND METHOD FOR NONDESTRUCTIVE INSPECTION | 1 |
Yasuki Hattori | JP | Oura-Gun | 2011-10-27 / 20110259686 - DISC BRAKE PAD | 1 |
Makoto Hattori | JP | Tokyo | 2015-12-24 / 20150367507 - Remotely Operated Manipulator | 9 |
Tomohiro Hattori | JP | Osaka | 2011-10-27 / 20110262869 - METHOD OF ALIGNING PHOTOMASK WITH BASE MATERIAL AND METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD | 2 |
Hiroshi Hattori | JP | Chita-Gun | 2015-09-10 / 20150251656 - Time To Avoid Collision For Active Steering Safety Systems | 1 |
Nobuhiko Hattori | JP | Hamamatsu-Shi | 2011-11-03 / 20110266833 - VEHICLE BODY REINFORCING STRUCTURE | 1 |
Gen Hattori | JP | Fujimino-Shi | 2016-05-12 / 20160134855 - SCENARIO GENERATION SYSTEM, SCENARIO GENERATION METHOD AND SCENARIO GENERATION PROGRAM | 5 |
Koji Hattori | JP | Kyoto | 2010-07-01 / 20100164738 - ELECTRONIC BALANCE | 1 |
Masanori Hattori | JP | Kyoto | 2013-04-25 / 20130102710 - ANTISTATIC AGENT AND ANTISTATIC RESIN COMPOSITION | 2 |
Nozomu Hattori | JP | Okayama | 2011-01-06 / 20110000554 - RAW MATERIAL SUPPLY DEVICE | 3 |
Reiko Hattori | JP | Kyoto | 2013-08-15 / 20130211617 - SYSTEM MONITORING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Takahiro Hattori | JP | Kyoto | 2013-07-18 / 20130181569 - BUS BAR, MOTOR, AND PROCESS FOR PRODUCING THESE | 1 |
Takashi Hattori | JP | Kyoto | 2014-12-25 / 20140375161 - STATOR UNIT AND MOTOR | 2 |
Yurie Hattori | JP | Kyoto | 2015-11-26 / 20150341782 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING DEVICE, COMPUTER READABLE STORAGE MEDIUM STORING INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING METHOD | 4 |
Yasumasa Hattori | JP | Niihama-Shi Ehime | 2010-09-16 / 20100230644 - COPPER FINE PARTICLES, METHOD FOR PRODUCING THE SAME, AND COPPER FINE PARTICLE DISPERSION | 1 |
Yasumasa Hattori | JP | Niihama-Shi | 2015-10-08 / 20150284820 - HYDROMETALLURGICAL PROCESS FOR NICKEL OXIDE ORE | 3 |
Yasumasa Hattori | JP | Ehime | 2008-10-23 / 20080260620 - Powder Material that Generates Heat in an Alternating Current Magnetic Field and the Manufacturing Method Thereof | 1 |
Ryuji Hattori | JP | Kanagawa | 2013-10-24 / 20130279946 - IMAGE FORMING APPARATUS | 9 |
Masao Hattori | JP | Toyama | 2014-06-26 / 20140179753 - COMPOUNDS FROM ANTRODIA CINNAMOMEA AND USE THEREOF | 8 |
Masao Hattori | JP | Sugitani Toyama | 2009-02-19 / 20090048330 - Compound from Antrodia camphorata and the use thereof | 1 |
Yusuke Hattori | JP | Tokyo | 2016-01-07 / 20160006891 - IMAGE READING APPARATUS, IMAGE READING METHOD, IMAGE PROCESSING SYSTEM, AND STORAGE MEDIUM | 12 |
Atsushi Hattori | JP | Osaka | 2015-09-24 / 20150270987 - DATA DISTRIBUTION DEVICE AND IMAGING APPARATUS | 1 |
Norimasa Hattori | JP | Hamamatsu-Shi | 2015-09-24 / 20150271615 - Acoustic Device and Acoustic Processing Method | 1 |
Dai Hattori | JP | Shiga | 2010-08-05 / 20100199269 - PROGRAM OPTIMIZATION DEVICE AND PROGRAM OPTIMIZATION METHOD | 3 |
Motoyuki Hattori | JP | Atsugi-Shi | 2015-01-29 / 20150027405 - ENGINE STARTING DEVICE OF IDLE REDUCTION VEHICLE | 4 |
Ryoji Hattori | JP | Tokyo | 2016-03-31 / 20160094860 - IMAGE ENCODING DEVICE, IMAGE ENCODING METHOD, IMAGE DECODING DEVICE, AND IMAGE DECODING METHOD | 8 |
Hidetaka Hattori | JP | Tokyo | 2015-12-24 / 20150367559 - METHOD OF PRODUCING COMPOSITE MATERIAL | 3 |
Makoto Hattori | JP | Tokyo | 2015-12-24 / 20150367507 - Remotely Operated Manipulator | 9 |
Kenji Hattori | JP | Saitama | 2013-12-19 / 20130333375 - VEHICLE BRAKE SYSTEM | 2 |
Kenji Hattori | JP | Toyota-Shi | 2010-06-03 / 20100133891 - VEHICLE SEAT | 1 |
Kenji Hattori | JP | Utsunomiya-Shi | 2012-09-06 / 20120223207 - SHAFT SUPPORT STRUCTURE, CLIP, AND METAL MOLD FOR SHAFT SUPPORT STRUCTURE | 1 |
Kenji Hattori | JP | Wako-Shi | 2014-05-01 / 20140116379 - ACCELERATOR PEDAL REACTION FORCE CONTROL DEVICE | 4 |
Atsuhiro Hattori | JP | Aichi | 2015-10-15 / 20150291074 - AUTOMOTIVE TUFTED CARPET | 1 |
Yuji Hattori | JP | Gotenba-Shi, Shizuoka | 2014-04-17 / 20140106919 - DRIVING BELT AND METHOD FOR ASSEMBLING THE SAME | 1 |
Atsunori Hattori | JP | Miyoshi-Shi | 2014-04-17 / 20140103182 - ASSEMBLY PALLET | 1 |
Yasushi Hattori | JP | Kanagawa | 2015-10-15 / 20150291577 - CYCLOPROPANAMINE COMPOUND AND USE THEREOF | 18 |
Hiroyuki Hattori | JP | Yokohama-Shi | 2015-10-15 / 20150293944 - INFORMATION PROCESSING DEVICE AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Atsuhiko Hattori | JP | Ichikawa-Shi | 2009-02-26 / 20090054511 - Tryptophan derivative and application thereof | 1 |
Hisao Hattori | JP | Osaka-Shi | 2015-10-22 / 20150304625 - IMAGE PROCESSING DEVICE, METHOD, AND RECORDING MEDIUM | 12 |
Akihiro Hattori | JP | Tokyo | 2015-10-22 / 20150299758 - MAGNETIC NANOPARTICLES | 8 |
Yasushi Hattori | JP | Otsu-Shi | 2009-02-26 / 20090053383 - ANGELICA KEISKEI TEA | 1 |
Yasushi Hattori | JP | Shiga | 2010-06-17 / 20100150955 - Method of Producing Extract Derived From Lyophyllum Ulmarium | 1 |
Yasushi Hattori | JP | Minami-Ashigara-Shi | 2014-10-23 / 20140314658 - METHOD OF MANUFACTURING MAGNETIC PARTICLES | 9 |
Yasushi Hattori | JP | Odawara-Shi | 2011-02-03 / 20110027588 - MAGNETIC POWDER AND METHOD OF MANUFACTURING THE SAME | 2 |
Yasushi Hattori | JP | Kanagawa-Ken | 2012-05-03 / 20120106127 - LIGHT EMITTING DEVICE | 4 |
Hiroyuki Hattori | JP | Kanagawa | 2014-10-16 / 20140309926 - MAP PREPARATION APPARATUS AND COMPUTER-READABLE MEDIUM | 4 |
Takahiro Hattori | JP | Fukui | 2011-04-28 / 20110097956 - Fabric for Airbag | 5 |
Takahiro Hattori | JP | Tochigi | 2015-03-05 / 20150061129 - Bump Electrode, Board Which Has Bump Electrodes, and Method for Manufacturing the Board | 1 |
Takahiro Hattori | JP | Shiga | 2010-03-18 / 20100069599 - OXETANE-CONTAINING RESIN, AS WELL AS AN ADHESIVE AND A RESIST AGENT USING THE SAME | 1 |
Takahiro Hattori | JP | Tochigi-Ken | 2015-11-26 / 20150336216 - Cu BALL | 2 |
Hiroyuki Hattori | US | 2015-10-29 / 20150311761 - SLOT INSULATING PAPER | 1 | |
Hiroyuki Hattori | JP | Okazaki-Shi, Aichi-Ken | 2015-10-29 / 20150311761 - SLOT INSULATING PAPER | 1 |
Kazumasa Hattori | JP | Kamisu-Shi | 2012-02-16 / 20120041141 - POLYURETHANE AND MANUFACTURING METHOD THEREFOR, MASTER BATCH, INK BINDER, INK COMPOSITION, THERMOPLASTIC POLYMER COMPOSITION FOR MOLDING, MOLDED BODY, AND COMPOUND MOLDED BODY AND MANUFACTURING METHOD THEREFOR | 1 |
Yoshikazu Hattori | JP | Aichi | 2010-08-26 / 20100217527 - OBSTACLE AVOIDANCE CONTROL DEVICE AND RECORDING MEDIUM | 1 |
Tatsuya Hattori | JP | Aichi | 2011-10-13 / 20110250363 - POWDER-CONTAINING OIL TYPE LUBRICANT FOR MOLD AND ELECTROSTATIC SPRAY METHOD AND ELECTROSTATIC SPRAY APPARATUS USING THE SAME | 1 |
Ryou Hattori | JP | Aichi | 2012-02-23 / 20120043796 - VEHICLE SEAT | 1 |
Minoru Hattori | JP | Aichi | 2012-07-19 / 20120184423 - Sheet Folding Device, Image Forming System, And Sheet Folding Method | 2 |
Koji Hattori | JP | Aichi | 2010-05-27 / 20100130808 - VEHICLE-USE CREW HEATING DEVICE | 2 |
Kazuyuki Hattori | JP | Aichi | 2009-08-20 / 20090207168 - THREE-DIMENSIONAL MODEL DEFORMATION SYSTEM, METHOD AND COMPUTER READABLE PROGRAM, AND COMPUTER READABLE STORAGE MEDIUM STORING THAT PROGRAM | 1 |
Hiroyuki Hattori | JP | Gunma | 2015-05-07 / 20150122075 - OUTER COLUMN AND STEERING COLUMN APPARATUS | 3 |
Kanehisa Hattori | JP | Aichi | 2010-08-12 / 20100199736 - SHOT PEENING APPARATUS AND SHOT PEENING METHOD | 1 |
Takeaki Hattori | JP | Hamamatsu-Shi | 2014-02-20 / 20140048721 - ULTRAVIOLET LIGHT GENERATING TARGET, ELECTRON-BEAM-EXCITED ULTRAVIOLET LIGHT SOURCE, AND METHOD FOR PRODUCING ULTRAVIOLET LIGHT GENERATING TARGET | 2 |
Takaaki Hattori | JP | Kanagawa | 2013-10-24 / 20130280462 - POLYETHYLENE HAVING IMPROVED BRANCHING DEGREE DISTRIBUTION | 2 |
Shinnosuke Hattori | JP | Tokyo | 2012-03-22 / 20120068146 - MEMORY ELEMENT AND MEMORY DEVICE | 2 |
Hisao Hattori | JP | Osaka-Shi | 2015-10-22 / 20150304625 - IMAGE PROCESSING DEVICE, METHOD, AND RECORDING MEDIUM | 12 |
Kazunari Hattori | JP | Osaka-Shi | 2013-04-18 / 20130096109 - PYRIDONE DERIVATIVE HAVING INTEGRASE INHIBITORY ACTIVITY | 1 |
Shingo Hattori | JP | Tokyo | 2014-01-23 / 20140025792 - INFORMATION PROVIDING DEVICE, INFORMATION PROVIDING METHOD, AND INFORMATION PROVIDING SYSTEM | 1 |
Taisuke Hattori | JP | Inagi | 2011-09-01 / 20110210172 - MAGNETIC CARD READER APPARATUS | 2 |
Takamune Hattori | JP | Hachioji-Shi, Tokyo | 2015-11-12 / 20150322337 - MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATION DEVICE, AND DISPLAY DEVICE | 1 |
Takayuki Hattori | JP | Kasai-Shi | 2016-04-28 / 20160118632 - SEALING PLATE FOR PRISMATIC SECONDARY BATTERY AND PRISMATIC SECONDARY BATTERY USING THE SEALING PLATE | 10 |
Takayuki Hattori | JP | Hyogo | 2016-05-12 / 20160133995 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 5 |
Takayuki Hattori | JP | Kasai City | 2013-08-29 / 20130224536 - PRISMATIC SECONDARY BATTERY | 2 |
Takayuki Hattori | JP | Obu-City | 2010-08-19 / 20100207725 - Transceiver and electronic key including transceiver | 1 |
Takayuki Hattori | JP | Minamiawaji-Shi | 2015-05-14 / 20150132635 - PRISMATIC SEALED SECONDARY BATTERY | 11 |
Gen Hattori | JP | Saitama | 2012-03-22 / 20120072937 - CONTEXT-BASED AUTOMATIC SELECTION OF FACTOR FOR USE IN ESTIMATING CHARACTERISTICS OF VIEWERS VIEWING SAME CONTENT | 1 |
Kunihiro Hattori | JP | Gotenba-Shi | 2012-03-22 / 20120073002 - PREVENTION AND TREATMENT OF BLOOD COAGULATION-RELATED DISASES | 1 |
Hidemi Hattori | JP | Kanagawa | 2014-01-16 / 20140015174 - CAST-STEEL POURING APPARATUS | 1 |
Kikuo Hattori | JP | Aichi Pref. | 2014-01-09 / 20140012420 - NC MACHINE TOOL SYSTEM | 1 |
Yousuke Hattori | JP | Aichi-Ken | 2012-03-29 / 20120074842 - ROADSIDE-VEHICLE COOPERATIVE ILLUMINATION SYSTEM | 1 |
Makoto Hattori | JP | Aichi | 2015-12-03 / 20150349613 - INVERTER-INTEGRATED ELECTRIC COMPRESSOR | 14 |
Masashi Hattori | JP | Kawasaki | 2012-04-12 / 20120086806 - ELECTRONIC DEVICE AND SECURITY METHOD OF ELECTRONIC DEVICE | 1 |
Kumiko Hattori | JP | Kashiwa | 2012-11-08 / 20120283119 - POTENTIOMETRIC DNA MICROARRAY, PROCESS FOR PRODUCING THE SAME AND METHOD OF ANALYZING NUCLEIC ACID | 2 |
Yuzo Hattori | JP | Kanagawa | 2012-04-19 / 20120091642 - VIBRATION ABSORPTION DEVICE | 1 |
Mizuki Hattori | JP | Numazu-Shi | 2008-12-18 / 20080311504 - METHOD OF MANUFACTURING TONER AND TONER | 1 |
Masahira Hattori | JP | Kashiwa-Shi | 2015-10-29 / 20150306153 - Mixture, Dissolving Solution and Pharmaceutical Agent Each Comprising Thermophilic Microorganism | 2 |
Masahira Hattori | JP | Tokyo | 2014-11-20 / 20140341921 - HUMAN-DERIVED BACTERIA THAT INDUCE PROLIFERATION OR ACCUMULATION OF REGULATORY T CELLS | 1 |
Fumiyuki Hattori | JP | Hyogo | 2012-08-23 / 20120214193 - METHOD FOR MEASUREMENT OF FLUORESCENCE INTENSITY OF VOLTAGE-SENSITIVE FLUORESCENT DYE | 2 |
Manabu Hattori | JP | Tokyo | 2012-05-03 / 20120108672 - OPHTHALMIC COMPOSITION AND METHOD FOR PREVENTION OF CLOUDINESS/PRECIPITATION | 3 |
Masakazu Hattori | JP | Kanagawa | 2014-03-20 / 20140082020 - DEVICE AND METHOD FOR MANAGING STRUCTURED DOCUMENT, AND COMPUTER PROGRAM PRODUCT | 11 |
Taro Hattori | JP | Tokyo | 2014-06-26 / 20140174117 - AIR CONDITIONING APPARATUS | 3 |
Keita Hattori | JP | Hitachinaka | 2010-02-11 / 20100037008 - APPARATUS WITH A FLASH MEMORY AND METHOD FOR WRITING DATA TO THE FLASH MEMORY THEREOF | 2 |
Satoru Hattori | JP | Nagoya-Shi | 2014-12-04 / 20140355079 - Image-Forming Apparatus | 3 |
Akiko Hattori | JP | Ashigarakami-Gun | 2015-12-03 / 20150345014 - BARRIER LAMINATE AND GAS BARRIER FILM | 1 |
Kanako Hattori | JP | Nishitokyo | 2015-12-03 / 20150346357 - RADIATION MONITORING SYSTEM, METHOD, AND PROGRAM | 1 |
Yuuya Hattori | JP | Iwakura-City | 2015-12-10 / 20150358274 - INFORMATION PROVIDING DEVICE | 2 |
Shinya Hattori | JP | Aichi | 2012-05-10 / 20120111709 - Switch Device | 1 |
Mitsuhiro Hattori | JP | Tokyo | 2015-12-24 / 20150371062 - SERVER DEVICE, CONCEALED SEARCH PROGRAM, RECORDING MEDIUM, AND CONCEALED SEARCH SYSTEM | 14 |
Ken-Ichi Hattori | JP | Kagawa | 2013-11-21 / 20130310733 - ELECTRODE PAD USED FOR IONTOPHORESIS TREATMENT | 1 |
Isao Hattori | JP | Osaka | 2013-09-12 / 20130233046 - INERTIAL FORCE SENSOR | 2 |
Toshikazu Hattori | JP | Kanagawa | 2012-07-19 / 20120182481 - VIDEO/SOUND SIGNAL TRANSMITTING SYSTEM AND VIDEO/SOUND SIGNAL SWITCHING AND DISTRIBUTING DEVICE | 2 |
Hiroyuki Hattori | JP | Toyota-Shi, Aichi-Ken | 2015-12-17 / 20150364977 - STATOR, STATOR MANUFACTURING METHOD, AND ROTARY ELECTRIC MACHINE | 1 |
Hiroyuki Hattori | JP | Okazaki-Shi, Aichk-Ken | 2015-12-17 / 20150364977 - STATOR, STATOR MANUFACTURING METHOD, AND ROTARY ELECTRIC MACHINE | 1 |
Yoshiko Hattori | JP | Tokyo-To | 2008-10-30 / 20080268215 - Thin-Film Laminate | 1 |
Yuji Hattori | JP | Gotemba-Shi | 2015-07-02 / 20150184744 - HYDRAULIC CONTROL UNIT | 4 |
Keiichiro Hattori | JP | Hashima-Shi | 2010-05-13 / 20100122308 - INFORMATION PROCESSING DEVICE, NODE DEVICE, METHOD FOR PROCESSING REPRODUCTION INFORMATION, AND REPRODUCTION INFORMATION PROCESSING PROGRAM | 1 |
Masato Hattori | JP | Kanagawa | 2016-04-28 / 20160119407 - TRANSFER DEVICE, CLIENT APPARATUS, SERVER APPARATUS, REPRODUCTION APPARATUS AND TRANSFER METHOD | 2 |
Tomoyuki Hattori | JP | Yokohama-Shi | 2015-08-13 / 20150226916 - MULTI MODE OPTICAL FIBER | 11 |
Makoto Hattori | JP | Minato-Ku | 2015-10-08 / 20150285256 - INVERTER-INTEGRATED ELECTRICAL COMPRESSOR | 3 |
Tetsuji Hattori | JP | Mie | 2009-04-16 / 20090096659 - ELECTROMAGNETIC WAVE ABSORPTION BOARD TO BE USED IN WIRELESS LAN | 1 |
Keigo Hattori | JP | Kanagawa | 2015-09-10 / 20150254332 - DOCUMENT CLASSIFICATION DEVICE, DOCUMENT CLASSIFICATION METHOD, AND COMPUTER READABLE MEDIUM | 6 |
Shigeto Hattori | JP | Yokohama-Shi | 2016-02-04 / 20160034057 - ELECTRONIC DEVICE AND PANEL DEVICE | 1 |
Tomoki Hattori | US | Tucker | 2010-09-02 / 20100223340 - SYSTEM FOR REMOTELY SCANNING A DOCUMENT | 2 |
Taiichiro Hattori | JP | Nishino-Omote-Shi | 2014-11-27 / 20140349876 - SUGARCANE-STALK=SUGAR-CONTENT-RELATED MARKER AND THE USE THEREOF | 3 |
Shunji Hattori | JP | Tokyo | 2015-07-02 / 20150182580 - Collagen Peptide Composition Production Method, DPP-4 Inhibitor, and Antihyperglycemic Agent | 5 |
Maki Hattori | JP | Osaka | 2016-04-28 / 20160118198 - ELECTRODE FOR POWER STORAGE DEVICE, POWER STORAGE DEVICE, AND METHOD FOR MANUFACTURING ELECTRODE FOR POWER STORAGE DEVICE | 1 |
Tatsuya Hattori | JP | Kanagawa | 2013-09-05 / 20130231402 - POLYSACCHARIDES AND EMULSIFIED PRODUCTS CONTAINING THE SAME | 1 |
Naoya Hattori | JP | Kokubunji | 2013-09-05 / 20130232490 - VIRTUAL MACHINE CONTROL METHOD AND VIRTUAL MACHINE SYSTEM | 8 |
Yoshiteru Hattori | JP | Ichinomiya-Shi | 2014-03-27 / 20140086626 - Image Forming Apparatus | 9 |
Kazumasa Hattori | JP | Kanagawa | 2014-06-26 / 20140176655 - CONVEYANCE APPARATUS AND IMAGE FORMING APPARATUS | 3 |
Masamichi Hattori | JP | Mie | 2009-07-09 / 20090175760 - AQUEOUS HYDROGEN PEROXIDE FOR STERILIZATION | 1 |
Fumiyuki Hattori | JP | Mitaka-Shi | 2009-11-05 / 20090275132 - METHOD FOR PURIFYING CARDIOMYOCYTES OR PROGRAMMED CARDIOMYOCYTES DERIVED FROM STEM CELLS OR FETUSES | 1 |
Youichi Hattori | JP | Nagoya-Shi | 2013-08-22 / 20130214884 - RELAY | 3 |
Shigeki Hattori | JP | Kanagawa | 2016-03-17 / 20160079387 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 15 |
Ryoji Hattori | JP | Tokyo | 2016-03-31 / 20160094860 - IMAGE ENCODING DEVICE, IMAGE ENCODING METHOD, IMAGE DECODING DEVICE, AND IMAGE DECODING METHOD | 8 |
Toshiyuki Hattori | JP | Tokyo | 2013-04-25 / 20130100273 - MICROSCOPE APPARATUS | 9 |
Takeshi Hattori | JP | Ibaraki | 2012-09-27 / 20120240845 - PRODUCTION METHOD OF AN ALUMINUM NITRIDE SINGLE CRYSTAL | 1 |
Fumiaki Hattori | JP | Shizuoka-Ken | 2009-07-09 / 20090177364 - FUEL INJECTION CONTROL METHOD FOR A DIRECT INJECTION SPARK IGNITION INTERNAL COMBUSTION ENGINE | 1 |
Fumiaki Hattori | JP | Mishima-Shi | 2010-11-04 / 20100275871 - DEVICE FOR STARTING AN ENGINE | 2 |
Shinobu Hattori | JP | Tokyo | 2016-05-12 / 20160134832 - REPRODUCTION DEVICE, REPRODUCTION METHOD, AND RECORDING MEDIUM | 64 |
Yoshiteru Hattori | JP | Ichinomiya | 2012-09-27 / 20120243910 - IMAGE FORMING APPARATUS | 2 |
Shinobu Hattori | JP | Minato-Ku | 2010-10-28 / 20100272126 - PLAYBACK APPARATUS AND METHOD, PROGRAM, RECORDING MEDIUM, DATA STRUCTURE, AND MANUFACTURING METHOD FOR RECORDING MEDIUM | 1 |
Nobutaka Hattori | JP | Toshima-Ku | 2014-09-18 / 20140275006 - AZOLE DERIVATIVE | 3 |
Hisashi Hattori | JP | Nagoya-Shi | 2013-08-01 / 20130195991 - Composition for Treatment of Damaged Part | 1 |
Kazunari Hattori | JP | Yokohama-Shi | 2013-08-01 / 20130195409 - METHOD FOR FUSION SPLICING OPTICAL FIBERS | 1 |
Takeshi Hattori | JP | Abiko-Shi | 2013-08-01 / 20130192985 - ELECTRODE CATALYST | 8 |
Tadaaki Hattori | JP | Hadano City | 2008-09-25 / 20080232865 - MAGNET ROLLER, DEVELOPING AGENT CARRIER, DEVELOPING UNIT, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS USING SAME | 1 |
Tadaaki Hattori | JP | Madano-Shi | 2008-11-13 / 20080279588 - Conductive member, process cartridge including same, and image forming apparatus including the process cartridge | 1 |
Hideshi Hattori | JP | Tokyo-To | 2011-01-27 / 20110021380 - BIO-MICROARRAY AND A SUBSTRATE FOR USE THEREWITH | 3 |
Syuji Hattori | JP | Kobe-Shi | 2013-07-11 / 20130174593 - HEAT EXCHANGING SYSTEM | 1 |
Takashi Hattori | JP | Musashimurayama | 2013-07-18 / 20130181204 - Image Display Device And The Method For Manufacturing The Same | 12 |
Takahiro Hattori | JP | Tokyo | 2016-03-17 / 20160078789 - A SIGNBOARD DEVICE | 1 |
Norikatsu Hattori | JP | Ichihara-Shi | 2013-03-21 / 20130069002 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Norikatsu Hattori | JP | Ichihara-Chi, Chiba | 2010-02-18 / 20100038588 - Liquid Crystal Compound Having Lactone Ring, Liquid Crystal Composition, And Liquid Crystal Display Device | 1 |
Yoshiro Hattori | JP | Kanagawa | 2016-03-24 / 20160088245 - SOLID-STATE IMAGING DEVICE, ELECTRONIC APPARATUS, LENS CONTROL METHOD, AND IMAGING MODULE | 1 |
Masashi Hattori | JP | Anjo-Shi | 2016-03-03 / 20160061318 - HYDRAULIC CONTROL DEVICE OF BELT-TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 5 |
Masashi Hattori | JP | Nara-Shi | 2011-04-28 / 20110098888 - MOTOR CONTROL DEVICE AND VEHICLE-STEERING DEVICE COMPRISING SAME | 2 |
Masashi Hattori | JP | Anjo | 2011-10-13 / 20110251006 - Power transmission belt method for production therof | 1 |
Masashi Hattori | JP | Odawara | 2012-06-14 / 20120147502 - MAGNETIC RECORDING HEAD, METHOD OF MANUFACTURING THE SAME, AND MAGNETIC DISK UNIT | 1 |
Kunio Hattori | JP | Nagoya-Shi | 2013-08-22 / 20130218429 - CONTROL DEVICE OF CONTINUOUSLY VARIABLE TRANSMISSION FOR VEHICLE | 2 |
Takeshi Hattori | JP | Abiko-Shi | 2013-08-01 / 20130192985 - ELECTRODE CATALYST | 8 |
Takeshi Hattori | JP | Aichi-Gun | 2012-01-19 / 20120012777 - POWDER FOR DUST CORE, DUST CORE MADE OF THE POWDER FOR DUST CORE BY POWDER COMPACTION, AND METHOD OF PRODUCING THE POWDER FOR DUT CORE | 2 |
Takeshi Hattori | JP | Aichi-Ken | 2009-09-03 / 20090220372 - Low Magnetostrictive Body and Dust Core Using the Same | 1 |
Takeshi Hattori | JP | Okazaki-City | 2011-05-05 / 20110101886 - LOAD CONTROL CIRCUIT | 2 |
Takeshi Hattori | JP | Chiba | 2011-01-13 / 20110008677 - ELECTRODE AND BATTERY HAVING THE SAME | 4 |
Yasushi Hattori | JP | Kawasaki-Shi | 2014-11-20 / 20140339978 - PHOSPHOR | 17 |
Kenichi Hattori | JP | Hitachiota | 2012-06-21 / 20120155790 - HORIZONTAL SHAFT TYPE ROTARY MACHINE | 12 |
Jun Hattori | JP | Tokyo | 2015-10-15 / 20150292917 - ENCODER, SERVO AMPLIFIER, CONTROLLER, AND INFORMATION EXCHANGE METHOD IN SERVO SYSTEM | 2 |
Norikatsu Hattori | JP | Ichihara-Shi | 2013-03-21 / 20130069002 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Makoto Hattori | JP | Kiyosu-Shi, Aichi | 2016-04-21 / 20160111942 - INVERTER-INTEGRATED ELECTRIC COMPRESSOR | 1 |
Kunihiro Hattori | JP | Shizuoka | 2012-09-20 / 20120237517 - Antibody Substituting for Function of Blood Coagulation Factor VIII | 2 |
Kunihiro Hattori | JP | Odawara | 2010-11-04 / 20100281211 - Storage apparatus, management apparatus, and method of controlling storage apparatus | 2 |
Tomoya Hattori | JP | Kashiba-Shi | 2008-09-11 / 20080219606 - Tapered roller bearing | 1 |
Tomoya Hattori | JP | Nara | 2009-10-01 / 20090245711 - Rolling Bearing Cage, Ball Bearing and Roller Bearing | 1 |
Takeshi Hattori | JP | Nagakute-Shi | 2016-03-10 / 20160071636 - POWDER FOR MAGNETIC CORE, METHOD OF PRODUCING DUST CORE, DUST CORE, AND METHOD OF PRODUCING POWDER FOR MAGNETIC CORE | 4 |
Reiko Hattori | JP | Yokohama | 2013-03-28 / 20130079041 - WIRELESS COMMUNICATION SYSTEM, WIRELESS BASE STATION, MOBILE STATION, AND WIRELESS COMMUNICATION METHOD | 1 |
Kanehisa Hattori | JP | Yatomi-City | 2012-06-21 / 20120153167 - Positron annihilation characteristics measurement system and method for measuring positron annihilation characteristics | 1 |
Yoshihiro Hattori | JP | Tokyo | 2014-04-24 / 20140114951 - DATABASE PERFORMANCE ESTIMATION DEVICE AND DATABASE ESTIMATION METHOD | 3 |
Susumu Hattori | JP | Yokohama-Shi | 2013-03-14 / 20130063676 - ELECTRONIC DEVICE HAVING A LIQUID CRYSTAL SHUTTER | 1 |
Toshiro Hattori | JP | Tokyo | 2015-10-08 / 20150285546 - HEAT PUMP APPARATUS AND OPERATION METHOD FOR HEAT PUMP APPARATUS | 2 |
Nozomu Hattori | JP | Tamano-Shi | 2013-01-10 / 20130008382 - THIN-FILM FORMING DEVICE | 1 |
Tomohisa Hattori | JP | Ibaraki | 2009-02-26 / 20090053334 - GHRELIN PRODUCTION PROMOTER | 1 |
Tomohisa Hattori | JP | Inashiki-Gun | 2012-05-03 / 20120107426 - GHRELIN PRODUCTION PROMOTER | 2 |
Koichi Hattori | JP | Tokyo | 2014-09-11 / 20140254114 - FLEXIBLE CIRCUIT BOARD AND METHOD FOR PRODUCING SAME AND BEND STRUCTURE OF FLEXIBLE CIRCUIT BOARD | 1 |
Koichi Hattori | JP | Chiba | 2013-02-14 / 20130040162 - SURFACE-TREATED COPPER FOIL, METHOD FOR PRODUCING SAME, AND COPPER CLAD LAMINATED BOARD | 3 |
Koichi Hattori | JP | Ichinomiya-Shi | 2014-05-08 / 20140123967 - IGNITION DEVICE | 4 |
Tsunetoshi Hattori | JP | Aichi | 2009-10-22 / 20090261638 - VEHICLE SEAT WITH MONITOR | 1 |
Koichiro Hattori | JP | Tokyo | 2010-06-03 / 20100133237 - Wire-Discharge Machining Apparatus | 3 |
Koichiro Hattori | JP | Chiyoda-Ku | 2011-01-06 / 20110000889 - ELECTRIC DISCHARGE MACHINING APPARATUS AND ELECTRIC DISCARGE MACHINING METHOD | 6 |
Naoya Hattori | JP | Hadano | 2012-05-17 / 20120124575 - VIRTUAL MACHINE CONTROL PROGRAM AND VIRTUAL MACHINE SYSTEM | 3 |
Naoya Hattori | JP | Hachioji | 2010-08-12 / 20100205347 - METHOD FOR SPEEDING UP PAGE TABLE ADDRESS UPDATE ON VIRTUAL MACHINE | 1 |
Naoya Hattori | JP | Kokubunji | 2013-09-05 / 20130232490 - VIRTUAL MACHINE CONTROL METHOD AND VIRTUAL MACHINE SYSTEM | 8 |
Jason R. Hattrick-Simpers | US | Irmo | 2015-06-25 / 20150175497 - One-Step Production of Long-Chain Hydrocarbons from Waste-Biomass-Derived Chemicals | 3 |
Glen Hattrup | US | Tucson | 2013-01-03 / 20130006937 - ERROR TRACKING METHOD AND SYSTEM | 4 |
Christian Hattrup | DE | Wurselen | 2016-05-19 / 20160143105 - DRIVER DEVICE AND DRIVING METHOD FOR DRIVING A LOAD, IN PARTICULAR AN LED UNIT | 14 |
Christian Hattrup | DE | Wurselen | 2016-05-19 / 20160143105 - DRIVER DEVICE AND DRIVING METHOD FOR DRIVING A LOAD, IN PARTICULAR AN LED UNIT | 14 |
Glen Hattrup | US | Overland Park | 2012-02-16 / 20120041927 - PERFORMING SCHEDULED BACKUPS OF A BACKUP NODE ASSOCIATED WITH A PLURALITY OF AGENT NODES | 1 |
Christian Hattrup | NL | Eindhoven | 2010-02-04 / 20100027306 - PRIMARY RESONANT INVERTER CIRCUIT FOR FEEDING A SECONDARY CIRCUIT | 1 |
Christian Hattrup | DE | Würselen | / - | 1 |
Avishai Hatuka | IL | Tel-Aviv | 2010-02-25 / 20100049362 - System for the Preparation and Distribution of Beverages in a Transportation Unit | 1 |
Tukaram K. Hatwar | US | Penfield | 2015-10-29 / 20150311447 - ELECTROLUMINESCENT DEVICES INCLUDING ORGANIC EIL LAYER | 26 |
Joshua A. Hatwich | US | San Francisco | 2013-05-16 / 20130124963 - MULTIPLE APPLICATION AND SELECTIVE ANNOTATION CONTENT PRESENTATION SERVICE | 1 |
Shari Hatz | US | Hillsborough | 2012-12-20 / 20120317693 - Heat resistant glove with therapeutic relief/support | 1 |
Wilfried Hatzack | DE | Türkheim | 2011-06-30 / 20110155068 - CLEANING SYSTEM FOR MILKING CUPS | 1 |
Wilfried Hatzack | DE | Turkheim | 2015-08-13 / 20150223424 - AUTOMATIC CLEANING SYSTEM AND METHOD FOR CLEANING/TREATING TEATS OF A DAIRY ANIMAL | 3 |
Wilfried Hatzack | US | 2015-08-13 / 20150223424 - AUTOMATIC CLEANING SYSTEM AND METHOD FOR CLEANING/TREATING TEATS OF A DAIRY ANIMAL | 1 | |
Wilfried Hatzack | DE | Tuerkheim | 2015-12-03 / 20150342142 - CLEANING SYSTEM FOR MILKING CUPS | 2 |
Iuval Hatzav | US | Culver City | 2014-08-07 / 20140219645 - DOCUMENT HOLDER FOR A PORTABLE IMAGING APPARATUS | 9 |
Iuval Hatzav | US | Los Angeles | 2008-11-20 / 20080285094 - UNIFORM ILLUMINATION FOR CAMERA BASED SCANNING DEVICES | 2 |
Daniel Hatzav | IL | Hod Hasharon | 2014-08-07 / 20140219645 - DOCUMENT HOLDER FOR A PORTABLE IMAGING APPARATUS | 11 |
Daniel Hatzav | IL | Hod Hasharon | 2014-08-07 / 20140219645 - DOCUMENT HOLDER FOR A PORTABLE IMAGING APPARATUS | 11 |
Iuval Hatzav | US | Culver City | 2014-08-07 / 20140219645 - DOCUMENT HOLDER FOR A PORTABLE IMAGING APPARATUS | 9 |
Kelsey Hatzell | US | Philadelphia | 2016-05-05 / 20160122211 - METHOD AND DEVICE TO REMOVE IONS FROM AN ELECTROLYTIC MEDIA, SUCH AS WATER DESALINATION, USING SUSPENSION OF DIVIDED MATERIALS IN A FLOW CAPACITOR | 1 |
Armin Hatzelmann | DE | Konstanz | 2016-04-21 / 20160108049 - Novel Phthalazinone-Pyrrolopyrimidinecarboxamide Derivatives | 15 |
Nicole T. Hatzenbuhler | US | Bridgewater | 2009-02-12 / 20090042874 - ANTIDEPRESSANT HETEROARYL DERIVATIVES OF HETEROCYCLE-FUSED BENZODIOXANS | 2 |
Antoinette Hatzfeld | FR | Antony | 2009-12-31 / 20090325289 - PROCESS FOR THE MULTIPLICATION OF STEM CELLS | 1 |
Yves Hatzfeld | FR | Lille | 2016-03-24 / 20160083743 - PLANTS HAVING ENHANCED YIELD-RELATED TRAITS AND A METHOD FOR MAKING THE SAME | 63 |
Kostas Hatzianestis | AU | Elizabeth Bay | 2014-01-23 / 20140023215 - PREDICTIVE POWER ADJUSTMENT IN AN AUDITORY PROSTHESIS | 1 |
Efstratios Hatziemmanouil | GR | Panorama Thessaloniki | 2009-12-24 / 20090313887 - Method for Refining Vegetable Oils and Additive Therefore, and Their Use as Substitute of Diesel Fuel | 1 |
Theodora Hatziioannou | US | New York | 2009-07-02 / 20090170067 - Simian tropic, recombinant human immunodeficiency-1 viruses | 1 |
Dimitrios A. Hatzikakidis | GR | Palaio Faliro Attikis | 2011-05-12 / 20110109052 - Parametric chassis system for vehicles, comprising four suspension elements, incorporating a lateral torsion bar and co-axial damper unit, in a box-module, that allows central location of heavy items, such as batteries | 1 |
Dimitrios A. Hatzikakidis | GR | Attikis | 2015-08-20 / 20150232136 - REPAIR AND/OR RECONPAIR OF SYMMETRICAL, REVOLVING, DIHEDRAL, SEMI-PERMEABLE AERODYNAMIC DEVICES | 2 |
Dimitrios Hatzikakidis | GR | P. Faliro Attikis | 2014-12-11 / 20140361474 - CHAMBER CLUSTER FOR A CO-AXIAL DAMPER UNIT IN A SUSPENSION MODULE | 1 |
Pantelis Hatzikazakis | US | Frisco | 2012-05-31 / 20120134857 - SYNCHRONOUS AIR BLOWER HAVING A PERMANENT MAGNET MOTOR AND A HVAC SYSTEM EMPLOYING THE SAME | 1 |
Constantinos A. Hatzilakos | GR | Papagos | 2009-06-25 / 20090158729 - Production of Electric Energy from Sea Waves | 1 |
Giorgos Hatzilias | US | Buford | 2015-04-09 / 20150097968 - INTEGRATED CALIBRATION CRADLE | 16 |
Konstantinos Hatzilias | AU | Reservoir | 2010-04-01 / 20100077637 - FOOTWEAR FOR GRIPPING AND KICKING A BALL | 1 |
George Hatzilias | US | Buford | 2013-06-20 / 20130158574 - Safety Scalpel | 1 |
Karol Hatzilias | US | Atlanta | 2016-02-25 / 20160051134 - GUIDANCE OF THREE-DIMENSIONAL SCANNING DEVICE | 32 |
Giorgos Hatzilias | US | Burford | 2014-01-30 / 20140031701 - Otoscanner With 3D Imaging And Structure-From-Motion | 1 |
Giorgos Hatzilias | US | Buford | 2015-04-09 / 20150097968 - INTEGRATED CALIBRATION CRADLE | 16 |
Karol Constantine Hatzilias | US | Atlanta | 2015-02-05 / 20150039087 - Method of Designing Custom Device for Alleviating Temporomandibular Joint-Related Symptoms | 6 |
Karol Hatzilias | US | Atlanta | 2016-02-25 / 20160051134 - GUIDANCE OF THREE-DIMENSIONAL SCANNING DEVICE | 32 |
Michael Hatzinikolas | CA | Edmonton | 2015-03-05 / 20150059259 - SELF-RELEASING STRUCTURAL ASSEMBLY | 11 |
Christos Hatzis | US | Melrose | 2015-12-31 / 20150376710 - METHODS OF EVALUATING RESPONSE TO CANCER THERAPY | 5 |
Thomas V. Hatzis | US | Stamford | 2013-07-04 / 20130172801 - Foot Support Device | 1 |
Helen Hatzistavros | US | 2013-11-07 / 20130292399 - APPARATUS FOR PLUGGING HOLES | 1 | |
Georgia Hatzivassiliou | US | San Francisco | 2012-08-23 / 20120214828 - DETERMINING SENSITIVITY OF CELLS TO B-RAF INHIBITOR TREATMENT BY DETECTING KRAS MUTATION AND RTK EXPRESSION LEVELS | 1 |
Vasileios Hatzivassiloglou | US | New York | 2012-09-20 / 20120240020 - SYSTEM AND METHOD FOR DOCUMENT COLLECTION, GROUPING AND SUMMARIZATION | 1 |
Vasileios Hatziv Assiloglou | US | New York | 2012-09-20 / 20120240032 - SYSTEM AND METHOD FOR DOCUMENT COLLECTION, GROUPING AND SUMMARIZATION | 1 |
Jurgen Hatzl | AT | Grafenschachen | 2010-12-02 / 20100302362 - METHOD AND DEVICE FOR DETECTING WHETHER A VEHICLE HAS EXCEEDED A SPEED LIMIT | 1 |
Stefan Leopold Hatzl | AT | Graz | 2015-10-08 / 20150287703 - Light-Emitting Diode Arrangement, Module, and Method for Producing a Light-Emitting Diode Arrangement | 3 |
Hannes Hatzl | AT | Rum | 2009-11-26 / 20090291316 - TWO-COLORED SHAPED CERAMIC PARTS | 1 |
Franz Hatzl | DE | Hohenthann | 2009-11-26 / 20090288898 - Changeable Battery Pack Adapter and Battery Changing System | 2 |
Ada Hatzubai | IL | Kibutz Palmah-Zova | / - | 1 |
Pak Man Hau | CN | Guangdong | 2009-12-03 / 20090294461 - BEVERAGE CONTAINER FOR SEALED BEVERAGE AND SPOUT PLUG THEREFOR | 1 |
Gary Hau | US | Merrimack | 2008-09-11 / 20080218270 - MULTI-MODE POWER AMPLIFIER WITH LOW GAIN VARIATION OVER TEMPERATURE | 1 |
Marvin G. Hau | US | Carmel | 2008-12-18 / 20080308070 - Electrical drive arrangement for a fuel injection system | 1 |
Kit King Kitty Hau | CN | Hong Kong | 2008-12-25 / 20080318200 - Computer-Aided Method and System for Guided Teaching and Learning | 1 |
Philip Te Hau | AU | Melbourne | 2015-08-27 / 20150239561 - DISPLAY OF PASSENGER INFORMATION FOR AN AIRPLANE FLIGHT | 1 |
Paul Hau | US | Watertown | 2009-01-22 / 20090020397 - CONTAINED DRIVE SYSTEM FOR CHAIN CONVEYOR BELT TO REDUCE CATENARY SAG | 2 |
Jean-François Hau | FR | Rouen | 2014-12-18 / 20140370049 - METHOD FOR FORMULATING A VACCINE CONTAINING AT LEAST TWO ANTIGENS CAPABLE OF ADSORBING ONTO ALUMINIUM OXYHYDROXIDE | 1 |
Steven K. Hau | US | Tucson | 2009-07-30 / 20090188558 - PHOTOVOLTAIC DEVICES HAVING METAL OXIDE ELECTRON-TRANSPORT LAYERS | 1 |
Hu Yu Hau | TW | Taoyuan County | 2012-09-27 / 20120245882 - WAFER TILT DETECTION SYSTEM | 1 |
Shek Wah Hau | HK | Kowloon | 2014-06-12 / 20140162012 - OFF-SET GEARS AND METHODS OF USING OFF-SET GEARS FOR PRODUCING CUSHIONING MATERIAL | 3 |
King Kuen Hau | CN | Hong Kong | 2015-08-27 / 20150241900 - DIGITAL VOLTAGE CONTROLLER | 2 |
Heidi H. Hau | US | Lebanon | 2015-08-13 / 20150225705 - EXPRESSION OF ENZYMES IN YEAST FOR LIGNOCELLULOSE DERIVED OLIGOMER CBP | 1 |
Ka Wai Hau | HK | Hong Kong | 2010-02-25 / 20100049945 - Crypto-engine for cryptographic processing of data | 1 |
Shek-Wah Hau | CN | Hong Kong | 2010-07-08 / 20100173130 - OFF-SET GEARS AND METHODS OF USING OFF-SET GEARS FOR PRODUCING CUSHIONING MATERIAL | 1 |
Daniel Hau | HK | Kowloon | 2015-12-31 / 20150377431 - INDUCTION RECHARGEABLE ELECTRONIC CANDLE SYSTEM | 4 |
Ole Hau | DK | Vanlose | 2011-01-20 / 20110013794 - METHOD FOR SOUND PROCESSING IN A HEARING AID AND A HEARING AID | 1 |
Stephen S. Hau | US | Nashville | 2016-03-03 / 20160063184 - DECISION SUPPORT IN PROFESSIONAL WORKFLOWS CONCURRENT WITH SERVICE PROVISIONING | 1 |
Wolfgang Hau | DE | Metzingen | 2013-02-07 / 20130035005 - HIGH CURRENT CONTACT AND CORRESPONDING METHOD FOR PRODUCING A HIGH CURRENT CONTACT ASSEMBLY | 1 |
Heidi Hau | US | Lebanon | 2014-10-16 / 20140308724 - Yeast Expressing Saccharolytic Enzymes for Consolidated Bioprocessing Using Starch and Cellulose | 2 |
Heídi Hau | US | Lebanon | 2012-02-16 / 20120040409 - Heterologous Biomass Degrading Enzyme Expression in Thermoanaerobacterium Saccharolyticum | 1 |
Darren Hau | US | Los Gatos | 2014-09-18 / 20140265589 - CURRENT DIVERSION FOR POWER-PROVIDING SYSTEMS | 1 |
Josef Hau | DE | Ruesselsheim | 2011-09-08 / 20110214521 - TRANSMISSION FOR VEHICLES | 1 |
Him Fung Hau | CN | Hong Kong | 2016-02-04 / 20160033242 - TOY PROJECTILE AND METHOD OF MAKING | 2 |
Tze Ming Hau | MY | Seremban | 2015-12-03 / 20150347155 - SWITCHING BETWEEN OPERATIONAL CONTEXTS | 1 |
Man-Yee Hau | US | Los Gatos | 2011-12-22 / 20110310729 - Maintaining balance of active links across network devices in a double-sided virtual port-channel environment | 1 |
Daniel Hau | CN | Hong Kong | 2015-07-23 / 20150204498 - SYSTEMS, COMPONENTS, AND METHODS FOR ELECTRONIC CANDLES WITH MOVING FLAMES | 1 |
Daniel Hau | HK | Ma On Shan | 2012-11-29 / 20120300459 - Systems, components, and methods for electronic candles with moving flames | 1 |
Po-Chuan Hau | TW | Taichung City | 2014-03-27 / 20140083224 - EXTERNAL CIRCULATION TYPE BALL SCREW DEVICE | 1 |
Lene V. Hau | US | Cambridge | 2013-05-02 / 20130105686 - METHODS AND APPARATUS FOR DETECTING NEUTRAL CHEMICAL UNITS VIA NANOSTRUCTURES | 1 |
Ole Hau | DK | Stenlose | 2015-10-01 / 20150281857 - METHOD OF OPERATING A HEARING AID AND A HEARING AID | 3 |
Nga Yu Hau | HK | Hk | 2015-07-16 / 20150200057 - One-pot Synthesis of Nb2O5-doped TiO2 Nanoparticles | 1 |
Shek Wah Hau | CN | Kowloon | 2012-12-20 / 20120322644 - APPARATUS, SYSTEMS AND METHODS FOR PRODUCING CUSHIONING MATERIAL | 1 |
Darren Hau | US | San Jose | 2015-12-03 / 20150349709 - Photovoltaic System Protection | 1 |
Alexander Hau | DE | Tuttlingen | 2014-05-15 / 20140137009 - Asynchronous Open Task For Operating Room Control System | 1 |
Hinghoi Hau | CN | Guangdong | 2011-12-08 / 20110301402 - ULTRA-LOW FREQUENCY MAGNETIC STIMULATING DEVICE AND OPERATIONAL METHOD THEREOF | 1 |
Le Hau | US | San Antonio | 2015-11-12 / 20150320173 - METHOD AND APPARATUS FOR NAIL CARE | 1 |
Gregory D. Haub | US | Douglas | 2010-10-21 / 20100266374 - CONTAINER PACKER SYSTEM AND METHOD | 3 |
David Haub | US | San Diego | 2015-12-31 / 20150381222 - Detection and Mitigation of Interference in a Receiver | 12 |
David Haub | US | San Diego | 2015-12-31 / 20150381222 - Detection and Mitigation of Interference in a Receiver | 12 |
Andreas Peter Haub | DE | Roetgen | 2010-09-23 / 20100238174 - Cursor Synchronization in a Plurality of Graphs | 1 |
Christine Haub | DE | Bad Camberg | 2016-03-31 / 20160088806 - IRRIGATION MAT FOR SUPPLYING FLUIDS TO THE ROOT REGION OF PLANTS AND IRRIGATION SYSTEM | 1 |
Dietmar Haub | DE | Bad Camberg | 2016-03-31 / 20160088806 - IRRIGATION MAT FOR SUPPLYING FLUIDS TO THE ROOT REGION OF PLANTS AND IRRIGATION SYSTEM | 1 |
David Haub | US | 2014-09-18 / 20140270004 - METHOD AND APPARATUS FOR COMPENSATING FOR FREQUENCY ERRORS BETWEEN BASE STATION AND MOBILE STATION | 1 | |
Alfred Haub | DE | Luelsfeld | 2010-09-30 / 20100243089 - WORKING FLUID FEEDING DEVICE | 2 |
Dietmar Konrad Haub | DE | Bad Camberg | 2010-11-11 / 20100282859 - WATERING MAT FOR THE LARGE-AREA DISTRIBUTION OF WATER | 1 |
Timothy J. Haubach | US | Allison Park | 2015-06-25 / 20150176787 - GIMBALED CEILING LAMP | 3 |
Peter Haubach | DE | Nettetal | 2009-09-03 / 20090217484 - HINGE FOR AN AIRBAG COVER | 1 |
Ulrich Haubach | DE | Greifenstein | 2008-09-11 / 20080220635 - Connection or Device Adapter | 1 |
Alan Haubach | US | Carlsbad | 2013-12-05 / 20130324941 - External Infusion Device with Remote Programming, Bolus Estimator and/or Vibration Alarm Capabilities | 2 |
Richard A. Haube | US | Cazenovia | 2014-10-23 / 20140315429 - CONNECTOR PRODUCING A BIASING FORCE | 11 |
Michael Haube | BE | Vezin | 2016-03-24 / 20160083661 - GASIFIER FOR SOLID CARBON FUEL | 3 |
Richard Haube | US | Cazenovia | 2010-11-04 / 20100279548 - CATV Port Terminator With Contact-Enhancing Ground Insert | 1 |
Richard A. Haube | US | Cazenovia | 2014-10-23 / 20140315429 - CONNECTOR PRODUCING A BIASING FORCE | 11 |
Hans-Dieter Haubeck | DE | Gau-Algesheim/mainz | 2011-08-04 / 20110189157 - USE OF HUMAN HYALURONIDASES FOR AXONAL REGROWTH | 1 |
Ehud Hauben | IT | Cerbusco Sul Naviglio | 2008-11-13 / 20080279829 - Phenyl-(4-Phenyl-Pyrimidin-2-Yl)-Amines For Enhancing Immunotolerance | 1 |
Ehud Hauben | IL | Hadera | 2008-11-13 / 20080279869 - METHOD FOR REDUCING NEURONAL DEGENERATION BY ADMINISTERING CNS-DERIVED PEPTIDES OR ACTIVATED T CELLS | 1 |
Karlheinz Haubennestel | DE | Welsel | 2011-02-03 / 20110027585 - WETTING AGENTS AND DISPERSANTS, THEIR PREPARATION AND USE | 1 |
Karlheinz Haubennestel | DE | Wesel | 2010-02-25 / 20100048809 - SURFACE-MODIFIED PARTICLES AND PRODUCTION METHOD | 3 |
Helmuth Haubenreich | DE | Ludwigsburg | 2015-02-05 / 20150038310 - Oil Centrifuge with Centrifuge Rotor | 1 |
Mark P. Haubenschild | US | Fort Atkinson | 2008-12-11 / 20080303387 - Mobile High Bay Storage System Having Vehicle Guidance System | 1 |
Jens-Uwe Haubenschild | DE | Hamburg | 2012-12-27 / 20120325829 - METHOD FOR PRODUCING BLOW-MOLDED CONTAINERS AND BLOW-MOLDED CONTAINER | 1 |
Jonathan S. Haubenstricker | US | East Dubuque | 2015-08-27 / 20150239510 - VEHICLE CAB TILT APPARATUS | 1 |
Thomas Hauber | DE | Altbach | 2015-05-21 / 20150135811 - DIAPHRAGM FOR A PRESSURE-MEASURING DEVICE | 2 |
Thomas Hauber | DE | Ostfildern | 2015-10-29 / 20150305234 - FILAMENT CUTTERHEAD FOR A BRUSHCUTTER | 1 |
Simon Hauber | DE | Freiberg Am Neckar | 2015-08-06 / 20150217737 - Method for Actuating an Automatic Parking Brake | 11 |
Johann Hauber | DE | Neuburg | 2011-08-11 / 20110192216 - METHOD FOR DETERMINING THE IGNITABILITY OF A FUEL | 2 |
David E. Hauber | US | Troy | 2016-01-28 / 20160023433 - THERMOPLASTIC COMPOSITE PREPREG FOR AUTOMATED FIBER PLACEMENT | 3 |
Simon Hauber | DE | Freiberg Am Neckar | 2015-08-06 / 20150217737 - Method for Actuating an Automatic Parking Brake | 11 |
Joachim Hauber | DE | Hamburg | 2015-04-16 / 20150104433 - TAILORED RECOMBINASE FOR RECOMBINING ASYMMETRIC TARGET SITES IN A PLURALITY OF RETROVIRUS STRAINS | 4 |
Gunter Hauber | DE | Dossenheim | 2013-08-29 / 20130224631 - SEPARATORS FOR ELECTROCHEMICAL CELLS COMPRISING POLYMER PARTICLES | 1 |
Robert J. Hauber | US | Land O Lakes | 2016-02-25 / 20160052248 - System and Method for Constructing Composite Building Boards Using Thermoplastic Films | 8 |
Karl W. Hauber | US | Kirtland | 2014-08-21 / 20140233907 - METHOD AND APPARATUS FOR CREATING AND SHARING MULTIPLE PERSPECTIVE IMAGES | 1 |
Robert J. Hauber | US | Cody | 2010-06-03 / 20100132519 - Fastening System for Sheathing Board | 1 |
Robert J. Hauber | US | Lutz | 2009-12-03 / 20090297865 - GLASS REINFORCED GYPSUM BOARD AND METHOD OF MANUFACTURE | 2 |
Bernhard Hauber | DE | Weiler | 2010-11-18 / 20100288886 - Aircraft High Lift System and Method for Determining an Operating Condition of an Aircraft High Lift System | 2 |
Bernhard Hauber | DE | Scheidegg | 2015-03-19 / 20150076282 - AIRCRAFT | 2 |
Markus Hauber | DE | Kornwestheim | 2015-05-21 / 20150140235 - METHOD FOR OPERATING A ROTARY ATOMIZER, SPRAY HEAD, AND ROTARY ATOMIZER WITH SUCH A SPRAY HEAD | 1 |
Ilona Hauber | DE | Hamburg | 2010-07-08 / 20100172881 - USE OF TAILORED RECOMBINASES FOR THE TREATMENT OF RETROVIRAL INFECTIONS | 1 |
Robert Joseph Hauber | US | Tampa | 2011-12-08 / 20110297310 - Method for Constructing Composite Building Boards Using Dissolvable Films | 3 |
Robert J. Hauber | US | Land O Lakes | 2016-02-25 / 20160052248 - System and Method for Constructing Composite Building Boards Using Thermoplastic Films | 8 |
Robert J. Hauber | US | Tampa | 2013-12-12 / 20130327461 - System And Method For The Construction Of Bound Fibrous Mats | 3 |
Michaela Hauberg | DE | Essen | 2015-04-23 / 20150111253 - ENZYMATIC OMEGA-OXIDATION AND OMEGA-AMINATION OF FATTY ACIDS | 1 |
Kathryn M. Haubert | US | Madison | 2010-06-17 / 20100151564 - Biological Work Station | 1 |
Thomas D. Haubert | US | Columbus | 2015-10-29 / 20150306373 - NEURAL SLEEVE FOR NEUROMUSCULAR STIMULATION, SENSING AND RECORDING | 9 |
Thomas D. Haubert | US | Columbus | 2015-10-29 / 20150306373 - NEURAL SLEEVE FOR NEUROMUSCULAR STIMULATION, SENSING AND RECORDING | 9 |
Thomas Haubert | US | Columbus | 2012-06-28 / 20120164683 - BUFFY COAT SEPARATOR FLOAT SYSTEM AND METHOD | 4 |
Michael Haubmann | AT | Vienna | 2011-11-24 / 20110286074 - Device for Inserting Information into the Finder Beam Path of a Motion Picture Camera | 2 |
Helmut Haubmann | AT | Knittelfeld | 2009-01-08 / 20090008984 - Method For Regulating the Drive of a Shearing or Heading Machine | 1 |
Michael B. Haubmann | AT | Wien | 2009-02-26 / 20090051806 - Device for Connecting a Camera Lens to a Movie Camera | 2 |
Michael Haubmann | AT | Wien | 2015-10-08 / 20150286111 - Device for Adjusting a Camera Lens | 2 |
Michael Haubmann | AT | Wie | 2010-09-02 / 20100219758 - LIGHTING DEVICE COMPRISING A PLURALITY OF CONTROLLABLE LIGHT-EMITTING DIODES | 1 |
Frank Haubner | DE | Aachen | 2015-03-05 / 20150059672 - Valve Control for Internal Combustion Engine and Internal Combustion Engine | 3 |
Michael Haubner | DE | Munchen | 2015-02-26 / 20150054714 - METHOD FOR SYNCHRONOUS REPRESENTATION OF A VIRTUAL REALITY IN A DISTRIBUTED SIMULATION DEVICE | 2 |
Kerstin Haubner | DE | Konigs Wusterhausen | 2013-03-14 / 20130065721 - DRIVE UNIT HAVING A TRANSMISSION | 1 |
Peter Haubner | DE | Fuerth | 2015-07-30 / 20150214657 - CONNECTION SYSTEM | 1 |
Carsten Haubold | DE | Celle | 2015-11-26 / 20150337644 - REMOVEABLE ELECTRONIC COMPONENT ACCESS MEMBER FOR A DOWNHOLE SYSTEM | 5 |
Claus T. Haubold | DE | Wehrheim | 2012-04-26 / 20120097645 - METHOD FOR BEAM WELDING ON COMPONENTS | 1 |
Thomas Haubold | DE | Marbach | 2014-04-17 / 20140102969 - FILTER DEVICE, IN PARTICULAR FUEL FILTER OR OIL FILTER | 1 |
Ulrich Haubold | DE | Oederan | 2015-06-04 / 20150152758 - Precision Lubrication of a Reciprocating Piston Within a Cylinder | 1 |
Jeremy Haubold | US | Portage | 2015-03-05 / 20150067668 - INSTALLATION ENGINE AND PACKAGE FORMAT | 4 |
Christian Haubold | DE | Gladbeck | 2014-01-23 / 20140020562 - Wet Scrubber Having a Compact Demister that Requires Reduced Energy Demand | 1 |
Thomas Haubold | DE | Wehrheim | 2014-12-04 / 20140352450 - METHOD FOR DETERMINING A MACHINING RESULT DURING SURFACE MACHINING OF COMPONENTS | 4 |
Tilla Haubold | DE | Stuttgart | 2010-11-11 / 20100281691 - METHOD FOR MANUFACTURING A SOLID HOUSING | 1 |
Stefan Haubold | DE | Berlin | 2011-06-23 / 20110150192 - METHOD FOR ASYNCHRONOUS COMMUNICATION BY MEANS OF AN INTERNET PLATFORM, AND INTERNET PLATFORM | 1 |
Alexander Haubold | US | New York | 2008-10-09 / 20080250011 - METHOD AND APPARATUS FOR QUERY EXPANSION BASED ON MULTIMODAL CROSS-VOCABULARY MAPPING | 1 |
Lars Haubold | US | East Lansing | 2013-02-21 / 20130045367 - COATING BASED ON DIAMOND-LIKE CARBON | 2 |
Jorg Haubold | DE | Limbach-Oberfrohna | 2009-01-29 / 20090025201 - CONTACT MAT FOR AN ACTUATOR, AND ASSOCIATED PRODUCTION METHOD | 1 |
Tilla Haubold | DE | Dortmund | 2013-08-15 / 20130209815 - ONE-PIECE COMPONENT AND METHOD FOR ITS PRODUCTION | 1 |
Gregory John Haubrich | US | Champlin | 2015-10-22 / 20150304783 - RADIO FREQUENCY MEMS DEVICES FOR IMPROVED WIRELESS PERFORMANCE FOR HEARING ASSISTANCE DEVICES | 3 |
Daphne Haubrich | NL | Markelo | 2013-11-07 / 20130291990 - DUCT INSULATION LAMINATES AND METHODS OF MANUFACTURING AND INSTALLATION | 1 |
Jorg Haubrich | DE | Leverkusen | 2008-09-18 / 20080224511 - Vehicle Seat | 1 |
Andree Haubrich | DE | Blaubeuren | 2016-03-10 / 20160073337 - Method, Apparatus and Computer Program | 2 |
Scott Haubrich | US | Albuquerque | 2012-07-12 / 20120175528 - PARTICULATE COMPOSITIONS HAVING PLURAL RESPONSES TO EXCITATION RADIATION | 3 |
Peter Haubrich | CA | Penticton | 2010-11-18 / 20100289662 - PERSONNEL SAFETY UTILIZING TIME VARIABLE FREQUENCIES | 1 |
Jeanne Elizabeth Haubrich | US | Waterford | 2014-06-26 / 20140178692 - RADIATION CURABLE HARDCOAT WITH IMPROVED WEATHERABILITY | 1 |
Manfred Haubrich | DE | Gensingen | 2013-02-21 / 20130047073 - WEB CONTENT MANAGEMENT BASED ON TIMELINESS METADATA | 2 |
Joerg Haubrich | DE | Leverkusen | 2010-06-10 / 20100140985 - BACKREST WITH HEADREST SLEEVE FOR ATTACHING A COMPONENT | 1 |
Thomas Haubrich | DE | Goedenroth | 2015-04-02 / 20150093196 - Refuse Compactor | 7 |
Scott Thomas Haubrich | US | Albuquerque | 2013-04-25 / 20130099179 - METAL NANOPARTICLE COMPOSITIONS FOR REFLECTIVE FEATURES | 3 |
Greg Haubrich | US | Champlin | 2011-05-05 / 20110102967 - IMPLANTABLE CO-FIRED ELECTRICAL FEEDTHROUGHS | 1 |
Gregory Haubrich | US | Champlin | 2014-05-01 / 20140121727 - MEDICAL DEVICE COMMUNICATION SYSTEM AND METHOD | 1 |
Gregory J. Haubrich | US | Champlin | 2013-08-01 / 20130196703 - SYSTEM AND COMMUNICATION HUB FOR A PLURALITY OF MEDICAL DEVICES AND METHOD THEREFORE | 14 |
Scott T. Haubrich | US | Albuquerque | 2015-10-29 / 20150307666 - Multi-Component Particles Comprising Inorganic Nanoparticles Distributed in an Organic Matrix and Processes for Making and Using Same | 5 |
Andreas Haubrich | DE | Frankfurt Am Main | 2016-01-28 / 20160024457 - PRODUCTION OF SQUALENE AND/OR STEROL FROM CELL SUSPENSIONS OF FERMENTED YEAST | 1 |
Greg Haubrich | US | Eden Prairie | 2015-11-12 / 20150326984 - WIRELESS STREAMING TO HEARING ASSISTANCE DEVICES | 2 |
Jeremy Haubrich | US | Jeannette | 2015-07-09 / 20150194048 - Universal Remote | 2 |
Trisha Ann Haubrich | US | San Diego | 2011-07-07 / 20110165170 - OSTEOPONTIN ANTIBODIES | 1 |
Gregory J. Haubrich | US | Champlin | 2013-08-01 / 20130196703 - SYSTEM AND COMMUNICATION HUB FOR A PLURALITY OF MEDICAL DEVICES AND METHOD THEREFORE | 14 |
Antinio L. Haubrich | US | Sunrise | / - | 1 |
William Haubrich | US | Concord | 2009-06-04 / 20090139125 - Informational stop sign | 1 |
Daphne Haubrich | NL | Apeldorn | 2009-05-21 / 20090130416 - Highly Filled Fibrous Veil | 1 |
Scott Haubrich | US | Castro Valley | 2011-05-05 / 20110104877 - Compositions and Methods for Forming a Semiconducting and/or Silicon-Containing Film, and Structures Formed Therefrom | 2 |
Andreas Haubrich | DE | Frankfurt | 2008-12-25 / 20080319218 - Processes for Making and Using Benzyl Pentahydroxyhexylcarbamoylundecanoate | 1 |
Thomas Haubrich | DE | Godenroth | 2015-07-30 / 20150210316 - Articulated Vehicle And Articulation Joint Arrangement For Such A Vehicle | 2 |
John Michael Haubrich | US | Quincy | 2012-05-31 / 20120137214 - SYSTEMS AND METHODS FOR PRODUCING A PLURALITY OF CUSTOMIZED INTEGRATED MISSAL BULLETINS BASED ON COMMON BASE CONTENT | 1 |
Hugues Haubruge | BE | Walhain | 2015-01-29 / 20150031262 - Fibers and Nonwovens Including a Propylene Random Copolymer, and Processes for Producing the Fibers and Nonwovens | 14 |
Hugues Haubruge | BE | Walhain | 2015-01-29 / 20150031262 - Fibers and Nonwovens Including a Propylene Random Copolymer, and Processes for Producing the Fibers and Nonwovens | 14 |
Hughes Haubruge | BE | Walhain | 2011-10-06 / 20110244750 - Bicomponent Spunbond Fiber and Spunbond Fabric Prepared Therefrom | 2 |
Michael Haubs | DE | Bad Kreuznach | 2015-09-03 / 20150247006 - Block Copolymers Based on Linear Poly(oxymethylene)(POM) and Hyperbranched Poly(glycerol): Combining Polyacetals with Polyethers | 22 |
Siegfried Hauch | DE | Isernhagen Sud | 2015-02-12 / 20150045246 - METHOD FOR THE QUANTIFICATION, QUALITATIVE GENETIC CHARACTERIZATION AND GENE EXPRESSION CHARACTERIZATION OF PREDETERMINED CELLS | 1 |
Jens Hauch | DE | Heroldsberg | 2011-08-04 / 20110189812 - Methods of Preparing Photovoltaic Modules | 5 |
Roar Hauch | DK | Frederiksberg | 2015-07-02 / 20150184144 - Liquid Enzyme Composition and Method for Enzyme Recovery | 1 |
Randall Hauch | US | Glen Carbon | 2010-05-06 / 20100114952 - SYSTEM AND METHOD FOR ACCESSING DATA IN DISPARATE INFORMATION SOURCES | 1 |
David A. Hauch | US | Afton | 2008-11-13 / 20080277515 - HAMMERMILL WITH ROTATABLE HOUSING | 1 |
Karen Hauch | US | Wausau | 2012-10-04 / 20120247635 - TENSION-BASED NON-PNEUMATIC TIRE | 6 |
Anne Hauch | DK | Roskilde | 2011-05-05 / 20110100805 - COMPOSITE GLASS SEAL FOR A SOLID OXIDE ELECTROLYSER CELL STACK | 1 |
Siegfried Hauch | DE | Coppenbrugge | 2014-03-27 / 20140087391 - Detection of Tumor Stem Cells and Tumor Cells in Epithelial-Mesenchymal Transition in Body Fluids of Cancer Patients | 4 |
Sylvie Hauchecorne | FR | La Neuville Chant D'Oisel | 2009-02-05 / 20090036339 - MILD, FOAMING CLEANSING COMPOSITION | 1 |
Karl P. Hauck | US | Pembroke | 2012-01-05 / 20120000717 - UNTETHERED STYLUS EMPLOYING MULTIPLE REFERENCE FREQUENCY COMMUNICATION | 2 |
Dirk Hauck | DE | Epfenbach | 2013-10-17 / 20130270080 - ELECTRICAL INSTALLATION SWITCHING DEVICE HAVING A SWITCH POSITION INDICATION | 1 |
Jan Hauck | DE | Heidelberg | 2015-04-09 / 20150098859 - DIECASTING ALLOY BASED ON AL-SI, COMPRISING PARTICULARLY SECONDARY ALUMINUM | 1 |
Alexander Hauck | DE | Rothenburg | 2014-01-30 / 20140027438 - METHOD FOR OPERATING A STEAM OVEN AND STEAM OVEN | 1 |
Jerrold V. Hauck | US | Windermere | 2016-01-07 / 20160004884 - Secure Escrow Service | 11 |
Mark Hauck | US | Corvallis | 2012-12-13 / 20120312345 - SYSTEM AND METHOD FOR THERMAL PROTECTION OF AN ELECTRONICS MODULE OF AN ENERGY HARVESTER | 1 |
Gerrit Hauck | DE | Frankfurt Am Main | 2013-03-28 / 20130079279 - PHARMACEUTICAL COMPOSITION COMPRISING AVE0010 AND INSULIN GLARGINE | 7 |
Matthias Hauck | DE | Schwetzingen | 2015-10-15 / 20150295412 - ENERGY PRODUCTION SYSTEM WITH ENERGY STORE AND METHOD FOR OPERATING AN ENERGY PRODUCTION SYSTEM | 4 |
Axel Hauck | DE | Karlsruhe | 2015-04-09 / 20150097906 - METHOD FOR THE INDIRECT APPLICATION OF PRINTING LIQUID ONTO A PRINTING MATERIAL | 9 |
Hans-Jürgen Hauck | DE | Schwabisch Hall | 2011-08-18 / 20110198189 - Integrated clutch assembly damper arrangement | 1 |
Jerrold V. Hauck | US | Fremont | 2013-03-07 / 20130058355 - METHODS AND APPARATUS FOR ENSURING COMPATIBILITY ON A HIGH PERFORMANCE SERIAL BUS | 2 |
Axelle Hauck | DE | Neubiberg | 2014-03-20 / 20140079982 - COVER FOR AN ELECTRO-CHEMICAL DEVICE | 6 |
Helmut Hauck | DE | Euerbach | 2016-04-07 / 20160097423 - BEARING ASSEMBLY, MOUNTING OF A BEVEL PINION SHAFT | 16 |
Jerrold Von Hauck | US | Windemere | 2015-10-08 / 20150289137 - APPARATUS AND METHODS FOR CONTROLLED SWITCHING OF ELECTRONIC ACCESS CLIENTS WITHOUT REQUIRING NETWORK ACCESS | 1 |
Peter Hauck | DE | Gerbrunn | 2012-12-20 / 20120319511 - ELECTRICAL COMPONENT OF A MOTOR VEHICLE | 2 |
Peter Hauck | DE | Balingen | 2015-12-24 / 20150372696 - Arrangement and Method for Decoding a Data Word with the Aid of a Reed-Muller Code | 1 |
John A. Hauck | US | Shoreview | 2016-04-21 / 20160106957 - Robotic catheter system | 50 |
Axel Hauck | DE | Karlsruhe | 2015-04-09 / 20150097906 - METHOD FOR THE INDIRECT APPLICATION OF PRINTING LIQUID ONTO A PRINTING MATERIAL | 9 |
Axel Hauck | DE | Herxhgm | 2013-12-19 / 20130337232 - METHOD FOR PRODUCING INTERIOR LINING PARTS IN A FOAMING TOOL | 1 |
Alexander Hauck | DE | Rothenburg/tauber | 2015-07-23 / 20150206669 - CONTROL DEVICE, IN PARTICULAR FOR A DOMESTIC APPLIANCE | 1 |
Gerhard Hauck | DE | Badenhausen | 2015-08-27 / 20150241777 - METHOD FOR MAKING LITHOGRAPHIC PRINTING PLATES | 20 |
Hans Jurgen Hauck | DE | Schwabisch Hall | 2011-02-17 / 20110039626 - CLUTCH DEVICE WITH A FLEX PLATE | 2 |
John M. Hauck | US | Bridgman | 2014-09-18 / 20140267679 - INDENTATION HARDNESS TEST SYSTEM HAVING AN AUTOLEARNING SHADING CORRECTOR | 1 |
Jerrold Von Hauck | US | Windermere | 2016-03-03 / 20160063260 - POLICY-BASED TECHNIQUES FOR MANAGING ACCESS CONTROL | 27 |
John Anderson Hauck | US | Shoreview | 2014-09-18 / 20140275920 - Devices and Methods for Catheter Localization | 1 |
Gregory Hauck | US | Valencia | 2011-11-17 / 20110282405 - SYSTEMS AND METHODS FOR USE WITH AN IMPLANTABLE MEDICAL DEVICE FOR DISCRIMINATING VT AND SVT BE SELECTIVELY ADJUSTING ATRIAL CHANNEL SENSING PARAMETERS | 1 |
Michael Hauck | DE | Remshalden | 2011-11-17 / 20110278089 - Steering gear | 1 |
John Francis Hauck | US | Gloucester | 2008-11-06 / 20080274249 - Nutritious corn / nutritious popcorn, nutritious peas, nutritious beans, nutritious lentils, nutritious rice, nutritious barley, nutritious oats, nutritious wheat | 1 |
Arthur J. Hauck | US | Kansas City | 2010-07-15 / 20100179820 - AUTOMATED ANALYSIS OF DATA COLLECTED BY IN-VIVO DEVICES | 2 |
Oliver Hauck | DE | Grasbrunn | 2013-12-12 / 20130328594 - Divider, Method for Providing an Output Signal and Edge Tracker | 1 |
Helmut Hauck | DE | Euerbach | 2016-04-07 / 20160097423 - BEARING ASSEMBLY, MOUNTING OF A BEVEL PINION SHAFT | 16 |
Anthony L. Hauck | US | Huntington Beach | 2009-06-04 / 20090143148 - FLEXIBLE COUPLINGS | 1 |
Dieter Hauck | DE | Herzogenaurach | 2013-03-21 / 20130067684 - DRAWN BUSHING, PARTICULARLY IN FORM OF A RACEWAY BUSHING FOR AN AUTOMATIC TRANSMISSION | 1 |
Mark J. Hauck | US | Corvallis | 2014-11-06 / 20140326287 - WEARABLE THERMOELECTRIC GENERATOR ASSEMBLY AND METHOD OF MANUFACTURING SAME | 3 |
Jerrold Hauck | US | Windermere | 2013-08-29 / 20130227646 - METHODS AND APPARATUS FOR LARGE SCALE DISTRIBUTION OF ELECTRONIC ACCESS CLIENTS | 1 |
Christopher F. Hauck | US | Cincinnati | 2013-08-15 / 20130211886 - SYSTEM AND METHODS FOR UNIVERSALLY ADMINISTERING MERCHANTS' LOYALTY PROGRAMS | 1 |
Eric W. Hauck | US | Lexington | 2015-10-15 / 20150293091 - METHOD FOR THE QUANTIFICATION OF PARASITE EGGS IN FECES | 1 |
Christian Hauck | DE | Ludwigsburg | 2014-09-11 / 20140252911 - ROTOR ARRANGEMENT FOR AN ELECTRICAL PRIME MOVER AND ELECTRIC PRIME MOVER AND ELECTRIC DRIVE SYSTEM | 1 |
Christian Hauck | DE | Dortmund | 2014-09-11 / 20140253292 - METHOD AND SYSTEM FOR INCREASING THE OPERATIONAL SAFETY OF MOBILE MACHINES IN ABOVEGROUND OR UNDERGROUND MINING OPERATIONS FOR THE EXTRACTION OF MINERALS BY UTILIZING THE RFID TECHNOLOGY | 1 |
Jacob A. Hauck | US | Hastings | 2014-11-06 / 20140328638 - Self-Aligning Automatically Driving Drill Apparatus | 1 |
Daniel Hauck | DE | Sulzheim | 2013-01-17 / 20130018355 - METHOD AND DEVICE FOR REMOTE MONITORING AND CONTROL OF MEDICAL FLUID MANAGEMENT DEVICES | 1 |
Jerrold V. Hauck | US | Windermere | 2016-01-07 / 20160004884 - Secure Escrow Service | 11 |
Tarja Hauck | DE | Dresden | 2016-03-17 / 20160079182 - METHOD FOR PROCESSING A CARRIER AND A CARRIER | 4 |
Jerrold Von Hauck | US | Fremont | 2008-10-23 / 20080263247 - Gap count analysis for the P1394a BUS | 1 |
Martin Hauck | DE | Weil Der Stadt | 2011-05-19 / 20110118377 - RADIATION-CURABLE PRINTING INK OR PRINTING VARNISH | 2 |
Axel Hauck | DE | Kirchentellinsfurt | 2015-08-06 / 20150217914 - CLOSURE CAP FOR A CONTAINER | 1 |
Sheila Hauck | US | Lincoln | 2016-05-12 / 20160130281 - COMPOUNDS AND METHODS FOR TREATING BACTERIAL INFECTIONS | 1 |
Wendy Hauck | CA | Quebec | 2008-10-23 / 20080262088 - METHODS, COMPOUNDS, AND COMPOSITIONS FOR TREATING METABOLIC DISORDERS AND DIABETES | 1 |
Glenn Patrick Hauck | CA | East York | 2008-10-23 / 20080258974 - Systems and methods for geographic positioning using radio spectrum signatures | 1 |
John Hauck | US | Gloucester | 2009-05-21 / 20090130267 - METHOD OF OPTIMIZING NUTRITIONAL VALUE OF SEEDS | 1 |
Ludger Hauck | CA | Toronto | 2011-04-14 / 20110086089 - USE OF P27KIP1 FOR THE PREVENTION AND TREATMENT OF HEART FAILURE | 1 |
Hans Juergen Hauck | DE | Schwaebisch Hall | 2013-11-21 / 20130306427 - MULTIPLATE CLUTCH WITH A SPRING DEVICE | 8 |
Wendy Hauck | CA | Baie`d Urfe | 2013-11-07 / 20130296434 - FORMULATIONS AND METHODS FOR TREATING AMYLOIDOSIS | 2 |
Timo Hauck | DE | Kaiserlautern | 2011-09-22 / 20110226535 - WEIGHING SENSOR | 2 |
Wallace N. Hauck | US | Caldwell | 2014-06-12 / 20140163652 - METHOD FOR TREATING AND REPAIRING MITRAL VALVE ANNULUS | 1 |
Jeremy Hauck | US | San Fernando | 2012-08-16 / 20120204712 - DUAL ACTION SHOTGUN | 1 |
Gerrit Hauck | DE | Bad Soden | 2014-08-21 / 20140235888 - (Z)-2-CYANO-3-HYDROXY-BUT-2-ENOIC ACID-(4'-TRIFLUORMETHYLPHENYL)-AMIDE TABLET FORMULATIONS WITH IMPROVED STABILITY | 2 |
James Pierre Hauck | US | San Diego | 2013-11-28 / 20130312662 - GRAPHENE PRODUCTION USING LASER HEATED CRYSTAL GROWTH | 2 |
Brian A. Hauck | US | Windsor | 2013-09-05 / 20130231665 - FRACTURE FIXATION DEVICE, TOOLS AND METHODS | 3 |
Gerhard Hauck | DE | Badenhausen | 2015-08-27 / 20150241777 - METHOD FOR MAKING LITHOGRAPHIC PRINTING PLATES | 20 |
Thomas Hauck | DE | Kissing | 2010-08-19 / 20100206861 - PRESSURE WELDING MACHINE AND PRESSURE WELDING METHOD | 1 |
Christian Hauck | DE | Altdorf | 2016-05-19 / 20160138700 - BELT PULLEY ARRANGMENT | 9 |
Lane Hauck | US | San Diego | 2012-09-06 / 20120224446 - Capacitor Charge Balance System | 3 |
Wallace Neil Hauck | US | Irvine | 2011-07-21 / 20110178596 - CARDIAC VALVE LEAFLET ATTACHEMENT DEVICE AND METHODS THEREOF | 2 |
Lane T. Hauck | US | San Diego | 2015-01-15 / 20150016206 - APPARATUS AND METHOD TO MEASURE ENERGY CAPACITY OF A BACKUP POWER SUPPLY WITHOUT COMPROMISING POWER DELIVERY | 3 |
Jerrold Von Hauck | US | Windermere | 2016-03-03 / 20160063260 - POLICY-BASED TECHNIQUES FOR MANAGING ACCESS CONTROL | 27 |
Thorsten Hauck | DE | Freising | 2012-02-02 / 20120026716 - PACKAGE ASSEMBLY AND METHOD OF TUNING A NATURAL RESONANT FREQUENCY OF A PACKAGE | 1 |
Sheila Irene Hauck | US | Waltham | 2015-12-24 / 20150368266 - CHEMICAL COMPOUNDS 542 | 10 |
John Hauck | US | Shoreview | 2015-08-13 / 20150223729 - SYSTEM AND METHOD FOR ASSESSING DIMENSIONS AND ECCENTRICITY OF VALVE ANNULUS FOR TRANS-CATHETER VALVE IMPLANTATION | 1 |
Jerry Hauck | US | Windermere | 2015-07-16 / 20150201324 - SERVICE PROVIDER ACTIVATION WITH SUBSCRIBER IDENTITY MODULE POLICY | 16 |
Christian Hauck | DE | Altdorf | 2016-05-19 / 20160138700 - BELT PULLEY ARRANGMENT | 9 |
Jerrold Von Hauck | US | Cupertino | 2012-05-03 / 20120108207 - METHODS AND APPARATUS FOR DELIVERING ELECTRONIC IDENTIFICATION COMPONENTS OVER A WIRELESS NETWORK | 1 |
Hans Juergen Hauck | DE | Schwaebisch Hall | 2013-11-21 / 20130306427 - MULTIPLATE CLUTCH WITH A SPRING DEVICE | 8 |
Scott Hauck | US | Seattle | 2011-12-08 / 20110301918 - DATA ACQUISITION FOR POSITRON EMISSION TOMOGRAPHY | 2 |
Sheila Irene Hauck | US | Waltham | 2015-12-24 / 20150368266 - CHEMICAL COMPOUNDS 542 | 10 |
Brian Albert Hauck | US | Windsor | 2014-03-06 / 20140067073 - MEMORY MATERIAL IMPLANT SYSTEM AND METHODS OF USE | 1 |
Stephan Hauck | DE | Munchen | 2015-08-20 / 20150233087 - DEVICE AND METHOD FOR COUPLING AN ATTACHMENT TO A VEHICLE | 1 |
Kai Hauck | DE | Wetter | 2012-09-20 / 20120234921 - RFID Apparel Tag for Use in Industrial Processing and Post Care Treatment | 1 |
Timo Hauck | DE | Kaiserslautern | 2010-09-23 / 20100236842 - SEALING MECHANISM | 2 |
Shahram Hauck | DE | Hanau | 2008-10-09 / 20080246979 - Method for determining parameters relevant to the print quality of a printed product | 1 |
Jerry Hauck | US | Windemere | 2008-12-11 / 20080307245 - Methods and systems to dynamically manage performance states in a data processing system | 1 |
Stefan Hauck | DE | Lampertheim | 2014-02-06 / 20140039100 - BI - OR TRICYCLIC STERICALLY HINDERED ALKOXYAMINES AND PROCESS FOR THEIR PREPARATION | 3 |
Jerrold V. Hauck | US | Cupertino | 2015-06-25 / 20150178723 - DELETION OF CREDENTIALS FROM AN ELECTRONIC DEVICE | 2 |
Andreas Hauck | DE | Igel | 2008-12-11 / 20080302983 - Fluid control valve | 1 |
Christian Hauck | DE | Burgthann | 2008-12-25 / 20080318715 - DRIVE WHEEL OF AN AUXILIARY UNIT BELT DRIVE OF AN INTERNAL COMBUSTION ENGINE | 1 |
Ralf Hauck | DE | Maxdorf | 2009-03-26 / 20090081137 - Crosslinked Polytetrahydrofuran-Containing Polyurethanes | 1 |
Dieter Hauck | DE | Eberbach | 2015-05-21 / 20150138121 - DEVICE FOR OPERATING GRAPHIC ARTS MACHINES AND DEVICES HAVING A DISPLAY DEVICE WITH A TOUCH OPERATION WALL SCREEN | 4 |
Heinz-Emil Hauck | DE | Gross-Umstadt | 2009-10-15 / 20090258427 - Method and Reagent Mixture for the Visualization of Amino Acids and Peptides | 1 |
Ralf-Juergen Hauck | DE | Heidelberg | 2014-12-25 / 20140379650 - MANAGING A FILE-BASED VERSIONING SYSTEM | 3 |
Chris Hauck | US | Boulder | 2013-03-21 / 20130073339 - DEVICES, SYSTEMS, AND METHODS FOR ACQUIRING UNSOLICITED CONSUMER COMMENTS | 1 |
Bernd Hauck | US | Hamilton | 2014-11-27 / 20140349403 - LARGE COMMERCIAL SCALE LENTIVIRAL VECTOR PRODUCTION SYSTEM AND VECTORS PRODUCED THEREBY | 3 |
Hartmut A. Haucke | DE | Lubeck | 2010-02-04 / 20100029187 - METHOD AND DEVICE FOR PROCESSING FISH, POULTRY, OR OTHER MEAT PRODUCTS TRANSPORTED IN MULTITUDE ALONG A PROCESSING LINE | 1 |
Frank Haucke | DE | Berlin | 2013-10-24 / 20130277502 - FLOW BODY HAVING A LEADING EDGE, A SURFACE AND AN ACTIVE FLOW CONTROL SYSTEM AND VEHICLE COMPRISING AT LEAST ONE SUCH FLOW BODY AND AN AIR SOURCE | 2 |
Matthias Haucke | DE | Clingen | 2016-03-10 / 20160072235 - Electrical Connector | 2 |
Walter S. Hauck, Iii | US | Madison | 2014-10-16 / 20140306837 - SYSTEM AND METHOD FOR QUALITATIVE INDICATION OF CUMULATIVE WEAR STATUS | 1 |
Dennis J. Hauck, Jr. | US | Hermosa Beach | 2010-07-29 / 20100188401 - MOTION CAPTURE USING PRIMARY AND SECONDARY MARKERS | 2 |
Elmar Haudel | DE | Wiesbaden | 2009-07-30 / 20090188479 - ARRANGEMENT AND METHOD TO OPERATE A COMBUSTION ENGINE WITH TWO DIFFERENT TYPES OF FUEL | 1 |
Chris A. Haudenschild | US | La Jolla | 2015-05-21 / 20150142769 - SCALABLE COMPUTER ARRANGEMENT AND METHOD | 3 |
Lene Haudrum | DK | Taastrup | 2013-08-15 / 20130208930 - HEARING AID SYSTEM AND METHOD OF FITTING A HEARING AID SYSTEM | 1 |
Ludovic Hauduc | US | Kirkland | 2009-03-26 / 20090083025 - SYSTEM AND METHOD FOR PROVIDING LANGUAGE LOCALIZATION FOR SERVER-BASED APPLICATIONS | 1 |
Norbert Hauel | DE | Schemmerhofen | 2015-07-30 / 20150210778 - ANTICOAGULANT ANTIDOTES | 28 |
Scott Hauenstein | US | San Diego | 2015-12-10 / 20150355195 - METHODS FOR PREDICTING AND MONITORING MUCOSAL HEALING | 8 |
Markus Hauenstein | DE | Dusseldorf | 2008-10-02 / 20080239943 - Traffic protection in a communication network | 1 |
Henning M. Hauenstein | US | Redondo Beach | 2015-02-05 / 20150035120 - Wafer Scale Package for High Power Devices | 17 |
Kenneth Lee Hauenstein | US | Seneca Falls | 2009-09-17 / 20090231153 - COMPACT SELF-CONTAINED CONDITION MONITORING DEVICE | 1 |
Evandro Hauenstein | BR | Curitiba | 2014-10-23 / 20140317440 - Method and Apparatus for Indirectly Assessing a Status of an Active Entity | 1 |
Dale Hauenstein | US | Gurnee | 2011-05-26 / 20110123702 - ROOM TEMPERATURE-CURABLE POLYMERS | 1 |
James R. Hauenstein | US | Williamson | 2013-06-27 / 20130165618 - POLYMER COMPOSITION | 2 |
Scott Hauenstein | US | San Diego | 2015-12-10 / 20150355195 - METHODS FOR PREDICTING AND MONITORING MUCOSAL HEALING | 8 |
Henning M. Hauenstein | US | Redondo Beach | 2015-02-05 / 20150035120 - Wafer Scale Package for High Power Devices | 17 |
Andreas Martin Hauenstein | US | San Mateo | 2015-11-26 / 20150335280 - System and Method of Biomechanical Posture Detection and Feedback Including Sensor Normalization | 5 |
Mark Hauenstein | US | Reno | 2014-09-18 / 20140278327 - Methods and Systems Architecture to Virtualize Energy Functions and Processes into a Cloud Based Model | 2 |
Ingrid Hauer | SE | Helsingborg | 2011-08-11 / 20110192127 - STAINLESS STEEL FOR FILTER APPLICATIONS | 2 |
Steven Hauer | US | Pittsford | 2014-04-10 / 20140100139 - METHOD FOR SCHEDULING SAMPLES IN A COMBINATIONAL CLINICAL ANALYZER | 2 |
Thomas Hauer | DE | Poing | 2011-01-06 / 20110000205 - METHOD AND DEVICE FOR CONVERTING THERMAL ENERGY INTO MECHANICAL ENERGY | 1 |
Wolfgang Hauer | DE | Aichelberg | 2009-11-19 / 20090282926 - PRESSURE-SENSOR SYSTEM | 1 |
Hermann Hauer | DE | Karlsruhe | 2009-06-04 / 20090142428 - Extracts from the Bark of Corynanthe Species and Use Thereof as Well as Medicaments, Dietetic Food Products and Pharmaceutical Preparations Containing Said Extracts | 2 |
Horst-Walter Hauer | DE | Wurzburg | 2010-09-30 / 20100242767 - PRINTING SYSTEM | 1 |
Stephen Hauer | US | Highland Park | 2013-06-20 / 20130156812 - Composition of Antigen and Method of Sublingual Administration | 1 |
Joerg Hauer | DE | Reutlingen | 2016-04-21 / 20160109236 - YAW-RATE SENSOR | 11 |
Bemhard Hauer | DE | Fussgonheim | 2012-03-22 / 20120070867 - PROCESS FOR THE ENZYMATIC REDUCTION OF ENOATES | 1 |
Jean-Claude Hauer | FR | Saulxures Les Nancy | 2009-03-26 / 20090080972 - DEVICE FOR CLOSING AN OPENING, PARTICULARLY A STREET MANHOLE | 1 |
Peter Hauer | AT | Hard | 2015-03-26 / 20150086712 - METHOD FOR COATING A PAPER WEB | 2 |
Christian Hauer | AT | Horbranz | 2013-02-14 / 20130038189 - EJECTOR FOR EJECTING A MOVABLE FURNITURE PART | 4 |
Frederick W. Hauer | US | Windsor | 2014-11-27 / 20140347154 - INTERLEAVED PLANAR PCB RF TRANSFORMER | 11 |
Bernhard Hauer | DE | Fussgongeim | 2009-04-23 / 20090104657 - Lipase Production Method | 1 |
Clemens Hauer | AT | Steinakirchen Am Forst | 2015-08-06 / 20150219297 - LIGHTING MODULE FOR A MOTOR VEHICLE | 3 |
Frederick W. Hauer | US | Windsor | 2014-11-27 / 20140347154 - INTERLEAVED PLANAR PCB RF TRANSFORMER | 11 |
Stefen Hauer | DE | Hohenkirchen-Siegertsbrunn | 2014-01-30 / 20140030468 - Laminar Structure Providing Adaptive Thermal Insulation | 1 |
Christian Hauer | AT | Hoerbranz | 2014-09-18 / 20140265783 - SYNCHRONISATION DEVICE FOR A DRAWER | 1 |
Bernhard Hauer | DE | Fussgönheim | 2008-12-25 / 20080318288 - Enzymatic Reduction for Producing Optically Active Alcohols | 1 |
Marc Hauer | CH | Zuerich | 2015-12-03 / 20150345043 - Method for Electrodeposition of an Electrode on a Dielectric Substrate | 8 |
Lars-Christian Hauer | DE | Bremen | 2014-08-07 / 20140218232 - Support System | 4 |
Uwe Hauer | AT | Nienburg | 2013-05-09 / 20130112526 - ESCALATOR OR MOVING WALKWAY WITH A STEP-BELT OR PLATE-BELT BLOCKING DEVICE | 1 |
Harald Hauer | DE | Remscheid | 2010-06-03 / 20100132853 - Method for the Treatment of Metal Sheets | 1 |
Marcus Hauer | DE | Munich | 2014-12-25 / 20140380241 - ZOOM-BASED GESTURE USER INTERFACE | 3 |
Bernhard Hauer | DE | Fussgoenheim | 2011-07-14 / 20110171700 - METHOD FOR PRODUCING L-PHENYLEPHRINE USING AN ALCOHOL DEHYDROGENASE OF AROMATOLEUM AROMATICUM EBN1 (AZOARCUS SP. EBN1) | 5 |
Bernhard Hauer | DE | Fubgonheim | 2011-06-09 / 20110137002 - METHOD FOR THE ENZYME-CATALYSED HYDROLYSIS OF POLYACRYLIC ACID ESTERS, AND ESTERASES USED THEREFOR | 1 |
Andreas Hauer | AT | Kirchschlag | 2015-01-15 / 20150017366 - SCRATCH RESISTANCE POLYPROPYLENE AT HIGH FLOW | 2 |
Theo Hauer | DE | Rothenburg O. D. Tauber | 2011-02-10 / 20110030669 - COOKING HOB WITH ONCE-PIECE FASTENING ELEMENTS AND A ONE-PIECE FASTENING ELEMENT FOR A COOKING HOB | 1 |
Marc Hauer | CH | Zuerich | 2015-12-03 / 20150345043 - Method for Electrodeposition of an Electrode on a Dielectric Substrate | 8 |
Stefan Hauer | DE | Bad Wiessee | 2015-08-13 / 20150226366 - Envelope for a Laminar Structure Providing Adaptive Thermal Insulation | 2 |
Stefan Hauer | DE | Hohenkirchen-Siergertsbrunn | 2015-08-27 / 20150238782 - Combination of an Envelope for a Laminar Structure Providing Adaptive Thermal Insulation and a Heat Protection Shield | 1 |
Joerg Hauer | DE | Reutlingen | 2016-04-21 / 20160109236 - YAW-RATE SENSOR | 11 |
Johannes Hauer | DE | Poppenricht | 2012-07-26 / 20120186955 - Electric Switch | 1 |
Uwe Hauer | DE | Nienburg | 2016-05-19 / 20160137460 - GUIDE STRIP FOR A GUIDE RAIL OF AN ESCALATOR OR A MOVING WALKWAY | 4 |
Istvan Hauer | US | Jamaica Plain | 2014-08-28 / 20140238500 - COMPRESSOR AND/OR EXPANDER DEVICE WITH ROLLING PISTON SEAL | 2 |
Bernhard Hauer | DE | Fussgonheim | 2015-05-28 / 20150147800 - MALONATE DECARBOXYLASES FOR INDUSTRIAL APPLICATIONS | 33 |
Stephan Hauer | AT | Wien | 2013-09-05 / 20130228414 - ESCALATOR OR MOVING WALKWAY WITH A SOFFIT PLATE | 1 |
Johann Hauer | DE | Erlangen | 2014-01-30 / 20140028373 - TRANSMISSION DEVICE AND SENSOR SYSTEM | 4 |
Matthew Hauer | US | Richland | 2012-11-01 / 20120278221 - PREVENTING CONFLICTS AMONG BID CURVES USED WITH TRANSACTIVE CONTROLLERS IN A MARKET-BASED RESOURCE ALLOCATION SYSTEM | 1 |
Martin Hauer-Jensen | US | Little Rock | 2015-03-26 / 20150087033 - METHODS FOR MAKING TOCOFLEXOLS AND ANALOGUES THEREOF | 3 |
Martin K. Hauer-Jensen | US | Little Rock | 2010-08-12 / 20100204254 - Use of statins in the prevention and treatment of radiation injury and other disorders associated with reduced endothelial thrombomodulin | 1 |
Martin Kristian Hauer-Jensen | US | Little Rock | 2015-12-10 / 20150353912 - METHODS FOR TREATING AND PREVENTING RADIATION INJURY USING ACTIVATED PROTEIN C POLYPEPTIDES | 1 |
Dean A. Hauersperger | US | Troy | 2012-05-24 / 20120125253 - TENDER STOWAGE METHOD AND APPARATUS | 1 |
Thomas Hauet | US | San Jose | 2010-10-14 / 20100259846 - SYSTEM, METHOD AND APPARATUS FOR STRAIN-ASSISTED MAGNETIC RECORDING FOR CONTROLLING SWITCHING FIELD AND TIGHTENING SWITCHING FIELD DISTRIBUTION IN BIT PATTERNED MEDIA | 2 |
Thomas Hauet | FR | Nancy | 2011-04-14 / 20110085264 - PATTERNED PERPENDICULAR MAGNETIC RECORDING MEDIUM WITH DATA ISLANDS HAVING A FLUX CHANNELING LAYER BELOW THE RECORDING LAYER | 1 |
Thierry Hauet | FR | Saint Benoit | 2011-04-07 / 20110081295 - PERIPHERAL-TYPE BENZODIAZEPINE RECEPTOR EXPRESSION LEVEL AS AN INDEX OF ORGAN DAMAGE AND REGENERATION | 2 |
Thierry Hauet | FR | Mignaloux Beauvoir | 2009-05-14 / 20090123904 - COMPOSITION FOR PRESERVING CELLS, TISSUES, OR ORGANS, PRODUCTION PROCESS AND USES | 1 |
Thierry Hauet | FR | Miganloux Beauvoir | 2014-04-24 / 20140113274 - ORGAN-PRESERVING COMPOSITION AND USES | 1 |
Ulrich Haueter | CH | Grosshoechstettn | 2014-07-03 / 20140187891 - MODULE FOR A COMPUTER INTERFACE | 2 |
Ulrich Haueter | CH | Grosshochstetten | 2014-10-09 / 20140301868 - DOSING DEVICE FOR AN INFUSION SYSTEM AND METHOD THEREOF | 18 |
Philipp Haueter | CH | Rombach | 2013-10-10 / 20130263595 - SOLAR RECEIVER, METHOD OF COOLING A SOLAR RECEIVER AND A POWER GENERATION SYSTEM | 1 |
Ulrich Haueter | CH | Grosshoechstetten | 2015-03-05 / 20150065958 - Dosing Unit for an Ambulatory Infusion Device | 11 |
Ulrich Haueter | CH | Grosshoechstetten | 2015-03-05 / 20150065958 - Dosing Unit for an Ambulatory Infusion Device | 11 |
Ulrich Haueter | CH | Schweiz | 2010-04-01 / 20100082167 - METHOD FOR SETTING A BASAL RATE PROFILE FOR AN INSULIN PUMP | 1 |
Ulrich Haueter | CH | Grosshochstetten | 2014-10-09 / 20140301868 - DOSING DEVICE FOR AN INFUSION SYSTEM AND METHOD THEREOF | 18 |
Ueli Haueter | CH | Grosshochstetten | 2012-03-15 / 20120065596 - METHOD AND DEVICE FOR IDENTIFYING A CHANGE IN PRESSURE IN THE LIQUID PATH OF A MICRODOSING DEVICE | 2 |
Philipp Haueter | CH | Aarau | 2012-09-06 / 20120222355 - METHOD FOR GASIFICATION OF CARBONACEOUS SOLID MATERIALS | 1 |
Christopher Haueter | US | Redondo Beach | 2014-03-20 / 20140075648 - GI WITH TANGLE-PROOF DRAWSTRING | 1 |
Roland Hauf | DE | Leonberg | 2010-05-06 / 20100108019 - OIL SUMP FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Johannes Hauf | DE | Stuttgart | 2013-08-15 / 20130211770 - METHOD AND AUTOMATIC TEST EQUIPMENT FOR PERFORMING A PLURALITY OF TESTS OF A DEVICE UNDER TEST | 1 |
Christopher R. Hauf | US | Pittsford | 2010-04-29 / 20100103438 - ADAPTIVE COLOR TRANSFORM TO CONTROL COLOR INCONSTANCY | 1 |
Uwe Hauf | DE | Wendelstein | 2010-08-12 / 20100200410 - VERTICAL SYSTEM FOR THE PLATING TREATMENT OF A WORK PIECE AND METHOD FOR CONVEYING THE WORK PIECE | 1 |
Christopher R. Hauf | US | Belmont | 2016-05-12 / 20160133881 - Nozzle-Droplet Combination Techniques to Deposit Fluids in Substrate Locations within Precise Tolerances | 3 |
Christopher Hauf | US | Belmont | 2015-12-24 / 20150373305 - Fast Measurement of Droplet Parameters in Industrial Printing System | 1 |
Christopher R. Hauf | US | Menlo Park | 2015-04-09 / 20150099059 - Techniques for Print Ink Droplet Measurement and Control to Deposit Fluids within Precise Tolerances | 1 |
Markus Hauf | DE | Ulm | 2016-02-18 / 20160048088 - DEVICE FOR CONTROLLING TEMPERATURE OF AN OPTICAL ELEMENT | 31 |
Uwe Hauf | DE | Wendestein | 2014-05-22 / 20140138252 - Aqueous Acidic Bath for Electrolytic Deposition of Copper | 1 |
Ronald Hauf | DE | Mohrendorf | 2013-11-21 / 20130307799 - TOUCHSCREEN WITH ANALOG PRESSURE DETECTION AS USER INTERFACE FOR INDUSTRIAL TECHNICAL DEVICE | 4 |
Harald Hauf | FR | La Seyne Sur Mer | 2013-10-31 / 20130289783 - METHOD OF OPERATION AND DEVICE FOR CONTROLLING AN ENERGY INSTALLATION HAVING PHOTOVOLTAIC MODULES | 3 |
Markus Hauf | DE | Ichenhausen | 2011-01-27 / 20110019169 - PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 2 |
Stefan Haufe | DE | Neubiberg | 2016-05-05 / 20160126538 - ELECTRODE MATERIAL AND USE THEREOF IN LITHIUM ION BATTERIES | 3 |
Stefan Haufe | DE | Goettingen | 2008-12-11 / 20080305370 - FUEL CELL SYSTEM AND METHOD OF OPERATING A FUEL CELL | 1 |
Guenter Haufe | DE | Muenster | 2014-06-19 / 20140170067 - NEW LIGANDS FOR TARGETING OF S1P RECEPTORS FOR IN VIVO IMAGING AND TREATMENT OF DISEASES | 3 |
Andreas Haufe | DE | Ludwigshafen | 2008-10-30 / 20080269437 - Catalyst Comprising Chromium and Zirconium for the Polymerization and/or Copolymerization of Olefins | 1 |
Scott M.w. Haufe | US | Niceville | 2016-02-04 / 20160030106 - SURGICAL TOOLS FOR SPINAL FACET THERAPY TO ALLEVIATE PAIN AND RELATED METHODS | 3 |
Svent Haufe | DE | Diera-Zehren | 2015-08-06 / 20150216871 - Use of a Humic Acid Preparation for Treating Warm-Blooded Animals | 1 |
Michael K. Haufe | US | Upper Arlington | 2014-10-09 / 20140298764 - INDUSTRIAL COATING APPLICATION FILTER WITH PLEATED SUPPORT | 1 |
Christian Haufe | DE | Dresden | 2012-11-29 / 20120304030 - SEMICONDUCTOR-BASED TEST DEVICE THAT IMPLEMENTS RANDOM LOGIC FUNCTIONS | 4 |
Markus Haufe | CH | Zurich | 2015-08-20 / 20150232686 - ADHESION PROMOTER COMPOSITION FOR POLYOLEFINIC MEMBRANES | 4 |
Robert E. Haufler | CA | Toronto | 2015-01-15 / 20150014522 - FIRST AND SECOND ORDER FOCUSING USING FIELD FREE REGIONS IN TIME-OF-FLIGHT | 2 |
Roland Haufler | DE | Regensburg | 2015-12-31 / 20150378368 - Method For Operating A Switch Valve | 2 |
Mark E. Haufler | US | Cincinnati | 2013-05-02 / 20130104309 - BIRTHING BED LIFT OFF FOOT SECTION | 4 |
Susan Haug | US | Henderson | 2008-11-13 / 20080278960 - ILLUMINATING STRUCTURE | 1 |
Matthias Haug | DE | Renningen | 2016-02-18 / 20160050291 - COMMUNICATION METHOD FOR TRANSMITTING USEFUL DATA AND CORRESPONDING COMMUNICATION SYSTEM | 1 |
Ingvild Haug | NO | Tromso | 2014-01-02 / 20140005179 - ORAL PHARMACEUTICAL DISPERSION COMPOSITIONS | 1 |
Peter Haug | DE | Ellwangen | 2012-06-28 / 20120164502 - GALVANIC ELEMENT AND SEPARATOR HAVING IMPROVED SAFETY PROPERTIES | 4 |
Christopher Haug | DE | Muenchen | 2011-03-10 / 20110058037 - FIRE DETECTION DEVICE AND METHOD FOR FIRE DETECTION | 1 |
Alexander Haug | DE | Remseck | 2011-06-16 / 20110139720 - INTERRUPTION OF MEASURED VARIABLE ANALYSES IN AN AUTOMATIC WATER SOFTENING SYSTEM WHEN DEFINED OPERATING SITUATIONS ARE PRESENT | 3 |
Richard C. Haug | US | Center Moriches | 2010-09-30 / 20100242319 - BADGE FOR DISPLAYING MULTIPLE AND INTERCHANGEABLE PIECES OF INFORMATION | 1 |
Matthias Haug | DE | Pleidelsheim | 2011-11-24 / 20110288727 - CONTROL DEVICE FOR ASSISTING A PARKING MANEUVER | 4 |
Jens Haug | DE | Dettenhausen | 2010-11-04 / 20100277042 - Electrical actuating drive | 1 |
Martin Haug | DE | Nordlingen | 2010-09-16 / 20100233102 - USE OF 4-HYDROXYDIHYDROCHALCONES AND THEIR SALTS FOR ENHANCING AN IMPRESSION OF SWEETNESS | 1 |
Peter Haug | DE | Singen | 2010-09-09 / 20100224808 - VALVE ASSEMBLY COMPRISING A TORQUE LIMITER | 1 |
Willi Haug | DE | Freudenstadt-Musbach | 2016-02-18 / 20160046536 - ELECTRICALLY IGNITABLE CASELESS PROPELLANT CHARGE, THE PRODUCTION AND USE THEREOF | 4 |
Michael Haug | DE | Vaihingen/enz | 2010-06-24 / 20100154903 - CIRCUIT CONFIGURATION FOR REGULATING CURRENT IN A VALVE COIL | 1 |
Thomas Haug | DE | Birkenfeld | 2010-05-06 / 20100110620 - CONTROL DEVICE PARTICULARLY REMOTE CONTROL FOR INDUSTRIAL APPLIANCES | 1 |
Ingvild Johanne Haug | NO | Tromso | 2015-02-05 / 20150037438 - CHEWABLE GELLED EMULSIONS | 8 |
Harald Haug | DE | Landshut | 2013-10-31 / 20130285445 - Circuit Arrangement Comprising a Monitoring Device | 1 |
Erick Bengt Haug | NO | Tortnes | 2011-07-14 / 20110172145 - ANTIMICROBIAL COMPOUNDS | 1 |
Ingvild Haug | NO | Trondheim | 2009-09-24 / 20090238866 - CHEWABLE CAPSULES | 1 |
Thomas Haug | DE | Herzogenaurach | 2010-02-11 / 20100036879 - Method, computer-readable medium, and system for storing, allocating and retrieving medical image data in a distributed computerized system of a clinical facility. | 2 |
Tilmann Haug | DE | Weissenhorn | 2012-07-12 / 20120174899 - STEEL PISTON FOR INTERNAL COMBUSTION ENGINES | 3 |
Matthias M. Haug | DE | Luedinghausen | 2013-04-25 / 20130101826 - Composition, Foam and Article Made Therefrom | 1 |
Ralf Haug | DE | Deggingen | 2009-11-26 / 20090288287 - Workpiece Processing Plant | 1 |
Thomas Haug | DE | Ingolstadt | 2013-05-30 / 20130135883 - Optoelectronic Lighting Module and Motor Vehicle Headlight | 2 |
Eberhard Haug | DE | Kirchheim Unter Teck | 2009-09-17 / 20090230883 - Stacked LED Controllers | 1 |
Alfred Haug | DE | Kirchheim | 2016-01-07 / 20160006069 - Housing for a Fuel Cell Stack | 2 |
Michael Haug | DE | Vaihingen | 2009-01-29 / 20090029573 - Contact Device | 1 |
Kai Uwe Haug | DE | Nusplingen | 2009-01-22 / 20090020055 - Clamping device for needles | 1 |
Martin Haug | DE | Noerdlingen | 2008-12-11 / 20080305052 - Use of Hesperetin for Enhancing the Sweet Taste | 1 |
Thomas Haug | DE | Straubing | 2008-11-27 / 20080289602 - METHOD FOR CONTROLLING AN INTERNAL COMBUSTION ENGINE | 1 |
Andrew Haug | US | Holyoke | 2008-11-20 / 20080286616 - Alloy Catalysts for Extending Life of Fuel Cell Membranes and Ionomer | 1 |
Stefan Haug | DE | Waldenbuch | 2008-11-27 / 20080290196 - Deformation-Optimized Armature Guide For Solenoid Valves | 1 |
Tilman Haug | DE | Weissenhorn | 2008-09-04 / 20080210514 - Synchronizer Ring and Process | 1 |
Hans-Jürgen Haug | DE | Mossingen | 2012-01-12 / 20120006064 - KNITTING SYSTEM WITH FLATTENED GUIDE CHANNELS | 1 |
Stuart Haug | US | Hackensack | 2012-05-03 / 20120106664 - Variable Symbol Period Assignment And Detection | 5 |
Stuart L. Haug | US | Hackensack | 2015-03-05 / 20150067431 - DATA RECOVERY OF DATA SYMBOLS RECEIVED IN ERROR | 6 |
Ulrich R. Haug | US | Campbell | 2016-05-19 / 20160135951 - LOW PROFILE HEART VALVE AND DELIVERY SYSTEM | 23 |
Tobias Haug | DE | Rellingen | 2012-08-02 / 20120198368 - USER INTERFACE STYLE GUIDE COMPLIANCE | 4 |
Mary-Elise Haug | US | Indianapolis | 2010-05-06 / 20100111522 - APPARATUS AND METHOD FOR MANAGING A NETWORK | 2 |
Timothy Haug | US | Jacksonville | 2015-02-26 / 20150058259 - METHOD AND SYSTEM OF ENABLING INVESTMENT IN REAL ESTATE ASSETS IN EXCHANGE FOR PERIODIC PAYMENTS | 3 |
Franz-Joseph Haug | CH | Saint-Blaise | 2012-07-26 / 20120186642 - SOLAR CELL AND ITS PRODUCTION PROCESS | 2 |
James Haug | US | Littleton | 2012-11-01 / 20120273203 - DOWNHOLE MIXING DEVICE FOR MIXING A FIRST FLUID WITH A SECOND FLUID | 1 |
Ralf Haug | US | Largo | 2014-08-07 / 20140222752 - DATA RECORDS SELECTION | 1 |
Friedrich Haug | FR | Thoiry | 2015-07-09 / 20150192116 - Cryogenic Cooling Pump and Method | 1 |
Brian Erik Haug | US | Portland | 2011-02-24 / 20110046471 - Electrode Lead Set for Measuring Physiologic Information | 6 |
Martin Haug | CN | Shanghai | 2016-05-05 / 20160126946 - CONTROL OF A HALF-BRIDGE | 1 |
Gunter Haug | DE | Walldorf | 2012-06-21 / 20120159460 - PREFERRED COMPILATION | 1 |
Walter Haug | DE | Herrenberg | 2014-12-11 / 20140360010 - BATTERY SYSTEM FOR ELECTRICAL DEVICES | 2 |
Steven Alan Haug | US | Nolensville | 2011-09-22 / 20110226799 - ADJUSTABLE CUP DISPENSER | 1 |
Peter J. Haug | US | Salt Lake City | 2010-06-24 / 20100161316 - PROBABILISTIC NATURAL LANGUAGE PROCESSING USING A LIKELIHOOD VECTOR | 1 |
Ingvild Johanne Haug | NO | Tromso | 2015-02-05 / 20150037438 - CHEWABLE GELLED EMULSIONS | 8 |
Manfred Haug | DE | Taufkirchen | 2012-05-03 / 20120104172 - Fuel Line in an Aircraft | 1 |
Tor Haug | NO | Kvaloysletta | 2010-04-15 / 20100093642 - NOVEL POLYPEPTIDES | 1 |
Andrew T. Haug | US | Saint Paul | 2010-06-24 / 20100159298 - FUEL CELL MEMBRANE ELECTRODE ASSEMBLY WITH MULTILAYER CATHODE | 1 |
Claire Haug | FR | Saint-Louis | 2014-06-12 / 20140162959 - SUSPENSION TYPE TOPICAL FORMULATIONS COMPRISING CYCLIC DEPDIPEPTIDE | 3 |
Ulrich R. Haug | US | Campbell | 2016-05-19 / 20160135951 - LOW PROFILE HEART VALVE AND DELIVERY SYSTEM | 23 |
Wolfgang Haug | DE | Reutingen | 2014-08-07 / 20140219822 - METHOD AND DEVICE FOR CONTROLLING A TORQUE OF AN ELECTRIC MOTOR OF AN ELECTRO-HYDRAULIC SYSTEM | 1 |
Andrew Haug | US | Alexandria | 2015-12-10 / 20150352512 - BIOLOGICAL SAMPLE ANALYTICAL INSTRUMENT | 1 |
Florian Haug | DE | Ditzingen | 2015-09-03 / 20150248120 - Method for Operating Mobile Platforms | 2 |
Peter Haug | DE | Calw | 2014-01-09 / 20140010697 - POLYETHERIMIDE PUMP | 1 |
Walter Haug | US | Plano | 2015-09-03 / 20150245758 - Liquid Extraction Cleaning Device | 7 |
Andrew T. Haug | US | Woodbury | 2015-05-07 / 20150125594 - FUEL CELL MEMBRANE ELECTRODE ASSEMBLY WITH MULTILAYER CATHODE | 1 |
Patrick Haug | DE | Schopfloch | 2012-12-06 / 20120309600 - Tool Cartridges for Sheet Metal Processing Tools | 1 |
Rune Morten Haug | NO | Trondheim | 2011-10-06 / 20110241453 - ELECTRICAL MACHINE AND METHOD FOR THE MANUFACTURE OF STATOR SECTIONS THEREFOR | 1 |
Michael Haug | DE | Bretten | 2013-10-03 / 20130257198 - Cooling System and Geared Motor | 1 |
Marcus Haug | DE | Friedrichshafen | 2015-10-15 / 20150291170 - METHOD FOR FREEING A VEHICLE BY ROCKING WHEN THE VEHICLE GOT STUCK | 4 |
Gebhard Haug | DE | Mossingen | 2015-01-15 / 20150016006 - METHOD AND APPARATUS FOR DEMAGNETIZING TRANSFORMER CORES IN CLOSED LOOP MAGNETIC CURRENT SENSORS | 1 |
Juergen Haug | DE | Bundesland | 2011-07-21 / 20110177433 - ANODE FOR A MOLTEN CARBONATE FUEL CELL AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Joachim Haug | DE | Mundelsheim | 2015-09-24 / 20150266359 - AIR CONDITIONING SYSTEM | 1 |
Tobias Haug | DE | Reilingen | 2012-06-14 / 20120151340 - GLOBAL SETTINGS FOR THE ENABLEMENT OF CULTURE-BASED GESTURES | 2 |
Lars-Erik Haug | US | Gilroy | 2014-02-06 / 20140040247 - VISUALIZING TIME-DENSE EPISODES | 6 |
Walter Haug | DE | Sindelfingen | 2014-10-30 / 20140325140 - AUTOMATIC CREATION, DEPLOYMENT, AND UPGRADE OF DISK IMAGES | 1 |
Martin Haug | DE | Weil Der Stadt | 2014-12-18 / 20140366844 - INJECTOR SYSTEM, IN PARTICULAR INJECTOR BLOCK FOR FUEL INJECTION SYSTEMS | 2 |
Karsten Haug | DE | Stuttgart | 2015-01-22 / 20150024239 - Cover for Batteries | 13 |
Bengt Erik Haug | NO | Tertnes | 2012-06-14 / 20120149908 - TRIAZOLYLPIPERIDINE DERIVATIVES AND METHOD FOR MAKING THE SAME | 2 |
Bengt Erik Haug | NO | Tromso | 2012-05-03 / 20120108520 - Antimicrobial Compounds and Formulations | 2 |
Andrew T. Haug | US | St. Paul | 2014-11-06 / 20140329165 - FUEL CELL ELECTRODES WITH CONDUCTION NETWORKS | 5 |
Thomas Peter Haug | CH | Langnau Im Emmental | 2009-03-26 / 20090083198 - Process for franking, printing, and/or producing images on flat mail items | 1 |
Ingvild Johanne Haug | NO | Sandefjord | 2009-05-21 / 20090131541 - Gelatin-containing topical composition | 1 |
Claire Haug | CH | Basel | 2011-05-12 / 20110112121 - Pharmaceutical Compositions and Solid Forms | 1 |
Ingrild Haug | NO | Trondheim | 2009-09-03 / 20090220576 - EMULSION | 1 |
Marcus Haug | DE | Steinheim An Der Murr | 2013-01-31 / 20130025076 - ROAD SWEEPER | 1 |
Marc Haug | DE | Leipzig | 2014-08-21 / 20140232206 - METHOD FOR OPTIMIZING THE YIELD OF A PARTIALLY SHADED PHOTOVOLTAIC ARRAY | 1 |
Kristina Haugaa | NO | Oslo | 2011-10-27 / 20110263996 - METHODS FOR ESTIMATING THE RISK FOR VENTRICULAR ARRHYTHMIAS IN A SUBJECT | 1 |
Bradley R. Haugaard | US | Monrovia | 2010-04-29 / 20100106706 - Method and apparatus for identifying related searches in a database search system | 1 |
Steen B. Haugaard | DK | Frederiksberg | 2014-12-18 / 20140370527 - METHOD AND TOOL FOR PREDICTING CANCER AND OTHER DISEASES | 2 |
Philip C. Haugaard | CH | Tegna | 2015-07-23 / 20150207909 - TABLET HOLDING SYSTEM | 1 |
Dave Haugaard | US | San Jose | 2015-08-13 / 20150223879 - ENERGY DELIVERY DEVICES | 7 |
Erik Haugaard | DK | Graasten | 2016-05-19 / 20160138398 - HYDRAULIC VANE-TYPE MACHINE | 7 |
Eric Haugaard | US | Kenosha | 2008-11-13 / 20080278953 - Toggle Mount Assembly | 1 |
Erik Ross Haugaard | US | Seattle | 2014-06-12 / 20140161669 - MERCURY MONITORING SYSTEMS AND METHODS | 1 |
Eric J. Haugaard | US | Kenosha | 2008-11-27 / 20080291680 - Luminaire with a Compound Parabolic Reflector | 2 |
Per Haugaard | DK | Skovlunde | 2014-03-13 / 20140073923 - VELOCITY ESTIMATION FOR VECTOR FLOW IMAGING (VFI) IN ULTRASOUND | 2 |
Andreas Haugaard | DK | Humlebaek | 2015-07-23 / 20150207909 - TABLET HOLDING SYSTEM | 1 |
Ketil Jorgen Haugan | DK | Slangerup | 2010-09-30 / 20100249206 - MODIFIED LYSINE-MIMETIC COMPOUNDS | 1 |
Paul R. Haugan | US | Bloomington | 2012-05-31 / 20120133920 - HIGH SPEED, HIGH RESOLUTION, THREE DIMENSIONAL PRINTED CIRCUIT BOARD INSPECTION SYSTEM | 1 |
Thomas Ranes Haugan | NO | Haslum | 2011-01-13 / 20110005390 - CO2 ABSORBENT AND METHOD FOR CO2 CAPTURE | 1 |
Espen Haugan | NO | Trodheim | 2014-02-27 / 20140055227 - SUBSEA FUSE ASSEMBLY | 1 |
Espen Haugan | NO | Trondheim | 2015-07-02 / 20150188297 - Subsea Transformer Enclosure | 10 |
Espen Haugan | NO | Trondheim | 2015-07-02 / 20150188297 - Subsea Transformer Enclosure | 10 |
Ketil Jørgen Haugan | DK | Slangerup | 2013-08-29 / 20130225644 - MODIFIED LYSINE-MIMETIC COMPOUNDS | 2 |
Jarle Andre Haugan | NO | Oslo | 2012-09-27 / 20120244072 - PREPARATION OF N-MONOFLUOROALKYL TROPANES | 1 |
Axel Haugan | NO | Oslo | 2010-02-25 / 20100045080 - Folded seat stamped out of a sheet | 1 |
Olav Haugan | US | San Diego | 2015-07-16 / 20150199279 - METHOD AND SYSTEM FOR METHOD FOR TRACKING TRANSACTIONS ASSOCIATED WITH A SYSTEM MEMORY MANAGEMENT UNIT OF A PORTABLE COMPUTING DEVICE | 4 |
Marianne Haugan | NO | Trondheim | 2016-04-21 / 20160108324 - METHOD AND SYSTEM FOR PREPARING A PIPELINEABLE HYDROCARBON MIXTURE | 4 |
Timothy Haugan | US | Beavercreek | 2010-05-06 / 20100113280 - Porous ceramic high temperature superconductors and method of making same | 1 |
Carl E. Haugan | US | St. Paul | 2016-03-17 / 20160078610 - POINT CLOUD MERGING FROM MULTIPLE CAMERAS AND SOURCES IN THREE-DIMENSIONAL PROFILOMETRY | 9 |
Carl Haugan | US | St. Paul | 2011-07-21 / 20110175997 - HIGH SPEED OPTICAL INSPECTION SYSTEM WITH MULTIPLE ILLUMINATION IMAGERY | 1 |
Carl E. Haugan | US | St. Paul | 2016-03-17 / 20160078610 - POINT CLOUD MERGING FROM MULTIPLE CAMERAS AND SOURCES IN THREE-DIMENSIONAL PROFILOMETRY | 9 |
Gjermund Haugbro | TW | Taichung | 2009-11-05 / 20090272008 - Sole Structures and Articles of Footwear Including Such Sole Structures | 1 |
Gjermund Haugbro | US | Beaverton | 2016-04-21 / 20160107405 - Article of Footwear Formed From Two Preforms and Method and Mold for Manufacturing Same | 4 |
Gjermund Haugbro | US | 2013-02-14 / 20130036627 - ARTICLE OF FOOTWEAR FORMED FROM TWO PREFORMS AND METHOD AND MOLD FOR MANUFACTURING SAME | 1 | |
Gjermund Haugbro | VN | Hochiminh City | 2013-08-08 / 20130199059 - ARTICLE OF FOOTWEAR FORMED FROM TWO PREFORMS AND METHOD AND MOLD FOR MANUFACTURING SAME | 1 |
Kristin Haug Collet | US | Des Moines | 2014-09-11 / 20140259225 - MANIPULATION OF SERINE/THREONINE PROTEIN PHOSPHATASES FOR CROP IMPROVEMENT | 1 |
Carl Christian Hauge | NO | Amundsdalen | 2012-05-03 / 20120107202 - PROCESS FOR MINIMISING THE EMISSION OF PARTICULAR MATTER FROM MARINE DIESEL ENGINES | 1 |
Espen Hauge | NO | Oslo | 2014-12-18 / 20140367067 - SUBSEA HEAT EXCHANGER | 1 |
Ragnar Hauge | NO | Oslo | 2015-11-05 / 20150316668 - METHOD OF MODELLING A SUBTERRANEAN REGION OF THE EARTH | 2 |
Robert H. Hauge | US | Houston | 2015-01-22 / 20150023858 - REBAR HYBRID MATERIALS AND METHODS OF MAKING THE SAME | 13 |
Eric C. Hauge | US | Chico | 2015-10-22 / 20150298289 - SURFACE APPLIED ABRASIVE CLEANING APPARATUS AND METHOD | 3 |
Leif J. Hauge | US | Virginia Beach | 2016-03-24 / 20160084269 - ROTOR POSITIONING SYSTEM IN A PRESSURE EXCHANGE VESSEL | 2 |
Brian Hauge | US | Lisle | 2016-04-14 / 20160104990 - RIGHT ANGLE ADAPTOR | 3 |
Odd Hauge | US | Kirkland | 2014-06-12 / 20140157888 - WETLAND METER | 1 |
Robert Hauge | US | Houston | 2011-12-29 / 20110318248 - Methods for Solubilizing and Separating Large Fullerenes | 2 |
Anders Hauge | US | Seattle | 2011-11-10 / 20110276953 - DYNAMIC TOKEN RESOLUTION DURING COMPILATION | 2 |
Brian Hauge | US | Wildwood | 2013-10-17 / 20130276161 - TRANSGENIC PLANTS WITH ENHANCED AGRONOMIC TRAITS | 3 |
Patrick Hauge | US | Bellevue | 2014-05-29 / 20140144012 - HALIBUT RELEASE LINER FOR A DEFIBRILLATOR ELECTRODE PAD | 4 |
David Lawrence Hauge | US | Mercer Island | 2010-07-15 / 20100176551 - Board Game | 1 |
Russ Hauge | US | Woodbury | 2015-10-29 / 20150306467 - PORTABLE GOLF ACCESSORY APPARATUS | 4 |
Raymond C. Hauge | US | Fox River Grove | 2010-03-18 / 20100067704 - KEY MANAGEMENT SYSTEM | 4 |
Anders Hauge | DK | Kobenhavn | 2008-10-23 / 20080263440 - Transformation of Versions of Reports | 1 |
Christy L Hauge | US | Port St. Lucie | 2009-08-20 / 20090207498 - DEVICE AND METHODS FOR MAGNIFYING TEXT | 1 |
Roger Hauge | NO | Gursken | 2012-05-17 / 20120123042 - POLYMER COMPOSITION | 3 |
Susan E. Hauge | US | Phoenix | 2008-10-16 / 20080255886 - System and Method of Confirming a Transaction Between Parties | 1 |
Brian M. Hauge | US | Wildwood | 2015-05-14 / 20150135372 - Transgenic Plants With Enhanced Agronomic Traits | 2 |
Sissel Hauge | NO | Oslo | 2010-09-09 / 20100228006 - Process for purifying lipopeptides | 1 |
Chad R. Haugeberg | US | Arlington | 2013-08-15 / 20130206898 - Pilot Control System with Pendent Grip | 1 |
Chad Haugeberg | US | Arlington | 2015-10-01 / 20150275998 - Spring Tension Adjustment Mechanism | 1 |
Bryan Haugeberg | US | Snohomish | 2012-09-27 / 20120244987 - LOCKING DIFFERENTIAL ASSEMBLY | 2 |
Glenn Haugeberg | NO | Kristiansand S. | 2010-02-18 / 20100040630 - METHODS AND COMPOSITIONS FOR TREATING BONE LOSS | 1 |
Matthew George Haugen | US | Scottsdale | 2016-01-28 / 20160023069 - MARKER TO DETERMINE A GOLF BALL'S ORIGINAL POSITION ON A COURSE | 1 |
Inger Lise Haugen | NO | Oslo | 2012-01-19 / 20120014991 - NOVEL, NON-ANTIGENIC, MUCOSAL ADJUVANT FORMULATION WHICH MODULATES THE EFFECTS OF SUBSTANCES, INCLUDING VACCINE ANTIGENS, IN CONTACT WITH MUCOSAL BODY SURFACES | 1 |
Peter C. Haugen | US | Livermore | 2014-10-02 / 20140292555 - FULL-WAVE RECEIVER ARCHITECTURE FOR THE HOMODYNE MOTION SENSOR | 6 |
David M. Haugen | US | Pacific Grove | 2016-02-25 / 20160052590 - VEHICLE SUSPENSION DAMPER | 16 |
Amy Haugen | US | Portola Valley | 2009-01-22 / 20090024485 - System And Method For Determining The Level Of An Authentication Required For Redeeming A Customers Award Credits | 1 |
Frances Bordwell Haugen | US | Mountain View | 2016-03-17 / 20160078479 - Generating an Advertisement Associated with a Multi-Party Communication Session and a Named Topic | 9 |
Nicholas Donald Joseph Haugen | US | Holland | 2014-12-04 / 20140354807 - JEWELRY BOX CAMERA | 1 |
Kenneth Haugen | US | Boise | 2010-07-01 / 20100164843 - Removable system management information display | 1 |
Patricia L. Haugen | US | Minnetonka | 2011-12-29 / 20110320350 - TRANSACTION PRODUCT WITH A PLURALITY OF CARDS | 2 |
Mathew A. Haugen | US | Hector | 2010-01-14 / 20100007180 - Standing Frame with Supine Mode | 1 |
Matthew William Haugen | US | St. Francis | 2010-11-11 / 20100286729 - DECOMPRESSION TRACTION DEVICE AND METHOD | 1 |
Bryan R. Haugen | US | Englewood | 2012-06-07 / 20120142030 - Biomarkers for Follicular Thyroid Carcinoma and Methods of Use | 1 |
Harald S. Haugen | US | Seattle | 2009-11-19 / 20090285775 - TREATMENT OF WOUNDS USING IL-17B | 1 |
Gregory M. Haugen | US | Edina | 2016-03-17 / 20160079604 - CATALYST ELECTRODES AND METHOD OF MAKING IT | 12 |
Jonny Haugen | NO | Randaberg | 2012-06-14 / 20120145412 - EXTENDING LINES THROUGH, AND PREVENTING EXTRUSION OF, SEAL ELEMENTS OF PACKER ASSEMBLIES | 3 |
Havard Jostein Haugen | NO | Oslo | 2010-01-21 / 20100016989 - METAL OXIDE SCAFFOLDS | 1 |
Kersti Haugen | DK | Vejle | 2010-06-17 / 20100147186 - Composition | 1 |
Morten Lennart Haugen | NO | Toensberg | 2010-05-06 / 20100113926 - SYSTEM AND METHOD FOR CLUTTER FILTER PROCESSING FOR IMPROVED ADAPTIVE BEAMFORMING | 1 |
Robert Kenneth Haugen | US | Statesville | 2011-11-17 / 20110281514 - APPARATUS FOR DIRECTING AIR FLOW IN A BIOLOGICAL SAFETY CABINET | 3 |
Sandra Haugen | US | Papillion | 2009-10-29 / 20090269711 - COLOR ENHANCEMENT SYSTEM FOR FIRES | 1 |
Paul R. Haugen | US | Bloomington | 2015-02-12 / 20150045927 - STENCIL PROGRAMMING AND INSPECTION USING SOLDER PASTE INSPECTION SYSTEM | 9 |
Mathew Allan Haugen | US | Hector | 2013-06-27 / 20130161988 - SEAT | 3 |
Svein Arild Haugen | NO | Bergen | 2015-10-22 / 20150300848 - SUBSEA SENSOR ASSEMBLIES | 3 |
Geir Ultveit Haugen | NO | Oslo | 2015-03-26 / 20150087988 - ULTRASOUND TRANSDUCER ARRAYS | 4 |
Joel Dale Haugen | US | Rochester | 2010-06-10 / 20100142085 - MAGNETIC RECORDING DISK AND DISK DRIVE WITH ALTERNATING SINGLE-POLARITY POSITION ERROR SIGNAL (PES) BLOCKS FOR READ/WRITE HEAD POSITIONING | 1 |
Peter Clifford Haugen | US | Livermore | 2013-05-02 / 20130106644 - ULTRA LOW POWER HOMODYNE MOTION SENSOR | 2 |
David L. Haugen | US | Phoenix | 2009-05-21 / 20090132157 - SYSTEMS AND METHODS FOR CONSTRUCTING VARIABLE OFFSET PATHS | 1 |
Darrin J. Haugen | US | Burien | 2014-01-16 / 20140013629 - SKI/WALK MECHANISM | 2 |
Jennifer A. Haugen | US | Rochester | 2015-11-05 / 20150317946 - ASSISTANCE FOR COLOR RECOGNITION | 2 |
Svein A. Haugen | NO | Bergen | 2015-06-25 / 20150177403 - SUBSEA EQUIPMENT MONITORING SYSTEM | 1 |
Morten Lennart Haugen | NO | Tonsberg | 2013-08-01 / 20130194891 - METHOD AND SYSTEM FOR MONITORING A TRANSDUCER ARRAY IN AN ULTRASOUND SYSTEM | 1 |
Ivan Tony Haugen | US | Minnetonka | 2013-05-23 / 20130126635 - MULTI-NOZZLE ROTARY SPRINKLER | 1 |
Geir Haugen | NO | Oslo | 2013-08-01 / 20130194891 - METHOD AND SYSTEM FOR MONITORING A TRANSDUCER ARRAY IN AN ULTRASOUND SYSTEM | 1 |
Michael Lee Haugen | US | Alpine | 2011-07-28 / 20110181295 - FAULT DETECTION USING COMBINED REFLECTOMETRY AND ELECTRONIC PARAMETER MEASUREMENT | 1 |
Hayes Haugen | US | Seattle | 2014-11-20 / 20140342710 - METHOD AND APPARATUS FOR PROVIDING MOBILE DEVICE INFORMATION THROUGH A COMPUTING DEVICE | 1 |
Geir Ultveit Haugen | NO | Stabekk | 2015-07-02 / 20150182187 - SYSTEM AND METHOD FOR TRACKING AN INVASIVE DEVICE USING ULTRASOUND POSITION SIGNALS | 1 |
Christopher J. Haugen | US | Lake Elmo | 2014-11-27 / 20140345194 - TREE INJECTION SYSTEM | 1 |
Havard J. Haugen | NO | Oslo | 2015-09-10 / 20150250923 - HYDROGEL COATED SCAFFOLD | 8 |
Todd Haugen | US | Seattle | 2012-08-16 / 20120210296 - AUTOMATICALLY CREATING BUSINESS APPLICATIONS FROM DESCRIPTION OF BUSINESS PROCESSES | 1 |
Todd Haugen | US | Clyde Hill | 2013-12-26 / 20130346965 - IDENTIFICATION OF HOST-COMPATIBLE DOWNLOADABLE APPLICATIONS | 4 |
Jan Otto Haugen | NO | Trondheim | 2011-10-13 / 20110250068 - METHOD AND MEANS FOR ENHANCING THE EFFICIENCY OF WATER TURBINES | 1 |
Leif O. Haugen | NO | Kongsberg | 2012-06-21 / 20120153021 - REMOTE WEAPON SYSTEM | 1 |
David Haugen | US | League City | 2009-03-12 / 20090065257 - APPARATUS AND METHODS FOR UTILIZING A DOWNHOLE DEPLOYMENT VALVE | 1 |
Lowell D. Haugen | US | Gig Harbor | 2012-06-21 / 20120156154 - Preservative and Embalming Method and System | 1 |
Joern Tore Haugen | NO | Oslo | 2014-07-17 / 20140197768 - Hot Standby Power Supply for a Variable Frequency Drive | 1 |
Bjorn Olav Haugen | NO | Trondheim | 2012-03-15 / 20120065510 - ULTRASOUND SYSTEM AND METHOD FOR CALCULATING QUALITY-OF-FIT | 1 |
Svein Havard Haugen | NO | Tjodalyng | 2015-01-15 / 20150016243 - COMPACT ROUTER WITH REDUNDANCY | 1 |
Frances Bordwell Haugen | US | Mountain View | 2016-03-17 / 20160078479 - Generating an Advertisement Associated with a Multi-Party Communication Session and a Named Topic | 9 |
Austin Haugen | US | San Francisco | 2016-01-28 / 20160026732 - Comment Ordering System | 12 |
Kjetil B. Haugen | US | Houston | 2014-07-17 / 20140201450 - Optimized Matrix and Vector Operations In Instruction Limited Algorithms That Perform EOS Calculations | 1 |
Austin Daniel Haugen | US | San Francisco | 2014-08-07 / 20140222911 - IDENTIFYING INTERACTIONS BY SOCIAL NETWORKING SYSTEM USERS WITH IDENTIFIED ACTIONS PERFORMED OUTSIDE OF THE SOCIAL NETWORKING SYSTEM | 7 |
Odd Are Haugen | NO | Asgardstrand | 2013-09-05 / 20130230997 - POWER CABLE TERMINATION ARRANGEMENT | 1 |
Eric D. Haugen | US | Lakeville | 2012-09-06 / 20120224058 - AIRPLANE COCKPIT VIDEO SYSTEM | 1 |
Hävard J. Haugen | NO | Oslo | 2013-05-09 / 20130115248 - DEBRIDEMENT PASTE | 2 |
Harvey Haugen | CA | Beechy | 2014-12-04 / 20140354032 - SOLUTION MINING METHOD WITH HORIZONTAL FLUID INJECTION | 5 |
Paul R. Haugen | US | Bloomington | 2015-02-12 / 20150045927 - STENCIL PROGRAMMING AND INSPECTION USING SOLDER PASTE INSPECTION SYSTEM | 9 |
Trond Haugen | NO | Oslo | 2015-04-09 / 20150097502 - Method For Estimating Motor Parameter In A Load Commutated Inverter Arrangement, And A Load Commutated Inverter Arrangement Therefor | 1 |
Ragnar Haugen | NO | Skien | 2015-07-09 / 20150193357 - CONTROL OF SEMICONDUCTOR DEVICES | 1 |
David J. Haugen | US | Ann Arbor | 2012-12-27 / 20120324879 - Hydraulic Hybrid Vehicle with Safe and Efficient Hydrostatic Operation | 1 |
Austin Haugen | US | San Francisco | 2016-01-28 / 20160026732 - Comment Ordering System | 12 |
David Haugen | US | Pacific Grove | 2014-09-18 / 20140262653 - METHODS AND APPARATUS FOR LUBRICATING SUSPENSION COMPONENTS | 8 |
David M. Haugen | US | Pacific Grove | 2016-02-25 / 20160052590 - VEHICLE SUSPENSION DAMPER | 16 |
David M. Haugen | US | League City | 2012-11-22 / 20120292010 - APPARATUS AND METHODS FOR TUBULAR MAKEUP INTERLOCK | 5 |
Gregory M. Haugen | US | Edina | 2016-03-17 / 20160079604 - CATALYST ELECTRODES AND METHOD OF MAKING IT | 12 |
Christina Granger Morrissey Haugen | US | Monroe | 2013-10-17 / 20130269366 - MODULAR LOUVER SYSTEM | 1 |
Austin Daniel Haugen | US | 2013-03-21 / 20130073983 - INTEGRATING STRUCTURED OBJECTS AND ACTIONS GENERATED ON EXTERNAL SYSTEMS INTO A SOCIAL NETWORKING SYSTEM | 1 | |
Karrie Haugen | US | Plymouth | 2011-09-15 / 20110225023 - Prioritized Product Distribution | 1 |
Austin Daniel Haugen | US | Missouri City | 2015-09-10 / 20150256644 - Personalizing A Web Page Outside Of A Social Networking System With Content From The Social Networking System | 1 |
Thomas Haugen | US | Newport | 2010-12-16 / 20100313465 - Catching device | 1 |
Todd Haugen | US | Bellevue | 2016-02-04 / 20160036692 - Propagating Routing Awareness for Autonomous Networks | 6 |
Frances B. Haugen | US | Mountain View | 2015-11-12 / 20150324428 - Interactive Search Queries | 8 |
David James Haugen | US | Ann Arbor | 2012-01-05 / 20120000725 - Engine-Off Power Steering System | 5 |
Austin Daniel Haugen | US | Menlo Park | 2014-02-06 / 20140040729 - PERSONALIZING A WEB PAGE OUTSIDE OF A SOCIAL NETWORKING SYSTEM WITH CONTENT FROM THE SOCIAL NETWORKING SYSTEM DETERMINED BASED ON A UNIVERSAL SOCIAL CONTEXT PLUG-IN | 1 |
Havard J. Haugen | NO | Oslo | 2015-09-10 / 20150250923 - HYDROGEL COATED SCAFFOLD | 8 |
David Haugen | US | Pacific Grove | 2014-09-18 / 20140262653 - METHODS AND APPARATUS FOR LUBRICATING SUSPENSION COMPONENTS | 8 |
Frances Haugen | US | Mountain View | 2013-01-03 / 20130002710 - Selective Display of OCR'ed Text and Corresponding Images from Publications on a Client Device | 2 |
Robert Haugen | NO | Olso | 2013-09-26 / 20130251456 - APPARATUS AND METHOD OF LAYING AN ELONGATE ARTICLE FROM A VESSEL | 1 |
Frances B. Haugen | US | Mountain View | 2015-11-12 / 20150324428 - Interactive Search Queries | 8 |
Arndt Hauger | DE | Dornhan-Weiden | 2014-10-02 / 20140291307 - DEVICE AND METHOD FOR PRODUCING A GUIDE BEVEL ON A WORKPIECE, IN PARTICULAR ON A CUTTING TOOL | 1 |
Christian Hauger | DE | Donaueschingen | 2015-12-24 / 20150366326 - APPLICATOR WITH A SLOTTED TUBE AND NOTCHES | 4 |
Rudolf Hauger | DE | Munich | 2015-05-14 / 20150132461 - MOLD WITH OPTIMIZED HEAT TRANSFER PROPERTIES | 1 |
Andreas Hauger | DE | Attendorn | 2013-10-31 / 20130283881 - Process of and Device For Producing Metal Blanks With Different Thicknesses | 3 |
Armin Hauger | DE | Ammerbuch | 2016-02-11 / 20160043513 - Socket Module, Electrosurgical Device, and Set With a Docket Module | 1 |
Rudolf Hauger | DE | Rheinfelden | 2014-08-21 / 20140234523 - METHOD FOR MANUFACTURING AN AERATED CONFECTIONERY SHELL | 2 |
Bryan Hauger | US | Bartlesville | 2013-05-16 / 20130122226 - Polyethylene Additive Compositions and Articles Made From Same | 1 |
Christoph Hauger | DE | Aalen | 2016-05-19 / 20160139391 - OPTICAL SYSTEM FOR FLUORESCENCE OBSERVATION | 60 |
Hans Hauger | DE | Donaueschingen | 2015-03-26 / 20150083171 - METHOD AND APPARATUS FOR TREATING AT LEAST ONE SUBSTRATE IN A LIQUID MEDIUM | 1 |
Curran Page Hauger | US | Norfolk | 2015-07-09 / 20150189823 - DIGGING TOOL | 1 |
Tate C. Hauger | CA | Edmonton | 2015-02-05 / 20150037517 - PROCESS FOR MAKING MATERIALS WITH MICRO- OR NANOSTRUCTURED CONDUCTIVE LAYERS | 1 |
Tate C. Hauger | SA | Thuwal | 2016-05-19 / 20160141527 - SYNTHESIS OF NEW SMALL MOLECULES/OLIGOMERS WITH HIGH CONDUCTIVITY AND ABSORPTION FOR OPTOELECTRONIC APPLICATION | 1 |
Simon A. Hauger | US | Nartberth | 2011-05-19 / 20110114403 - POWERTRAIN FOR HYBRID VEHICLE | 1 |
Michael Hauger | US | Philadelphia | / - | 1 |
Benjamin P. Haugestuen | US | Fort Collins | 2012-04-26 / 20120102448 - Systems, Methods, and Programs for Leakage Power and Timing Optimization in Integrated Circuit Designs | 2 |
Julianne Frances Haugh | US | Austin | 2012-12-20 / 20120323398 - POWER MANAGEMENT | 21 |
Samuel Alan Haugh | US | San Francisco | 2015-01-15 / 20150017616 - EMPIRICAL EXPERT DETERMINATION AND QUESTION ROUTING SYSTEM AND METHOD | 1 |
Glyn Haugh | US | Greensboro | 2010-01-28 / 20100022180 - VENTILATED WINDOW ARRANGEMENT | 1 |
Justin Christopher Haugh | US | San Francisco | 2014-09-25 / 20140289610 - Determining and Displaying a Count of Unread Items in Content Feeds | 3 |
Julianne F. Haugh | US | Austin | 2016-05-05 / 20160126763 - FREQUENCY BASED RECHARGEABLE POWER SOURCE CHARGING | 18 |
Julianne F. Haugh | US | Austin | 2016-05-05 / 20160126763 - FREQUENCY BASED RECHARGEABLE POWER SOURCE CHARGING | 18 |
Tony Haugh | US | Farmington | 2014-10-02 / 20140294558 - TURBOMACHINE FLUID DELIVERY MANIFOLD AND SYSTEM | 2 |
Alan Findlay Haughan | GB | Chesterford Research Park | 2013-01-10 / 20130012515 - Fused Bicyclic Pyridine and Pyrazine Derivatives as Kinase Inhibitors | 1 |
Alan Findlay Haughan | GB | Saffron Walden Essex | 2013-01-17 / 20130018057 - Quinoline and Quinoxaline Derivatives as Kinase InhibitorsAANM Burli; RolandAACI Saffron Walden EssexAACO GBAAGP Burli; Roland Saffron Walden Essex GBAANM Haughan; Alan FindlayAACI Saffron Walden EssexAACO GBAAGP Haughan; Alan Findlay Saffron Walden Essex GBAANM Matteucci; M zioAACI Saffron Walden EssexAACO GBAAGP Matteucci; M zio Saffron Walden Essex GBAANM Owens; Andrew PateAACI Saffron Walden EssexAACO GBAAGP Owens; Andrew Pate Saffron Walden Essex GBAANM Raphy; GillesAACI Saffron Walden EssexAACO GBAAGP Raphy; Gilles Saffron Walden Essex GBAANM Sharpe; AndrewAACI Saffron Walden EssexAACO GBAAGP Sharpe; Andrew Saffron Walden Essex GB | 2 |
Alan Findlay Haughan | GB | Essex | 2012-04-19 / 20120095005 - Fused Bicyclic Pyrazole Derivatives As Kinase Inhibitors | 2 |
Alan F. Haughan | GB | Cambridge | 2016-02-11 / 20160039745 - HISTONE DEACETYLASE INHIBITORS AND COMPOSITIONS AND METHODS OF USE THEREOF | 3 |
Alen F Haughan | GB | Cambridge | 2014-06-12 / 20140163009 - HISTONE DEACETYLASE INHIBITORS AND COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Alan Findlay Haughan | UK | Berkshire | 2010-06-03 / 20100137302 - Fused Thiazole Derivatives as Kinase Inhibitors | 1 |
Alan Findlay Haughan | GB | Berkshire | 2010-12-02 / 20100305066 - Fused Thiophene Derivatives as Kinase Inhibitors | 1 |
Joseph Lee Haughawout | US | Irvine | 2014-07-31 / 20140215528 - SYSTEM AND METHOD FOR INTERACTING WITH A PROGRAM GUIDE DISPLAYED ON A PORTABLE ELECTRONIC DEVICE | 2 |
Joseph Lee Haughawout | US | Aliso Viejo | 2015-08-27 / 20150244965 - SYSTEM AND METHOD FOR SIMPLIFIED SETUP OF A UNIVERSAL REMOTE CONTROL | 12 |
Joseph Lee Haughawout | US | Aliso Viejo | 2015-08-27 / 20150244965 - SYSTEM AND METHOD FOR SIMPLIFIED SETUP OF A UNIVERSAL REMOTE CONTROL | 12 |
Allen P. Haughay | US | Morgan Hill | 2016-03-10 / 20160071521 - USER PROFILING FOR VOICE INPUT PROCESSING | 8 |
Allen P. Haughay | US | Morgan Hill | 2016-03-10 / 20160071521 - USER PROFILING FOR VOICE INPUT PROCESSING | 8 |
Allen P. Haughay | US | San Jose | 2015-09-10 / 20150255001 - SYSTEMS AND METHODS FOR PROVIDING AUTOMATED WORKOUT REMINDERS | 3 |
Allen P. Haughay, Jr. | US | San Jose | 2016-05-12 / 20160131500 - SYSTEMS AND METHODS FOR TRANSITIONING BETWEEN PEDOMETER MODES | 18 |
Skip Haughay, Jr. | US | San Jose | 2009-03-05 / 20090064202 - SUPPORT LAYER FOR ENABLING SAME ACCESSORY SUPPORT ACROSS MULTIPLE PLATFORMS | 1 |
Allen P. Haughay, Jr. | US | Morgan Hill | 2013-11-28 / 20130316639 - SYSTEMS, METHODS, AND DEVICES FOR ASSOCIATING A CONTACT IDENTIFIER WITH A BROADCAST SOURCE | 3 |
Allen Paul Haughay, Jr. | US | San Jose | 2013-06-20 / 20130159861 - Adaptive Audio Feedback System and Method | 3 |
William Harcourt Haughey | US | Rowayton | 2010-09-30 / 20100242250 - MAGNETIC BLOCKS AND METHOD OF MAKING MAGNETIC BLOCKS | 1 |
James E. Haughey | US | Butler | 2014-03-20 / 20140077042 - FIBER OPTIC CABLE PROTECTION IN A MINING SYSTEM | 2 |
Keith Haughey | GB | Liverpool | 2010-02-18 / 20100040812 - GLASS COMPOSITION | 1 |
David James Haughey | NZ | Christchurch | 2011-09-15 / 20110223651 - APPARATUS FOR TESTING ELECTRICAL ACTIVITY FROM A BIOLOGICAL TISSUE SAMPLE | 1 |
Bruce Haughey | US | Redwood City | 2010-11-18 / 20100292582 - TISSUE PROBE WITH SPEED CONTROL | 1 |
James Quinton Stewart Haughey | GB | Newry | 2013-04-25 / 20130101110 - SYSTEM AND METHOD FOR ATTACHING GEOGRAPHIC INFORMATION TO CUSTOMER REPORTS | 1 |
Norman Haughey | US | Baltimore | 2010-11-25 / 20100298394 - ANTIFUNGAL AGENTS AS NEUROPROTECTANTS | 3 |
David J. Haughey | NZ | Christchurch | 2009-03-05 / 20090059038 - Image magnifier for the visually impaired | 1 |
James Q. Haughey | GB | Newry | 2014-09-18 / 20140276442 - DUAL CHAMBER DEVICE | 1 |
Christopher Harwood Haughey | US | Los Angeles | 2010-09-30 / 20100242250 - MAGNETIC BLOCKS AND METHOD OF MAKING MAGNETIC BLOCKS | 1 |
Anne-Marie Haughey | GB | Glasgow | 2013-07-25 / 20130189641 - COMPOSITION AND METHOD FOR DETECTING OF MINERALISATION | 1 |
Erick John Haughn | US | Norcross | 2012-12-27 / 20120331537 - NETWORK-AGNOSTIC CONTENT MANAGEMENT | 7 |
Erick John Haughn | US | Narcross | 2015-11-12 / 20150326553 - MOBILE HANDSET EXTENSION TO A DEVICE | 3 |
Erick Haughn | US | Norcross | 2015-06-11 / 20150163768 - DISTRIBUTED LOCATER, ALERT, REPEATER, AND/OR CALL TECHNOLOGIES FOR COMMUNICATION DEVICES | 4 |
Karl H. Haugholt | NO | Oslo | 2011-06-23 / 20110149409 - POLYMER LENS | 1 |
Per Olav Haughom | NO | Tonstad | 2014-10-16 / 20140305265 - DEVICE FOR ACTIVATION OF GRIPPING JAWS IN CONTINUOUSLY ROTATING TORQUE TONGS FOR USE UNDER PULLING AND OPENING OF THREADED CONNECTIONS | 17 |
Christopher A. Haught | US | Mcconnellsburg | 2010-06-03 / 20100133043 - Work Platform | 1 |
Julie Anne Haught | US | Boise | 2015-12-03 / 20150342716 - PROTECTIVE DEVICE FOR THE HEAD AND EARS OF AN ANIMAL | 1 |
Brian A. Haught | US | Mount Clare | 2010-12-02 / 20100305763 - PIPELINE FLOW CONTROL OPTIMIZATION SOFTWARE AND METHODS | 1 |
John Christian Haught | US | 2013-02-07 / 20130034508 - Process For Surfactant Taste And/Or Odor Improvement | 1 | |
Roy C. Haught | US | Independence | 2012-08-30 / 20120216603 - Adaptive real-time contaminant detection and early warning for drinking water distribution systems | 3 |
Mark Haught | US | Las Vegas | 2009-07-09 / 20090173486 - GAS ENGINE DRIVEN HEAT PUMP SYSTEM WITH INTEGRATED HEAT RECOVERY AND ENERGY SAVING SUBSYSTEMS | 1 |
Weston Haught | US | Seattle | 2015-09-03 / 20150248389 - COMMUNICATIONS CONTROL FOR RESOURCE CONSTRAINED DEVICES | 1 |
John Christian Haught | US | West Chester | 2016-02-11 / 20160038393 - Hair Care Composition With Sensate Compound | 34 |
David B. Haughton | US | Castle Rock | 2013-05-09 / 20130112397 - Spiral Whipstock for Low-Side Casing Exits | 1 |
John F. Haughton | US | Winchester | 2011-06-30 / 20110161094 - System and method for health care costs and outcomes modeling using dosage and routing pharmacy information | 1 |
Keith Louis Haughton | CA | Toronto | 2014-12-11 / 20140363030 - LOCATING AND RELOCATING DEVICE | 2 |
Kelly L. Haughton | US | Gig Harbor | 2009-03-19 / 20090076977 - METHOD OF ASSIGNING SECURITIES TO A COUNTRY | 3 |
Gary Haughton | CA | Oakville | 2015-07-02 / 20150182927 - LINEAR MOTION MIXER | 2 |
Andrew Haughton | GB | Essex | 2016-03-24 / 20160084173 - GENERATION OF ELECTRICITY FROM EXHAUST GAS | 1 |
John W. Haughton | US | Decatur | / - | 3 |
David B. Haughton | US | Houston | 2011-10-20 / 20110253386 - ANCHOR SYSTEM AND METHOD FOR ANCHORING A TOOL WITH A POSITIONAL BIAS | 3 |
John Edward Haug, Iii | US | Redmond | 2010-06-17 / 20100153841 - CONSTRAINT-BASED CORRECTION OF SHAPE POSITIONS IN A DIAGRAM | 2 |
Richard P. Haugland | US | Eugene | 2013-03-21 / 20130072666 - Antibody Complexes and Methods for Immunolabeling | 4 |
Lasse Haugland | NO | Bryne | 2015-08-06 / 20150218901 - DEVICE FOR COLLECTION OF PARTICULATE MATERIAL IN A CONDUIT | 3 |
Henry Haugland | US | Natick | 2015-10-08 / 20150288615 - Mass Generation of Individual Virtual Servers, Virtual Web Sites, and Virtual Web Objects | 3 |
Ron Haugland | US | Scottsdale | 2013-07-11 / 20130174570 - ENGINE SYSTEMS WITH ENHANCED START CONTROL SCHEDULES | 2 |
Richard Haugland | US | Olympia | 2014-02-06 / 20140038856 - Site-specific labeling of affinity tags in fusion proteins | 4 |
Richard P. Haugland | US | Olympia | 2009-05-14 / 20090124511 - ANTIBODY COMPLEXES AND METHODS FOR IMMUNOLABELING | 1 |
Rosaria P. Haugland | US | Eugene | 2013-03-21 / 20130072666 - Antibody Complexes and Methods for Immunolabeling | 3 |
Richard Haugland | US | Eugene | 2016-01-28 / 20160025713 - SITE-SPECIFIC LABELING OF AFFINITY TAGS IN FUSION PROTEINS | 9 |
Morten Haugland | DK | Svenstrup J | 2012-10-25 / 20120271190 - APPARATUS FOR ELECTRICAL STIMULATION, IN PARTICULAR FOR BRUXISM | 1 |
Trond Haugland | NO | Knarrevik | 2011-06-30 / 20110155388 - Slip Connection with Adjustable Pre-Tensioning | 2 |
Oddmund Haugland | NO | Stavanger | 2008-10-23 / 20080261502 - Method and a Device for Facilitating the Removal of Pin Bones in Fish | 1 |
Morten Haugland | DK | Aalborg | 2008-09-25 / 20080234782 - METHODS AND IMPLANTABLE SYSTEMS FOR NEURAL SENSING AND NERVE STIMULATION | 1 |
Richard Haugland | US | Eugene | 2016-01-28 / 20160025713 - SITE-SPECIFIC LABELING OF AFFINITY TAGS IN FUSION PROTEINS | 9 |
Rosaria Haugland | US | Eugene | 2014-10-02 / 20140295437 - Cyanine compounds and their application as quenching compounds | 1 |
Torbjorn Haugland | NO | Bergen | 2013-08-22 / 20130214719 - Method and Device for Starting Motors in Weak Grids | 3 |
Alex Haugland | US | Cambridge | 2015-10-01 / 20150278397 - NAMESPACE MANAGEMENT IN DISTRIBUTED STORAGE SYSTEMS | 2 |
Torbjörn Haugland | NO | Bergen | 2013-08-22 / 20130214719 - Method and Device for Starting Motors in Weak Grids | 1 |
Hans-Christian Haugli | NO | Oslo | 2011-08-25 / 20110207506 - CELLPHONE PRESENCE AND LOCATING SYSTEM USING A SIM CARD TRANSMITTER | 2 |
Espen Haugs | NO | Sperrebotn | 2011-04-21 / 20110089767 - ARRANGEMENT RELATED TO OFFSHORE CABLE SYSTEM | 1 |
Bjørn Haugseter | NO | Skien | 2014-06-26 / 20140174978 - LIQUID CONTAINER | 1 |
Kenneth B. Haugshoj | DK | Taastrup | 2012-06-28 / 20120163643 - FILTER FOR A HEARING AID AND A HEARING AID | 2 |
Todd Haugsjaahabink | US | Springfield | 2015-04-23 / 20150108385 - ROTARY METERING VALVE ASSEMBLY AND METHOD OF MODIFYING CONTACT SURFACE FOR REDUCING GAUGE WRINGING | 9 |
Reidar Haugsrud | NO | Oslo | 2009-04-23 / 20090104500 - Proton Conductors | 1 |
Christoph E. Haugstetter | US | West Hartford | 2014-11-27 / 20140345837 - HEAT EXCHANGER DISTRIBUTION ASSEMBLY AND METHOD | 1 |
Kjell Haugvaldstad | NO | Vanvikan | 2014-04-10 / 20140097024 - DRILL BITS HAVING DEPTH OF CUT CONTROL FEATURES AND METHODS OF MAKING AND USING THE SAME | 13 |
Kjell Haugvaldstad | NO | Trondheim | 2014-11-06 / 20140326516 - ROLLING CUTTER WITH SIDE RETENTION | 1 |
Kjell Haugvaldstad | NO | Vanvikan | 2014-04-10 / 20140097024 - DRILL BITS HAVING DEPTH OF CUT CONTROL FEATURES AND METHODS OF MAKING AND USING THE SAME | 13 |
Mark S. Hauhe | US | Hermosa Beach | 2013-03-28 / 20130075795 - Aerogel dielectric layer | 3 |
Tobias Hauk | DE | Unterhaching | 2015-05-14 / 20150129720 - Device and Method for Deicing and/or Preventing Ice Formation and Profile Element and Aircraft Having Such a Device | 1 |
Elisabeth Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 8 |
Juergen Hauk | DE | Freising | 2011-01-20 / 20110014471 - EASY-TO-SUSPEND HYDROPHOBING AGENTS | 3 |
Manuel Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 7 |
Rolf-Gunter Hauk | DE | Frankfurt | 2016-03-10 / 20160072195 - Diversity antenna arrangement for WLAN, and WLAN communication unit having such a diversity antenna arrangement, and device having such a WLAN communication unit | 1 |
Joachim Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 12 |
Alexander Hauk | DE | Ludwigshafen | 2013-10-24 / 20130281738 - SODIUM DIFORMATE PRODUCTION AND USE | 10 |
Jürgen Hauk | DE | Freising | 2013-01-03 / 20130004390 - DECONTAMINATION ARRANGEMENT AND METHOD | 1 |
Stefan Hauk | DE | 57271 Hilchenbach | 2010-08-19 / 20100206419 - STRETCHABLE METAL TUBE | 1 |
Ralf-Juergen Hauk | DE | Heidelberg | 2012-12-27 / 20120331447 - ADAPTIVE CHANGE MANAGEMENT IN COMPUTER SYSTEM LANDSCAPES | 1 |
Stefan Hauk | DE | Hilchenbach | 2012-05-24 / 20120125192 - DIAPHRAGM BELLOWS PRODUCED FROM PROFILED METAL STRIP | 6 |
Torsten Hauk | DE | Neustadt | 2012-04-12 / 20120085952 - VALVE DEVICE FOR A COMBUSTION ENGINE | 2 |
Dieter Hauk | DE | Friedberg | 2014-03-27 / 20140084224 - PROCESS FOR PREPARING LITHIUM SULFIDE | 3 |
Rahel Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 8 |
Corina Hauk | DE | Weil Am Rhein | 2013-06-06 / 20130143280 - FERMENTATIVE VITAMIN C PRODUCTION | 4 |
Elisabeth Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 8 |
Wolfgang Hauk | DE | Muenchen | 2014-11-20 / 20140340637 - BRIGHTNESS-DEPENDENT ADJUSTMENT OF A SPECTACLE LENS | 1 |
Rahel Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 8 |
Joachim Hauk | DE | Renningen-Malmsheim | 2012-12-27 / 20120326919 - RADAR SENSOR HAVING INTERFERENCE SIGNAL COMPENSATION | 12 |
Christian Hauk | DE | Freising | 2016-05-05 / 20160120205 - PROCESS FOR PRODUCING A CANDY GUM | 1 |
Karel Hauk | US | Warrenville | 2016-01-07 / 20160003296 - METHOD OF PRODUCING BUSHING AND PIECE WITH BUSHING | 3 |
Frauke Hauk | DE | Esens | 2010-10-14 / 20100261585 - Biomechanical stimulation training method and apparatus | 2 |
Thomas D. Hauk | US | Palm Desert | 2010-03-04 / 20100050817 - AUTOMATICALLY ADJUSTABLE POWER JAW | 1 |
Greg Haukaas | CA | Mortlach | 2014-05-29 / 20140147233 - Grapple for Stacking Round Bales | 2 |
Beric Duane Haukaas | CA | Mortlach | 2014-01-16 / 20140014463 - Conveyor for Hopper Bottom Transportation Vehicle | 1 |
Inge Haukaas | NO | Oslo | 2013-10-17 / 20130271879 - Fault Protection System For A Power System Of Dynamically Positioned Vessel | 2 |
Peggy Haukap | US | Alhambra | 2015-05-21 / 20150140163 - COMPOSITIONS AND METHODS FOR COATING DRY PET FOOD KIBBLE | 1 |
Jarle HaukÅs | NO | Sola | 2015-01-29 / 20150030209 - METHODS OF ANALYZING SEISMIC DATA | 3 |
Sven Hauke | DE | Bremen | 2014-03-06 / 20140065618 - METHOD FOR DETECTING A CHROMOSOMAL ABERRATION | 1 |
Peters Hauke | DE | Hanau | 2009-06-18 / 20090152079 - SWITCHING DEVICE FOR AN ELECTRICAL SWITCHGEAR ASSEMBLY FOR ENERGY DISTRIBUTION | 1 |
Jens Hauke | DE | Eggenstein-Leopoldshafen | 2009-08-27 / 20090213866 - Data Communication System And Method | 1 |
Rudolf Hauke | DE | Niederstotzingen | 2011-05-05 / 20110102320 - INTERACTION ARRANGEMENT FOR INTERACTION BETWEEN A SCREEN AND A POINTER OBJECT | 4 |
Bernhard Hauke | DE | Hochheim | 2010-08-19 / 20100206673 - BRAKE SYSTEM FOR A MOTOR VEHICLE | 1 |
Wilhelm Hauke | DE | Tengen-Blumenfeld | 2010-09-16 / 20100230066 - DEVICE AND METHOD FOR LOW-PRESSURE CASTING OF METAL MELTS | 1 |
Melanie Hauke | DE | Worthsee | 2011-01-13 / 20110008748 - DENTAL COMPOSITION FOR DETECTING BACTERIA, KIT OF PARTS AND USE THEREOF | 1 |
Sebastian Hauke | DE | Olching | 2012-01-12 / 20120010033 - Tensioning Device with Pivotable Joint Connection | 1 |
Jonathan Hauke | US | Lexington | 2015-04-30 / 20150121519 - SYSTEM AND METHOD FOR MONITORING AND CONTROLLING A PERFORMANCE STATE CHANGE | 1 |
Jonathan D. Hauke | US | Lexington | 2014-11-20 / 20140344599 - Method and System for Power Management | 3 |
Ralph J. Hauke | US | Omaha | 2015-08-06 / 20150218516 - COMPOSITIONS AND METHODS FOR ENHANCING IMMUNE RESPONSES MEDIATED BY ANTIGEN-PRESENTING CELLS | 2 |
Jennifer Hauke | US | Orlando | 2010-01-14 / 20100009892 - Multi-function surfactant composition | 1 |
Stephan Hauke | DE | Augsburg | 2014-12-25 / 20140377048 - FEEDER FOR FLAT OBJECTS, PARTICULARLY SUPPLEMENT FEEDER | 1 |
Michael Thomas Hauke | US | Nevada City | 2009-05-14 / 20090121740 - Audio/Video Router | 1 |
Rudolf Hauke | CH | Kreuzlingen | 2013-12-05 / 20130325981 - METHOD FOR SUPERVISING A CHAT CONNECTION | 2 |
Stefan Hauke | DE | Einhausen | 2015-12-31 / 20150375137 - METHOD AND DEVICE FOR TREATMENT OF AN AMINO ACID SALT SOLUTION THAT IS CONTAMINATED WITH CARBON | 4 |
Bjorn Haukedal | NO | Oslo | 2009-05-07 / 20090113929 - METHOD AND APPARATUS FOR PRE-HEATING LNG BOIL-OFF GAS TO AMBIENT TEMPERATURE PRIOR TO COMPRESSION IN A RELIQUEFACTION SYSTEM | 1 |
Bjorn H. Haukedal | NO | Bekkestua | 2011-08-25 / 20110203312 - METHOD AND SYSTEM FOR OPTIMIZED LNG PRODUCTION | 1 |
Bjorn H. Haukedal | NO | Oslo | 2008-08-28 / 20080202158 - System And Method For Cooling A Bog Stream | 1 |
Bjorn Harald Haukedal | NO | Bekkestua | 2011-09-01 / 20110209496 - SYSTEM FOR COMBINED CYCLE MECHANICAL DRIVE IN CRYOGENIC LIQUEFACTION PROCESSES | 1 |
Markku Haukioja | FI | Eurajoki As | 2009-12-17 / 20090308087 - Arrangement and Method for Dehumidifying Greenhouse Air and a Greenhouse | 1 |
Tao Haukka | FI | Oulu | 2010-12-02 / 20100303242 - METHODS, APPARATUSES, SYSTEM AND COMPUTER PROGRAMS FOR KEY UPDATE | 2 |
Suvi P. Haukka | FI | Helsinki | 2016-02-04 / 20160035852 - METAL SILICIDE, METAL GERMANIDE, METHODS FOR MAKING THE SAME | 18 |
Suvi Haukka | FI | Helsinki | 2016-04-28 / 20160118261 - TITANIUM ALUMINUM AND TANTALUM ALUMINUM THIN FILMS | 25 |
Suvi Haukka | FI | Helsinki | 2016-04-28 / 20160118261 - TITANIUM ALUMINUM AND TANTALUM ALUMINUM THIN FILMS | 25 |
Suvi P. Haukka | FI | Helsinki | 2016-02-04 / 20160035852 - METAL SILICIDE, METAL GERMANIDE, METHODS FOR MAKING THE SAME | 18 |
Suvi Haukka | NL | Helsinki | 2016-04-28 / 20160115590 - METHOD AND SYSTEM FOR TREATMENT OF DEPOSITION REACTOR | 1 |
Brent Steven Haukness | US | Monte Sereno | 2016-01-28 / 20160027515 - Pulse Control For Non-Volatile Memory | 14 |
Brent Haukness | US | Monte Sereno | 2016-01-07 / 20160005455 - MEMORY CONTROLLER AND MEMORY DEVICE COMMAND PROTOCOL | 16 |
Brent S. Haukness | US | Monte Sereno | 2016-03-10 / 20160071608 - DYNAMIC MEMORY RANK CONFIGURATION | 10 |
Brent S. Haukness | US | Monte Sereno | 2016-03-10 / 20160071608 - DYNAMIC MEMORY RANK CONFIGURATION | 10 |
Brent Steven Haukness | US | Monte Sereno | 2016-01-28 / 20160027515 - Pulse Control For Non-Volatile Memory | 14 |
Brent S. Haukness | US | Windsor | 2011-01-06 / 20110004726 - PIECEWISE ERASURE OF FLASH MEMORY | 1 |
Brent Haukness | US | Monte Sereno | 2016-01-07 / 20160005455 - MEMORY CONTROLLER AND MEMORY DEVICE COMMAND PROTOCOL | 16 |
Brent Haukness | US | Monle Sereno | 2011-08-04 / 20110191526 - Flash Memory Timing Pre-Characterization | 1 |
Michael Haukom | US | Burnsville | 2013-08-08 / 20130204469 - SYSTEM AND METHOD FOR REAL-TIME AIRCRAFT PERFORMANCE MONITORING | 2 |
Michael James Haukom | US | Farmington | 2016-05-05 / 20160124738 - TABLET BASED AIRBORNE DATA LOADER | 2 |
Michael J. Haukom | US | Burnsville | 2014-03-13 / 20140074322 - AIRCRAFT AVIONICS TABLET INTERFACE MODULE | 1 |
Dana S. Haukoos | US | Mount Dora | 2011-11-24 / 20110287692 - Automated determination of jet orientation parameters in three-dimensional fluid jet cutting | 1 |
Florian Hauler | DE | Karlsruhe | 2015-01-08 / 20150012166 - METHOD AND APPARATUS FOR OPERATING A MOTOR VEHICLE IN AN AUTOMATED DRIVING MODE | 4 |
Albin Hauler | AT | Traiskirchen | 2014-05-22 / 20140141055 - MANUFACTURING OF SEMI-PLASTIC PHARMACEUTICAL DOSAGE UNITS | 1 |
Florian Hauler | DE | Ettlingen | 2011-02-10 / 20110035106 - Method for setting an actuator that influences the driving dynamics of a vehicle | 1 |
John Hauler | US | Grosse Pointe Woods | 2015-09-24 / 20150266348 - REMOVABLE TRAILER TOW HITCH | 1 |
Florian Hauler | DE | Linkenheim | 2016-05-19 / 20160137203 - METHOD AND DEVICE FOR OPERATING A VEHICLE | 4 |
Tim Haulick | DE | Blaubeuren | 2015-04-30 / 20150120305 - SPEECH COMMUNICATION SYSTEM FOR COMBINED VOICE RECOGNITION, HANDS-FREE TELEPHONY AND IN-CAR COMMUNICATION | 21 |
Tord Haulin | SE | Uppsala | 2014-06-05 / 20140153588 - METHODS AND APPARATUS FOR PRECISION TIME STAMPING | 2 |
Daniel James Haulkner | US | Portland | 2010-06-24 / 20100159084 - Tree Fruit Postharvest Chemical Sensor | 1 |
Francois-Xavier Haulle | FR | Chatou | 2009-07-02 / 20090166258 - Method for Producing a Hydrogen-Enriched Gas Stream from Hydrogenated Gas Streams Comprising Hydrocarbons | 1 |
Francois-Xavier Haulle | FR | Paris | 2008-10-09 / 20080244972 - Method for Converting Hyrogenous Gaseous Flows Arising From Chemical Reactor Units Using Hydrogen | 1 |
Donald Ray Haulsee | US | Claremont | 2015-03-12 / 20150068268 - ACTUATOR WITH VARIABLE SPEED SERVO MOTOR FOR REDRAW ASSEMBLY | 1 |
Cecile Haumaitre-Sarron | FR | Paris | 2011-02-10 / 20110033930 - METHOD FOR OBTAINING NGN3-EXPRESSING CELLS AND INSULIN PRODUCING-BETA CELLS | 1 |
Karin Haumann | US | San Antonio | 2014-12-18 / 20140371550 - ELECTROLYTIC BIOSENSOR | 1 |
Eugen Haumann | US | Seelze | 2016-02-04 / 20160037650 - METHOD FOR THE METALLATION OF A WORKPIECE AND A LAYER STRUCTURE MADE UP OF A WORKPIECE AND A METAL LAYER | 1 |
Philippe Haumann | BE | Modave | 2010-04-29 / 20100102929 - PROTECTION, SECURITY AND DISPLACEMENT TRACKING LUMINOUS BADGE SYSTEM | 1 |
JÜrgen Haumann | DE | Boppard | 2012-11-22 / 20120291651 - FLYING BOMB | 1 |
Gerardus Franciscus Jacobus Haumann | NL | Venray | 2015-12-10 / 20150352935 - OPEN ROOF CONSTRUCTION FOR A VEHICLE | 1 |
JÜrgen Haumann | DE | Boppard | 2012-11-22 / 20120291651 - FLYING BOMB | 1 |
Marco Haumann | DE | Velden | 2016-03-10 / 20160068459 - IMMOBILIZED CATALYTICALLY ACTIVE COMPOSITION FOR HYDROFORMYLATION OF OLEFIN-CONTAINING MIXTURES | 3 |
Marc Haumann | US | San Francisco | 2016-01-28 / 20160027306 - RIDE CHAINING | 1 |
Steven E. Haumersen | US | Woodbury | 2015-07-02 / 20150186196 - SYSTEMS AND METHODS FOR MANAGING MATERIAL STORAGE VESSELS HAVING INFORMATION STORAGE ELEMENTS | 2 |
Paul-Henri Haumesser | FR | Saint Cassien | 2014-12-18 / 20140370678 - METHOD FOR PRODUCING A CONDUCTIVE NANOPARTICLE MEMORY DEVICE | 5 |
Raphaël Haumont | FR | Longpont Sur Orge | 2013-02-21 / 20130045246 - ENCLOSING MATERIALS IN NATURAL TRANSPORT SYSTEMS | 1 |
Dominique Haumont | BE | Bruxelles | 2009-03-05 / 20090062774 - Device For Introducing A Catheter By The Umbilical Route, And Kit | 1 |
Raphaël Haumont | FR | Longpont Sur Orge | 2015-01-29 / 20150030775 - Enclosing Materials in Natural Transport Systems | 3 |
Serge Haumont | FI | Helsinki | 2015-10-01 / 20150282225 - COMBINING IP AND CELLULAR MOBILITY | 2 |
Isabelle Haumont | FR | Notre Dame De L'Lsle | 2010-11-25 / 20100295298 - DEVICE FOR PRE-STRESSED SEALED CONNECTION WITH FLANGES | 1 |
Serge Rene Haumont | FI | Helsinki | 2011-09-29 / 20110238485 - METHOD AND APPARATUS FOR UTILIZING CONFIDENCE LEVELS TO SERVE ADVERTISEMENTS | 5 |
Jeffery D. Haumont | US | Rochester | 2015-10-08 / 20150286602 - IMPLEMENTING SIDEBAND CONTROL STRUCTURE FOR PCIE CABLE CARDS AND IO EXPANSION ENCLOSURES | 2 |
Matthew Ryan Haun | US | Dallas | 2015-06-04 / 20150152703 - Systems and Methods of Supporting a Multilateral Window | 1 |
Luke Haun | US | Minneapolis | 2013-10-03 / 20130255824 - THERMAL RECEPTACLE WITH PHASE CHANGE MATERIAL CONTAINING INSERT | 2 |
Steven Haun | US | Landisville | 2015-09-03 / 20150247588 - FINAL POSITION LOCKING FEATURE OF MULTI-TURN ROTATIONAL DEVICE HAVING AN AXIALLY TRANSLATING WHEEL OR A CLOCKED LOCK PASSAGE GEAR | 1 |
Dennis G. Haun | US | Fallston | 2011-05-12 / 20110112657 - PYRAMID RECEPTACLE FOR COUPLING A PROSTHETIC LIMB TO A SOCKET | 2 |
Steven W. Haun | US | Landisville | 2012-05-24 / 20120124913 - THRESHOLD WITH REMOVABLE FLASHING | 1 |
William Haun | US | St. Paul | 2016-02-11 / 20160037742 - POTATOES WITH REDUCED COLD-INDUCED SWEETENING | 3 |
Richard D. Haun | US | Richmond | 2011-11-17 / 20110278871 - DETACHABLE EARS ROPE THIMBLE | 1 |
Richard D. Haun | US | Houston | 2009-12-31 / 20090320248 - Synthetic rope socket | 1 |
Guy Wesley Haun | US | West Liberty | 2014-11-27 / 20140345347 - FERTILIZER COMPOSITION CONTAINING SULFUR AND BORON | 3 |
Gregory Haun | US | Truckee | 2014-08-07 / 20140218400 - Method for Providing Real Estate Data on an Interactive Map | 1 |
Robert Dale Haun | US | York | 2011-03-24 / 20110071718 - Systems and Methods for Switching Between Autonomous and Manual Operation of a Vehicle | 1 |
Jered Haun | US | Irvine | 2014-12-25 / 20140377866 - MICROFLUIDIC TUMOR TISSUE DISSOCIATION DEVICE | 1 |
Rafi Haun | US | Boca Raton | 2010-09-30 / 20100250444 - Reverse selling marketplace | 1 |
Robert E. Haun | US | Healdsburg | 2014-07-03 / 20140182416 - SYSTEM AND METHOD OF MELTING RAW MATERIALS | 1 |
Erik Haun | US | Coon Rapids | 2011-01-20 / 20110015664 - Nucleation of Drug Delivery Balloons to Provide Improved Crystal Size and Density | 1 |
Robert D. Haun | US | Fuquay Varina | 2015-10-22 / 20150296711 - MOWER DECK LEVELING SYSTEM | 1 |
Christopher Haun | US | Richardson | 2015-04-02 / 20150095420 - SYSTEM AND METHOD TO IDENTIFY SECURE MEDIA STREAMS TO CONFERENCE WATCHERS IN SIP MESSAGING | 2 |
Roy Hauner | US | Franklin Square | 2010-03-04 / 20100055974 - VOICE AND DATA PATCH PANEL HAVING A BRACKET FOR STRUCTURED WIRE ENCLOSURES | 1 |
Andrea Haunert | SG | Singapore | 2011-10-13 / 20110247830 - NOVEL SURFACTANTS FOR TERTIARY MINERAL OIL EXTRACTION BASED ON BRANCHED ALCOHOLS | 3 |
Andrea Haunert | DE | Grossniedesheim | 2013-11-21 / 20130310616 - PROCESS FOR SEPARATION OF WATER FROM PYROLYSIS GASOLINE | 1 |
Andrea Haunert | DE | Mannheim | 2010-03-04 / 20100056364 - CATALYST AND PROCESS FOR HYDROGENATING CARBONYL COMPOUNDS | 7 |
Yu-Hao Haung | TW | Hsin-Chu | 2013-11-07 / 20130293472 - OPTICAL NAVIGATION DEVICE AND LOCUS SMOOTHING METHOD THEREOF | 1 |
Mulan Haung | CN | Suzhou | 2016-04-14 / 20160104969 - BLIND MATING AND FLOATING RF CONNECTOR ASSEMBLY WITH LOW INTERMODULATION | 1 |
Hung-Ni Haung | TW | Taichung City | 2014-09-18 / 20140263531 - AMPOULE OPENER | 1 |
Kang Ping Haung | CH | La Chaux-De-Fonds | 2014-09-18 / 20140271340 - SILVER ALLOY | 1 |
Shr-How Haung | TW | Taoyuan County | 2009-06-18 / 20090153631 - INKJET PRINTER | 3 |
Pei-Cheng Haung | TW | Taipei City | 2012-03-15 / 20120062136 - Direct current light emitting device control circuit with dimming function and method thereof | 1 |
Liping Haung | CN | Beijing | 2010-04-01 / 20100080749 - CARBON NANOTUBES, A METHOD OF PREPARING THE SAME AND AN ELEMENT USING THE SAME | 1 |
Shih-Chieh Haung | US | Goleta | 2014-06-19 / 20140167059 - PEC ETCHING OF (20-2-1) SEMIPOLAR GALLIUM NITRIDE FOR EXTERNAL EFFICIENCY ENHANCEMENT IN LIGHT EMITTING DIODE APPLICATIONS | 1 |
Tsung-Tien Haung | TW | Kaohsiung City | 2013-01-03 / 20130003919 - CT Scan Device and Auxiliary Module Thereof | 1 |
Sheng-Wen Haung | TW | Hsinchu | 2011-10-20 / 20110255824 - 3-D WAVEGUIDE COUPLING DEVICE CAPABLE OF TWO-STEP COUPLING AND MANUFACTURE METHOD THEREOF | 1 |
Sen-Huang Haung | TW | Hsin-Chu | 2013-11-07 / 20130293472 - OPTICAL NAVIGATION DEVICE AND LOCUS SMOOTHING METHOD THEREOF | 1 |
Feng Haung | US | Gainesville | 2015-10-22 / 20150302616 - LOCAL ARTIFACT REDUCTION WITH INSIGNIFICANT SIDE EFFECTS | 1 |
Chu-Hsuan Haung | TW | Taipei | 2011-05-19 / 20110116519 - APPARATUS AND METHOD FOR CONVERTING LASER ENERGY | 1 |
Kyu-Hyun Haung | KR | Changwon-Si | 2014-03-20 / 20140076385 - FLEXIBLE DYE-SENSITIZED SOLAR CELL USING FIBER | 1 |
Steven W. Haungs | US | Mountain View | 2014-04-17 / 20140104196 - CURVED PROFILE TOUCH SENSOR SYSTEMS AND METHODS | 2 |
Douglas E. Haunhorst | US | Powell | 2013-09-26 / 20130249231 - VEHICLE STORAGE COMPARTMENT ASSEMBLY | 2 |
Gregory A. Haunhorst | US | Monclova | 2012-08-23 / 20120211107 - QUICK CONNECT FLUID COUPLING | 2 |
Gregory Alan Haunhorst | US | Monclova | 2013-02-07 / 20130032234 - Quick Connect Coupling With Nested Ball Valves | 2 |
Donald W. Haun, Jr. | US | Mclean | 2010-06-10 / 20100140170 - Filter cleaning method | 1 |
Karl-Heinz Haunschild | DE | Muehlheim | 2009-03-26 / 20090082799 - Medical Instrument For Punching Out Tissue | 1 |
Jonas Haunschild | DE | Freiburg | 2012-08-09 / 20120203494 - METHOD FOR MEASURING A SEMICONDUCTOR STRUCTURE, WHICH IS A SOLAR CELL OR A PRECURSOR OF A SOLAR CELL | 2 |
Gregory D. Haunschild | US | Houston | 2011-08-25 / 20110208662 - Systems and Methods for Regulatory Compliance | 1 |
Norbert Haunschild | DE | Aarbergen | 2011-07-28 / 20110182404 - Collimator with an adjustable focal length | 1 |
Sten Haunstrup | DK | Roskilde | 2008-08-21 / 20080196609 - System and Method for Inkjet Printing | 1 |
Amy Haupert | US | Saint Paul | 2009-08-27 / 20090215656 - PRODUCT STABILITY ENHANCEMENT WITH PHOSPHONIUM SALTS | 1 |
Frank Haupert | DE | Stelzenberg | 2010-11-18 / 20100290727 - Sliding bearing shell for engine applications | 2 |
Claus Haupert | DE | Nalbach | 2013-10-24 / 20130281963 - BAG HAVING FLOW EQUALIZATION | 3 |
Frank Haupert | DE | Deutschland | 2009-12-24 / 20090318594 - BARIUM SULFATE-CONTAINING COMPOSITE | 1 |
Eric A. Haupfear | US | St. Charles | 2011-04-28 / 20110097810 - CONTROL OF N-(PHOSPHONOMETHYL)IMINODIACETIC ACID CONVERSION IN MANUFACTURE OF GLYPHOSATE | 2 |
Andreas Haupt | DE | Wiesbaden | 2015-06-25 / 20150175570 - BENZENESULFONAMIDE COMPOUNDS SUITABLE FOR TREATING DISORDERS THAT RESPOND TO MODULATION OF THE DOPAMINE D3 RECEPTOR | 3 |
Anna Haupt | SE | Malmo | 2008-11-20 / 20080282453 - System and Method for Protecting a Bodypart | 1 |
Rolf Haupt | HK | Chaiwan | 2016-02-18 / 20160048280 - Remote Location Monitoring | 7 |
Susan Haupt | IL | Zur Hadassa | 2009-12-10 / 20090304587 - BIODEGRADABLE COMPOSITE FOR INTERNAL LOCAL RADIOTHERAPY | 1 |
Andreas Haupt | DE | Erfurt | 2011-07-21 / 20110179452 - Device and Method for Providing a Television Sequence | 1 |
Craig Haupt | GB | Twickenham | 2010-03-04 / 20100057767 - SYSTEM AND METHOD FOR DYNAMICALLY CREATING AND/OR UPDATING SUBSCRIBER COMMUNICATIONS LISTS | 1 |
Tina Haupt | CH | Rudlingen | 2011-02-10 / 20110033871 - METHOD FOR THE DETERMINATION OF TRICHINELLA INFECTIONS AND DIAGNOSTIC COMPOSITION FOR SUCH METHODS | 1 |
Karsten O. Haupt | FR | Paris | 2009-09-17 / 20090233017 - MOLECULAR IMPRINTED MATERIAL AND INKJET RECORDING ELEMENT COMPRISING SAID MOLECULAR IMPRINTED MATERIAL | 1 |
Susan Haupt | AU | Hawthorn East | 2014-05-08 / 20140128450 - Cancer Therapy | 2 |
Ygal Haupt | AU | Hawthorn East | 2014-05-08 / 20140128450 - Cancer Therapy | 2 |
Olivier Haupt | FR | Grenoble | 2010-01-21 / 20100013192 - SHOCK-ABSORBING SNOWBOARD ATTACHMENT DEVICE | 1 |
Andreas Haupt | US | Northborough | 2013-02-21 / 20130046077 - DOLASTATIN 15 DERIVATIVES | 1 |
Manfred Haupt | DE | Bielefeld | 2015-06-04 / 20150150992 - COMPOSITION FOR THE PHOTODYNAMIC DIAGNOSIS AND THERAPY OF TUMOURS | 1 |
Steven Gerald Haupt | US | San Diego | 2015-07-02 / 20150184148 - SYSTEMS AND METHODS FOR ISOLATING NUCLEIC ACIDS FROM CELLULAR SAMPLES | 1 |
Robert A. Haupt | US | Christiansburg | 2011-08-04 / 20110189490 - COMPOSITION AND METHOD FOR MANUFACTURE OF A FIBROUS POLYURETHANE COMPOSITE PRODUCT | 1 |
David Haupt | US | Bowling Green | 2015-05-28 / 20150148153 - Basketball System with Multi-Cavity Base | 1 |
Bjoerg Haupt | DE | Regensburg | 2012-12-20 / 20120319413 - CRASH BOX ARRANGEMENT AND METHOD OF DETECTING THE INTENSITY OF AN IMPACT | 1 |
Michael Haupt | DE | Boblingen | 2009-05-21 / 20090130330 - Method for producing Functional Fluorocarbon Polymer Layers by Means of Plasma Polymerization of Perfluorocycloalkanes | 1 |
Andreas Haupt | DE | Schwerzingen | 2009-04-23 / 20090105224 - Tetrahydrobenzazepines and their use in the modulation of the dopamine d3 receptor | 1 |
Jan Haupt | DE | Koeln | 2009-03-19 / 20090075776 - DRIVE TRAIN FOR A MOTOR VEHICLE AND POWER DIVIDER THEREFOR | 1 |
Hannes Haupt | DE | Braunschweig | 2015-07-16 / 20150198674 - METHOD AND APPARATUS FOR DETERMINING THE STATE OF BATTERIES | 1 |
Ingrid Haupt | DE | Frankenthal | 2016-04-28 / 20160118638 - COMPOSITIONS FOR USE AS PROTECTIVE LAYERS AND OTHER COMPONENTS IN ELECTROCHEMICAL CELLS | 6 |
Stefan Haupt | DE | Bonn | 2012-11-29 / 20120299380 - Controlling The Direct Current Flow In A Photovoltaic System | 1 |
Moritz Haupt | DE | Dresden | 2008-10-16 / 20080251815 - Method for manufacturing a transistor | 1 |
Jens-Oliver Haupt | DE | Sankt Augustin | 2016-04-07 / 20160096333 - METHOD AND SYSTEM FOR FABRICATING A PRODUCT USING A DIGITAL FABRICATION UNIT | 1 |
Michael Haupt | US | Abingdon | 2012-12-27 / 20120325509 - Quick Release Socket Attachment For Impact Wrench | 2 |
Robert A. Haupt | US | Christianburg | 2011-06-23 / 20110151180 - RAPID CURING ALDEHYDE RESIN-POLYISOCYANATE COMPOSITION AND METHOD FOR PRODUCING HYBRID POLYMER | 1 |
Robert Haupt | DE | Munich | 2014-11-27 / 20140345987 - SPRING BRAKE CYLINDER WITH EMERGENCY RELEASE DEVICE | 3 |
Manfred Haupt | DE | Hohr-Grenzhausen | 2013-07-18 / 20130180810 - Disc Brake for a Motor Vehicle and Brake Pad Assembly Therefor | 1 |
Steven G. Haupt | US | Carlsbad | 2016-05-05 / 20160122810 - SYSTEMS AND METHODS FOR NUCLEIC ACID CAPTURE | 2 |
Remus Haupt | US | Encinitas | 2015-02-19 / 20150050172 - MICROFLUIDIC PUMP | 1 |
Karsten Haupt | DE | Neubruck | 2016-05-19 / 20160141127 - DC VOLTAGE SWITCH FOR HIGH VOLTAGE ELECTRICAL SYSTEMS | 1 |
Marcus Haupt | US | Kinnelon | 2013-01-24 / 20130019738 - METHOD AND APPARATUS FOR CONVERTING A SPOKEN VOICE TO A SINGING VOICE SUNG IN THE MANNER OF A TARGET SINGER | 1 |
Steven B. Haupt | US | Phoenix | 2014-11-13 / 20140332196 - GROUND WATER AIR CONDITIONING SYSTEMS AND ASSOCIATED METHODS | 1 |
Robert Haupt | US | Bellefonte | 2015-08-20 / 20150233049 - WASH-DURABLE ANTIMICROBIAL TEXTILES AND METHODS OF MANUFACTURE | 1 |
Andreas Haupt | DE | Ludwigshafen | 2015-09-17 / 20150259346 - HEXAHYDRODIAZEPINOQUINOLINES CARRYING A SUBSTITUTED ALKYL RADICAL | 18 |
Eric Keith Haupt | US | Livonia | 2014-09-18 / 20140261822 - HVAC DOOR WITH INTERSECTING SURFACE CONFIGURATIONS | 6 |
Martin Haupt | AT | Wein | 2015-07-23 / 20150202377 - Supplemental Device for Attachment to an Injection Device | 3 |
Steven G. Haupt | US | San Diego | 2015-08-27 / 20150241319 - SWAB INTERFACE FOR A MICROFLUIDIC DEVICE | 1 |
Axel Haupt | DE | Langenhagen | 2010-05-13 / 20100119099 - Microphone and Method for Transmitting the Microphone Audio Data | 1 |
Eric J. Haupt | US | Round Lake Beach | 2014-11-20 / 20140339738 - FLOATING CORE FOR GLASS INSERT MOLDING METHOD AND APPARATUSES THEREFROM | 3 |
Josef Haupt | DE | Tettnang | 2016-02-25 / 20160053886 - Valve for Locking and Releasing a Hydraulically Actuated Shifting Element | 56 |
Robert Haupt | DE | Munchen | 2011-11-03 / 20110266102 - PNEUMATIC BRAKE CYLINDER | 1 |
Jan Haupt | DE | Bergisch Gladbach | 2009-12-10 / 20090305839 - DRIVETRAIN FOR AN ALL-WHEEL-DRIVE VEHICLE, AND METHOD FOR CONTROLLING THE SAME | 1 |
Robert W. Haupt | US | Lexington | 2015-05-28 / 20150148675 - SYSTEM AND METHOD FOR ANALYZING TISSUE USING SHEAR WAVES | 3 |
David Joseph Haupt | US | Gallatin | 2009-10-22 / 20090260614 - GRATES WITH HEAT SHIELD | 1 |
Brian Haupt | US | Spring Hill | 2010-03-18 / 20100066089 - SUBSEA TURBINE WITH A PERIPHERAL DRIVE | 1 |
Brandon Levi Haupt | US | Malta | 2010-03-18 / 20100064831 - INFINITELY VARIABLE TRANSMISSION WITH HYBRID ACCELERATOR | 1 |
Jarvis D. Haupt | US | Houston | 2010-04-29 / 20100103008 - System and method of signal sensing, sampling and processing through the exploitation of channel mismatch effects | 1 |
Martin Haupt | AT | Wien | 2016-03-03 / 20160065799 - METHOD OF ASSEMBLING A SUPPLEMENTAL DEVICE COMPRISING A CAMERA MODULE FOR AN INJECTION PEN AND SAID SUPPLEMENTAL DEVICE | 4 |
Mark John Haupt | US | Baton Rouge | 2010-07-22 / 20100184171 - Materials and Methods for Efficient Succinate and Malate Production | 1 |
Brian G. Haupt | US | Stillwater | 2014-10-09 / 20140298776 - EXHAUST INJECTION MUFFLER | 6 |
Jarvis Haupt | US | Madison | 2010-08-26 / 20100215081 - DETERMINING CHANNEL COEFFICIENTS IN A MULTIPATH CHANNEL | 1 |
Gordon T. Haupt | US | San Francisco | 2014-01-23 / 20140022387 - SPECIFYING SEARCH CRITERIA FOR SEARCHING VIDEO DATA | 4 |
Michael Haupt | DE | Deggingen | 2015-10-01 / 20150275864 - FREEZING-POINT-LOWERING COATING COMPOSED OF PLASTIC FILMS FOR APPLICATION TO ROTOR BLADES OF WIND TURBINES | 1 |
Michael Haupt | DE | Potsdam | 2016-04-28 / 20160117157 - EAGER AND OPTIMISTIC EVALUATION OF PROMISES | 2 |
John R. Haupt | US | Shippensburg | 2014-05-01 / 20140119827 - ASPHALT PAVEMENT CONSTRUCTING MACHINE AND METHOD OF OPERATION | 1 |
Jan Haupt | DE | Kurten | 2014-12-11 / 20140360291 - EQUALIZING UNIT OF A DRIVE TRAIN OF A MOTOR VEHICLE AND ITS CONSTRUCTION FOR LOSS-MINIMIZING OILING ON DEMAND | 5 |
Marco Haupt | DE | Mainz | 2015-10-15 / 20150291024 - FLAP ARRANGEMENT FOR A MOTOR VEHICLE | 1 |
Hagen Haupt | DE | Altenbeken | 2012-06-14 / 20120150503 - REAL-TIME CAPABLE BATTERY CELL SIMULATION | 1 |
Michael T. Haupt | US | Abingdon | 2013-08-01 / 20130192860 - ELECTROMAGNETIC MODE CHANGE MECHANISM FOR POWER TOOL | 1 |
Jarvis David Haupt | US | Madison | 2014-02-13 / 20140046631 - METHOD FOR ADAPTIVE DATA ACQUISITION | 1 |
Nicholas J. Haupt | US | Minneapolis | 2013-10-31 / 20130288115 - MANDREL FOR ELECTRODE ASSEMBLIES | 2 |
Bertram Haupt | US | New Port Richey | 2014-03-20 / 20140080947 - Method for Transporting Straight Run Bitumen, and Bitumen Mixture | 1 |
Christopher Haupt | US | 2013-11-14 / 20130304563 - METHOD, SYSTEM AND APPARATUS FOR FINDING, ORGANIZING, RANKING AND VISUALIZING COMBINABLE OFFERS | 1 | |
Jarvis David Haupt | US | Apple Valley | 2011-05-19 / 20110119031 - METHOD OF ADAPTIVE DATA ACQUISITION | 1 |
Andreas Haupt | DE | Schwetzingen | 2015-07-02 / 20150183770 - 5-RING HETEROAROMATIC COMPOUNDS AND THEIR USE AS BINDING PARTNERS FOR 5-HT5 RECEPTORS | 22 |
Eric Haupt | US | Round Lake Beach | 2014-12-18 / 20140368981 - MOLDING A DISPLAY SCREEN INTO A HOUSING OF AN ELECTRONIC DEVICE | 1 |
Veronica Haupt | ZA | Bryanston | 2012-03-08 / 20120055048 - HEEL FOR A SHOE | 1 |
Karsten Haupt | FR | Pronleroy | 2012-04-26 / 20120100358 - METHOD FOR PREPARING MOLECULARLY IMPRINTED POLYMERS (MIP) THROUGH RADICAL POLYMERISATION | 1 |
Jens Haupt | DE | Oberursel | 2009-11-26 / 20090288906 - HYDRAULIC POWER STEERING SYSTEM | 3 |
Karsten Haupt | FR | Compiègne | 2015-12-03 / 20150342869 - MOLECULARLY IMPRINTED POLYMERS OF SOL-GEL TYPE AND THEIR USE AS ANTIDANDRUFF AGENT | 4 |
Remus Anders Brix Haupt | US | Encinitas | 2015-03-26 / 20150087079 - MICROFLUIDIC DEVICE, SYSTEM AND METHOD | 1 |
Frank Haupt | DE | Nuertingen | 2010-06-24 / 20100156944 - Circuitry and method for reducing power consumption in gamma correction circuitry | 1 |
Peter Haupt | DE | Isny | 2015-11-26 / 20150337994 - Pipe for a Conveying Line for Conveying Bulk Material as well as Device for Leakage Monitoring of a Conveying Line | 1 |
Dieter Haupt | DE | Lueneburg | 2013-03-14 / 20130067272 - METHOD AND APPARATUS FOR DEALING WITH WRITE ERRORS WHEN WRITING INFORMATION DATA INTO MEMORY DEVICES | 2 |
Jonas Hauptman | US | Elkins Park | 2016-05-19 / 20160138259 - SYSTEM AND METHOD FOR STRUCTURE DESIGN | 1 |
Steven Hauptman | US | Camarillo | 2009-06-18 / 20090151993 - SHIELDED CABLE INTERFACE MODULE AND METHOD OF FABRICATION | 1 |
Elisabeth M. Hauptman | US | Princeton | 2010-09-02 / 20100222531 - CATALYSTS FOR OLEFIN POLYMERIZATION | 2 |
Traveler Hauptman | NZ | Karori | 2009-12-03 / 20090295317 - Ultra-compact, high-performance motor controller and method of using same | 2 |
Steven J. Hauptman | US | Sudbury | 2012-07-26 / 20120191579 - Selective Processing of Reverse Invoices in Computer Systems for Financial Transactions | 1 |
Steven L. Hauptman | US | Camarillo | 2008-10-02 / 20080243740 - Calibrating A Tester Using ESD Protection Circuitry | 1 |
Yirmi Hauptman | IL | Rishon Lezion | 2012-10-18 / 20120264377 - SYSTEM AND METHOD FOR MEASUREMENT OF PARAMETERS OF RADIO-FREQUENCY TRANSMISSION DEVICES | 1 |
Jonas Hauptman | US | Saint Louis Park | 2015-05-07 / 20150121772 - SYSTEM AND METHOD FOR STRUCTURE DESIGN | 2 |
William Hauptman | US | Boulder | 2012-11-22 / 20120293573 - Print Head Hydration System | 1 |
Christian Hauptman | DE | Stolberg | 2010-08-26 / 20100217355 - APPARATUS FOR THE STIMULATION OF NEURAL NETWORKS | 1 |
Edward G. Hauptmann | CA | West Vancouver | 2015-04-09 / 20150096862 - OVERTORQUE RELEASE DRIVE | 3 |
Marc Hauptmann | BE | Heverlee | 2012-10-25 / 20120266912 - Method and Apparatus for Cleaning Semiconductor Substrates | 1 |
Martina E. Hauptmann | US | Denver | 2012-06-28 / 20120165167 - THERAPEUTIC-EXERCISE PILLOW AND METHODS OF USE | 1 |
Werner Hauptmann | DE | Höhenkirchen | 2011-05-19 / 20110118930 - Method and Device for Operating a Motor Vehicle | 1 |
Daniel Hauptmann | CH | Zofingen | 2012-02-23 / 20120042761 - PROCESS FOR WORKING OF WORK-PIECES BY MEANS OF CUTTING FLUID-JET | 1 |
Bernhard Hauptmann | DE | Penzberg | 2013-08-29 / 20130224758 - USE OF SIGNAL ENHANCING COMPOUNDS IN ELECTROCHEMILUMINESCENCE DETECTION | 1 |
Holger Hauptmann | DE | Sindelsdorf | 2015-10-08 / 20150282905 - MULTI SECTIONAL DENTAL ZIRCONIA MILLING BLOCK, PROCESS OF PRODUCTION AND USE THEREOF | 24 |
Holger Hauptmann | DE | Sindersdorf | 2008-11-20 / 20080286718 - Device and Process For Dimensionally Stable Sintering of Ceramic Pre-Shaped Articles | 1 |
Roland Hauptmann | DE | Berlin | 2009-01-22 / 20090021121 - ELECTRICAL MACHINE | 1 |
Dominique Hauptmann | FR | Saint Paul De Vence | 2016-05-12 / 20160128314 - METHOD FOR CAPTURING MOSQUITOES BY PRODUCING A CARBON DIOXIDE FROM THE AMBIENT AIR | 1 |
Peter Hauptmann | DE | Hermsdorf | 2009-04-09 / 20090093977 - Method and device for acoustic measurement of the specific density of a gaseous or liquid medium | 1 |
Niels-Wieland Hauptmann | DE | Moritzvurg | 2009-09-03 / 20090221136 - METHOD OF IMPLANTING ION SPECIES INTO MICROSTRUCTURE PRODUCTS BY CONCURRENTLY CLEANING THE IMPLANTER | 1 |
Jan Hauptmann | DE | Dresden | 2009-12-03 / 20090297403 - METHOD FOR PRODUCING A BIOREACTOR OR LAB-ON-A-CHIP SYSTEM AND BIOREACTORS OR LAB-ON-A-CHIP SYSTEMS PRODUCED THEREWITH | 1 |
Udo Hauptmann | DE | Landsberg | 2012-12-13 / 20120313333 - TOOL RECEPTACLE | 4 |
Gerald Erik Hauptmann | DE | Bammental | 2011-10-06 / 20110244143 - METHOD OF CREATING A FLUID LAYER IN THE SUBMICROMETER RANGE | 3 |
Christian Hauptmann | DE | Stolberg | 2010-12-30 / 20100331912 - DEVICE AND METHOD FOR VISUAL STIMULATION | 1 |
Axel Hauptmann | DE | Waldkirch | 2012-04-12 / 20120086951 - OPTOELECTRONIC SENSOR | 1 |
Marc Hauptmann | BE | Kessel-Lo | 2016-05-05 / 20160122237 - LOW-EMISSIVITY AND ANTI-SOLAR GLAZING | 2 |
Werner Hauptmann | DE | Hoehenkirchen | 2012-07-26 / 20120190962 - METHOD FOR COMPUTER-ASSISTED CONFIGURATION OF A MEDICAL IMAGING DEVICE | 2 |
Rudolf Hauptmann | AT | Ebreichsdorf | 2011-04-14 / 20110086842 - Pyrimidines as PLK inhibitors | 6 |
Jorg Hauptmann | AT | Wernberg | 2013-01-03 / 20130003940 - XDSL MULTISTANDARD DRIVER CIRCUIT | 3 |
Jeorg Hauptmann | AT | Wernberg | 2009-04-30 / 20090110088 - System and Method for Providing a Versatile RF and Analog Front-End for Wireless and Wired Networks | 1 |
Joerg Hauptmann | AT | Wernberg | 2009-02-26 / 20090052683 - Echo cancellation | 2 |
Alexander Hauptmann | US | Finleyville | 2012-11-15 / 20120288140 - METHOD AND SYSTEM FOR SELECTING A VIDEO ANALYSIS METHOD BASED ON AVAILABLE VIDEO REPRESENTATION FEATURES | 1 |
Bernhard Hauptmeier | DE | Glenhausen | 2010-06-10 / 20100144890 - USE OF A SURFACTANT FOR THE PREPARATION OF A FORMULATION FOR THE TREATMENT OF ADIPOSE DISEASES | 1 |
Bernhard Hauptmeier | DE | Gelnhausen | 2014-12-25 / 20140377368 - CHITOSAN BEADS AND FILLER COMPRISING SUCH BEADS | 16 |
Bernhard Hauptmeier | DE | Gelnhausen | 2014-12-25 / 20140377368 - CHITOSAN BEADS AND FILLER COMPRISING SUCH BEADS | 16 |
Bernhard Hauptmeier | DE | Gelhausen | 2012-09-20 / 20120238631 - COMPOSITIONS COMPRISING CYCLOHEXYLAMINES AND AMINOADAMANTANES | 1 |
Bernard Hauptmeier | DE | Gelnhausen | 2013-08-01 / 20130197053 - PHARMACEUTICAL COMPOSITION CONTAINING A TRYPTOPHAN DERIVATIVE | 1 |
Ulrich Haupts | DE | Odenthal | 2015-11-19 / 20150329629 - Antibodies to TNF Alpha | 6 |
Marcel Haupts | DE | Stolberg | 2013-01-31 / 20130028972 - Tamper-resistant tablet providing immediate drug release | 4 |
Volker Haupts | DE | Aachen | 2013-10-03 / 20130255602 - FLEXIBLE HEAT ACCUMULATOR FOR ENGINE COOLANT | 1 |
Ulrich Haupts | DE | Nattermannallee | 2009-08-20 / 20090208474 - BIOLOGICAL ENTITIES AND THE USE THEREOF | 1 |
Ulrich Haupts | DE | Koln | 2009-08-20 / 20090208440 - BIOLOGICAL ENTITIES AND THE USE THEREOF | 1 |
Eric L. Hauquitz | US | O'Fallon | 2016-03-17 / 20160077413 - PORTABLE CONSTANT RESOLUTION VISUAL SYSTEM (CRVS) WITH DEFINED THROW DISTANCE | 1 |
Eric Bruce Haura | US | Tampa | 2010-01-07 / 20100004257 - Identification of Biomarkers Predictive of Dasatinib Effects in Cancer Cells | 1 |
Eric B. Haura | US | Tampa | 2014-09-11 / 20140256649 - PROTEIN-PROTEIN INTERACTION AS BIOMARKERS | 1 |
Michael Haurand | DE | Aachen | 2015-10-15 / 20150291573 - ARYL SUBSTITUTED HETEROCYCLYL SULFONES | 13 |
Pascal Hauri | CH | Sion | 2014-09-04 / 20140249590 - BONE ANCHOR WITH LOCKING CAP AND METHOD OF SPINAL FIXATION | 3 |
Marius Hauri | US | Westmoreland | 2012-07-05 / 20120172810 - Safety Needle Assembly | 2 |
Philipp Hauri | CH | Zurich | 2010-09-30 / 20100247359 - ACTIVELY CONTROLLED VALVE AND METHOD FOR OPERATING AN ACTIVELY CONTROLLED VALVE | 1 |
Bernhard Hauri | CH | Staffelbach | 2011-11-24 / 20110286098 - MARKER FOR A NAVIGATION SYSTEM | 1 |
Peter Hauri | CH | Hombrechtikon | 2012-06-07 / 20120137786 - PRESSURE SENSOR MEASURING ELEMENT AND PRESSURE SENSOR PROVIDED WITH THE LATTER | 1 |
Thomas Hauri | CH | Staffelbach | 2016-04-07 / 20160095694 - LIGAMENT-TENSIONING DEVICE AND METHOD | 4 |
Richard Kenneth Haurie | US | Alexandria | 2010-05-27 / 20100126033 - Cylindrical dryer having conduits provided within a plurality of holding plates | 1 |
Stefan Hau-Riege | US | Fremont | 2011-04-14 / 20110083661 - Low to Moderate Temperature Nanolaminate Heater | 2 |
Christine Sung-An Hau-Riege | US | Fremont | 2015-02-26 / 20150054568 - LAYOUT CONSTRUCTION FOR ADDRESSING ELECTROMIGRATION | 2 |
Christine S. Hau-Riege | US | San Diego | 2013-05-02 / 20130105559 - CONDUCTIVE SIDEWALL FOR MICROBUMPS | 1 |
Juha O. Hauru | FI | Pummimiehentie | 2012-06-21 / 20120153915 - BUCK OR BOOST DC-DC CONVERTER | 1 |
Alpo Hauru | FI | Vaasa | 2012-11-01 / 20120274166 - PERMANENT-MAGNET SYNCHRONOUS MACHINE AND A METHOD FOR MANUFACTURING AND INSTALLING IT | 1 |
Lauri Hauru | FI | Helsinki | 2016-02-25 / 20160053407 - Process for the production of shaped cellulose articles | 1 |
Juha O. Hauru | FI | Oulu | 2012-05-17 / 20120119715 - CONTROL CIRCUITRY IN A DC/DC CONVERTER FOR ZERO INDUCTOR CURRENT DETECTION | 1 |
John S. Haurum | DK | Kobenhavn | 2009-05-28 / 20090136498 - Method for Manufacturing Recombinant Polyclonal Proteins | 1 |
John Haurum | DK | Copenhagen O | 2012-12-20 / 20120322690 - Anti-Rhesus D Recombinant Polyclonal Antibody and Methods of Manufacture | 2 |
John Haurum | DK | Charlottenlund | 2008-08-28 / 20080206236 - Polyclonal antibody product | 1 |
Nicholas J. Haurus | US | New York | 2014-05-08 / 20140127659 - Method and System for Providing Web Based Interactive Lessons with Improved Session Playback | 2 |
Rachel E. Haurwitz | US | Kensigton | 2016-01-28 / 20160024568 - COMPOSITIONS AND METHODS OF NUCLEIC ACID-TARGETING NUCLEIC ACIDS | 1 |
Rachel E. Haurwitz | US | Kensington | 2016-04-21 / 20160108470 - Compositions And Methods Of Nucleic Acid-Targeting Nucleic Acids | 10 |
Rachel E. Haurwitz | US | Kensington | 2016-04-21 / 20160108470 - Compositions And Methods Of Nucleic Acid-Targeting Nucleic Acids | 10 |
Rachel E. Haurwitz | US | Berkeley | 2015-10-08 / 20150284697 - ENDORIBONUCLEASE COMPOSITIONS AND METHODS OF USE THEREOF | 2 |
Lane Haury | US | Kirkland | 2013-03-21 / 20130073819 - EFFICIENT FILE SYSTEM METADATA SCANNING USING SCOPED SNAPSHOTS | 2 |
Christian Haury | FR | Paris | 2014-01-30 / 20140029184 - ASSEMBLY FOR THE ELECTRONIC PROCESSING OF DATA WITH MUTUALIZED RESOURCES | 2 |
John A. Haury | US | Sewickley | 2015-07-23 / 20150202426 - Fluid Delivery System, Fluid Path Set, and Pressure Isolation Mechanism with Hemodynamic Pressure Dampening Correction | 12 |
Johan A. Haury | US | Sewickley | 2009-07-09 / 20090177155 - FLUID INJECTION SYSTEM AND PRESSURE JACKET ASSEMBLY WITH SYRINGE ILLUMINATION | 1 |
Axel Haury | FR | Le Cannet | 2010-03-18 / 20100070556 - Architectural Design for Data Migration Application Software | 1 |
John A. Haury | US | Sewickley | 2015-07-23 / 20150202426 - Fluid Delivery System, Fluid Path Set, and Pressure Isolation Mechanism with Hemodynamic Pressure Dampening Correction | 12 |
John A. Haury | US | Sewickly | 2009-10-01 / 20090247865 - DRIP CHAMBER AND FLUID LEVEL SENSING MECHANISM FOR A FLUID DELIVERY SYSTEM | 2 |
Eileen M. Haus | US | Saint Paul | 2013-11-28 / 20130314780 - LENS DESIGNS FOR INTEGRAL IMAGING 3D DISPLAYS | 2 |
Rainer Haus | DE | Biebertal-Fellingshausen | 2011-03-31 / 20110072766 - BAG FORMING, FILLING AND SEALING MACHINE FOR PREPARING AND FILLING TUBE-LIKE BAGS | 1 |
Kenneth Leo Haus | US | Cottage Grove | 2013-07-04 / 20130173422 - SYSTEMS AND METHODS FOR PARTICLE PATTERN SIMULATION | 1 |
Adrian Haus | CH | Zurich | 2015-12-03 / 20150342708 - DENTAL COMPONENT WITH METAL ADAPTER | 4 |
Waldemar Haus | DE | De | 2015-12-24 / 20150367473 - TOOL TURRET FOR PROCESSING WORKPIECES AND PROCESSING SYSTEM WITH THIS TYPE OF TOOL TURRET | 1 |
Eileen M. Haus | US | St. Paul | 2015-12-10 / 20150353756 - COATINGS FOR INDIUM-TIN OXIDE LAYERS | 5 |
Waldemar Haus | DE | Winnenden | 2012-07-26 / 20120186053 - TOOL TURRET FOR PROCESSING WORKPIECES AND PROCESSING SYSTEM WITH THIS TYPE OF TOOL TURRET | 7 |
Finn Hausager | DK | Aalborg | 2015-09-03 / 20150249290 - SLOT FED DIPOLE ANTENNA | 2 |
Finn Hausager | DK | Aabybro | 2015-12-31 / 20150380818 - ANTENNA CONFIGURATION WITH A COUPLER ELEMENT FOR WIRELESS COMMUNICATION | 2 |
Brian S. Hausauer | US | Austin | 2014-06-12 / 20140164471 - APPARATUS AND METHOD FOR IN-LINE INSERTION AND REMOVAL OF MARKERS | 7 |
Brendon Patrick Hausberger | ZA | Johannesburg | 2009-12-24 / 20090317669 - Carbon Efficiencies in Hydrocarbon Production | 1 |
Albert Hausberger | DE | Kienberg | 2011-02-24 / 20110046294 - NANOPARTICULATE SILICONE ORGANO COPOLYMERS AND USE THEREOF IN COATING COMPOSITIONS | 1 |
Franziska Hausberger | DE | Saarbrucken | 2011-03-10 / 20110058758 - ROLLING ELEMENT CHAIN | 1 |
Peter Hausberger | AT | Radfeld | 2009-10-01 / 20090246470 - SUBSTRATE AND TARGET PLATE | 1 |
Brendon Hausberger | ZA | Johannesburg | 2012-09-27 / 20120241675 - Carbon Absorbing System Used in the Production of Synthesis Gas | 1 |
Ingo Hausberger | AT | Graz | 2013-11-28 / 20130314097 - DEVICE FOR DETECTING THE INSULATION RESISTANCE OF A HIGH VOLTAGE BATTERY SYSTEM | 1 |
Klaus Hausberger | AT | Graz | 2009-09-03 / 20090218353 - Outer Tank For A Cryogenic Fuel | 3 |
Brendon Patrick Hausberger | ZA | North Riding | 2011-04-28 / 20110095233 - Production of Synthesis Gas | 1 |
Ingo Hausberger | AT | St. Andra | 2014-02-13 / 20140044998 - HIGH VOLTAGE BATTERY SYSTEM FOR A VEHICLE | 2 |
Martine Hausberger | FR | Paimpont | 2014-10-30 / 20140318474 - METHOD AND DEVICE FOR VISUALLY SCARING ANIMAL SPECIES, IN PARTICULAR BIRD SPECIES | 1 |
Ulrich Hausch | DE | Frankfurt | 2016-05-05 / 20160126713 - CERAMIC FEEDTHROUGH BRAZED TO AN IMPLANTABLE MEDICAL DEVICE HOUSING | 8 |
Felix Hausch | DE | Munchen | 2009-11-19 / 20090286259 - SCREENING METHOD FOR GPCR LIGANDS | 1 |
Felix Hausch | DE | Langenselbold | 2011-12-01 / 20110293724 - Enzyme Treatment of Foodstuffs for Celiac Sprue | 6 |
Ulrich Hausch | DE | Frankfurt | 2016-05-05 / 20160126713 - CERAMIC FEEDTHROUGH BRAZED TO AN IMPLANTABLE MEDICAL DEVICE HOUSING | 8 |
Felix Hausch | DE | Munich | 2015-07-02 / 20150183786 - BICYCLIC AZA-AMIDES FOR TREATMENT OF PSYCHIATRIC DISORDERS | 2 |
Bernd Hauschel | DE | Leverkusen | 2011-06-16 / 20110139388 - CATIONIC STARCH GRAFT COPOLYMERS AND NOVEL PROCESS FOR THE PREPARATION OF CATIONIC STARCH GRAFT COPOLYMERS | 2 |
Bernd Hauschel | DE | Berqisch Gladbach | 2016-03-03 / 20160060814 - Use of Micronized Cellulose and Fluorescent Whitening Agent for Surface Treatment of Cellulosic Materials | 1 |
Bernd Hauschel | DE | Bergisch Gladbach | 2013-01-03 / 20130000857 - COMPOSITION FOR IMPROVING DRY STRENGTH | 1 |
Jan-Erik Hauschel | DE | Apolda | 2013-06-13 / 20130145844 - SENSOR MODULE AND PRODUCTION METHOD OF A SENSOR MODULE | 2 |
James E. Hauschild | US | Cranbury | 2013-11-07 / 20130295184 - MEDICAL DEVICES WITH GALVANIC PARTICULATES | 10 |
James E. Hauschild | US | Cranbury | 2013-11-07 / 20130295184 - MEDICAL DEVICES WITH GALVANIC PARTICULATES | 10 |
John Hauschild | US | Riverside | 2011-06-23 / 20110147435 - STRUCTURE FOR APPLYING SPRAYABLE WOUND TREATMENT MATERIAL | 3 |
Sidney F. Hauschild | US | St. Paul | 2013-10-17 / 20130274703 - SYSTEMS, APPARATUS AND ASSOCIATED METHODS FOR NEEDLELESS DELIVERY OF THERAPEUTIC FLUIDS | 10 |
Sidney F. Hauschild | US | Minnetonka | 2011-10-27 / 20110264036 - DEVICES, SYSTEMS AND METHODS FOR DELIVERING FLUID TO TISSUE | 2 |
Karsten Hauschild | US | Princeton | 2009-10-01 / 20090248558 - Managing Consistent Interfaces for Business Objects Across Heterogeneous Systems | 1 |
Alan D. Hauschild | US | St. Charles | 2009-03-12 / 20090065037 - Shelter construction and method of erecting same | 1 |
Joy Hauschild | US | St. Charles | 2009-03-12 / 20090065037 - Shelter construction and method of erecting same | 1 |
Klaus Hauschild | DE | Duisburg | 2008-09-18 / 20080227935 - Catalyst System Consisting of a Carrier and of a Co-Catalyst | 1 |
Georg Hauschild | DE | Aachen | 2008-10-02 / 20080237363 - System For Fastening A Rail for A Rail Vehicle | 1 |
Torsten Hauschild | DE | Bergisch Gladbach | 2008-10-16 / 20080252883 - Spectroscopic determination of concentration in a rectification column | 1 |
Dirk Hauschild | DE | Koln | 2009-06-25 / 20090161224 - Device for illuminating an area and device for applying light to a work area | 1 |
Frank Hauschild | DE | Berlin | 2015-04-16 / 20150103100 - METHOD FOR DISPLAYING A TWO-SIDED TWO-DIMENSIONAL OBJECT ON A DISPLAY IN A MOTOR VEHICLE AND DISPLAY DEVICE FOR A MOTOR VEHICLE | 14 |
Ralph Hauschild | DE | Beelitz | 2011-06-23 / 20110148296 - High-pressure discharge lamp | 4 |
Robert Hauschild | DE | Jena | 2014-10-02 / 20140293410 - LASER SCANNING MICROSCOPE | 2 |
Martin Hauschild | DE | Muenchen | 2010-09-02 / 20100222984 - Process and Onboard Power Supply of a Motor Vehicle with an Anticipating Temporary Torque Derivation of the Internal-Combustion Engine | 5 |
Franziska Hauschild | DE | Bad Nauheim | 2013-09-05 / 20130230898 - HYDROXYALKYL STARCH DERIVATIVES AND PROCESS FOR THEIR PREPARATION | 3 |
Jan-Peter Hauschild | DE | Hamburg | 2011-01-06 / 20110002109 - MICRO FIXTURE | 2 |
Dag Hauschild | DE | Numberg | 2011-02-17 / 20110038716 - THRUST BEARING, ESPECIALLY FOR A TURBOCHARGER | 1 |
Dag Hauschild | DE | Nurnberg | 2011-03-03 / 20110052389 - Method for Producing a Lubricant Feed Line of a Thrust Bearing | 1 |
Frank Hauschild | DE | Marburg | 2011-01-20 / 20110014230 - PREPARATION OF INFLUENZA VIRUS VACCINE ANTIGENS | 1 |
Sidney F. Hauschild | US | St. Paul | 2013-10-17 / 20130274703 - SYSTEMS, APPARATUS AND ASSOCIATED METHODS FOR NEEDLELESS DELIVERY OF THERAPEUTIC FLUIDS | 10 |
Christian Hauschild | DE | Walldorf | 2014-09-18 / 20140282053 - Configurable Metrics and Metric Visualization | 2 |
Sidney F. Hauschild | US | Brooklyn Park | 2014-05-15 / 20140135733 - METHODS FOR INJECTING A DRUG INTO PROSTATE TISSUE | 3 |
Sidney Hauschild | US | Cottage Grove | 2016-03-03 / 20160059011 - DEVICES AND METHODS FOR SLEEP APNEA TREATMENT | 1 |
Frank Hauschild | DE | Berlin | 2015-04-16 / 20150103100 - METHOD FOR DISPLAYING A TWO-SIDED TWO-DIMENSIONAL OBJECT ON A DISPLAY IN A MOTOR VEHICLE AND DISPLAY DEVICE FOR A MOTOR VEHICLE | 14 |
Robert Hauschild | AT | Wein | 2014-08-21 / 20140231675 - Evaluation Circuit for an Optoelectronic Detector and Method for Recording Fluorescence Events | 1 |
Robert Hauschild | AT | Wien | 2015-11-12 / 20150323774 - MICROSCOPE WITH A LIGHT SHEET | 4 |
Martin Hauschild | CA | Ottawa | 2013-10-24 / 20130277291 - INTEGRATED WATER PROCESSING TECHNOLOGY | 2 |
Sidney F. Hauschild | US | Cottage Grove | 2014-05-22 / 20140142368 - PELVIC IMPLANTS AND RELATED METHODS | 3 |
Jan-Peter Hauschild | DE | Bremen | 2015-08-13 / 20150228467 - Ion Guide | 3 |
Martin Johannes Hauschild | FR | Fontainebleau | 2014-09-11 / 20140251796 - MODULAR ASSEMBLY FOR COUPLING ELECTROCHEMICAL UNITS | 1 |
Sidney Hauschild | US | St. Paul | 2013-04-04 / 20130085546 - DEVICES AND METHODS FOR SLEEP APNEA TREATMENT | 1 |
Bernd Hauschild | DE | Apensen | 2012-05-31 / 20120132811 - SCINTILLATOR-PHOTOSENSOR SANDWICH AND RADIATION DETECTOR AND PRODUCTION METHOD THEREFOR, EMBODYING SAME | 2 |
Wolfgang Hauschild | DE | Zirndorf | 2016-05-12 / 20160134096 - ELECTRICAL POWER DISTRIBUTOR FOR AN ELECTRIC OR HYBRID VEHICLE | 1 |
Reimer Hauschildt | DE | Hohenaspe | 2012-11-22 / 20120293342 - Display Module For Displaying Passenger-Specific Display Information | 2 |
Stephen D. Hauschka | US | Seattle | 2011-04-28 / 20110097761 - MUTANT MUSCLE-SPECIFIC ENHANCERS | 1 |
Dana S. Hauschulz | US | Boulder | 2010-06-10 / 20100144007 - APPARATUS AND METHODS FOR LOADING SOFT MATERIALS | 1 |
Maya Haus-Cohen | IL | Haifa | 2011-01-27 / 20110020357 - ANTI HUMAN IMMUNODEFICIENCY ANTIBODIES AND USES THEREOF | 2 |
Gerd Hausdorf | DE | Wettmar | 2011-12-08 / 20110301694 - METALLIC IMPLANT WHICH IS DEGRADABLE IN VIVO | 1 |
Jörg Hausdorf | DE | Furth | 2012-02-02 / 20120023690 - METHOD FOR CONTINUOUSLY PRODUCING SPONGE BODIES MADE OF REGENERATED CELLULOSE AND A SPONGE BODY | 1 |
Heiner Hausdorf | CH | Zwingen | 2013-12-19 / 20130333171 - Needling Device | 1 |
Gerd Hausdorf | DE | Burgwedel/ot Wettmar | 2011-10-13 / 20110251669 - METALLIC IMPLANT WHICH IS DEGRADABLE IN VIVO | 1 |
Juergen Hausdorf | DE | Otterstadt | 2012-06-07 / 20120137642 - Filter | 1 |
William P. Hausdorff | BE | Woluwe-Saint-Lambert | 2015-02-05 / 20150038685 - MULTIVALENT PNEUMOCOCCAL POLYSACCHARIDE-PROTEIN CONJUGATE COMPOSITION | 5 |
William Paul Hausdorff | BE | Rixensart | 2014-04-17 / 20140105926 - IMMUNOGENIC COMPOSITION | 1 |
William P. Hausdorff | US | 2010-12-16 / 20100316666 - Multivalent Pneumococcal Polysaccharide-Protein Conjugate Composition | 1 | |
Michelle Hause | US | Bayport | 2011-12-29 / 20110315284 - ARM AND LEG PURSE APPARATUS | 1 |
Jeffrey Hause | US | Bayport | 2011-12-29 / 20110315284 - ARM AND LEG PURSE APPARATUS | 1 |
Curtis B. Hause | US | St. Paul | 2009-09-24 / 20090237221 - Label programmer, system, and method of initializing RF-enabled labels | 2 |
Christopher A. Hause | US | Eaton Rapids | 2010-12-09 / 20100311318 - FAN FOR USE IN AGRICULTURE | 1 |
Fred Hause | US | Austin | 2010-07-01 / 20100164093 - HEAT DISSIPATION IN TEMPERATURE CRITICAL DEVICE AREAS OF SEMICONDUCTOR DEVICES BY HEAT PIPES CONNECTING TO THE SUBSTRATE BACKSIDE | 5 |
Kevin M. Hause | US | Binghamton | 2014-02-27 / 20140057728 - SEED METERING DEVICE DRIVE SYSTEM | 2 |
Christopher R. Hause | US | Valley Springs | 2010-12-09 / 20100312484 - SYSTEM FOR MONITORING OF AND MANAGING COMPLIANCE WITH TREATMENT FOR OBSTRUCTIVE SLEEP APNEA USING ORAL APPLIANCE THERAPY AND METHOD THERFOR | 1 |
Christopher Adam Hause | US | Eaton Rapids | 2009-01-22 / 20090023378 - FAN DAMPER | 1 |
Christopher Hause | US | Valley Springs | 2010-06-17 / 20100152599 - ORAL APPLIANCE COMPLIANCE MONITORING SYSTEM | 1 |
Ben Hause | US | Jordan | 2010-06-03 / 20100137551 - Methods and materials for the production of D-lactic acid in yeast | 1 |
Jason Hause | US | Indianapolis | 2010-04-29 / 20100104401 - Automated rod handling system | 1 |
Benjamin Matthew Hause | US | Currie | 2015-11-05 / 20150315616 - YEAST CELLS HAVING DISRUPTED PATHWAY FROM DIHYDROXYACETONE PHOSPHATE TO GLYCEROL | 4 |
Kevin Hause | US | Binghamton | 2015-01-22 / 20150020625 - SEED METERING DEVICE DRIVE SYSTEM FOR A TWIN-ROW SEEDER | 1 |
Ryan Hause | US | Cypress | 2016-04-14 / 20160102508 - AUTOMATED BOOTSTRAP QUAD-MAST RIG | 1 |
Roland Hause | DE | Brackenheim | 2009-01-08 / 20090007511 - Window Unit Having Decorative Strip Mounted Thereon | 1 |
Ronny Hause | DE | Cologne | 2014-12-18 / 20140371989 - METHOD AND SYSTEM FOR DETECTING STEERING WHEEL CONTACT | 1 |
David P. Hause | US | Carrboro | 2009-02-12 / 20090041839 - PHARMACEUTICAL COMPOSITIONS FOR THE TREATMENT OF PAIN | 1 |
Benjamin M. Hause | US | Currie | 2016-05-05 / 20160120973 - Attenuated Swine Influenza Vaccines and Methods of Making and Use Thereof | 4 |
Roberta Kathleen Hause | US | Saylorsburg | 2009-06-04 / 20090143599 - Heterocyclic Fused Selenophene Monomers | 1 |
Kevin F. Hause | US | Milton | 2009-08-27 / 20090211966 - Strainer filter | 1 |
Ronny Hause | DE | Koeln | 2015-07-16 / 20150197276 - METHOD FOR OPERATING AN ELECTRIC STEERING SUPPORT FOR A MOTOR VEHICLE, AND ELECTRIC STEERING SUPPORT AND ACCORDINGLY EQUIPPED MOTOR VEHICLE | 1 |
Robert F. Hause | US | Bountiful | 2016-03-03 / 20160058997 - IONTOPHORETIC ELECTRODE | 2 |
Lionel Hausemer | LU | Steinsel | 2012-03-22 / 20120070253 - DEVICE FOR DISTRIBUTING CHARGE MATERIAL IN A SHAFT FURNANCE | 10 |
Bernard A. Hausen | US | Menlo Park | 2011-05-05 / 20110101069 - Surgical Stapler with Direct Sled to Staple Contact | 2 |
Christian Hausen | DE | Vettelschoss | 2012-05-03 / 20120109033 - WATER-VAPOR PERMEABLE ADHESIVE BANDAGES | 3 |
Richard V. Hausen | US | Phoenix | 2014-02-27 / 20140058178 - METHANE CONVERSION APPARATUS AND PROCESS USING A SUPERSONIC FLOW REACTOR | 2 |
Bernard A. Hausen | US | Redwood City | 2015-09-24 / 20150265277 - Surgical Method Utilizing a True Multiple-Fire Surgical Stapler | 15 |
Heike Hausen | US | Redwood City | / - | 1 |
Martina Hausen | DE | Erlangen | 2012-12-13 / 20120313013 - SCINTILLATOR PLATE | 2 |
Bernard A. Hausen | US | Redwood City | 2015-09-24 / 20150265277 - Surgical Method Utilizing a True Multiple-Fire Surgical Stapler | 15 |
Bemard A. Hausen | US | Menlo Park | 2009-02-12 / 20090039138 - Vascular Closure System With Splayable Staple | 1 |
Christian Hausen | DE | St.katharinen | 2010-06-10 / 20100139861 - SCENTED TATTOO PLASTER | 1 |
Christian Hausen | DE | St. Katharinen | 2013-10-03 / 20130255713 - Laminate having improved water retention characteristics | 2 |
Michael Hauser | US | East Rockaway | 2015-11-05 / 20150316195 - SYSTEM AND METHOD FOR PIPELINE MAINTENANCE | 1 |
Jean-Michael Hauser | FR | Ugine | 2010-05-13 / 20100119403 - Austenitic Stainless Steel for Cold Working Suitable For Later Machining | 1 |
Christian Hauser | AT | Wien | 2015-07-09 / 20150194243 - METHOD FOR PRODUCING A STRUCTURAL COMPONENT | 2 |
Helmut Hauser | CH | Uerikon | 2009-11-05 / 20090275524 - NOVEL HYPOCHOLESTEROLEMIC COMPOUNDS | 1 |
Keith Hauser | CA | Melville | 2011-03-03 / 20110047950 - SWATH ROLLER | 1 |
Brian K. Hauser | US | Campbell | 2015-06-11 / 20150159204 - Single Molecule Arrays for Genetic and Chemical Analysis | 8 |
Oliver Hauser | AT | Vienna | 2010-11-11 / 20100283166 - Process and apparatus for the production of microcapsules | 1 |
Jean-Michel Hauser | FR | Ugine | 2010-01-07 / 20100000636 - DUPLEX STAINLESS STEEL | 1 |
Hermann Hauser | GB | Cambridge | 2009-09-03 / 20090219271 - ELECTRONIC DOCUMENT READER SYSTEM | 1 |
Raphael Andreas Hauser | GB | Oxford | 2009-08-27 / 20090216996 - Parallel Processing | 1 |
Robert Hauser | AT | Bodensdorf | 2009-03-19 / 20090072671 - Measurement system for measuring cylinder pressure in an internal combustion engine | 2 |
Oliver Hauser | AT | Wien | 2009-01-08 / 20090011033 - PROCESS FOR THE MANUFACTURE OF CELLULOSE SULFATE WITH IMPROVED CHARACTERISTICS | 1 |
Hannes Hauser | DE | Steinen | 2015-10-08 / 20150282601 - INTERDENTAL CLEANER | 3 |
Ralf Hauser | CH | Zollikon | 2008-09-04 / 20080212771 - Method and Devices For User Authentication | 1 |
Wolfgang Hauser | DE | Rheda-Wiedenbruck | 2014-06-26 / 20140173928 - METHOD AND APPARATUS FOR DRYING WORKPIECES | 1 |
Robert R. Hauser | US | Frisco | 2014-12-25 / 20140379621 - SYSTEM, METHOD AND COMPUTER READABLE MEDIUM FOR DETERMINING AN EVENT GENERATOR TYPE | 29 |
Kris Hauser | US | Bloomington | 2015-01-15 / 20150019241 - CLINICAL DECISION-MAKING ARTIFICIAL INTELLIGENCE OBJECT ORIENTED SYSTEM AND METHOD | 1 |
Klaus Hauser | DE | Schwabmunchen | 2015-11-12 / 20150325826 - BATTERY FOR A HANDHELD MACHINE TOOL AND METHOD FOR PRODUCING A BATTERY FOR A HANDHELD MACHINE TOOL | 1 |
Jan Hauser | DE | Dortmund | 2014-11-20 / 20140341770 - WATER COOLED SCREW COMPRESSOR | 1 |
Klemens Hauser | AT | Pucking | 2014-10-02 / 20140290899 - PROCESS ENGINEERING MEASURES IN A CONTINUOUS CASTING MACHINE AT THE START OF CASTING, AT THE END OF CASTING AND WHEN PRODUCING A TRANSITIONAL PIECE | 1 |
Charlotte A.e. Hauser | SG | Singapore | 2015-12-24 / 20150367028 - AMPHIPHILIC LINEAR PEPTIDE/PEPTOID AND HYDROGEL COMPRISING THE SAME | 4 |
Kurt F. Hauser | US | Midlothian | 2015-06-11 / 20150157730 - Bivalent Ligands for the Treatment of Neurological Disorders | 2 |
Brian K. Hauser | US | Campbell | 2015-06-11 / 20150159204 - Single Molecule Arrays for Genetic and Chemical Analysis | 8 |
Bruce Hauser | US | Mission Viejo | 2010-04-15 / 20100090851 - ELECTRICAL EXTENSION CORD | 1 |
Jan Niko Hauser | DE | Aurich | 2014-12-18 / 20140369837 - TURBINE FOR A HYDROELECTRIC POWER PLANT AND HYDROELECTRIC POWER PLANT | 1 |
Brian Hauser | US | Campbell | 2009-10-01 / 20090246879 - Materials and Methods Relating to Nano-Tags and Nano-Brands | 1 |
Kris Hauser | US | Menlo Park | 2008-12-11 / 20080306628 - Multi-Modal Push Planner for Humanoid Robots | 1 |
David L. Hauser | US | Newport Beach | 2016-03-31 / 20160089239 - METHOD FOR TREATING A MITRAL VALVE | 17 |
Enrico Hauser | DE | Langenbach B.k. | 2014-07-03 / 20140186540 - PLASMA SPRAYING PROCESS | 1 |
Thomas Hauser | DE | Konstanz | 2014-01-23 / 20140021939 - CURRENT-MEASURING DEVICE | 1 |
Patrick Hauser | AT | Wels | 2015-11-26 / 20150342091 - HEAT SINK AND HOUSING FOR AN INVERTER WITH SUCH A HEAT SINK | 1 |
Hubert Hauser | DE | Freiburg | 2012-09-13 / 20120227805 - SOLAR CELL | 2 |
Charlotte Hauser | SG | Nanos | 2014-09-11 / 20140256625 - ANTI-AMYLOIDOGENIC, ALPHA-HELIX BREAKING ULTRA-SMALL PEPTIDE THERAPEUTICS | 1 |
John Hauser | US | Wayne | 2014-01-30 / 20140026538 - TURBO CHARGER PRE-SPOOLER | 1 |
Glyn Hauser | GB | Rotherham | 2011-08-04 / 20110186075 - Vacuum cleaners | 1 |
Sascha Hauser | DK | Rechtenbach | 2014-04-03 / 20140091240 - PULSED UV-LIGHT SOURCE | 1 |
Jasper Reid Hauser | US | San Francisco | 2016-05-12 / 20160132486 - Generating a Social Glossary | 9 |
Charlotte Hauser | SG | Singapore | 2015-11-12 / 20150320908 - BUILDING STRATIFIED BIOMIMETIC TISSUES AND ORGANS USING CROSSLINKED ULTRASHORT PEPTIDE HYDROGEL MEMBRANES | 6 |
Todd Hauser | US | Seattle | 2011-06-30 / 20110159586 - COMPOSITIONS AND METHODS FOR MODULATING GENE EXPRESSION USING ASYMMETRICALLY-ACTIVE PRECURSOR POLYNUCLEOTIDES | 1 |
Alexander Hauser | DE | Hanau | 2015-03-26 / 20150083363 - METHOD FOR OPERATING A DATA CENTRE WITH EFFICIENT COOLING MEANS | 1 |
Michael Charles Hauser | US | Chardon | 2015-11-05 / 20150313681 - CLEANING DEVICE FOR AN ENDOSCOPIC DEVICE | 1 |
Joseph Michael Hauser | US | Louisville | 2013-12-12 / 20130327069 - ICEMAKER SHUT OFF METHOD FOR PREMATURE HARVEST REDUCTION | 3 |
Hans-Peter Hauser | CH | Rheinfelden | 2012-05-10 / 20120112658 - COLOR TEMPERATURE CONTROL OF FLASH UNITS | 1 |
David L. Hauser | US | Newport Beach | 2016-03-31 / 20160089239 - METHOD FOR TREATING A MITRAL VALVE | 17 |
Peter L. Hauser | US | Fountain Hills | 2015-06-04 / 20150154565 - BENEFIT MANAGEMENT | 2 |
Michael J. Hauser | US | Bolton | 2015-01-15 / 20150014771 - DUAL L-SHAPED DRIFT REGIONS IN AN LDMOS DEVICE AND METHOD OF MAKING THE SAME | 10 |
Rachel E. Hauser | US | Jacksonville | 2010-09-16 / 20100230837 - Release aids for releasing silicone hydrogel ophthalmic lenses | 1 |
Stephen A. Hauser | US | Carlisle | 2010-09-02 / 20100220456 - System And Method For Blocking Lateral Airflow Paths Between Modules In An Electronic Equipment Enclosure | 6 |
Timothy Glenn Hauser | US | Fort Wayne | 2010-08-19 / 20100210147 - CONNECTORS TO CONNECT ELECTRONIC DEVICES | 1 |
Lawrence M. Hauser | US | Auburn | 2016-04-07 / 20160095474 - SALAD SPINNER | 13 |
Robert Hauser | US | Columbia | 2015-10-01 / 20150273022 - STABILIZED ULTRA-RAPID-ACTING INSULIN FORMULATIONS | 9 |
Elizabeth Hauser | US | Durham | 2011-03-24 / 20110070583 - Lsamp gene associated with cardiovascular disease | 2 |
Wendy Hauser | US | Lehighton | 2011-03-17 / 20110061663 - Infant Sleep System | 1 |
Peter E.h. Hauser | US | Kirkland | 2011-03-10 / 20110059720 - WIRELESS SECURITY DEVICE AND METHOD | 1 |
Terry Hauser | US | Winston-Salem | 2015-04-16 / 20150105421 - PREPARATION AND THERAPEUTIC APPLICATIONS OF (2S,3R)-N-2-((3-PYRIDINYL)METHYL)-1-AZABICYCLO[2.2.2]OCT-3-YL)-3,5-DIFLUO- ROBENZAMIDE | 6 |
Eduardo A. Hauser | US | Hollywood | 2011-02-03 / 20110029858 - METHOD AND SYSTEM FOR CREATING CUSTOMIZED NEWS DIGESTS | 2 |
Bret Hauser | US | Flower Mound | 2015-01-29 / 20150032112 - Saw Blade Stability And Collet System Mechanism | 4 |
Michael A. Hauser | US | Durham | 2010-07-29 / 20100190264 - Genetic Variants Increase the Risk of Age-Related Macular Degeneration | 2 |
Ernest Hauser | US | Pittsburgh | 2010-06-24 / 20100154548 - Method and apparatus for detecting voids in a pipe | 1 |
Clemens Hauser | DE | Heilbronn | 2012-02-16 / 20120038364 - Circuit for Monitoring of Accumulator Cells Connected in Series | 1 |
Michael Hauser | US | Durham | 2015-11-12 / 20150323496 - Integrated Droplet Actuator for Gel Electrophoresis and Molecular Analysis | 5 |
Kurt Hauser | US | Northville | 2010-04-15 / 20100090432 - STABILIZER BAR WITH DISCONNECTABLE LINK | 1 |
Ralf Hauser | DE | Dresden | 2015-05-21 / 20150139786 - RUN-IN COATING BASED ON METAL FIBERS | 1 |
Christopher R. Hauser | US | Pittsburgh | 2010-03-11 / 20100060729 - System for creating microscopic digital montage images | 2 |
Karin Hauser | DE | Kempten | 2008-09-04 / 20080211489 - INDUCTIVE SENSOR | 1 |
Christian Hauser | DE | Regensburg | 2008-09-04 / 20080211345 - Method and Device for Controlling a Capacitive Load | 1 |
Christian Hauser | DE | Moessingen | 2008-11-20 / 20080289004 - Method and Module for Protecting Against Attacks in a High-Speed Network | 1 |
Alan R. Hauser | US | Chicago | 2013-08-29 / 20130224747 - Pathogenecity Islands of Pseudomonas Aeruginosa | 2 |
Edwin W. Hauser | US | Charlotte | 2013-08-22 / 20130216089 - Method and System for Remotely Inspecting Bridges and Other Structures | 2 |
Nicole Hauser | DE | Herrenberg | 2008-11-27 / 20080293079 - Chip for Diagnosing the Presence of Candida | 1 |
Gerhard Hauser | DE | Kusterdingen | 2012-02-09 / 20120032478 - Vehicle Seat Comprising a Pad of a Seat Cushion and/or of a Backrest and A Massage Device | 1 |
Joseph R. Hauser | US | Vernon | 2010-01-14 / 20100008764 - GAS TURBINE ENGINE WITH A VARIABLE EXIT AREA FAN NOZZLE, NACELLE ASSEMBLY OF SUCH A ENGINE, AND CORRESPONDING OPERATING METHOD | 1 |
Hans Hauser | US | Strongsville | 2015-08-06 / 20150217802 - Steering Systems, Steering and Speed Coordination Systems, and Associated Vehicles | 7 |
Paul S. Hauser | US | Tucson | 2015-12-10 / 20150357495 - TILED FRAMELESS PV-MODULE | 11 |
Berthold Hauser | DE | Burladingen | 2009-01-29 / 20090030496 - INSERTION SYSTEM FOR STENTS, COMPRISING TENSION-COMPRESSION KINEMATICS | 2 |
Ingo Hauser | DE | Kadern | 2009-04-09 / 20090089987 - DEVICE FOR FASTENING AN ACCESSORY TO A SUPPORT PART | 1 |
Janet M. Hauser | US | Bethesda | 2009-10-01 / 20090247457 - NEUROTROPHIC COMPONENTS OF THE ADNF I COMPLEX | 1 |
Hubert Hauser | DE | Wurselen | 2010-01-07 / 20100003476 - GLASS SEGMENTS INCLUDING IDENTIFICATION MARKING | 1 |
Raymond Hauser | US | Sullivan | 2015-06-04 / 20150151781 - FRONT STEERING MODULE FOR A ZERO TURN RADIUS VEHICLE | 6 |
Peter L. Hauser | US | Lakeville | 2009-08-13 / 20090204448 - BENEFIT MANAGEMENT | 1 |
Hubert Hauser | DE | Wuerselen Allemagne | 2010-02-11 / 20100031590 - INSULATING GLAZING UNIT COMPRISING A CURVED PANE | 1 |
Kenneth Clair Hauser | US | Canton | 2009-04-23 / 20090101465 - Breakaway Clutch For Controllable Speed Accessory Drive System | 1 |
Lawrence Michael Hauser | US | Federal Way | 2009-03-05 / 20090056561 - Combination Breading Tongs And Dipping Tool | 1 |
Daniela Hauser | DE | Singen | 2011-11-03 / 20110269750 - ROFLUMILAST FOR THE TREATMENT OF DIABETES MELLITUS | 3 |
Todd M. Hauser | US | Sammamish | 2009-01-01 / 20090005332 - Compositions and Methods for Modulating Gene Expression Using Self-Protected Oligonucleotides | 1 |
Carl J. Hauser | US | Mountainside | 2008-11-13 / 20080280866 - Method of Treating or Sepsis | 1 |
Hansjoerg Hauser | DE | Wolfenbuettel | 2011-08-04 / 20110189142 - Senescene Cells and Methods For Its Production | 2 |
David Hauser | US | Oakland | 2012-12-27 / 20120330710 - METHODS AND SYSTEMS FOR INTEGRATING TIMING AND LOCATION INTO APPOINTMENT SCHEDULES | 1 |
Robert Hauser | DE | Aystetten | 2015-03-19 / 20150079312 - THERMAL INSULATION BODY AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Klaus Hauser | DE | Schwabmuenchen | 2010-07-15 / 20100176766 - CONTROL METHOD FOR AN ACCUMULATOR BATTERY AND A HAND POWER TOOL | 1 |
Michael Hauser | AT | Zams | 2014-08-28 / 20140242668 - POLYSACCHARIDE LYASES | 2 |
Gerd Hauser | DE | Graefelfing | 2010-07-15 / 20100175340 - THERMAL INSULATION ELEMENT WITH VENTILATION DUCTS | 1 |
Erwin Hauser | DE | Emmendingen | 2014-08-28 / 20140238621 - ROTARY EVAPORATOR | 9 |
Stefan Hauser | DE | Altensteig | 2011-02-10 / 20110031782 - Method of Mounting a Roof Element as well as a Mounting Arrangement of a Roof Element | 1 |
Mario Hauser | DE | Bruchsal | 2011-02-24 / 20110044581 - MICROOPTICAL COMPONENT AND METHOD FOR ITS MANUFACTURE | 1 |
Boon Hwa Hauser | DE | Angelbachtal | 2014-01-02 / 20140006231 - CONSISTENT INTERFACE FOR CUSTOMER INVOICE | 1 |
Hansjörg ` Hauser | DE | Wolfenbuttel | 2013-10-17 / 20130273550 - METHODS AND VECTORS FOR CELL IMMORTALISATION | 1 |
Rainer Hauser | DE | Nuremberg | 2014-03-06 / 20140068571 - FACILITY | 1 |
Johann Hauser | DE | Bernhardswald | 2011-03-03 / 20110052389 - Method for Producing a Lubricant Feed Line of a Thrust Bearing | 2 |
Andreas Hauser | CH | Bern | 2013-11-14 / 20130299719 - MOLECULAR NEAR-INFRARED TO VISIBLE LIGHT UPCONVERSION LUMINESCENCE | 1 |
Wolfgang Hauser | DE | Endingen | 2016-02-04 / 20160035594 - ELECTRONIC COMPONENT WITH A LEADFRAME | 3 |
Christian Hauser | DE | Lappersdorf | 2014-11-27 / 20140345571 - Determining the Opening Behavior of a Fuel Injector by Means of an Electrical Test Excitation Without Magnetic Saturation | 4 |
Eberhard Hauser | DE | Villingen-Schwenningen/weigheim | 2011-05-05 / 20110102534 - PRINT HEAD FOR A THERMAL PRINTER, METHOD FOR PRODUCTION THEREOF, AND THERMAL PRINTER INCORPORATING SAME | 1 |
Dominik Hauser | DE | Spaichingen | 2010-03-18 / 20100069436 - IMIDAZOLE COMPOUNDS HAVING AN ANTIINFLAMMATORY EFFECT | 1 |
Lawrence Hauser | US | Auburn | 2011-09-15 / 20110219959 - CITRUS JUICE AND MEASURE | 1 |
Peter E. H. Hauser | US | Kirkland | 2015-08-06 / 20150223271 - WIRELESS SECURITY DEVICE AND METHOD TO PLACE EMERGENCY CALLS | 2 |
Charlotte Hauser | DE | Munich | 2010-04-22 / 20100099113 - MINIMIZED SMALL PEPTIDES WITH HIGH AFFINITY FOR FACTOR VIII AND FACTOR VIII-LIKE PROTEINS | 2 |
Enrico Hauser | DE | Langenbach B. K. | 2012-01-26 / 20120018407 - PLASMA TRANSFER WIRE ARC THERMAL SPRAY SYSTEM | 1 |
Stephan Hauser | DE | Langen | 2010-05-06 / 20100107537 - Method for Attaching a Reinforcement or Facing on an Existing Structural Component and Structural Component with Attached Reinforcement or Facing | 2 |
Otto Hauser | DE | Esslingen | 2012-01-26 / 20120021297 - LITHIUM ION BATTERY | 3 |
Ingo Hauser | DE | Kandern | 2014-03-06 / 20140064874 - PLUG-IN NUT | 5 |
Rainer Hauser | DE | Nurnberg | 2010-11-11 / 20100286936 - METHOD FOR DETERMINING AND ASSEMBLING CHARACTERISTIC VARIABLES OF AN ELECTRICAL POWER SUPPLY | 3 |
Adam W. Hauser | US | Minneapolis | 2014-10-30 / 20140322285 - LOW pH DISINFECTANT COMPOSITION | 3 |
Karsten Hauser | DE | Hamburg | 2012-10-11 / 20120259032 - MULTICOMPONENT SYSTEM FOR PRODUCING A DENTAL MATERIAL | 2 |
Markus Hauser | DE | Feldafing | 2016-05-05 / 20160126928 - Electroacoustic Transducer having Reduced Losses due to Transverse Emission and Improved Performance due to Suppression of Transverse Modes | 9 |
Michael J. Hauser | US | Bolton | 2015-01-15 / 20150014771 - DUAL L-SHAPED DRIFT REGIONS IN AN LDMOS DEVICE AND METHOD OF MAKING THE SAME | 10 |
Erwin Hauser | DE | Emmendingen | 2014-08-28 / 20140238621 - ROTARY EVAPORATOR | 9 |
Terry Hauser | US | Minston-Salem | 2014-08-21 / 20140234270 - TREATMENT WTH ALPHAT SELECTIVE LIGANDS | 1 |
Robert G. Hauser | US | Long Lake | 2016-03-03 / 20160058539 - FILTER APPARATUS FOR OSTIUM OF LEFT ATRIAL APPENDAGE | 3 |
Florian Hauser | DE | Achern | 2015-09-10 / 20150251634 - WINDSCREEN WIPER DEVICE FOR A VEHICLE | 3 |
Carl J. Hauser | US | Boston | 2013-09-19 / 20130243794 - METHODS FOR PREDICTING AND TREATING INFECTION-INDUCED ILLNESSES AND PREDICTING THE SEVERITY OF INFECTION-INDUCED ILLNESSES | 2 |
Ray L. Hauser | US | Boulder | 2014-12-18 / 20140370124 - MULTI-PURPOSE ANTI-ITCH TREATMENT | 16 |
Nathalie Hauser | IL | Tel Aviv | 2016-05-05 / 20160125557 - System for Continuous Computation of Renewable Energy Power Production | 1 |
Joseph A. Hauser | US | Williamsport | 2013-03-07 / 20130058744 - Bulk Material Handling System and Carrier Therefor | 1 |
Stefan Hauser | DE | Oberndorf Am Neckar | 2014-12-04 / 20140353376 - METHOD AND DEVICE FOR DETECTING AND DETERMINING THE PRESENCE OF OBJECTS IN STORAGE LOCATIONS BY MEANS OF A BAR CODE READING DEVICE | 1 |
Bret Randal Hauser | US | Flower Mound | 2013-08-08 / 20130204255 - Saw Blade Stability and Collet System Mechanism | 1 |
Enrico Hauser | DE | Langenbach | 2016-01-07 / 20160001309 - DEVICE FOR THERMALLY COATING A SURFACE | 4 |
Daniel Hauser | CH | St. Gallen | 2011-10-13 / 20110247777 - Arrangement for a Die Casting Machine and Method for Operating a Drive Piston of the Die Casting Machine | 2 |
Joseph Anthony Hauser | US | Williamsport | 2016-04-07 / 20160096687 - APPARATUS FOR HANDLING FINE BULK MATERIAL | 1 |
Carsten Hauser | DE | Langenzenn | 2013-10-24 / 20130283160 - INTEGRATED CALL CAPTURE FOR STREAMING AUDIO | 1 |
Steven L. Hauser | US | Easton | 2016-02-18 / 20160045590 - ALKYLATED INFLUENZA VACCINES | 1 |
Steven Hauser | US | Swiftwater | 2016-02-18 / 20160045586 - Toxoid, Compositions and Related Methods | 1 |
Rainer Hauser | DE | Nuernberg | 2015-08-20 / 20150235396 - DISPLAY UNIT AND INSTALLATION FOR DISTRIBUTING ELECTRICAL ENERGY WITH A CORRESPONDING DISPLAY UNIT | 1 |
Jasper Reid Hauser | US | San Francisco | 2016-05-12 / 20160132486 - Generating a Social Glossary | 9 |
Peter Hauser | US | Kirkland | 2014-11-20 / 20140344375 - Systems and Methods for Wireless Activity Monitoring with Notifications | 2 |
Vladìmír Hauser | CZ | Ceské Budejovice | 2015-08-27 / 20150239185 - APPLIANCE FOR REPAIRS OF LOCAL DAMAGE OF LAMINATED GLASSES, PARTICULARLY GLASSES OF CARS AND OTHER TRANSPORTATION MEANS | 1 |
James Brian Hauser | US | Clinton | 2015-08-20 / 20150232185 - STOWABLE AIRCRAFT CABIN ATTENDANT SEAT | 5 |
Markus Hauser | DE | Feldafing | 2016-05-05 / 20160126928 - Electroacoustic Transducer having Reduced Losses due to Transverse Emission and Improved Performance due to Suppression of Transverse Modes | 9 |
Peter Edmund Heinrich Hauser | US | Kirkland | 2011-10-20 / 20110255454 - METHOD AND SYSTEM FOR REPACKAGING WIRELESS DATA | 1 |
Hans-Peter Hauser | DE | Marburg | 2014-01-16 / 20140017273 - Albumin-Fused Anti-Angiogenesis Peptides | 15 |
Andreas Hauser | SG | Singapore | 2013-11-07 / 20130292339 - METHOD AND APPARATUS FOR SEPARATION OF OIL AND WATER USING HYDROPHOBIC AND HYDROPHILIC FUNCTIONAL SOLID PARTICLES | 2 |
James B. Hauser | US | Clinton | 2015-10-08 / 20150285278 - VACUUM POWERED LIFTING MECHANISM | 1 |
Hansjörg ` Hauser | DE | Wolfenbuttel | 2013-10-17 / 20130273550 - METHODS AND VECTORS FOR CELL IMMORTALISATION | 1 |
Stephen G. Hauser | US | Tarzana | 2012-03-08 / 20120058868 - EXERCISE DEVICE | 11 |
David Hauser | FR | Paris | 2012-08-16 / 20120207188 - METHOD FOR THE THERMAL CHARACTERIZATION OF A PORTION OF MATERIAL | 1 |
Lawrence M. Hauser | US | Auburn | 2016-04-07 / 20160095474 - SALAD SPINNER | 13 |
Walter Hauser | CH | Schaffhausen | 2015-09-10 / 20150253205 - MEASURING DEVICE AND MEASURING METHOD FOR REGISTERING AN AMBIENT TEMPERATURE OF A MEDICAL MACHINE, AND DEVICE AND METHOD FOR MEDICAL INSUFFLATION | 1 |
Clemens Hauser | DE | Kutzenhausen | 2012-07-05 / 20120169004 - METHOD AND APPARATUS FOR DETERMINING A SUB-GROUP OF A GROUP OF SHEETS IN A STREAM OF SHEETS | 1 |
John Reid Hauser | US | Berkeley | 2013-09-12 / 20130238878 - LOW POWER, HIGH PERFORMANCE, HETEROGENEOUS, SCALABLE PROCESSOR ARCHITECTURE | 1 |
Hans-Juergen Hauser | DE | Kaiserslautern | 2016-02-04 / 20160032093 - MATT WEATHER-RESISTANT MOLDING MASSES FOR EXTRUSION METHODS | 1 |
Thomas Hauser | DE | Hönow | 2015-12-03 / 20150345795 - FUEL NOZZLE ASSEMBLY WITH REMOVABLE COMPONENTS | 3 |
Rob Hauser | US | Richmond | 2013-01-10 / 20130013335 - PHARMACY BENEFITS MANAGEMENT METHOD AND APPARATUS | 2 |
Scott M. Hauser | US | Columbia Heights | 2013-09-19 / 20130241242 - HANDHOLD ASSEMBLY | 1 |
Elizabeth R. Hauser | US | Durham | 2013-12-05 / 20130324416 - Methods and Compositions for Correlating Genetic Markers with Cardiovascular Disease | 6 |
John Hauser | US | Glendora | 2011-11-10 / 20110274859 - Fire starter | 1 |
Robert Hauser | DE | Meitingen | 2015-09-17 / 20150260461 - PLATE HEAT EXCHANGER HAVING SEALED CONSTRUCTION | 1 |
Stephen L. Hauser | US | San Francisco | 2011-11-17 / 20110281750 - Identifying High Risk Clinically Isolated Syndrome Patients | 1 |
Brett T. Hauser | US | Millville | 2015-09-24 / 20150265637 - METHODS AND COMPOSITIONS FOR TREATING SYMPTOMS OF DISEASES RELATED TO IMBALANCE OF ESSENTIAL FATTY ACIDS | 2 |
Ari N. Hauser | US | College Park | 2015-09-24 / 20150270743 - System and Methods for Controlling a Supply of Electric Energy | 1 |
Todd M. Hauser | US | Seattle | 2012-07-19 / 20120184598 - POLYNUCLEOTIDES FOR MULTIVALENT RNA INTERFERENCE, COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Oded Hauser | US | Matawan | 2012-07-12 / 20120179630 - METHODS FOR MEASURING HEDGING VALUE-AT-RISK AND PROFITABILITY | 1 |
Robert Hauser | US | Columbia | 2015-10-01 / 20150273022 - STABILIZED ULTRA-RAPID-ACTING INSULIN FORMULATIONS | 9 |
Jon W. Hauser, Ii | US | Geneva | 2013-03-21 / 20130067658 - Lavatory System | 2 |
William B. Hauserman | US | Shoreview | 2009-09-03 / 20090218424 - Compactor Feeder | 1 |
Michael Paul Hausfeld | US | Sharonville | 2012-10-11 / 20120257812 - METHOD FOR DETERMINING THE LOCATION OF AN ADDITIVE IN AN ARTICLE | 3 |
Alexa Lea Haushalter | US | Bellefontaine | 2014-09-18 / 20140266665 - Vehicle directional indicator | 3 |
Robert C. Haushalter | US | Santa Clara | 2008-11-13 / 20080279727 - Polymeric Fluid Transfer and Printing Devices | 1 |
Robert C. Haushalter | US | Los Gatos | 2015-07-09 / 20150192522 - RARE EARTH DOWNCONVERTING PHOSPHOR COMPOSITIONS FOR OPTICALLY ENCODING OBJECTS AND METHODS AND APPARATUS RELATING TO SAME | 8 |
Peter Haushalter | DE | Monchengladbach | 2009-01-22 / 20090020105 - EXHAUST-GAS RECIRCULATION DEVICE FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Robert W. Haushalter | US | Los Gatos | 2015-07-09 / 20150192522 - RARE EARTH DOWNCONVERTING PHOSPHOR COMPOSITIONS FOR OPTICALLY ENCODING OBJECTS AND METHODS AND APPARATUS RELATING TO SAME | 2 |
Todd M. Haushalter | US | Las Vegas | 2015-11-19 / 20150328533 - Playing Card Handling Devices, Systems, and Methods for Verifying Sets of Cards | 7 |
Robert C. Haushalter | US | Los Gatos | 2015-07-09 / 20150192522 - RARE EARTH DOWNCONVERTING PHOSPHOR COMPOSITIONS FOR OPTICALLY ENCODING OBJECTS AND METHODS AND APPARATUS RELATING TO SAME | 8 |
Martin Haushalter | DE | Regensburg | 2016-01-28 / 20160027979 - OPTOELECTRONIC COMPONENT AND ELECTRONIC DEVICE HAVING AN OPTOELECTRONIC COMPONENT | 7 |
Robert W. Haushalter | US | Emeryville | 2015-09-10 / 20150253335 - REVERSIBLE CHEMOENZYMATIC LABELING OF NATIVE AND FUSION CARRIER PROTEIN MOTIFS | 1 |
Todd Haushalter | US | Las Vegas | / - | 2 |
Mark F. Haushalter | US | Bellefontaine | 2010-09-30 / 20100243410 - Method and apparatus for cleaning and sanitizing conveyor belts | 1 |
William E. Haushalter | US | Spicewood | 2011-03-31 / 20110075269 - PROJECTION DISPLAY DEVICE FOR MULTIMEDIA AND WALL DISPLAY SYSTEMS | 1 |
Frederick H. Hausheer | US | Boerne | 2012-03-22 / 20120070404 - Increasing cancer patient survival time by administration of dithio-containing compounds | 5 |
Frederick H. Hausheer | US | Fair Oaks Ranch | 2016-02-11 / 20160038519 - ADMINISTRATION OF KARENITECIN FOR THE TREATMENT OF ADVANCED OVARIAN CANCER, INCLUDING CHEMOTHERAPY-RESISTANT AND/OR THE MUCINOUS ADENOCARCINOMA SUB-TYPES | 4 |
Frederick Hausheer | US | Boerne | 2009-04-16 / 20090099224 - C7- substituted camptothecin analogs | 2 |
Arndt Hausherr | DE | Mainz | 2011-12-22 / 20110313191 - Preparation of Pharmaceutical Salts of 3-0-(3',3'-Dimethylsuccinyl) Betulinic Acid | 3 |
Fabian Hauske | DE | Woerthsee | 2011-12-15 / 20110305453 - METHOD AND ARRANGEMENT FOR ADAPTIVE DISPERSION COMPENSATION | 2 |
Fabian Hauske | DE | Munchen | 2010-05-27 / 20100128772 - RECEIVER STRUCTURE AND METHOD FOR THE DEMODULATION OF A QUADRATURE-MODULATED SIGNAL | 1 |
Fabian N. Hauske | DE | Woerthsee, Bavaria | 2014-12-04 / 20140356003 - OPTICAL RECEIVER HAVING A CHROMATIC-DISPERSION COMPENSATION MODULE WITH A MULTIBRANCH FILTER-BANK STRUCTURE | 1 |
James R. Hauske | US | Concord | 2009-10-15 / 20090258901 - LIGANDS FOR MONOAMINE RECEPTORS AND TRANSPORTERS, AND METHODS OF USE THEREOF | 2 |
Fabian Hauske | DE | Worthsee | 2014-10-02 / 20140294387 - METHOD AND ARRANGEMENT FOR ADAPTIVE DISPERSION COMPENSATION | 2 |
James R. Hauske | US | La Jolla | 2012-12-27 / 20120329829 - Dopamine Transporter Inhibitors for Use in Treatment of Movement Disorders and Other CNS Indications | 9 |
Maximilian Hauske | DE | Mannheim | 2010-09-09 / 20100224762 - Optoelectronic sensor | 1 |
Fabian Nikolaus Hauske | DE | Munich | 2016-03-03 / 20160065304 - OPTICAL CHANNEL SOUNDER | 7 |
Fabian Nikolaus Hauske | DE | Munchen | 2012-11-15 / 20120290893 - METHOD AND APPARATUS FOR DETECTING A PARITY ERROR IN A SEQUENCE OF DQPSK SYMBOLS OF A DIGITAL TRANSMISSION SYSTEM | 2 |
Sven Hausknecht | AT | Dornbirn | 2015-11-05 / 20150314801 - STEERING COLUMN FOR A MOTOR VEHICLE | 1 |
Richard Hausknecht | US | Bronx | 2014-08-21 / 20140235603 - METHODS, DOSING REGIMENS AND MEDICATIONS USING ANTI-PROGESTATIONAL AGENTS FOR THE TREATMENT OF DISORDERS | 3 |
Edward Hausknecht | US | Annapolis | 2014-08-07 / 20140220658 - Recombinant Butyrylcholinesterases and Truncates Thereof | 2 |
Paul A. Hausladen | US | Oak Ridge | 2013-10-10 / 20130264486 - MULTI-PARTICLE INSPECTION USING ASSOCIATED PARTICLE SOURCES | 2 |
George Hausladen | US | Green Bay | 2012-09-13 / 20120231106 - Meatball rolling machine | 2 |
Wolfgang Hausladen | DE | Neutraubling | 2015-07-16 / 20150197415 - MODULAR PRODUCTION SYSTEM AND METHOD FOR PRODUCING AND/OR FILLING CONTAINERS | 1 |
Josef Hausladen | DE | Woerth An Der Donau | 2012-12-20 / 20120321741 - BLOW MOULDING MACHINE WITH PIVOT SHAFTS GUIDED ON SLIDE BEARINGS | 1 |
Alfred Hausladen | US | Durham | 2014-08-21 / 20140235528 - INHIBITING GS-FDH TO MODULATE NO BIOACTIVITY | 3 |
Norbert Hausladen | DE | Biberach/risse | 2011-10-20 / 20110255919 - Self-Propelled Surface Cutter Having Fixed Support of the Rotary Cutter Drive | 1 |
Josef Hausladen | DE | Woerth/donau | 2012-09-06 / 20120223464 - Blow molding machine with clean room and sterilizably connected components | 5 |
Wolfgang Hausladen | DE | Moetzing | 2016-04-28 / 20160114984 - APPARATUS AND METHOD FOR TRANSPORTING PLASTIC PREFORMS WITH DUAL PITCH DELAY | 7 |
Josef Hausladen | DE | Neutraubling | 2013-03-14 / 20130064920 - BLOWING MACHINE WITH DEMOUNTABLE BLOWING STATIONS | 1 |
Norbert Hausladen | DE | Biberach | 2016-03-31 / 20160090279 - CABLE WINCH | 2 |
Wolfgang Hausladen | DE | Motzing | 2014-10-23 / 20140311861 - METHOD AND SYSTEM FOR ARRANGING A PLURALITY OF ARTICLES MOVED IN A MASS FLOW | 5 |
Josef Hausladen | DE | Worth/donau | 2012-04-26 / 20120101628 - METHOD AND DEVICE FOR ASSEMBLING A HANDLING UNIT OF FILLED CONTAINERS | 3 |
Anthony Hausladen | US | Lester Prairie | 2013-06-20 / 20130156493 - METHOD OF JOINING TITANIUM AND TITANIUM-BASED ALLOYS TO FERROUS METALS USING TANTALUM | 1 |
Norbert Hausladen | DE | Biberach An Der Riss | 2013-02-14 / 20130038114 - SELF-PROPELLED SURFACE MILLING CUTTER | 1 |
Bianca Hauslein | DE | Rothenburg | 2014-01-30 / 20140027438 - METHOD FOR OPERATING A STEAM OVEN AND STEAM OVEN | 1 |
Rudolf Hausler | CH | Habstetten (bollingen) | 2013-03-21 / 20130072847 - IMPLANTED ACCESS PORT | 1 |
Michael Hausler | DE | Berlin | 2013-12-26 / 20130346497 - SYSTEM, COMPUTER PROGRAM PRODUCT AND COMPUTER-IMPLEMENTED METHOD FOR SHARING ACADEMIC USER PROFILES AND RANKING ACADEMIC USERS | 1 |
Rudolf Hausler | CH | Bolligen | 2012-06-21 / 20120157924 - IMPLANTABLE VASCULAR ACCESS | 1 |
Henry W. Hausler | US | Manchester | 2015-11-26 / 20150336503 - INFINITELY ADJUSTABLE CARGO LAMP FOR PICKUP TRUCKS | 9 |
Henry Hausler | US | Manchester | 2011-03-17 / 20110061304 - DUAL ACTION POWER DRIVE UNIT FOR A VEHICLE DOOR | 5 |
Graham Hausler | AU | Woodlands | 2015-04-16 / 20150102177 - USING WAYSIDE SIGNALS TO OPTIMIZE TRAIN DRIVING UNDER AN OVERARCHING RAILWAY NETWORK SAFETY SYSTEM | 1 |
Alex Hausler | CH | Hedingen | 2012-07-26 / 20120189750 - Taste Modifiers Comprising a Chlorogenic Acid | 1 |
Rudolph Hausler | CH | Celigny | 2015-09-03 / 20150245906 - IMPLANTABLE ACTUATOR FOR HEARING AID APPLICATION | 2 |
Florian Hausler | DE | Wuerzburg | 2015-05-28 / 20150148446 - Use of an Unfilled or Filler-Filled, Organically-Modified Silicic Acid (Hetero)Polycondensate in Medical and Non-Medical Processes for Modifying the Surface of a Body Comprised of a Previously Hardened, Unfilled or Filler-Filled Silicic Acid (Hetero) Polycondensate in Particular for Dental "Chairside" Treatment | 1 |
Oliver Michael Hausler | DE | Munich | 2014-05-29 / 20140148249 - UTILIZING FRIENDS AS CREDIT IN A GAMING APPLICATION | 1 |
George Charles Hausler | US | Maple Grove | 2015-12-10 / 20150354998 - VIBRATION RESISTANT MOUNT FOR METER USED IN INDUSTRIAL FIELD DEVICES | 5 |
Peter Hausler | AU | Tullamarine, Victoria | 2014-05-29 / 20140147691 - WOOD PRESERVATIVE FORMULATION | 1 |
Nick Hausler | AU | Victoria | 2010-03-25 / 20100075391 - COMPOSITION AND METHOD FOR HANDLING TISSUE SAMPLES | 1 |
Florian Hausler | DE | Wurzburg | 2014-09-04 / 20140248584 - FILLED AND UNFILLED ORGANICALLY MODIFIED, OPTIONALLY ORGANICALLY CROSS-LINKED SILICIC ACID (HETERO) POLYSILICATES AS DENTAL MATERIALS FOR CHAIRSIDE TREATMENT | 1 |
Henry W. Hausler | US | Manchester | 2015-11-26 / 20150336503 - INFINITELY ADJUSTABLE CARGO LAMP FOR PICKUP TRUCKS | 9 |
Wolfgang Hausler | DE | Munich | 2013-04-25 / 20130098650 - PERCUSSION TOOL HAVING COOLING OF EQUIPMENT COMPONENTS | 2 |
Rudolf Hausler | CH | Habstetten | 2012-05-10 / 20120116316 - METHOD FOR IMPLANTING AN ACCESS PORT | 1 |
Frederick Hausler | US | Emporia | 2010-04-29 / 20100104997 - Air Impingement Conveyor Oven | 1 |
George C. Hausler | US | Maple Grove | 2011-09-08 / 20110215944 - PROCESS VARIABLE TRANSMITTER WITH DISPLAY | 1 |
Alfred Hausler | DE | Freising | 2010-11-04 / 20100279481 - CONTROL OF DOPANT DIFFUSION FROM BURIED LAYERS IN BIPOLAR INTEGRATED CIRCUITS | 1 |
Andrew Hausman | US | Summit | 2009-11-26 / 20090292638 - Complementary Trading Of Interests | 1 |
Marvin Hausman | US | Stevenson | 2009-11-19 / 20090286839 - PHYTONUTRIENT COMPOSITIONS FROM MUSHROOMS OR FILAMENTOUS FUNGI AND METHODS OF USE | 1 |
Phillip J. Hausman | US | Cary | 2014-10-02 / 20140292518 - HYGIENE MONITORING SYSTEM | 4 |
Richard Hausman | US | Waterville | 2014-05-15 / 20140134904 - ARTICLES INCLUDING FRIMS AND METHODS OF USING THEM | 1 |
Harley Hausman | US | Earp | 2016-02-25 / 20160051449 - BABY BOTTLE HOLDING DEVICES, SYSTEMS AND METHODS | 1 |
Phillip Hausman | US | Cary | 2009-07-30 / 20090189759 - HYGIENE MONITORING SYSTEM | 1 |
Steven M. Hausman | US | Johnson City | 2009-03-26 / 20090083589 - Systems, devices, and/or methods for managing communications | 1 |
David J. Hausman | US | Lancaster | 2015-12-10 / 20150352163 - EDIBLE PRODUCTS HAVING A HIGH COCOA POLYPHENOL CONTENT AND IMPROVED FLAVOR AND THE MILLED COCOA EXTRACTS USED THEREIN | 2 |
Paul Hausman | US | San Ramon | 2008-09-04 / 20080214919 - SYSTEM AND METHOD FOR IMPLEMENTATION OF GLYCEMIC CONTROL PROTOCOLS | 1 |
Marvin S. Hausman | US | Sherwood | 2014-12-11 / 20140363379 - NUTRITIONAL APPROACH TO THE USE OF ERGOTHIONEINE AND VITAMIN D2 FOR HAIR, NAIL AND SKIN GROWTH | 4 |
Adam Hausman | US | Jacksonville | 2015-10-22 / 20150297272 - CONTOURABLE PLATE | 1 |
Richard Hausman | US | Gibsonburg | 2011-05-26 / 20110120936 - Anti-Biofouling Materials and Methods of Making Same | 1 |
David Hausman | US | Lancaster | 2015-08-27 / 20150237881 - HEAT RESISTANT CHOCOLATE | 1 |
Robert Hausman | US | Bridgewater | 2010-09-30 / 20100246805 - CROSSTALK IDENTIFICATION FOR SPECTRUM MANAGEMENT IN BROADBAND TELECOMMUNICATIONS SYSTEMS | 1 |
Michael R. Hausman | US | New York | 2015-11-05 / 20150313512 - PERCUTANEOUS STIMULATION DEVICE AND METHOD FOR DETECTING COMPARTMENT SYNDROME | 7 |
David Hausman | US | Elizabethtown | 2015-09-17 / 20150257407 - HEAT RESISTANT CHOCOLATE | 2 |
John M. Hausman | US | San Luis Obispo | 2009-02-05 / 20090037462 - SINGLE-OPERATION ON-LINE SCHEMA EVOLUTION | 1 |
Richard Hausman | US | Soquel | 2011-02-24 / 20110047302 - PROGRAMMED I/O ETHERNET ADAPTER WITH EARLY INTERRUPTS FOR ACCELERATING DATA TRANSFER | 1 |
Diana F. Hausman | US | Seattle | 2015-03-05 / 20150064240 - MUC1 Based Glycolipopeptide Vaccine with Adjuvant | 6 |
Nicholas H. Hausman | US | San Francisco | 2013-11-21 / 20130309908 - CONSOLIDATED POWER TIPS | 1 |
Nicholas Hausman | US | San Francisco | 2014-09-11 / 20140254847 - Tool For Insertion and Removal of In-Canal Hearing Devices | 3 |
Marvin S. Hausman | US | Stevenson | 2015-06-11 / 20150157648 - NUTRITIONAL APPROACH TO IMPROVING ATHLETIC PERFORMANCE AND REDUCING INJURY WITH L-ERGOTHIONEINE AND/OR VITAMIN D2 | 4 |
Dorothy Hausman | US | Watkinsville | 2010-07-29 / 20100190686 - NOVEL SECRETED PROTEINS OF ADIPOCYTES FOR DIAGNOSTIC PURPOSES | 1 |
Lawrence Hausman | US | Selden | 2009-12-03 / 20090294523 - Method, System and Device for Identification from Multiple Data Inputs | 1 |
Kristen A. Hausman | US | Austin | 2013-12-05 / 20130326193 - PROCESSOR RESOURCE AND EXECUTION PROTECTION METHODS AND APPARATUS | 1 |
Howard Hausman | US | Hauppauge | 2011-03-17 / 20110065381 - METHOD OF TRANSMITTING HIGHER POWER FROM A SATELLITE BY MORE EFFICIENTLY USING THE EXISTING SATELLITE POWER AMPLIFIERS | 2 |
Robert F. Hausman | US | Cerrillos | 2014-06-26 / 20140180932 - PROCESS FOR DETERMINING REASONABLENESS OF VALUE CONCLUSION | 1 |
Donald F. Hausman, Jr. | US | New Tripoli | 2015-02-26 / 20150054471 - Electronic Switch having an In-Line Power Supply | 3 |
Donald F. Hausman, Jr. | US | Breinigsville | 2014-10-23 / 20140312874 - METHOD AND APPARATUS FOR DETERMINING A TARGET LIGHT INTENSITY FROM A PHASE-CONTROL SIGNAL | 2 |
Angela Hausmann | DE | Voerde | 2015-04-02 / 20150090647 - HEAT EXCHANGE SYSTEM | 1 |
Katharina Hausmann | DE | Hildesheim | 2013-08-29 / 20130222891 - Method for manufacturing a coupling arrangement, coupling arrangement and amplifier | 1 |
Matthias Hausmann | DE | Rees | 2014-06-26 / 20140179650 - PHARMACEUTICAL FORMULATION COMPRISING CICLESONIDE | 4 |
John Scott Hausmann | US | Lacrosse | 2015-08-06 / 20150219360 - SOUND ENCLOSURE FOR A COMPRESSOR | 2 |
Robert Gm Hausmann | US | Pittsburgh | 2014-02-06 / 20140035924 - TREND HIGHLIGHTING | 1 |
Bob Hausmann | US | Swissvale | 2015-04-16 / 20150104763 - TEACHING STUDENTS TO RECOGNIZE AND CORRECT SENTENCE FRAGMENTS | 1 |
Birgit Judith Maria Hausmann | US | Cambridge | 2015-10-22 / 20150299894 - SYNTHETIC DIAMOND MATERIALS FOR QUANTUM AND OPTICAL APPLICATIONS AND METHODS OF MAKING THE SAME | 1 |
Neil Jonathan Hausmann | US | Davis | 2013-10-10 / 20130266191 - METHOD AND SYSTEM FOR DIGITAL IMAGE ANALYSIS OF EAR TRAITS | 3 |
Neil J. Hausmann | US | Davis | 2012-10-18 / 20120260618 - System and Method for Presentation of Ears of Corn for Image Acquisition and Evaluation | 3 |
Dennis Hausmann | US | Los Gatos | 2016-04-21 / 20160111276 - VAPOR DEPOSITION OF METAL OXIDES, SILICATES AND PHOSPHATES, AND SILICON DIOXIDE | 7 |
Gilbert Hausmann | US | Felton | 2015-09-03 / 20150246195 - METHOD AND SYSTEM FOR CLASSIFICATION OF PHOTO-PLETHYSMOGRAPHICALLY DETECTED RESPIRATORY EFFORT | 8 |
JÜrgen Hausmann | DE | Gundelfingen | 2013-09-05 / 20130230553 - MODULATION OF IMMUNE RESPONSES BY THE POXVIRAL K4 PROTEIN | 5 |
Mark Hausmann | CA | Vaudreuil-Dorion | 2013-08-01 / 20130192641 - Cleaning system for transparent tank | 1 |
Susanne Hausmann | DE | Munich | 2013-05-23 / 20130129730 - CROSS-SPECIES-SPECIFIC PSMAxCD3 BISPECIFIC SINGLE CHAIN ANTIBODY | 2 |
Pascal Hausmann | FR | Vineuil | 2012-02-16 / 20120038142 - CONNECTOR ARRANGEMENT FOR A FLUID SYSTEM | 2 |
Michael Hausmann | AT | Gleisdorf | 2015-02-19 / 20150048958 - SIGNAL CONVERTER AND METHOD FOR OPERATING A SIGNAL CONVERTER | 12 |
Johannes Hausmann | FR | Marseille | 2016-04-28 / 20160117551 - Methods for Automatic Structured Extraction of Data in OCR Documents Having Tabular Data | 8 |
Dennis Hausmann | US | Lake Oswego | 2016-04-28 / 20160118246 - GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD | 11 |
Dennis Michael Hausmann | US | Lake Oswego | 2016-02-04 / 20160035542 - METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS | 1 |
Ilona Elisabeth Hausmann | US | Elyria | 2014-09-25 / 20140285996 - WHITE LIGHT APPARATUS WITH ENHANCED COLOR CONTRAST | 1 |
Peter Hausmann | CH | Pfaffhausen | 2012-04-05 / 20120081131 - DETECTION OF A CONTACTLESS DATA STORAGE DEVICE | 2 |
Rudiger W. Hausmann | US | Johnson City | 2009-12-03 / 20090299720 - Circuit protection and control device simulator | 1 |
Rudolf Hausmann | CH | Basel | 2014-12-25 / 20140377312 - Transmucosal Administration System for a Pharmaceutical Drug | 2 |
Donald H. Hausmann | US | Houston | 2011-06-30 / 20110155601 - United Nations Certified 4G Fiberboard Box | 2 |
Ralf Hausmann | DE | Blomberg | 2008-09-11 / 20080218982 - Surge Protector with a Mounting Base | 1 |
Johannes Hausmann | FR | Marseille | 2016-04-28 / 20160117551 - Methods for Automatic Structured Extraction of Data in OCR Documents Having Tabular Data | 8 |
Rudiger Hausmann | DE | Penzberg | 2009-01-22 / 20090024524 - OPTIMIZED RECHARGING OF PREPAID ACCOUNTS | 1 |
Georg Hausmann | DE | Dillingen | 2009-02-12 / 20090038323 - Method for Operating a Refrigerator, and a Refrigerator in Which the Compressor Is Switched On With a Time Delay | 1 |
Deric Hausmann | US | Melbourne | 2012-05-03 / 20120102939 - Ocean Wave Energy Harnessing Device | 1 |
Ulrich Hausmann | DE | Garching | 2009-02-26 / 20090054913 - Blind rivet for adapting biological tissue and device for setting the same, in particular through the instrument channel of an endoscope | 1 |
Kurt B. Hausmann | US | Chandler | 2013-02-14 / 20130040591 - DIGITAL VOLTAGE-CONTROLLED ATTENUATOR | 2 |
Karlheinz Hausmann | CH | Auvernier | 2016-03-17 / 20160076727 - LIGHT EMITTING DIODE LIGHTING DEVICE AND HOUSING | 21 |
Michael Hausmann | AT | Gleisdorf | 2015-02-19 / 20150048958 - SIGNAL CONVERTER AND METHOD FOR OPERATING A SIGNAL CONVERTER | 12 |
Ralf Hausmann | DE | Wachtersbach | 2009-02-26 / 20090054683 - Reactor and method for synthesising vinyl acetate in the gaseous phase | 1 |
Michael D. Hausmann | AT | Gleisdorf | 2015-10-22 / 20150300486 - DIRECT CLUTCH SLIP CONTROL | 2 |
Kurt Hausmann | DE | Konigsbrunn | 2009-04-09 / 20090090612 - Device for producing a purified liquid from an uncleaned liquid | 1 |
Heinz Hausmann | DE | Leichlingen | 2009-09-17 / 20090231951 - Process and device for the preparation of mixtures | 1 |
Matthias Hausmann | DE | Ingelheim Am Rhein | 2014-07-10 / 20140190472 - RESERVOIR FOR NEBULIZER WITH A DEFORMABLE FLUID CHAMBER | 2 |
Bernd Hausmann | DE | Maisach | 2012-07-26 / 20120186475 - TANDEM PRINTING SYSTEM AND METHOD TO CONTROL A TANDEM PRINTING SYSTEM, AND COMPUTER SYSTEM, AND COMPUTER PROGRAM PRODUCT | 2 |
Ralf Hausmann | DE | Bad Orb | 2013-09-12 / 20130237718 - PROCESS FOR PREPARATION OF SUPPORTED CATALYSTS AND USE OF THE CATALYST FOR THE ESTERIFICATION OF FREE FATTY ACIDS IN VEGETABLE OIL | 1 |
Robert Hausmann | US | Swissvale | 2015-10-15 / 20150294598 - CONNECT-THE-NODES | 1 |
Philipp Hausmann | DE | Kirchheim | 2015-11-05 / 20150318562 - Fuel Cell Stack | 2 |
Rudolf Hausmann | DE | Karlsruhe | 2010-03-11 / 20100062509 - HIGHLY POROUS MAGNETIC TEMPORARY FIXED BED | 1 |
Michael Hausmann | DE | Ludwigshafen | 2010-03-18 / 20100068825 - Method and Device for Detecting at Least One Property of at Least One Object with a Microchip | 2 |
Georg Hausmann | DE | Blindheim | 2010-04-08 / 20100083688 - REFRIGERATION DEVICE AND METHOD FOR CONTROLLING A REFRIGERATION DEVICE | 4 |
Matthias Hausmann | DE | Dortmund | 2010-06-24 / 20100154792 - Device For Clamping A Fluidic Component | 1 |
Deric Hausmann | US | Indian Harbour Beach | 2015-07-23 / 20150203393 - INLINE DEWATERING SYSTEM | 1 |
Martin Hausmann | DE | Ratingen | 2013-01-03 / 20130004251 - TRIANGLE INSERT WITH MULTIPLE CUTTING EDGES AND MILLING CUTTER THEREFOR | 5 |
Eckhard Hausmann | DE | Hannover | 2010-07-22 / 20100181186 - Process for obtaining a purified hydrofluoroalkane | 1 |
JÜrgen Hausmann | DE | Gundelfingen | 2014-04-24 / 20140113368 - REPLICATION DEFICIENT RECOMBINANT VIRUSES EXPRESSING ANTIGENS REGULATED BY TRANSCRIPTIONAL CONTROL ELEMENTS COMPRISING MULTIPLE ELEMENTS | 5 |
Jennifer Hausmann | DE | Wuppertal | 2013-09-19 / 20130239380 - HAND-OPERATED PRESSING TOOL | 1 |
Manfred Hausmann | CH | Mollis | 2012-07-19 / 20120185077 - METHOD FOR CONTROLLING AND OPERATING A PRODUCTION CELL, AND A CONTROL DEVICE | 1 |
Dennis Hausmann | US | Lake Oswego | 2016-04-28 / 20160118246 - GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD | 11 |
Karl Hausmann | US | Boulder | 2015-10-29 / 20150312963 - STREAMLINED HEATER ASSEMBLY WITH FRONT AND INTERMEDIATE DAISY CHAIN POWER INJECTION, SHIELDING, AND WATER RESISTANT FEATURES | 1 |
Johannes Hausmann | CH | Corcelles | 2015-10-29 / 20150310269 - System and Method of Using Dynamic Variance Networks | 1 |
Juergen Hausmann | DE | Filderstadt | 2012-11-22 / 20120293670 - Fast measurement of alignment data of a camera system | 1 |
Matthias Hausmann | DE | Stuttgart | 2010-11-18 / 20100289243 - Mudguard for Mobile Working Machines | 1 |
Olaf Hausmann | DE | Duisburg | 2015-06-04 / 20150151341 - SUPPORT ROLLER | 1 |
Sascha Hausmann | DE | Dusseldorf | 2010-12-02 / 20100304435 - FLUORESCENT PROTEINS, THEIR PRODUCTION AND USE | 1 |
Karl Hausmann | DE | Hamburg | 2010-12-23 / 20100319618 - DEVICE AND METHOD FOR PRETREATING EXTERIOR SURFACES OF AN AIRCRAFT TO BE PAINTED | 7 |
John Scott Hausmann | US | La Crosse | 2015-10-01 / 20150275918 - DIFFUSER COLLAR | 1 |
Birgit J.m. Hausmann | US | Watertown | 2011-12-22 / 20110309265 - DIAMOND NANOWIRES | 1 |
Monika Hausmann | DE | Kusterdingen | 2012-02-09 / 20120031759 - DIELECTROPHORETIC DEVICE WITH ACTUATOR | 1 |
Stephan Hausmann | US | Happy Valley | 2015-04-23 / 20150108683 - Method of Manufacturing Rubber and Polyolefin Sole Assembly | 1 |
Dennis M. Hausmann | US | Lake Oswego | 2015-08-06 / 20150221519 - VACUUM-INTEGRATED HARDMASK PROCESSES AND APPARATUS | 7 |
Gilbert Hausmann | US | Felton | 2015-09-03 / 20150246195 - METHOD AND SYSTEM FOR CLASSIFICATION OF PHOTO-PLETHYSMOGRAPHICALLY DETECTED RESPIRATORY EFFORT | 8 |
Thomas Hausmann | DE | Hann. Munden | 2015-06-11 / 20150159685 - CONNECTING DEVICE FOR TEMPORARILY CONNECTING TWO PREFERABLY PLATE-LIKE PARTS AND NEEDLE SYSTEM FOR SUCH A CONNECTING DEVICE | 1 |
Joachim Hausmann | DE | Cologne | 2011-10-27 / 20110262667 - COMPOSITE MATERIAL AND PRODUCTION PROCESSES | 1 |
Stephan Hausmanns | DE | Mannheim | 2015-05-14 / 20150132462 - Highly Active Antioxidant Based on Trehalulose | 6 |
Stephan Hausmanns | DE | Wiesbaden | 2013-08-29 / 20130224349 - FORMULATION CONTAINING A POLYPHENOL-CONTAINING COMPOSITION AND ISOMALTULOSE | 12 |
Stephan Hausmanns | DE | Heidelberg | 2016-02-25 / 20160051618 - ACTIVE SUBSTANCE FOR TREATING SARCOPENIA | 7 |
Ralf Hausner | DE | Reutlingen | 2015-08-20 / 20150235982 - APPARATUS FOR EUTECTIC BONDING | 5 |
Markus Hausner | DE | Puchersreuth | 2009-04-09 / 20090091761 - APPARATUS FOR DETECTION OF THE ACCURACY OF FORMAT OF A WEB OF CORRUGATED CARDBOARD | 1 |
Heike Hausner | DE | Holzkirchen | 2010-07-08 / 20100172959 - RESERVOIR SYSTEM WITH CLOSED MEMBRANE | 1 |
Jerry Hausner | US | Albuquerque | 2008-11-20 / 20080284636 - Object detection method and apparatus | 1 |
Oliver Hausner | DE | Amberg | 2013-02-28 / 20130052885 - ADAPTER FOR A CLAMPING DEVICE | 1 |
Josef Hausner | DE | Germering | 2013-12-26 / 20130346681 - MAGNETIC RANDOM ACCESS MEMORY | 2 |
Oliver Hausner | DE | Grasbrunn | 2010-02-04 / 20100024524 - Protective Cap for a Gas Sensor, and a Gas Sensor | 1 |
Andrew Adam Hausner | US | Parma Heights | 2015-08-20 / 20150233023 - Carbon Fibers Derived from Lignin | 1 |
Matthias Hausner | US | Belmont | 2015-07-16 / 20150199187 - EAGER TOKENIZATION OF PROGRAMS AND DISTRIBUTION OF TOKEN SEQUENCES TO CLIENT | 2 |
Juergen Hausner | US | 2013-07-04 / 20130173596 - Batch Management Systems and Methods | 1 | |
Winfried Hausner | DE | Regensburg | 2011-10-27 / 20110262954 - SHUTTLE VECTOR BASED TRANSFORMATION SYSTEM FOR PYROCOCCUS FURIOSUS | 1 |
Helmut Hausner | DE | Trostberg | 2012-08-16 / 20120209026 - METHOD FOR PRODUCING A CARNOSIC ACID-RICH PLANT EXTRACT | 1 |
Andrew Hausner | US | Parma Heights | 2015-10-08 / 20150284880 - CARBON FIBERS DERIVED FROM LIGNIN/CARBON RESIDUE | 1 |
Georg Hausner | CA | Winnipeg | 2011-10-20 / 20110256607 - HOMING ENDONUCLEASES | 1 |
Martin Hausner | DE | Wiesbaden | 2008-11-27 / 20080291968 - Sensor | 1 |
Martin Hausner | US | Tucson | / - | 1 |
Andreas Hausot | FR | Paris | 2014-07-31 / 20140212986 - Passive Micro-vessel and Sensor | 2 |
Andreas Hausot | JP | Tokyo | 2013-07-11 / 20130175036 - Methods and Apparatus for Downhole Extraction and Analysis of Heavy Oil | 4 |
Andreas Hausot | JP | Setagaya-Ku | 2015-04-02 / 20150090446 - Downhole Sampling Probe with Penetrating Inlet and Method of Using Same | 2 |
Annemarie Hausotte | DE | Erlangen | 2016-03-24 / 20160086330 - METHOD AND APPARATUS FOR DETERMINING A POSITION OF AN OBJECT FROM MRI IMAGES | 14 |
Annemarie Hausotte | DE | Erlangen | 2016-03-24 / 20160086330 - METHOD AND APPARATUS FOR DETERMINING A POSITION OF AN OBJECT FROM MRI IMAGES | 14 |
Annemarie Hausotte Geb Bakai | DE | Erlangen | / - | 1 |
Dries Hauspie | BE | Diksmuide | 2009-01-15 / 20090015343 - Voltage Controlled Oscillator (VCO) With Simultaneous Switching Of Frequency Band, Oscillation Core And Varactor Size | 1 |
Frédérique Hauss | FR | Niederlauterbach | 2010-02-25 / 20100048731 - Hydroxylated Long-Chain Resveratrol Derivatives Useful as Neurotrophic Agents | 1 |
Frédérique Hauss | FR | Niederlauterbach | 2010-02-25 / 20100048731 - Hydroxylated Long-Chain Resveratrol Derivatives Useful as Neurotrophic Agents | 1 |
Frédérique Hauss | FR | Niederlauterbach | 2010-02-25 / 20100048731 - Hydroxylated Long-Chain Resveratrol Derivatives Useful as Neurotrophic Agents | 1 |
Frédérique Hauss | FR | Niederlauterbach | 2010-02-25 / 20100048731 - Hydroxylated Long-Chain Resveratrol Derivatives Useful as Neurotrophic Agents | 1 |
Bastian Haussdoerfer | DE | Dresden | 2015-07-02 / 20150187660 - BALANCING ASYMMETRIC SPACERS | 3 |
Walter Haussecker | DE | Buehlertal | 2011-02-17 / 20110037346 - PIEZOELECTRIC DRIVE SYSTEM, AND METHOD FOR THE OPERATION THEREOF | 6 |
Dirk Haussecker | DE | Buehlertal | 2012-05-03 / 20120110686 - Cand45 tRNA-Derived Expression System for Gene Modulation | 3 |
Horst Haussecker | US | Palo Alto | 2015-03-19 / 20150081783 - MEDIA SHARING TECHNIQUES | 5 |
Horst W. Haussecker | US | Palo Alto | 2014-10-02 / 20140293013 - COLLABORATIVE IMAGE CONTROL | 12 |
Horst W. Haussecker | US | Palo Alto | 2014-10-02 / 20140293013 - COLLABORATIVE IMAGE CONTROL | 12 |
Jürgen Hausselt | DE | Germersheim | 2009-12-03 / 20090297804 - CERAMIC DIELECTRIC OR THIN AND/OR THICK LAYERS CONTAINING AT LEAST ONE CERAMIC DIELECTRIC METHOD FOR PRODUCTION AND USE THEREOF | 1 |
Juergen Hausselt | DE | Germersheim | 2009-12-10 / 20090301881 - METHOD FOR PRODUCING CERAMIC COMPONENTS | 2 |
Jürgen Hausselt | DE | Germersheim | 2009-12-03 / 20090297804 - CERAMIC DIELECTRIC OR THIN AND/OR THICK LAYERS CONTAINING AT LEAST ONE CERAMIC DIELECTRIC METHOD FOR PRODUCTION AND USE THEREOF | 1 |
Angelika Hausser | DE | Stuttgart | 2015-05-21 / 20150140591 - CELL ENGINEERING USING RNAs | 6 |
Roderick Hausser | US | Kinnelon | 2015-07-23 / 20150201880 - Integrated Injection System and Communication Device | 2 |
Jasmin Hausser | DE | Fellbach | 2014-08-21 / 20140234075 - EXHAUST GAS TURBOCHARGER | 2 |
Eric S. Hausserman | US | Findlay | 2008-12-11 / 20080305310 - LUMINESCENT LAMINATE ACRYLIC SHEET AND METHOD | 2 |
Timur Haussila | FI | Helsinki | 2015-10-22 / 20150297995 - SYSTEM, METHOD AND GRAPHICAL USER INTERFACE FOR CONTROLLING A GAME | 6 |
David Haussler | US | Santa Cruz | 2015-05-21 / 20150142465 - PATHWAY RECOGNITION ALGORITHM USING DATA INTEGRATION ON GENOMIC MODELS (PARADIGM) | 6 |
Bernd Haussler | DE | Ulm | 2013-05-09 / 20130113173 - DOUBLE PINION STEERING GEAR | 1 |
Martin Haussler | DE | Remscheid | 2013-10-31 / 20130289192 - PC/ABS COMPOSITIONS THAT ARE STABLE TO PROCESSING | 2 |
Markus Haussler | DE | Herbrechtingen | 2013-04-25 / 20130098573 - METHOD FOR OPERATING A SHEET-FORMING UNIT, AND SHEET FORMING UNIT | 2 |
Ralf Haussler | DE | Dresden | 2015-10-15 / 20150293409 - SPATIAL LIGHT MODULATOR COMPRISING A LIQUID CRYSTAL DEVICE HAVING REDUCED STRAY LIGHT | 28 |
Ralf Haussler | FR | Dresden | 2010-10-21 / 20100265558 - Controllable Light Modulator | 1 |
Bernd Haussler | DE | Oehringen | 2010-11-25 / 20100294762 - Overpressure Relief Device for Closed Containers | 1 |
Hans Haussler | PT | Porto | 2011-02-24 / 20110041303 - NEEDLE HOLDER FOR A TEXTILE MACHINE | 4 |
Christopher Haussler | US | Arlington Heights | 2013-04-25 / 20130103806 - METHODS AND APPARATUS FOR MAINTAINING BUSINESS RULES IN A CONFIGURATION SYSTEM | 1 |
Rolf Haussler | DE | Boblingen | 2013-03-21 / 20130071518 - METHOD FOR PRODUCING MEAT PRODUCTS AND MEAT PRODUCT PRODUCED BY SAID METHOD | 1 |
Joerg Haussmann | DE | Freising | 2008-10-09 / 20080248627 - Method of Manufacturing Integrated Deep and Shallow Trench Isolation Structures | 1 |
Dirk Haussmann | DE | Barsinghausen | 2011-12-22 / 20110311812 - METHOD AND APPARATUS FOR WELDING WIRES | 1 |
Roland Haussmann | DE | Wiesloch | 2015-12-17 / 20150360543 - REFRIGERANT CIRCUIT FOR A VEHICLE AIR-CONDITIONING SYSTEM AND METHOD OF AIR-CONDITIONING A VEHICLE INTERIOR | 18 |
Christoph Haussmann | DE | Marburg | 2011-01-20 / 20110014230 - PREPARATION OF INFLUENZA VIRUS VACCINE ANTIGENS | 1 |
Joerg Walter Haussmann | DE | Freising | 2009-10-01 / 20090247054 - METHOD TO PREVENT SLURRY CAKING ON CMP CONDITIONER | 1 |
Joerg Haussmann | DE | Ostfildern | 2009-10-29 / 20090268029 - METHOD AND APPARATUS FOR MONITORING A THREE-DIMENSIONAL SPATIAL AREA | 1 |
Meike Haussmann | DE | Frankenthal | 2015-12-24 / 20150372577 - Rotor, Reluctance Machine and Production Method for a Rotor | 1 |
Roland Haussmann | DE | Wiesloch | 2015-12-17 / 20150360543 - REFRIGERANT CIRCUIT FOR A VEHICLE AIR-CONDITIONING SYSTEM AND METHOD OF AIR-CONDITIONING A VEHICLE INTERIOR | 18 |
Rainer Haussmann | DE | Ballmertshofen | 2015-06-04 / 20150152913 - VERTICAL SHAFT WITH A SLIDE BEARING FOR A TURBINE OR A GENERATOR | 1 |
Holger Haussmann | DE | Jona | 2010-06-17 / 20100147049 - CHECK WEIGHT, METHOD AND SYSTEM TO ENSURE TRACEABILITY OF SAME | 1 |
Jochen Haussmann | DE | Andechs | 2014-12-11 / 20140361574 - LOCK ARRANGEMENT FOR A CONVERTIBLE TOP OF A CABRIOLET | 1 |
John G. Haust | US | Candor | 2011-06-23 / 20110152849 - Cryogenic System and Method of Use | 1 |
Lars Ole Haustedt | DE | Potsdam | 2015-12-31 / 20150374021 - REDUCED SODIUM FOOD PRODUCTS | 4 |
Lars Ole Haustedt | DE | Postdam | 2015-12-31 / 20150374658 - COMPOSITION COMPRISING LICORICIDINE | 1 |
Nile Haustein | DE | Soergenioch | 2009-12-17 / 20090313395 - AUTOMATICALLY IDENTIFYING AVAILABLE STORAGE COMPONENTS | 1 |
Hartmut Haustein | DE | Wiernsheim | 2010-01-07 / 20100001490 - Trailer Hitch | 1 |
Thomas Haustein | DE | München | 2011-02-10 / 20110033186 - Method, System and Radio Station for Interference Cancellation | 3 |
Nils Haustein | DE | Mainz | 2016-02-25 / 20160055065 - DATA PROCESSING APPARATUS AND METHOD | 26 |
Nils Haustein | DE | Soergenioch | 2014-12-11 / 20140362061 - SYSTEM AND METHOD TO DISPLAY INFORMATION ON A HARD DISK DRIVE ASSEMBLY | 4 |
Nils Haustein | DE | Zrornsheimer Wcy | 2010-02-18 / 20100039726 - MANAGING DATA STORAGE MEDIA AND MULTIPLE CARTRIDGE MEMORIES OF A DATA STORAGE CARTRIDGE | 1 |
Sylvia Haustein | DE | Berlin | 2016-02-04 / 20160033465 - MOBILE-BASED COLLECTION OF WATER QUALITY MEASUREMENT DATA | 1 |
Elke Haustein | DE | Sulzbach-Laufen | 2011-12-01 / 20110292385 - Producing images of a specimen | 1 |
Gerry Haustein | CA | Victoria | 2016-02-04 / 20160036513 - AIRCRAFT FLIGHT DATA MONITORING AND REPORTING SYSTEM AND USE THEREOF | 1 |
Nils Haustein | DE | Mainz | 2016-02-25 / 20160055065 - DATA PROCESSING APPARATUS AND METHOD | 26 |
Nils Haustein | DE | Soergenloch | 2016-04-28 / 20160116496 - BIOSAMPLE CARTRIDGE WITH RADIAL SLOTS FOR STORING BIOSAMPLE CARRIERS AND USING IN AUTOMATED DATA STORAGE SYSTEMS | 97 |
Thomas Haustein | DE | München | 2011-02-10 / 20110033186 - Method, System and Radio Station for Interference Cancellation | 2 |
Nils Haustein | DE | Soerganloch | 2010-03-18 / 20100067137 - MANAGING DATA STORAGE MEDIA AND MULTIPLE CARTRIDGE MEMORIES OF A DATA STORAGE CARTRIDGE | 2 |
Nils Haustein | DE | Schomsheimer | 2011-06-02 / 20110130982 - Monitoring System for Power Grid Distributed Power Generation Devices | 1 |
Thomas Haustein | DE | Potsdam | 2015-11-12 / 20150326296 - METHOD AND APPARATUS FOR PROVIDING GENERIC HIERARCHICAL PRECODING CODEBOOKS | 33 |
Thomas Haustein | DE | Munich | 2011-06-02 / 20110129025 - APPARATUS FOR ASSIGNING AND ESTIMATING TRANSMISSION SYMBOLS | 1 |
Nils Haustein | DE | Zornheim | 2009-02-05 / 20090037723 - Method for the Autonomic Configuration of a Data Storage Device | 2 |
Nils Haustein | DE | Soergentoch | 2013-03-07 / 20130061082 - BALANCING POWER CONSUMPTION AND HIGH AVAILABILITY IN AN INFORMATION TECHNOLOGY SYSTEM | 2 |
Holger Haustein | DE | Orlamuende | 2009-06-25 / 20090162582 - Method for producing a molded glass article and products produced in accordance with the method | 1 |
Stefan Haustein | CH | Zurich | 2015-06-25 / 20150178258 - System and Method for Processing Markup Language Templates from Partial Input Data | 1 |
Jeffrey Alan Hausthor | US | Pelham | 2015-11-05 / 20150317415 - SYSTEM AND METHOD FOR ENGINEERING AND DETAILING STEEL JOINTS IN A STEEL STRUCTURE | 1 |
Natalie Haustrup | IE | Galway | 2010-12-02 / 20100301013 - METHOD FOR LASER ABLATION | 1 |
Pierre A. Hauswald | FR | Dorlisheim | 2014-09-11 / 20140255752 - LAMINATED OXIDATION PROTECTED SEPARATOR | 1 |
William Hauswirth | US | Gainesville | 2015-08-13 / 20150225741 - VECTORS FOR DELIVERY OF LIGHT SENSITIVE PROTEINS AND METHODS OF USE | 2 |
Rainer Hauswirth | DE | Kirchdorf | 2013-11-07 / 20130295385 - GRANULAR POLYCRYSTALLINE SILICON AND PRODUCTION THEREOF | 4 |
Jeffrey Hauswirth | US | Westminster | 2013-02-28 / 20130055126 - MULTI-FUNCTION AFFINE TOOL FOR COMPUTER-AIDED DESIGN | 2 |
William W. Hauswirth | US | Gainesville | 2016-01-21 / 20160015288 - REAGENTS AND METHODS FOR MODULATING CONE PHOTORECEPTOR ACTIVITY | 13 |
Jeff Hauswirth | US | Westminster | 2013-01-03 / 20130007575 - Managing Map Data in a Composite Document | 3 |
William W. Hauswirth | US | Gainesville | 2016-01-21 / 20160015288 - REAGENTS AND METHODS FOR MODULATING CONE PHOTORECEPTOR ACTIVITY | 13 |
Dominik Hauswirth | CH | Aarau | 2016-03-24 / 20160086459 - Fibre Optic Based Intrusion Sensing System | 1 |
Steve R. Hauswirth | US | Gurnee | 2014-09-18 / 20140274188 - REDUCED-POWER TRANSMITTING FROM A COMMUNICATIONS DEVICE | 1 |
Robert E. Haut | US | West Chester | 2014-03-20 / 20140075671 - Infant Bed Apparatus | 19 |
Robert E. Haut | US | West Chester | 2014-03-20 / 20140075671 - Infant Bed Apparatus | 19 |
Kenneth Haut | US | Aventura | 2014-12-18 / 20140367955 - Intermodal Tank Transport System, Components, and Methods | 2 |
Richard Carl Haut | US | Spring | 2010-04-29 / 20100101072 - Apparatus for Radially Expanding and Plastically Deforming a Tubular Member | 1 |
David Haut | US | Gladstone | 2009-11-26 / 20090291585 - Flush/recessable junction device | 1 |
Richard C. Haut | US | The Woodlands | 2009-10-15 / 20090257796 - NANOTECHNOLOGY BASED IMAGE REPRODUCTION DEVICE | 1 |
Richard Carl Haut | US | Sugar Land | 2009-08-20 / 20090205839 - EXPANDABLE CONNECTION | 1 |
Robert Evan Haut | US | West Chester | 2012-02-16 / 20120038191 - CHILD SAFETY SEAT | 3 |
Richard Carl Haut | US | The Woodlands | 2009-01-15 / 20090013516 - Methods for Expanding a Pipeline | 1 |
Harold Haut | US | Sea Cliff | 2014-04-03 / 20140094823 - MICRO-ELECTRODE RECORDING-GUIDED IMPLANTATION OF DIRECTIONAL LEADS | 1 |
Marcia L. Haut | US | Noblesville | 2015-04-16 / 20150104997 - DEVELOPMENT APPARATUS | 1 |
Robert E. Haut | US | Merion Station | 2016-04-28 / 20160114706 - CHILD SAFETY SEAT | 6 |
Seppo Hauta-Aho | FI | Seinajoki | 2014-02-27 / 20140053501 - METHOD FOR MANUFACTURING BEAM, AND BEAM | 2 |
Esa Hautakorpi | FI | Helsinki | 2008-12-11 / 20080303620 - DC Inductor | 1 |
Jani Hautakorpi | FI | Masala | 2014-01-16 / 20140016471 - LOAD BALANCING MECHANISM FOR SERVICE DISCOVERY MECHANISM IN STRUCTURED PEER-TO-PEER OVERLAY NETWORKS AND METHOD | 11 |
Jani Hautakorpi | FI | Masala | 2014-01-16 / 20140016471 - LOAD BALANCING MECHANISM FOR SERVICE DISCOVERY MECHANISM IN STRUCTURED PEER-TO-PEER OVERLAY NETWORKS AND METHOD | 11 |
Mikko T. Hautala | FI | Turku | 2014-10-02 / 20140293425 - Compensation Of Optical Aberrations Caused By Non-Planar Windows | 1 |
John Hautala | US | Beverly | 2016-04-21 / 20160111254 - Workpiece Processing Method And Apparatus | 7 |
Ismo Tapio Hautala | FI | Espoo | 2012-12-06 / 20120310720 - METHOD AND APPARATUS FOR PROCESSING COUPONS/PURCHASES BASED ON RADIO FREQUENCY MEMORY TAG DETECTION | 2 |
Tatu Hautala | FI | Tampere | 2011-05-05 / 20110100711 - METHOD AND EQUIPMENT FOR DISPLAYING DRILL HOLES AND METHOD FOR DIRECTING DRILL ROD WHEN HOLES ARE DRILLED INTO ROCK | 1 |
Teemu Tapani Hautala | FI | Tampere | 2011-06-23 / 20110148776 - Overlay Handling | 1 |
John J. Hautala | US | Beverly | 2014-09-18 / 20140273502 - TECHNIQUES TO MITIGATE STRAGGLE DAMAGE TO SENSITIVE STRUCTURES | 41 |
Jouko Hautala | FI | Tampere | 2013-01-03 / 20130001151 - PRESSURE FILTER | 2 |
Arto Hautala | FI | Oulu | 2009-08-27 / 20090216143 - Determination of Sympathetic Activity | 1 |
Pekka Hautala | FI | Espoo | 2011-12-29 / 20110315908 - FUEL INJECTOR VALVE | 3 |
Ismo Hautala | US | 2012-08-02 / 20120198386 - CAUSING DISPLAY OF THUMBNAIL IMAGES | 1 | |
Marja Hautala | FI | Helsinki | 2013-03-21 / 20130069953 - User Interface Feature Generation | 1 |
Juha Oskari Hautala | FI | Lieto | 2012-08-02 / 20120194124 - Wireless Battery Charging System | 1 |
Ismo Hautala | FI | Espoo | 2014-05-08 / 20140129986 - METHOD FOR PROVIDING OPERANDS FOR A MATHEMATICAL OPERATION IN A CALCULATOR DEVICE | 2 |
Charles Albert Hautamaki | US | Loveland | 2013-02-21 / 20130042573 - COMPOSITE POLE AND METHOD FOR MAKING THE SAME | 1 |
Mika Antero Hautamaki | FI | Vesilahti | 2014-05-29 / 20140146441 - USER-REMOVABLE DEVICE COVER | 2 |
Mika Hautamaki | FI | Vesilahti | 2013-01-10 / 20130010410 - Apparatus and Method for Portable Electronic Device | 2 |
Mika Hautamäki | FI | Helsinki | 2013-06-27 / 20130161090 - GLAND PLATE | 1 |
Mika Hautamäki | FI | Vesilahti | 2013-01-10 / 20130010410 - Apparatus and Method for Portable Electronic Device | 1 |
Päivi Hautamäki | FI | Ilmarinen | 2010-01-07 / 20100004339 - Somatostatin Receptor 1 and/or 4 Selective Agonists and Antagonists | 1 |
Mika Hautamäki | FI | Helsinki | 2013-06-27 / 20130161090 - GLAND PLATE | 1 |
Päivi Hautamäki | FI | Ilmarinen | 2010-01-07 / 20100004339 - Somatostatin Receptor 1 and/or 4 Selective Agonists and Antagonists | 1 |
Päivi Hautamäki | FI | Ilmarinen | 2010-01-07 / 20100004339 - Somatostatin Receptor 1 and/or 4 Selective Agonists and Antagonists | 1 |
Päivi Hautamäki | FI | Ilmarinen | 2010-01-07 / 20100004339 - Somatostatin Receptor 1 and/or 4 Selective Agonists and Antagonists | 1 |
Sampsa Hautaniemi | FI | Espoo | 2016-03-24 / 20160083798 - METHODS AND USES INVOLVING GENETIC ABERRATIONS OF NAV3 AND ABERRANT EXPRESSION OF MULTIPLE GENES | 2 |
Amy Hauth | US | Sugar Hill | 2012-10-04 / 20120251065 - System for Retaining Optical Devices | 2 |
Gregory Walter Joseph Hauth | US | Mukilteo | 2011-10-20 / 20110257986 - Method for Producing a Property Valuation Report | 1 |
Tyler D. Hauth | US | Cloverdale | 2009-10-15 / 20090255394 - Adjustable folding leg for bass drum | 1 |
Jerry Hauth | US | Beaverton | 2014-01-02 / 20140000044 - Induction Heating Apparatuses And Processes For Footwear Manufacturing | 1 |
Jerry Hauth | US | Portland | 2015-06-04 / 20150150340 - Induction Heating Apparatuses And Processes For Footwear Manufacturing | 1 |
Mathias Hauth | DE | Todtnau / Muggenbrunn | 2015-02-05 / 20150033464 - CHECK VALVE FOR AN UPWARDLY DIRECTED DOUCHE | 1 |
Steffen Hauth | DE | Mainz | 2016-01-07 / 20160000540 - METHOD FOR CONSTRUCTING TOOTH SURFACES OF A DENTAL PROSTHESIS AND FOR PRODUCING DENTAL RESTORATIONS | 1 |
Matthias Hauth | DE | Oberried/st. Wilhelm | 2015-12-10 / 20150354192 - HAND-HELD SHOWER HOLDING DEVICE | 1 |
Tyler D. Hauth | US | Terrebonne | 2011-02-03 / 20110023687 - ADJUSTABLE FOLDING LEG FOR BASS DRUM | 1 |
Brady Hauth | US | Salt Lake City | 2015-01-22 / 20150022082 - Dielectric barrier discharge lamps and methods | 1 |
Dewayne Lee Hauth | US | Mexia | 2012-08-23 / 20120212032 - Manually-Actuated Securing Mechanisms For Bottom Dump Trailers | 1 |
Laurent Hautier | FR | Puyricard | 2014-09-25 / 20140288248 - PROCESS FOR POLYMERIZING OR COPOLYMERIZING ETHYLENICALLY UNSATURATED MONOMERS IN THE PRESENCE OF FREE-RADICAL POLYMERIZATION INITIATORS | 1 |
Olivier Hautier | CH | Savagnier | 2011-11-24 / 20110286608 - EARPIECE COMMUNICATION SYSTEM | 2 |
Roan Hautier | CH | Cuarnens | 2015-09-24 / 20150270962 - METHOD AND SYSTEM FOR SMART CARD CHIP PERSONALIZATION | 2 |
Geoffroy Hautier | BE | Brussels | 2014-04-10 / 20140099549 - High-capacity positive electrode active material | 1 |
Geoffroy Hautier | BE | Bruxelles | 2015-01-22 / 20150023857 - PIEZOELECTRIC AND ELECTRORESTRICTOR MATERIALS | 2 |
Geoffroy Hautier | US | Somerville | 2013-10-17 / 20130273425 - MIXED PHOSPHATE-DIPHOSPHATE ELECTRODE MATERIALS AND METHODS OF MANUFACTURING SAME | 3 |
Geoffroy Hautier | BE | Sint-Pieters-Woluwe | 2008-09-11 / 20080217181 - FREE STANDING SINGLE-CRYSTAL NANOWIRE GROWTH BY ELECTRO-CHEMICAL DEPOSITION | 1 |
Geoffrey Hautier | US | Somerville | 2013-04-11 / 20130089486 - CARBOPHOSPHATES AND RELATED COMPOUNDS | 1 |
Günther Hautke | DE | Stotternheim | 2010-05-13 / 20100117467 - EXCITER MACHINE COMPRISING AN AUXILIARY EXCITER MACHINE | 1 |
Günther Hautke | DE | Stotternheim | 2010-05-13 / 20100117467 - EXCITER MACHINE COMPRISING AN AUXILIARY EXCITER MACHINE | 1 |
Günther Hautke | DE | Stotternheim | 2010-05-13 / 20100117467 - EXCITER MACHINE COMPRISING AN AUXILIARY EXCITER MACHINE | 1 |
Donald J. Hautman | US | Marlborough | 2015-05-07 / 20150121882 - MIXER ASSEMBLY FOR A GAS TURBINE ENGINE | 4 |
Stefan Hautmann | DE | Eindhoven | 2010-01-28 / 20100020937 - ELECTRON OPTICAL APPARATUS, X-RAY EMITTING DEVICE AND METHOD OF PRODUCING AN ELECTRON BEAM | 1 |
Nikolaus Hautmann | DE | Ditzingen | 2015-07-16 / 20150196971 - Method for the Regenerative Production of a Turbine Wheel with a Shroud | 11 |
Nikolaus Hautmann | DE | Weitnau | 2008-08-28 / 20080202484 - Ignition coil for an internal combustion engine | 1 |
Nikolaus Hautmann | DE | Ditzingen | 2015-07-16 / 20150196971 - Method for the Regenerative Production of a Turbine Wheel with a Shroud | 11 |
Aimo Hautojärvi | FI | Rauma | 2010-01-21 / 20100013488 - MEASURING HEAD AND MEASURING METHOD | 1 |
Aimo Hautojärvi | FI | Rauma | 2010-01-21 / 20100013488 - MEASURING HEAD AND MEASURING METHOD | 1 |
Joni Hautojärvi | FI | Nakkila | 2010-08-19 / 20100206134 - METHOD FOR RECOVERY | 1 |
Joni Hautojärvi | FI | Nakkila | 2010-08-19 / 20100206134 - METHOD FOR RECOVERY | 1 |
Tristan Hautson | FR | Fontaine | 2016-03-31 / 20160091981 - CONFIGURABLE HUMAN-MACHINE INTERFACE | 5 |
Tristan Hautson | FR | Grenoble | 2015-03-26 / 20150084915 - SCREEN WITH MAGNETIC OBJECT LOCATING | 3 |
Stefan Hauttmann | DE | Buchholz | 2015-05-07 / 20150124931 - CATHODE FILAMENT ASSEMBLY | 11 |
Guillaume Leopold Theodorus Frederik Hautvast | NL | Veldhoven | 2015-05-28 / 20150147002 - SYSTEM AND METHOD FOR REGISTERING AN IMAGE SEQUENCE | 1 |
Guillaume Leopold Theodorus Frederik Hautvast | NL | Eindhoven | 2015-07-09 / 20150193921 - EFFICIENT CARDIAC MR WORKFLOWS BASED ON AUTOMATED PLANNING FROM MDIXON SURVEYS | 5 |
Heinz-Josef Hautvast | DE | Brigachtal | 2009-12-31 / 20090324313 - Method and apparatus for operating a printer | 4 |
Guillaume Leopold Theodorus Hautvast | NL | Eindhoven | 2009-01-15 / 20090016612 - METHOD OF REFERENCE CONTOUR PROPAGATION AND OPTIMIZATION | 1 |
Guillaume Leopold Theodorus Frederick Hautvast | NL | Eindhoven | 2015-07-30 / 20150213615 - LOCAL CONTRACTION MEASUREMENTS | 1 |
Gilion Leopold Theodorus Frederik Hautvast | NL | Eindhoven | 2011-11-24 / 20110286645 - TRANSMURAL PERFUSION GRADIENT IMAGE ANALYSIS | 1 |
Guillaume Leopold Theodorus Frederik Hautvast | CA | Bowen Island | 2011-11-24 / 20110285702 - VISUALIZING A TIME-VARIANT PARAMETER IN A BIOLOGICAL STRUCTURE | 1 |
Jürgen Hautz | DE | St. Wendel | 2010-07-08 / 20100173062 - Method for the production of sausage products based on fish meat and sausage products containing fish meat | 1 |
Rudolf Hautz | DE | Pfarrkirchen | 2013-05-16 / 20130119830 - FLUID-COOLED ELECTRIC MACHINE | 1 |
Jürgen Hautz | DE | St. Wendel | 2010-07-08 / 20100173062 - Method for the production of sausage products based on fish meat and sausage products containing fish meat | 1 |
Andrew M. Hautzik | US | Rancho Palos Verdes | 2016-03-31 / 20160091608 - Determination of a Ground Receiver Position | 4 |
Benoît Hauvespre | FR | Mettray | 2015-11-26 / 20150337939 - CAM FOLLOWER WITH ANTI-ROTATION DEVICE | 2 |
Benoît Hauvespre | FR | Saint Etienne De Chigny | 2016-05-19 / 20160138541 - MECHANICAL SYSTEM FORMING A CAM FOLLOWER OR A ROCKER ARM | 17 |
Benoît Hauvespre | FR | Saint Etienne De Chigny | 2016-05-19 / 20160138541 - MECHANICAL SYSTEM FORMING A CAM FOLLOWER OR A ROCKER ARM | 17 |
Stephan Hauville | US | Rowley | 2015-02-26 / 20150056904 - DUCTLESS OR DUCTED FUMEHOOD WITH IMPROVED FRONT SASH CLOSURE | 2 |
Stephan Hauville | US | Byfield | 2016-03-17 / 20160076781 - METHOD AND APPARATUS FOR MONITORING AND ENSURING AIR QUALITY IN A BUILDING | 2 |
Francois Hauville | US | Ipswich | 2015-02-26 / 20150056904 - DUCTLESS OR DUCTED FUMEHOOD WITH IMPROVED FRONT SASH CLOSURE | 7 |
Antoine Hauville | US | Rowley | 2014-11-20 / 20140338528 - METHOD AND APPARATUS FOR MONITORING AND ENSURING AIR QUALITY IN A BUILDING | 1 |
Francois P. Hauville | US | North Andover | 2011-03-24 / 20110067573 - Ductless fumehood system | 2 |
Kalevi Hauvonen | FI | Espoo | 2014-05-08 / 20140127017 - THE SURFACE STRUCTURE OF WINDMILL ROTORS FOR SPECIAL CIRCUMSTANCES | 1 |
Philippe Hauw | FR | Sailly Laurette | 2016-04-21 / 20160107374 - METHOD AND DEVICE FOR APPLYING AN ADHESIVE TAPE ON A STIFFENER | 1 |
Philippe Hauw | FR | Lille Cedex | 2012-01-19 / 20120015387 - MARKER PEPTIDES FOR DETERMINING THE OCCURRENCE OF AN INFLAMMATORY STATE IN A SUBJECT | 1 |
Greg Hauw | SG | Singapore | 2015-04-16 / 20150106892 - Method and Device for Credential and Data Protection | 1 |
Olivier Hauw | FR | Ste Foy D'Aigrefeuille | 2013-03-14 / 20130065513 - Frequency Capacity Fill Rate Analyzing System and Method of Automatically Analyzing the Frequency Capacity Fill Rate of Wireless Communication Links | 1 |
Stefan Hauzenberger | DE | Wangen | 2009-12-03 / 20090293639 - Torque Sensor | 1 |
Stefan Hauzenberger | DE | Potsdam | 2010-01-07 / 20100001674 - Method for activating a drive system and drive system | 1 |
Dan Hauzenberger | SE | Saltsjo-Boo | 2010-03-11 / 20100062430 - METHOD AND KIT FOR MOLECULAR CHROMOSOMAL QUANTIFICATION | 1 |
Johann Hauzenberger | DE | Sinzing | 2012-05-24 / 20120126918 - MAGNETIC SWITCHING DEVICE | 2 |
Franz Hauzenberger | AT | Linz | 2015-05-21 / 20150135899 - METHOD AND DEVICE FOR INTRODUCING FINE PARTICLE-SHAPED MATERIAL INTO THE FLUIDISED BED OF A FLUIDISED BED REDUCTION UNIT | 5 |
Sylvain Hauzeray | FR | Plaisir | 2013-03-14 / 20130061670 - LIQUID SENSOR USING TEMPERATURE COMPENSATION | 1 |
Sylvain Hauzeray | FR | Plaisir Cedex | 2016-03-17 / 20160076928 - LIQUID SENSOR USING TEMPERATURE COMPENSATION | 1 |
Sylvain Hauzeray | FR | Villepreux | 2014-06-19 / 20140166852 - OPTICALLY-POWERED SENSOR SYSTEMS PRINCIPALLY FOR DEPLOYMENT ON-BOARD AIRCRAFT | 1 |
Jean-Philippe Hauzeur | BE | Brussel | 2009-03-26 / 20090081169 - METHOD FOR OSTEOGENIC DIFFERENTIATION OF BONE MARROW STEM CELLS (BMSC) AND USES THEREOF | 2 |
Itzik Hav | IL | Herzelya | 2015-12-24 / 20150373100 - CONTEXT SHARING BETWEEN DIFFERENT CLIENTS | 1 |
Yuval Hava | IL | Meitar | 2014-01-16 / 20140020005 - DEVICES, SYSTEMS, METHODS, AND MEDIA FOR DETECTING, INDEXING, AND COMPARING VIDEO SIGNALS FROM A VIDEO DISPLAY IN A BACKGROUND SCENE USING A CAMERA-ENABLED DEVICE | 1 |
Heather Marie Hava | US | Golden | 2013-06-20 / 20130152486 - Universal hub and strut system for a geodesic enclosure | 1 |
David L. Hava | US | Natick | 2015-09-10 / 20150250875 - DRY POWDER FORMULATIONS AND METHODS FOR TREATING PULMONARY DISEASES | 11 |
Sharath Chandra Havadi | CA | Alberta | 2015-12-10 / 20150355068 - SYSTEM AND METHODOLOGY FOR DETERMINING PROPERTIES OF A SUBSTANCE | 1 |
Said Havakechian | CH | Baden | 2016-03-24 / 20160084093 - Turbine Airfoil of Composite Material and Method of Manufacturing Thereof | 10 |
Said Havakechian | CH | Baden | 2016-03-24 / 20160084093 - Turbine Airfoil of Composite Material and Method of Manufacturing Thereof | 10 |
Arunkumar Havalada | IN | Bangalore | 2009-08-20 / 20090210742 - Methods, systems and media for data recovery using global parity for multiple independent RAID levels | 1 |
Parag Havaldar | US | Cerritos | 2014-11-20 / 20140341429 - COMBINING MULTI-SENSORY INPUTS FOR DIGITAL ANIMATION | 11 |
Parag Havaldar | US | Cerritos | 2014-11-20 / 20140341429 - COMBINING MULTI-SENSORY INPUTS FOR DIGITAL ANIMATION | 11 |
Shrikant Havale | IN | Hyderabad | 2009-11-12 / 20090281131 - DIEBENZOFURAN DERIVATIVES AS INHIBITORS OF PDE-4 AND PDE-10 | 1 |
Sanjay Havanur | US | Cupertino | 2012-08-16 / 20120205745 - Device and Associated Semiconductor Package for Limiting Drain-Source Voltage of Transformer-Coupled Push Pull Power Conversion Circuit | 8 |
Sanjay Havanur | US | Cupertino | 2012-08-16 / 20120205745 - Device and Associated Semiconductor Package for Limiting Drain-Source Voltage of Transformer-Coupled Push Pull Power Conversion Circuit | 8 |
Tamas Havar | DE | Bruckmuehl | 2015-11-19 / 20150329198 - LOAD-BEARING FAIRING ELEMENT FOR A FLAP ADJUSTMENT MECHANISM AND AIRCRAFT HAVING SUCH A FAIRING ELEMENT | 6 |
Tamas Havar | DE | Tuntenhausen | 2009-12-24 / 20090317636 - Composite Fiber Component Produced By Braiding | 1 |
Tamas Havar | DE | Bruckmuhl | 2014-07-31 / 20140209232 - Connection Arrangement for Connecting Two Profiled Elements in an Aerodynamically Smooth Manner, Method for Producing Said Connection Arrangement, and Device for Carrying Out the Method | 2 |
Karina C. Havard | US | Seattle | 2010-09-30 / 20100244651 - SPARK IGNITION DEVICE WITH BRIDGING GROUND ELECTRODE AND METHOD OF CONSTRUCTION THEREOF | 1 |
Harold Gene Havard | US | Terrell | 2013-04-11 / 20130087319 - AUTOMATIC VARIABLE SPEED MOTOR DRIVE BYPASS | 1 |
Marc Havard | FR | Ledenon | 2015-09-17 / 20150260615 - TOOL FOR SAMPLING PLANT MATERIAL, AUTOMATION COMPRISING SAME, SAMPLING CELL PROVIDED WITH SUCH AN AUTOMATION AND SAMPLING METHOD | 1 |
Jennifer M. Havard | US | Framingham | 2009-12-10 / 20090304778 - Diagnostics and Methods for Removal and Detection of Interferents | 1 |
Doug Havard | US | Dallas | 2015-07-30 / 20150213213 - Method and System for Engagement, Logistics, and Technology for Health Services | 1 |
Trevor Julian Havard | US | Whitinsville | 2012-10-04 / 20120250023 - LIGHT SCATTERING FLOW CELL DEVICE | 1 |
L. Cade Havard | US | Dallas | 2015-07-30 / 20150213213 - Method and System for Engagement, Logistics, and Technology for Health Services | 1 |
Didier Havard | FR | La Chappelle Sur Erdre | 2014-01-16 / 20140013973 - Print Tower for Offset Rotary Press | 1 |
H. Gene Havard | US | Terrell | 2014-06-05 / 20140150477 - Defrost Control Using Fan Data | 1 |
Amanda Meredith Havard | US | Nashville | 2013-04-25 / 20130104072 - INTERACTIVE ELECTRONIC BOOK | 1 |
Harold Gene Havard | US | Carrollton | 2015-11-26 / 20150338111 - Variable Speed Outdoor Fan Control | 1 |
Trevor Havard | US | Whitinsville | 2010-09-23 / 20100238436 - CUVETTE | 1 |
Didier Havard | FR | Nantes Cedex | 2013-01-31 / 20130026694 - FLYSHEET FOLDER AND METHOD OF FOLDING | 1 |
Amanda Meredith Havard | US | Dallas | 2015-07-30 / 20150213213 - Method and System for Engagement, Logistics, and Technology for Health Services | 1 |
Victor L. Havard | US | Flower Mound | 2009-05-21 / 20090132719 - AUTOMATICALLY DETERMINING MANAGEMENT INFORMATION BASE MODULES FOR A DEVICE | 1 |
Harold Gene Havard, Jr. | US | Carrollton | 2015-12-17 / 20150362205 - AIRFLOW-CONFIRMING HVAC SYSTEMS AND METHODS WITH VARIABLE SPEED BLOWER | 7 |
Harold Gene Havard, Jr. | US | Terrell | 2013-06-20 / 20130153197 - INVERTER CONTROLLER, METHOD OF OPERATION THEREOF AND FIELD-INSTALLABLE RETROFIT KIT INCORPORATING THE SAME | 4 |
H. Gene Havard, Jr. | US | Carrollton | 2015-11-19 / 20150330688 - COMPRESSOR OPERATION MANAGEMENT IN AIR CONDITIONERS | 3 |
Fabien Havas | IL | Rehovot | 2014-10-16 / 20140308372 - JOJOBA EXTRACT USEFUL IN IMPROVING SKIN BARRIER FUNCTIONS | 2 |
Peter Havas | US | San Francisco | 2013-11-28 / 20130317921 - METHODS FOR SUBMITTING A FOOD ORDER REMOTELY | 1 |
Steve Havas | US | Seattle | 2013-10-17 / 20130272019 - RECESSED-LIGHT CONVERSION APPARATUS, SYSTEM AND METHODS | 1 |
Juliane Havas | FR | Colomiers | 2010-12-09 / 20100312497 - METHOD AND DEVICE FOR DETERMINING CRITICAL BUFFETING LOADS ON A STRUCTURE OF AN AIRCRAFT | 1 |
Fabien Havas | GB | Isleworth | 2011-02-24 / 20110041339 - Hair Removal Device | 1 |
Peter Roy Havas | US | San Francisco | 2013-06-13 / 20130151357 - METHOD FOR ENABLING GROUP FOOD ORDERS | 3 |
Asghar Havashki | NO | Trondheim | 2015-07-02 / 20150186113 - DATA TRANSFER BETWEEN CLOCK DOMAINS | 1 |
Balázs Havasi | HU | Budapest | 2014-05-22 / 20140142303 - PROCESS FOR THE PREPARATION OF A RIVAROXABAN AND INTERMEDIATES FORMED IN SAID PROCESS | 4 |
Balázs Havasi | HU | Budapest | 2010-04-01 / 20100081668 - POLYMORPHS OF 5--6-CHLORO-1,3-DIHYDRO-2H-INDOL-2-ONE HYDROBROMIDE AND PROCESSES FOR PREPARATION THEREOF | 1 |
Balázs Havasi | HU | Budapest | 2010-04-01 / 20100081668 - POLYMORPHS OF 5--6-CHLORO-1,3-DIHYDRO-2H-INDOL-2-ONE HYDROBROMIDE AND PROCESSES FOR PREPARATION THEREOF | 1 |
Gábor Havasi | HU | Budapest | 2016-05-19 / 20160137621 - PROCESS FOR THE PREPARATION OF TRAVOPROST | 5 |
Balázs Havasi | HU | Budapest | 2014-05-22 / 20140142303 - PROCESS FOR THE PREPARATION OF A RIVAROXABAN AND INTERMEDIATES FORMED IN SAID PROCESS | 4 |
Richard Glenn Havass | US | Coconut Creek | 2012-08-30 / 20120217397 - LOW PROFILE LIGHT COLLIMATOR MICRO BAFFLE AND METHOD | 1 |
Jos Ten Have | NL | Maasland | 2011-11-10 / 20110277200 - Begonia Plant Named 'HV01B1' | 2 |
Hans G. Have | US | Seattle | 2015-07-30 / 20150212716 - DASHBOARD WITH SELECTABLE WORKSPACE REPRESENTATIONS | 2 |
Pascal Have | FR | Rueil Malmaison | 2009-10-22 / 20090265152 - METHOD OF SEEKING HYDROCARBONS IN A GEOLOGICALLY COMPLEX BASIN, BY MEANS OF BASIN MODELING | 1 |
Didier Have | FR | Toulouse | 2010-01-28 / 20100023258 - DISPLAYING METHOD AND DEVICE FOR AN AIRCRAFT FOLLOWING A FLIGHT PLAN | 1 |
Jose Ten Have | DK | Maasland | 2015-04-23 / 20150113692 - Begonia hybrid plant named 'HVRIDARK' | 1 |
Aloysius Maria Havekes | NL | Alphen Aan Den Rijn | 2011-01-06 / 20110003772 - USE OF SPHINGOLIPIDS IN THE TREATMENT OF TYPE 2 DIABETES MELLITUS, INSULIN RESISTANCE AND METABOLIC SYNDROME | 2 |
Anton Havekes | NL | Schiedam | 2015-08-20 / 20150234856 - Method And System For Content Distribution | 8 |
Anton Havekes | NL | 'S-Gravenhage | 2016-03-10 / 20160073179 - VIDEO PROCESSING SYSTEM AND METHOD | 1 |
Anton Havekes | NL | Schiedam | 2015-08-20 / 20150234856 - Method And System For Content Distribution | 8 |
Aloysius Maria Havekes | NL | Alpern Aan Den Rijn | 2016-02-04 / 20160030516 - PREVENTION, THERAPY AND PROGNOSIS/MONITORING IN SEPSIS AND SEPTIC SHOCK | 1 |
Robert Burke Havekost | US | Elgin | 2011-10-27 / 20110264440 - METHODS AND APPARATUS TO DISPLAY LOCALIZED RESOURCES IN PROCESS CONTROL APPLICATIONS | 3 |
Robert B. Havekost | US | Austin | 2015-07-09 / 20150193418 - REUSABLE GRAPHICAL ELEMENTS WITH QUICKLY EDITABLE FEATURES FOR USE IN USER DISPLAYS OF PLANT MONITORING SYSTEMS | 4 |
Dirk Havekost | DE | Köln | 2009-11-19 / 20090286318 - Modules for Membrane Aeration | 1 |
Robert B. Havekost | US | Elgin | 2013-11-21 / 20130307690 - METHODS AND APPARATUS TO IDENTIFY A DEGRADATION OF INTEGRITY OF A PROCESS CONTROL SYSTEM | 3 |
Dirk Havekost | DE | Koln | 2014-10-30 / 20140317951 - DEVICE FOR FILTRATION, DRYING AND STORAGE | 4 |
Margaret Havekotte | US | Valhalia | 2009-07-09 / 20090175995 - CONTROL OF FLAVOR CHARACTERISTICS OF AND INDICATOR OF FRESHNESS IN FRUIT JUICE | 1 |
Margaret Havekotte | US | Fairfield | 2010-03-04 / 20100055245 - Modifying Flavor Experience Via Aroma Delivery | 1 |
Timothy F. Havel | US | Boston | 2013-08-29 / 20130219892 - MODULAR ADSORPTION-ENHANCED COMPRESSED AIR ENERGY STORAGE SYSTEM WITH REGENERATIVE THERMAL ENERGY RECYCLING | 4 |
Mickael Havel | US | Philadelphia | 2009-02-12 / 20090042029 - POLYAMIDE NANOFIBERS AND METHODS THEREOF | 1 |
Vaclav Havel | CZ | Opava | 2012-02-16 / 20120041192 - MACROCYCLIC DERIVATIVES OF GLYCOLURILS, AND METHODS OF PREPARING AND USING THE SAME | 1 |
Brian Havel | CA | London | 2009-06-18 / 20090151911 - Fan Module | 1 |
William John Havel | US | Maple Grove | 2010-02-04 / 20100030290 - EXTRAVASCULAR ARRHYTHMIA INDUCTION | 2 |
Michael Joseph Havel | US | Dallas | 2013-06-20 / 20130153481 - FILTER SUPPORT MEMBER | 1 |
Mickael Havel | FR | Buros | 2012-11-01 / 20120277125 - MASTERBATCH FOR MANUFACTURING A DRILLING FLUID | 2 |
Ivan Havel | CZ | Praha | 2013-09-12 / 20130238606 - SYSTEM AND METHOD FOR RETRIEVING AND STORING INDUSTRIAL DATA | 3 |
William J. Havel | US | West Lafayette | 2016-03-03 / 20160058664 - MULTI-CELL SEALED CONTAINER | 22 |
William Havel | US | West Lafayette | 2013-08-15 / 20130211205 - IMPLANTABLE MEDICAL DEVICE ORIENTATION CHANGE DETECTION | 1 |
Mickael Havel | US | Wayne | 2013-10-24 / 20130276674 - METHOD FOR INSERTING CARBON NANOFILLERS INTO AN INORGANIC CURABLE SYSTEM | 2 |
Ivan Havel | CZ | Prague | 2013-02-07 / 20130036129 - Search Utility Program for Software Developers | 1 |
William J. Havel | US | West Lafayette | 2016-03-03 / 20160058664 - MULTI-CELL SEALED CONTAINER | 22 |
Brian Havel | DE | Aschaffenburg | 2014-06-05 / 20140151000 - OPENINGS IN A BLOWER HOUSING FOR NOISE REDUCTION | 2 |
Petr Havel | CZ | Ivancice | 2014-06-26 / 20140179359 - MESSAGE ROUTER FOR CONCATENATED SMS | 1 |
William J. Havel | US | Maple Grove | 2012-11-08 / 20120283580 - VERIFICATION OF PRESSURE METRICS | 13 |
David E. Havel | US | Aurora | 2013-05-30 / 20130133175 - PIN RETAINER FOR DRAGLINE PINS | 1 |
William J. Havel | US | Lafayette | 2013-05-23 / 20130131775 - ENDOLUMINAL PROSTHESIS INTRODUCER | 1 |
William John Havel | US | West Lafayette | 2014-08-21 / 20140236280 - ATTACHMENT OF STENT TO GRAFT FABRIC WITH AN ANCHORING MACHINE STITCHING | 1 |
Yorick Havelange | BE | Lonzee | 2011-12-08 / 20110299657 - Gantry Rolling Floor | 1 |
Taher H. Haveliwala | US | Mountain View | 2014-03-27 / 20140089298 - SYSTEMS AND METHODS FOR DETERMINING USER PREFERENCES | 9 |
Taher H. Haveliwala | US | Mountain View | 2014-03-27 / 20140089298 - SYSTEMS AND METHODS FOR DETERMINING USER PREFERENCES | 9 |
Taher H. Haveliwala | US | Fremont | 2015-07-16 / 20150199361 - RECENT INTEREST BASED RELEVANCE SCORING | 7 |
Pavel Havelka | CZ | Lazne Bohdanec | 2009-12-10 / 20090306363 - Preparation Method of Bioresorbable Oxidized Cellulose | 1 |
Jiri Havelka | CZ | Prague 4 - Chodov | 2016-01-28 / 20160026608 - Method and Apparatus for Generating Multimodal Dialog Applications by Analyzing Annotated Examples of Human-System Conversations | 2 |
J. Michael Havelka | US | Sewickley | 2011-07-21 / 20110177219 - Method, System and Apparatus for Removing Impurities From Wine | 1 |
Kathleen O. Havelka | US | Mentor | 2008-10-02 / 20080241371 - Temporary, Water-Proof, Clear or Tinted System, Method of Use and Removal | 2 |
Emil Havelka | US | Houston | 2012-03-15 / 20120061105 - Single piece packer extrusion limiter ring | 1 |
Steven E. Havelka | US | Los Angeles | 2009-01-15 / 20090015998 - ADVANCED LCD PACKAGING FOR MILITARY AND SEVERE ENVIRONMENTS | 1 |
Danny L. Havelka | US | Houston | 2011-06-16 / 20110139341 - System, Method, and Apparatus for Pre-Tensioned Pipe for Load-Sharing with Composite Cover | 2 |
Bohumil Havelka | AU | Victoria | 2011-04-14 / 20110084077 - A POLYMER BAG | 1 |
Pamela A. Havelka-Rivard | US | Wallace | 2013-10-24 / 20130277597 - AQUEOUS FIRE-FIGHTING FOAMS WITH REDUCED FLUORINE CONTENT | 1 |
Martina Havelkova | NO | Tromso | 2013-02-07 / 20130035296 - THERAPEUTIC PEPTIDES | 1 |
David J Havell | US | Salt Lake City | 2014-09-25 / 20140287381 - System, Method and Apparatus for Adaptive Driver Training | 8 |
Kjell Havell | SE | Gothenburg | 2015-01-22 / 20150021900 - QUICK CONNECTOR | 1 |
David J Havell | US | Salt Lake City | 2014-09-25 / 20140287381 - System, Method and Apparatus for Adaptive Driver Training | 8 |
Jonathan N. Havelock | CA | Edmonton | 2008-12-04 / 20080296467 - SUSPENSION SYSTEM | 1 |
Svend Havelund | DK | Bagavaerd | 2009-01-08 / 20090010854 - Pulmonary insulin crystals | 1 |
Svend Havelund | DK | Bagsvaerd | 2015-07-02 / 20150182595 - Preparation Comprising Insulin, Nicotinamide and an Amino Acid | 24 |
Daniel Haveman | NL | Zwolle | 2015-02-05 / 20150034243 - RESIN COMPOSITION | 1 |
Justin Haveman | US | Kalispell | 2015-12-10 / 20150354166 - Bucket Articulated Rake Attachment | 1 |
Shelly A. Haveman | US | Lakewood | 2013-09-26 / 20130248170 - METHODS OF STIMULATING ACETOCLASTIC METHANOGENESIS IN SUBTERRANEAN DEPOSITS OF CARBONACEOUS MATERIAL | 1 |
Shelley Annette Haveman | US | Lakewood | 2014-06-05 / 20140154727 - Geobacter Strains That Use Alternate Organic Compounds, Methods of Making, and Methods of Use Thereof | 2 |
Shelley Haveman | US | Lakewood | 2010-09-30 / 20100248322 - CHEMICAL AMENDMENTS FOR THE STIMULATION OF BIOGENIC GAS GENERATION IN DEPOSITS OF CARBONACEOUS MATERIAL | 2 |
Shelley A. Haveman | US | Lakewood | 2014-02-06 / 20140034297 - DISPERSION OF COMPOUNDS FOR THE STIMULATION OF BIOGENIC GAS GENERATION IN DEPOSITS OF CARBONACEOUS MATERIAL | 1 |
Daniel Haveman | NL | Eindhoven | 2011-02-10 / 20110034616 - POLYCARBONATE AND PROCESS FOR PRODUCING THE SAME | 1 |
Richard W. Haveman | CA | Newmarket | 2011-10-20 / 20110254295 - Bumper beam with integrated energy absorber | 1 |
Joerg Havemann | DE | Bad Bodenteich | 2013-06-20 / 20130152648 - LOCK DEVICE | 3 |
Greg Havemann | US | Pearland | 2012-05-10 / 20120110901 - METHOD AND SYSTEM FOR PROCESSING OF AQUATIC SPECIES | 1 |
Gregory Dale Havemann | US | Pearland | 2012-01-12 / 20120009660 - METHOD OF ASH REMOVAL FROM A BIOMASS | 1 |
Martin Havemann | US | Belford | 2012-11-08 / 20120281520 - SOFTROUTER PROTOCOL DISAGGREGATION | 4 |
Greg Havemann | US | Melbourne | 2014-08-07 / 20140221630 - METHOD AND SYSTEM FOR PROCESSING OF AQUATIC SPECIES | 1 |
Gregory L. Havemann | US | Palmdale | 2010-05-06 / 20100107569 - Plastic tube sealing and test system | 1 |
Gregory Havemann | US | Gardnerville | 2015-12-17 / 20150362399 - PLASTIC TUBE SEALING AND TEST SYSTEM | 1 |
Shelley Havemen | US | Lakewood | 2010-02-11 / 20100035309 - ANALYSIS AND ENHANCEMENT OF METABOLIC PATHWAYS FOR METHANOGENESIS | 1 |
Allan Havemose | US | Arroyo Grande | 2015-10-15 / 20150293819 - METHOD AND SYSTEM FOR PROVIDING HIGH AVAILABILITY TO DISTRIBUTED COMPUTER APPLICATIONS | 8 |
Matthew Haven | US | Cedarburg | 2014-08-28 / 20140239102 - APPARATUS AND METHOD FOR AN ANTI-SPIN SYSTEM | 2 |
Richard Earl Haven | US | Sunnyvale | 2010-01-07 / 20100001950 - POSITION DETERMINATION UTILIZING A CORDLESS DEVICE | 2 |
Tom Haven | US | Beaverton | 2010-04-15 / 20100091250 - LIGHT UNIFORM DEVICE AND DLP PROJECTION SYSTEM COMPRISING THE SAME | 1 |
Chris Haven | US | Seattle | 2009-02-26 / 20090052635 - Method for Accessing Data Via Voice | 1 |
Charles E. Haven | US | Kaukauna | 2008-09-04 / 20080210806 - Winder Roll Starting Apparatus with Pressure Device for Thick Webs | 1 |
G. Neil Haven | US | Clearwater | 2013-12-12 / 20130329012 - 3-D IMAGING AND PROCESSING SYSTEM INCLUDING AT LEAST ONE 3-D OR DEPTH SENSOR WHICH IS CONTINUALLY CALIBRATED DURING USE | 1 |
Andrew Haven | US | San Francisco | 2016-05-12 / 20160132194 - SEARCHING DIGITAL CONTENT | 6 |
Caleb Haven | US | Appleton | 2014-12-18 / 20140367370 - SYSTEMS AND METHODS FOR ANOMALOUS CATHODE EVENT CONTROL | 2 |
Gerald D. Haven | US | La Center | 2011-11-24 / 20110283729 - ENERGY MIST SHIELD | 2 |
Ken Haven | US | Livermore | 2012-08-23 / 20120215358 - ROBOTIC ARM SYSTEM | 1 |
Willem S. Haven | US | Clarkston | 2014-05-22 / 20140137546 - THRUST WASHER AND TORQUE CONVERTER CONTAINING THE SAME | 1 |
Gary Haven | US | Palmdale | 2014-01-30 / 20140026871 - Supercharger Control Device | 1 |
Scott M. Haven | US | Pleasant Valley | 2009-04-30 / 20090112934 - BACKING UP A PROTOCOL ORDER | 2 |
Richard E. Haven | US | Sunnyvale | 2010-01-21 / 20100014779 - METHOD AND SYSTEM FOR REDUCING ARTIFACTS IN IMAGE DETECTION | 1 |
Richard Haven | US | Daly City | 2013-04-04 / 20130086667 - METHOD AND SYSTEM FOR PROVIDING LOGIN AS A SERVICE | 2 |
Michael Haven | US | Maple Grove | 2014-06-05 / 20140154938 - WATER-BASED COATING FOR COLOR SAMPLING | 1 |
Cory C. Haven | US | Peoria | 2016-03-17 / 20160075385 - DEBRIS GUARD FOR A FINAL DRIVE ASSEMBLY | 1 |
Matthew B. Haven | US | Cedarburg | 2013-12-19 / 20130334348 - APPARATUS AND METHOD FOR A CRUSHER WITH AN INVERTED CYLINDER | 1 |
Richard C. Haven | US | Capitola | 2016-02-18 / 20160048812 - SOFTWARE, SYSTEMS, AND METHODS FOR PROCESSING DIGITAL BEARER INSTRUMENTS | 1 |
Kerry Timothy Havener | US | Canton | 2014-10-23 / 20140311822 - CONFORMAL TRANSVERSE MUFFLER | 3 |
Matthew B. Havener | US | West Conshohocken | 2015-10-01 / 20150273751 - BIOACTIVE COMPOSITES OF POLYMER AND GLASS AND METHOD FOR MAKING SAME | 2 |
Robert Havener | US | Lynnfield | 2015-03-19 / 20150079580 - Systems and Methods for Ex Vivo Organ Care | 10 |
Jacob Glenn Havener | US | Middletown | 2009-01-29 / 20090029589 - Coaxial cable connector assembly | 1 |
Chris Havener | US | Bradley | 2012-08-23 / 20120211954 - Self-propelled lawn mower and sulky device | 1 |
John P. Havener | US | Plano | 2012-02-02 / 20120029677 - CONTROL ASSET COMPARATIVE PERFORMANCE ANALYSIS SYSTEM AND METHODOLOGY | 4 |
Robert Havener | US | Lynnfield | 2015-03-19 / 20150079580 - Systems and Methods for Ex Vivo Organ Care | 10 |
Terry Havener | US | Martinsville | 2009-11-12 / 20090277535 - BOTTOM LOAD WATER COOLER | 2 |
Greg Havener | US | Woodinville | 2015-11-19 / 20150332309 - ADVERTISEMENT SCORING SYSTEM AND METHOD | 1 |
Dave Havener | US | Olathe | 2010-08-19 / 20100210230 - METHOD AND APPARATUS FOR MITIGATION OF UNWANTED SIGNAL COMPONENTS IN COMPLEX SAMPLING RECEIVER | 2 |
Matthew B. Havener | US | Conshohocken | 2011-06-23 / 20110151027 - STRONTIUM-DOPED CALCIUM PHOSPHATE BONE GRAFT MATERIALS | 3 |
John P. Havener | US | Dallas | 2013-09-26 / 20130253685 - CONTROL ASSET COMPARATIVE PERFORMANCE ANALYSIS SYSTEM AND METHODOLOGY | 3 |
Menzo Jans Emko Havenga | NL | Alphen Aan Den Rijn | 2010-06-10 / 20100143302 - Recombinant Adenoviruses Based on Serotype 26 and 48, and Use Thereof | 2 |
Menzo Jans Emco Havenga | NL | Alphen Aan Den Rijn | 2011-12-22 / 20110311580 - Settings for recombinant adenoviral-based vaccines | 7 |
Menzo Jans Emco Havenga | NL | Alphen A/d Rijn | 2013-06-20 / 20130156736 - COMPLEMENTING CELL LINES | 3 |
Menzo J. E. Havenga | NL | Alphen Aan De Rijn | 2009-10-08 / 20090253207 - Gene delivery vectors provided with a tissue tropism for smooth muscle cells, and/or endothelial cells | 1 |
Menzo J. E. Havenga | NL | Alphen A/d Rijn | 2011-06-02 / 20110130301 - Settings for recombinant adenoviral-based vaccines | 1 |
Menzo J.e. Havenga | NL | Alphen A/d Rijn | 2010-02-11 / 20100034774 - Serotype of adenovirus and uses thereof | 1 |
William Havens | US | Syracuse | 2013-10-03 / 20130256418 - LASER SCANNING SYSTEM USING LASER BEAM SOURCES FOR PRODUCING LONG AND SHORT WAVELENGTHS IN COMBINATION WITH BEAM-WAIST EXTENDING OPTICS TO EXTEND THE DEPTH OF FIELD THEREOF WHILE RESOLVING HIGH RESOLUTION BAR CODE SYMBOLS HAVING MINIMUM CODE ELEMENT WIDTHS | 1 |
Teresa Ellen Havens | US | Bellbrook | 2015-11-19 / 20150327634 - REUSABLE QUICK RELEASE FASTENER SYSTEMS AND ARTICLES INCLUDING THE SAME | 3 |
David Ernest Havens | US | Bellbrook | 2014-07-03 / 20140186476 - COMPOSITE SELF-HEALING SYSTEM | 5 |
David E. Havens | US | Dayton | 2008-10-30 / 20080265512 - Hand assisted laparoscopic seal apparatus with a fast recovery foam core | 1 |
David E. Havens | US | Bellbrock | 2010-12-16 / 20100314402 - VENTING MECHANISMS FOR CONTAINERS | 1 |
William H. Havens | US | Syracuse | 2016-04-21 / 20160110577 - APPARATUS OPERATIVE FOR CAPTURE OF IMAGE DATA | 72 |
Teresa E. Havens | US | Bellbrook | 2010-09-02 / 20100218716 - ENVIRONMENTAL CONDITION CUMULATIVE TRACKING INTEGRATION SENSOR USING SHAPE MEMORY POLYMER | 1 |
Kimberly M. Havens | US | Santa Monica | 2015-04-30 / 20150121423 - VIEWER-AUTHORED CONTENT ACQUISITION AND MANAGEMENT SYSTEM FOR IN-THE-MOMENT BROADCAST IN CONJUNCTION WITH MEDIA PROGRAMS | 1 |
Jeffrey S. Havens | US | Childersburg | 2015-10-08 / 20150283608 - SAND KNOCK-OUT HEAD CLAMPING ASSEMBLY | 1 |
Joseph H. Havens | US | Pinckney | 2013-08-29 / 20130222026 - DIGITAL PHASE LOCKED LOOP | 1 |
Aaron Havens | US | Ann Arbor | 2014-04-24 / 20140112891 - AUTOLOGOUS HUMAN ADULT PLURIPOTENT VERY SMALL EMBRYONIC-LIKE (hVSEL) STEM CELL REGENERATION OF BONE AND CARTILAGE | 1 |
Timothy John Havens | US | Florence | 2016-03-10 / 20160069967 - APPARATUS AND SYSTEM FOR IMAGING AN INTUBATED PATIENT | 8 |
Randel L. Havens | US | Elizabethtown | 2013-08-22 / 20130213281 - Refuse incineration plant and incineration exhaust filtration system and method for use therewith | 1 |
Andrew Martin Havens | US | Rome | 2012-09-20 / 20120234630 - Retractable Step Stool | 1 |
Joseph Harold Havens | US | Pinckney | 2012-06-14 / 20120147493 - Phase Calibration System for Disk Drives | 1 |
Willliam H. Havens | US | Syracuse | 2015-02-26 / 20150053766 - OPTICAL READERS AND METHODS EMPLOYING POLARIZATION SENSING OF LIGHT FROM DECODABLE INDICIA | 1 |
Barry R. Havens | US | Ontario | 2013-04-18 / 20130094886 - CHEMICALLY TREATED CLEANING WEB | 2 |
Kirk J. Havens | US | Plainview | 2015-05-21 / 20150135580 - FISHING GEAR WITH DEGRADABLE COMPONENT | 9 |
Doug Havens | US | 2012-01-12 / 20120011015 - System for Managing Relationships with Constituents on Social Networks using CRM (Constituent Relationship Management) Systems | 1 | |
David E. Havens | US | Bellbrook | 2014-03-06 / 20140061515 - VENTING MECHANISMS FOR CONTAINERS | 10 |
Jeffrey Lee Havens | US | Issaquah | 2011-09-15 / 20110225574 - Virtual Machine Image Update Service | 1 |
Kenneth W. Havens | US | Arlington | 2015-10-22 / 20150300783 - ARMOR HAVING PRISMATIC, TESSELATED CORE | 3 |
Jerilee A. Havens | US | Wilmington | 2014-10-23 / 20140316262 - PREOPERATIVE IDENTIFICATION OF PERFORATOR VESSELS IN FLAPS TO BE USED IN RECONSTRUCTIVE SURGERY | 1 |
David E. Havens | US | Bellbrook | 2014-03-06 / 20140061515 - VENTING MECHANISMS FOR CONTAINERS | 10 |
Marvin Russell Havens | US | Greer | 2014-10-23 / 20140314981 - Multilayer Film for Medical Solution Pouches Having Reduced Proficiency for Forming Bubbles | 1 |
David J. Havens | US | Houston | 2015-03-05 / 20150060045 - PIPE HANDLING SYSTEM | 5 |
Paul Havens | US | Greer | 2011-03-31 / 20110073517 - CONTAINERS WITH REDUCED MATERIAL CONTENT | 1 |
Williams H. Havens | US | Syracuse | 2011-02-17 / 20110038563 - INDICIA READING TERMINAL HAVING MULTIPLE EXPOSURE PERIODS AND METHODS FOR SAME | 1 |
James Havens | US | Mill Creek | 2011-02-17 / 20110040579 - WEB-BASED SYSTEMS AND METHODS FOR PROVIDING SERVICES RELATED TO AUTOMOBILE SAFETY AND AN INSURANCE PRODUCT | 1 |
Darryl E. Havens | US | Kirkland | 2013-11-14 / 20130305239 - Catalog-Based Software Component Management | 3 |
John R. Havens | US | Arlington | 2011-08-18 / 20110201080 - BIOMOLECULES HAVING MULTIPLE ATTACHMENT MOIETIES FOR BINDING TO A SUBSTRATE SURFACE | 2 |
Steven W. Havens | US | Stockbridge | 2010-09-16 / 20100235132 - Method and apparatus for acquiring and processing transducer data | 1 |
Kirk J. Havens | US | Plainview | 2015-05-21 / 20150135580 - FISHING GEAR WITH DEGRADABLE COMPONENT | 9 |
Dalia M. Havens | US | Cedar Park | 2010-03-11 / 20100061276 - Dedicated Call User Interface (UI) for Organizing Collaborative Exchange During A Telephony or Softphone Call | 1 |
Nicholas J. Havens | US | Austin | 2010-01-21 / 20100013306 - Intelligent Management of Current Sharing Group | 1 |
Gary Havens | US | Yuma | 2010-01-14 / 20100008724 - Surfacing Compositions and Methods | 1 |
George Havens | US | Woodstock | 2010-01-07 / 20100004970 - METHOD AND STRUCTURE FOR IMPLEMENTING B2B TRADING PARTNER BOARDING | 1 |
Charles T. Havens | US | Churchville | 2009-06-18 / 20090155704 - TONER COMPOSITION | 1 |
Thomas George Havens | US | Painted Post | 2009-06-04 / 20090140471 - Method Of Manufacturing A Ceramic Honeycomb Structure | 1 |
John R. Havens | US | San Diego | 2009-03-12 / 20090069198 - Biomolecular Attachment Sites on Microelectronic Arrays and Methods Thereof | 1 |
Timothy John Havens | US | Florence | 2016-03-10 / 20160069967 - APPARATUS AND SYSTEM FOR IMAGING AN INTUBATED PATIENT | 8 |
Kenneth W. Havens | US | Crowley | 2014-11-20 / 20140338555 - Explosive Device and Mini Depth Charge Grenade | 1 |
Timothy J. Havens | US | Florence | 2014-06-05 / 20140155268 - SYSTEM AND APPARATUS FOR COMPENSATING FOR MAGNETIC FIELD DISTORTION IN AN MRI SYSTEM | 4 |
Brian D. Havens | US | Liberty Township | 2013-06-20 / 20130152513 - SHEET GOOD LOADING DEVICE AND METHOD OF LOADING SHEET GOODS | 1 |
William H. Havens | US | Marcellus | 2013-08-22 / 20130214044 - PROXIMITY TRANSACTION APPARATUS AND METHODS OF USE THEREOF | 1 |
John Robert Havens | US | Evergreen | 2014-01-30 / 20140031240 - TM-ENHANCED BLOCKING OLIGONUCLEOTIDES AND BAITS FOR IMPROVED TARGET ENRICHMENT AND REDUCED OFF-TARGET SELECTION | 1 |
Daniel W. Havens | US | San Diego | 2013-05-02 / 20130109417 - TWO WAY SHORT MESSAGE SERVICE (SMS)-ENABLED BLOOD GLUCOSE METER AND RELATED COMMUNICATIONS SYSTEMS AND METHODS | 1 |
Dalia M. Havens | US | Austin | 2014-05-01 / 20140122657 - SELECTING A VIDEO DATA STREAM OF A VIDEO CONFERENCE | 1 |
Barry Dean Havens | US | Bland | 2014-02-06 / 20140034286 - SELF-ALIGNING PLUG FOR POSITIONING A TEMPERATURE SENSOR WITHIN A TRANSFORMER WINDING | 1 |
Timothy Havens | US | Huntersville | 2014-02-06 / 20140039693 - INPUT/OUTPUT CONNECTOR CONTACT CLEANING | 1 |
Jesse M. Havens | US | Asheboro | 2014-12-25 / 20140374010 - Fuselage Mandrel Insert and Method | 1 |
Teresa Havens | US | Bellbrook | 2014-11-20 / 20140343247 - SHAPE MEMORY EPOXY COPOLYMERS USING AROMATIC ALCOHOL CURE AGENTS | 1 |
Karen Havenstrite | US | Palo Alto | 2010-03-04 / 20100055759 - TRANSPARENT POLYMER MEMBRANE FOR LASER DISSECTION | 1 |
Karen Havenstrite | US | Menlo Park | 2012-07-12 / 20120177611 - Elastic substrates and methods of use in cell manipulation and culture | 1 |
Karen L. Havenstrite | US | San Francisco | 2015-08-20 / 20150234204 - CONTACT LENS WITH A HYDROPHILIC LAYER | 2 |
Karen Havenstrite | US | San Francisco | 2014-07-17 / 20140200395 - APPARATUSES AND METHODS FOR PREVENTING OR REVERSING HEART DILATION | 1 |
Daniel Haver | DE | Berlin | 2010-01-21 / 20100011941 - Automatic Recognition and Matching of Tempo and Phase of Pieces of Music, and an Interactive Music Player | 1 |
Tammy Haver | US | Colorado Springs | 2014-10-30 / 20140324627 - SYSTEMS AND METHODS INVOLVING PROXIMITY, MAPPING, INDEXING, MOBILE, ADVERTISING AND/OR OTHER FEATURES | 1 |
Sharon Haver | DE | Walldorf | 2014-01-09 / 20140013000 - SOCIAL GRAPH BASED PERMISSIONS, PUBLISHING, AND SUBSCRIPTION | 1 |
Joe Haver | US | Colorado Springs | 2014-10-30 / 20140324627 - SYSTEMS AND METHODS INVOLVING PROXIMITY, MAPPING, INDEXING, MOBILE, ADVERTISING AND/OR OTHER FEATURES | 2 |
Peter E. Havercan | GB | Chandlers Ford | 2013-07-11 / 20130179994 - Dual Trust Architecture | 2 |
Peter E. Havercan | GB | Hampshire | 2011-02-03 / 20110029782 - Handling Expired Passwords | 1 |
Hendrik Haverdings | NL | Velserbroek | 2009-11-19 / 20090283644 - CONTROL LEVER ASSEMBLY FOR A TILT-ROTOR AIRCRAFT | 1 |
Maxwell E. Haverfield | AU | Victoria | 2013-06-06 / 20130144113 - METHOD OF TREATING ANAL INCONTINENCE | 1 |
Gregory Haverfield | US | Roodhouse | 2014-09-18 / 20140270582 - FLEXIBLE PACKAGE AND PROCESS OF MAKING PACKAGE | 1 |
Maxwell E. Haverfield | AU | Epping | 2011-03-10 / 20110060180 - METHOD OF TREATING ANAL INCONTINENCE | 1 |
Luke M. Haverhals | US | Coralville | 2008-12-25 / 20080314116 - BREATH-BASED SENSORS FOR NON-INVASIVE MOLECULAR DETECTION | 3 |
Heikki Haveri | FI | Huhmari | 2015-08-27 / 20150241359 - METHOD AND GAS ANALYSIS UNIT FOR DETERMINING A CHANCE TO ENABLE A ZEROING OF GAS ANALYSIS | 9 |
Heikki Haveri | FI | Huhmari | 2015-08-27 / 20150241359 - METHOD AND GAS ANALYSIS UNIT FOR DETERMINING A CHANCE TO ENABLE A ZEROING OF GAS ANALYSIS | 9 |
Heikki Antti Mikael Haveri | FI | Palakoskentie | 2014-11-06 / 20140330154 - BREATHING MASK FOR VENTILATING A PATIENT AND GAS ANALYZER FOR RESPIRATORY GAS MEASUREMENT | 4 |
Heikki Antti Mikael Haveri | FI | Huhmari | 2013-09-26 / 20130253336 - SENSOR, GAS ANALYZER AND METHOD FOR MEASURING CONCENTRATION OF AT LEAST ONE RESPIRATORY GAS COMPONENT | 7 |
Heikki Haveri | FI | Helsinki | 2014-12-11 / 20140360886 - METHOD FOR MANUFACTURING FLUID HANDLING DISCS WITH POROUS MESH PLATES FOR USE IN ULTRASONIC MESH NEBULIZERS | 2 |
Heikki Haveri | FI | Humhari | 2010-04-01 / 20100078563 - SIMPLIFIED BEAM SPLITTER FOR IR GAS SENSOR | 1 |
Heikki Antti Mikael Haveri | FI | Helsinki | 2011-11-03 / 20110265793 - METHOD AND ARRANGEMENT FOR MAINTAINING VOLUME OF BREATHING GAS IN A DESIRED LEVEL | 1 |
Eemeli Haverinen | FI | Tampere | 2014-10-09 / 20140304696 - ARRANGEMENT FOR UPDATING A CONTROL SYSTEM | 3 |
Anssi Kaleva Haverinen | US | San Diego | 2016-03-03 / 20160066132 - METHODS AND APPARATUS FOR IMPROVING PEER COMMUNICATIONS USING AN ACTIVE COMMUNICATION MODE | 6 |
Henry Haverinen | FI | Jyvaskyla | 2009-12-03 / 20090300722 - SUPPORT FOR INTEGRATED WLAN HOTSPOT CLIENTS | 1 |
Anssi K. Haverinen | US | San Diego | 2013-10-03 / 20130260689 - Wirelessly Powered Input Device | 4 |
Timo Haverinen | FI | Kaarina | 2011-06-30 / 20110154685 - APPARATUS AND METHOD FOR IMPROVING THE DETACHMENT OF PAPER FROM A DRYING CYLINDER OF A PAPER MACHINE | 1 |
Janne Haverinen | FI | Kiviniemi | 2016-05-12 / 20160135012 - APPLYING INDOOR MAGNETIC FIELDS FOR ACQUIRING MOVEMENT INFORMATION | 17 |
Henry Haverinen | FI | Tampere | 2015-06-25 / 20150181628 - SUPPORTING AN ACCESS TO A DESTINATION NETWORK VIA A WIRELESS ACCESS NETWORK | 4 |
Janne Haverinen | FI | Kiviniemi | 2016-05-12 / 20160135012 - APPLYING INDOOR MAGNETIC FIELDS FOR ACQUIRING MOVEMENT INFORMATION | 17 |
Ilkka Hemmo Haverinen | FI | Tampere | 2009-12-31 / 20090327979 - USER INTERFACE FOR A PERIPHERAL DEVICE | 2 |
Henry Haverinen | FI | Pirkkala | 2015-11-26 / 20150341967 - SUPPORTING AN ACCESS TO A DESTINATION NETWORK VIA A WIRELESS ACCESS NETWORK | 1 |
Frank Haverkamp | DE | Tuebingen | 2015-04-16 / 20150106613 - Multi-Chip Initialization Using a Parallel Firmware Boot Process | 11 |
Bernhard Haverkamp | DE | Ascheberg | 2012-05-31 / 20120133517 - Alarm Apparatus | 1 |
Jason Dirk Haverkamp | US | Scotia | 2015-04-30 / 20150114292 - MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS | 2 |
Sascha Haverkamp | DE | Jockgrim | 2015-04-02 / 20150093298 - Apparatus for the Aftertreatment of the Exhaust Gases of Diesel Engines | 2 |
Helge Haverkamp | DE | Konstanz | 2010-09-02 / 20100218826 - METHOD FOR PRODUCING A SILICON SOLAR CELL WITH A BACK-ETCHED EMITTER AS WELL AS A CORRESPONDING SOLAR CELL | 1 |
Michael Haverkamp | DE | Hannover | 2014-11-27 / 20140346748 - Leveling Valve for Discharging and Supplying Fluid | 4 |
Michael Haverkamp | DE | Ostbevern | 2009-05-07 / 20090118912 - ENGINE CONTROL SYSTEM OF A SELF-PROPELLED WORKING MACHINE | 1 |
Helge Haverkamp | DE | Harrenberg | 2012-05-24 / 20120129355 - METHOD FOR TEXTURING A SURFACE OF A SEMICONDUCTOR SUBSTRATE AND DEVICE FOR CARRYING OUT THE METHOD | 1 |
Frank Haverkamp | DE | Tuebingen | 2015-04-16 / 20150106613 - Multi-Chip Initialization Using a Parallel Firmware Boot Process | 11 |
Nils Haverkamp | DE | Aalen | 2016-05-12 / 20160131476 - DEVICE AND METHOD FOR CALIBRATING A COORDINATE-MEASURING DEVICE | 3 |
Mark Haverkamp | DE | Duesseldorf | 2014-08-21 / 20140230509 - ROLLING MILL AND ROLLING METHOD | 3 |
Frank Haverkamp | DE | Tuebinger | 2015-01-15 / 20150020192 - ADDRESS TRANSLATION/SPECIFICATION FIELD FOR HARDWARE ACCELERATOR | 1 |
Helge Haverkamp | DE | Herrenberg | 2012-08-16 / 20120204946 - METHOD FOR PRODUCING AN EMITTER ELECTRODE FOR A CRYSTALLINE SILICON SOLAR CELL AND CORRESPONDING SILICON SOLAR CELL | 1 |
Jason Dirk Haverkamp | US | Newberg | 2014-04-03 / 20140094038 - ENHANCING ADHESION OF CAP LAYER FILMS | 1 |
Jason Haverkamp | US | Newberg | 2013-07-04 / 20130171834 - IN-SITU DEPOSITION OF FILM STACKS | 1 |
Mark Haverkamp | DE | Moenchengladbach | 2011-06-16 / 20110138920 - Contact-free pipe wall thickness measurement device and pipe wall thickness measurement | 1 |
Jason Haverkamp | US | Clifton Park | 2011-09-29 / 20110236594 - In-Situ Deposition of Film Stacks | 1 |
Martin Haverkamp | DE | Frankfurt | 2015-12-03 / 20150346312 - METHOD FOR SETTING UP A CURRENT SENSOR | 5 |
Nils Haverkamp | DE | Oberkochen | 2012-01-26 / 20120019796 - ILLUMINATION SYSTEM FOR MICROLITHOGRAPHY | 3 |
Verena Haverkamp | DE | Bergisch Gladbach | 2010-12-09 / 20100312009 - GAS-PHASE PHOSGENATION PROCESS | 3 |
Todd David Haverkos | US | Palatine | 2009-08-20 / 20090210507 - Method and Apparatus for Scoring Unsolicited E-mail | 1 |
Patrick A. Haverkost | US | Corcoran | 2016-05-12 / 20160129221 - MEDICAL DEVICE HAVING AN ATRAUMATIC DISTAL TIP | 2 |
Pat Haverkost | US | Brooklyn Center | 2008-12-11 / 20080306580 - BLOOD ACESS APPARATUS AND METHOD | 1 |
Patrick A. Haverkost | US | Brooklyn Center | 2015-10-22 / 20150297763 - DEVICES AND METHODS FOR THERAPEUTIC HEAT TREATMENT | 33 |
Patrick A. Haverkost | US | Brooklyn Center | 2015-10-22 / 20150297763 - DEVICES AND METHODS FOR THERAPEUTIC HEAT TREATMENT | 33 |
Carl A. Haverl | US | Aptos | 2014-11-20 / 20140344834 - PROVIDING AUDIBLE NOTIFICATIONS FOR WEBMAIL APPLICATIONS | 1 |
Isaac Haverlick | US | Brighton | 2014-10-23 / 20140312078 - Closure for Flexible Film Pouch | 3 |
Kevin B. Haverlock | US | Cary | 2016-05-05 / 20160125096 - CONTEXT AWARE QUERY SELECTION | 15 |
Kevin B. Haverlock | US | Cary | 2016-05-05 / 20160125096 - CONTEXT AWARE QUERY SELECTION | 15 |
Gert Havermann | DE | Wallenhorst | 2015-05-28 / 20150144391 - CONNECTION DEVICE AND CONNECTION METHOD FOR HIGH-FREQUENCY DIGITAL SIGNALS | 2 |
Danny Havermans | BE | Beerse | 2008-12-18 / 20080308535 - Atmospheric-Pressure Plasma Jet | 2 |
Dag Haverstad | NO | Nodeland | 2009-07-02 / 20090166090 - Top Drive Drilling Apparatus | 2 |
Doris M. Haverstick | US | Charlottesville | 2016-05-19 / 20160136115 - T TYPE CALCIUM CHANNEL INHIBITORS | 4 |
Doris M. Haverstick | US | Chalottesville | 2009-09-17 / 20090234019 - T Type Calcium Channel Inhibitors | 1 |
Thomas B. Haverstock | US | Palo Alto | 2008-10-09 / 20080245237 - Coffee infusion press for stackable cups | 1 |
Paul W. Haverstock | US | Acton | 2014-11-27 / 20140351223 - DISTRIBUTED CLIPBOARD | 3 |
James D. Haverty | US | Boxborough | 2013-07-11 / 20130176905 - NODE ARBITRATED MEDIA ACCESS CONTROL PROTOCOL FOR AD HOC BROADCAST NETWORKS CARRYING EPHEMERAL INFORMATION | 9 |
Michael Haverty | US | Mountain View | 2015-07-02 / 20150187900 - COMPOSITE MATERIALS FOR USE IN SEMICONDUCTOR COMPONENTS | 5 |
Peter Haverty | US | San Francisco | 2015-12-31 / 20150376289 - METHODS AND COMPOSITIONS FOR THE DIAGNOSIS AND TREATMENT OF CANCER | 4 |
Patrick J. Haverty | US | Manteca | 2014-08-28 / 20140241062 - Modular, Scalable Rigid Flex Memory Module | 1 |
James D. Haverty | US | Boxborough | 2013-07-11 / 20130176905 - NODE ARBITRATED MEDIA ACCESS CONTROL PROTOCOL FOR AD HOC BROADCAST NETWORKS CARRYING EPHEMERAL INFORMATION | 9 |
James D. Haverty | US | Meredith | 2015-01-15 / 20150017946 - METHOD AND APPARATUS FOR LIMITING THE COLLECTION OF CELLULAR IDENTIFYING INFORMATION TO DEFINED AREAS | 1 |
Michael G. Haverty | US | Mountain View | 2016-02-11 / 20160043191 - SEMICONDUCTOR DEVICE CONTACTS | 5 |
Chris M. Haverty | US | Portland | 2015-03-26 / 20150085063 - CONFIGURATION OF A TOUCH SCREEN DISPLAY WITH CONFERENCING | 2 |
David G. Haverty | US | Atlanta | 2008-10-16 / 20080254307 - SYSTEMS AND METHODS FOR MANUFACTURING COMPOSITE MATERIALS USING THERMOPLASTIC POLYMERS | 1 |
Chris Haverty | US | Portland | 2011-06-23 / 20110148824 - OPTICAL PEN | 2 |
Donncha Haverty | IE | Castletroy | 2015-08-20 / 20150232975 - NICKEL-TITANIUM ALLOY INCLUDING A RARE EARTH ELEMENT | 1 |
Patrick Haverty | US | Manteca | 2014-03-27 / 20140087570 - CABLE EXIT METHODS FOR PCIE CARDS | 1 |
Peter Haverty | US | South San Francisco | 2013-11-28 / 20130317082 - METHODS AND COMPOSITIONS FOR THE DIAGNOSIS AND TREATMENT OF CANCER | 1 |
Donncha Haverty | IE | Nenagh | 2015-01-15 / 20150013412 - Processes and Apparatus for Surface Modification | 3 |
Kevin Gerard Haverty | IE | Houston | 2008-09-04 / 20080210433 - Subsea Well Communications Apparatus and Method Using Variable Tension Large Offset Risers | 1 |
Donncha Haverty | IE | Co. Limerick | 2015-07-09 / 20150190841 - METHOD OF DOPING SURFACES | 4 |
Ryan Alexander Haveson | US | Woodinville | 2012-06-14 / 20120151015 - CONNECTING DEVICES TO A MEDIA SHARING SERVICE | 1 |
Brian D. Haveson | US | Surf City | 2011-05-05 / 20110105217 - INTERACTIVE GAMING DEVICE | 3 |
Ryan A. Haveson | US | Woodinville | 2014-01-16 / 20140020085 - Background Application Management | 3 |
Ryan A. Haveson | US | Monroe | 2014-10-09 / 20140304831 - REMOTE DATA ACCESS TECHNIQUES FOR PORTABLE DEVICES | 3 |
Sarosh Cyrus Havewala | US | Redmond | 2009-07-02 / 20090171957 - METHOD AND SYSTEM OF APPLYING POLICY ON SCREENED FILES | 1 |
Sarosh Cyrus Havewala | US | Kirkland | 2015-05-28 / 20150149505 - DIRECTORY LEASING | 11 |
Sarosh Havewala | US | Kirkland | 2014-10-02 / 20140297987 - Managing Capacity of a Thinly Provisioned Storage System | 1 |
Sarosh Cyrus Havewala | US | Kirkland | 2015-05-28 / 20150149505 - DIRECTORY LEASING | 11 |
Sarosh C. Havewala | US | Kirkland | 2016-03-17 / 20160077933 - Scalable Data Storage Pools | 15 |
Sarosh C. Havewala | US | Kirkland | 2016-03-17 / 20160077933 - Scalable Data Storage Pools | 15 |
Sarosh C. Havewala | US | Redmond | 2015-11-26 / 20150339333 - Extending Cluster Allocations In An Extensible File System | 6 |
Daniel K. Havey | US | Harrisonburg | 2012-05-17 / 20120118042 - Photoacoustic Spectrometer with Calculable Cell Constant for Quantitative Absorption Measurements of Pure Gases, Gaseous Mixtures, and Aerosols | 1 |
Robert Edwin Havicon, Jr. | US | Melbourne | 2014-01-02 / 20140005915 - SYSTEM AND METHOD FOR COMMUNICATING IN A VEHICLE CONSIST | 1 |
Dejan Havidic | CA | Toronto | 2009-12-03 / 20090298412 - Air duct outlets that produce self-oscillating air flow | 2 |
Sean Haviland | AU | Knoxfield | 2015-12-31 / 20150375242 - Spray Nozzle and Spray Nozzle System | 1 |
Jan Frances Haviland | US | Westphalia | 2012-04-12 / 20120087966 - ANTIMICROBIAL FOAM | 1 |
Thomas Fred Haviland | US | Beavercreek | 2010-01-14 / 20100010403 - Mechanical back scratcher / tickler | 1 |
David B. Haviland | SE | Bromma | / - | 1 |
Chris L. Haviland | US | West Des Moines | 2008-12-18 / 20080312955 - SYSTEM FOR PREVENTATIVE MEASURES TO MAINTAIN HEALTH AND WELLNESS | 1 |
Sean Haviland | AU | Croydon | 2011-08-04 / 20110186657 - VEHICLE MOUNTED UNMANNED WATER CANNON | 1 |
Sean Haviland | AU | Knoxfield, Victoria | 2015-12-31 / 20150375242 - Spray Nozzle and Spray Nozzle System | 1 |
Paul Frederick Haviland | CA | Smith Falls | 2013-10-03 / 20130262176 - HYBRID BALANCING OF SUPPLY AND DEMAND | 1 |
Rodney Haviland | US | Woodland Hills | 2011-02-10 / 20110035239 - System, method, and computer program product for valuing and administering annuity with guaranteed minimum withdrawal benefit to generate rising withdrawal stream | 1 |
Samuel S. Haviland, Jr. | US | Fairfield | 2009-02-05 / 20090037426 - COMPUTER-IMPLEMENTED SYSTEM AND METHOD FOR AGGREGATING AND SELECTIVELY DISTRIBUTING CRITICAL PERSONAL INFORMATION TO ONE OR MORE USER-DESIGNATED RECIPIENTS | 1 |
Amir Mesguich Havilio | US | Palo Alto | 2016-03-17 / 20160078593 - UNIVERSAL DIGITAL CONTENT ZOOMING TECHNIQUES | 22 |
Ian Havill | CA | Mascouche | 2008-10-23 / 20080257330 - IMPACT BLOCK SPLITTER | 1 |
Alice M. Havill | NZ | Parnell | 2013-11-28 / 20130317260 - PROCESS AND ADSORBENT FOR SEPARATING ETHANOL AND ASSOCIATED OXYGENATES FROM A BIOFERMENTATION SYSTEM | 1 |
Alice Marie Havill | NZ | Auckland | 2015-11-26 / 20150337343 - A FERMENTATION PROCESS | 2 |
Alice M Havill | NZ | Auckland | 2012-02-23 / 20120045807 - PROCESS FOR PRODUCING CHEMICALS USING MICROBIAL FERMENTATION OF SUBSTRATES COMPRISING CARBON MONOXIDE | 1 |
Alice Havill | NZ | Auckland | 2015-11-26 / 20150337343 - A FERMENTATION PROCESS | 1 |
Esko Havimäki | FI | Mieto | 2013-11-07 / 20130292190 - METHOD IN THE CHECK WEIGHING OF A WEIGHING SYSTEM AND SOFTWARE PRODUCT AND ARRANGEMENT IN THE CHECK WEIGHING OF A WEIGHING SYSTEM AND MATERIALS HANDLING EQUIPMENT | 3 |
Esko Havimäki | FI | Mieto | 2013-11-07 / 20130292190 - METHOD IN THE CHECK WEIGHING OF A WEIGHING SYSTEM AND SOFTWARE PRODUCT AND ARRANGEMENT IN THE CHECK WEIGHING OF A WEIGHING SYSTEM AND MATERIALS HANDLING EQUIPMENT | 3 |
Matthew Alan Havin | US | Huntsville | 2012-03-29 / 20120078836 - System and Method for Predicting Tornado Activity | 1 |
Matthew Alan Havin | US | Madison | / - | 1 |
Victor Havin | US | Mountain View | 2009-07-02 / 20090172368 - Hardware Based Runtime Error Detection | 1 |
Victor L. Havin | US | Mountain View | 2011-06-09 / 20110138367 - METHOD AND SYSTEM FOR DETECTING MEMORY LEAKS | 2 |
Richard D. Havinga | CA | Calgary | 2011-03-31 / 20110073299 - Lubricator for Use with Coiled Tubing Apparatus and Universal Rig Having Coiled Tubing and Top Drive Capability | 1 |
Richard D. Havinga | CA | Okotoks | 2012-07-05 / 20120168179 - COIL TUBING RIG AND CARRIER SYSTEM | 3 |
Richard Havinga | CA | Okotoks | 2013-04-25 / 20130098025 - Coiled Tubing Injector with a Weight on Bit Circuit | 4 |
Richard Havinga | US | 2012-04-05 / 20120080180 - System, Method and Apparatus for Conducting Earth Borehole Operations | 2 | |
Richard Havinga | CA | Oktoks | 2012-05-03 / 20120103632 - Method and Apparatus for Conducting Earth Borehole Operations | 1 |
Paul Johannes Mattheus Havinga | NL | Saasveld | 2012-05-03 / 20120109872 - WIRELESS MOTION SENSOR NETWORK FOR MONITORING MOTION IN A PROCESS, WIRELESS SENSOR NODE, REASONING NODE, AND FEEDBACK AND/OR ACTUATION NODE FOR SUCH WIRELESS MOTION SENSOR NETWORK | 1 |
Paul Havinga | NL | Saasveld | 2012-10-11 / 20120257530 - Communication Method and Devices for High Density Wireless Networks | 1 |
Robert Mark Havira | US | New Fairfield | 2014-09-11 / 20140251015 - System and Method for Non-Destructive Testing of Railroad Rails Using Ultrasonic Apparatuses Mounted Within Fluid-Filled Tires Maintained at Constant Temperatures | 3 |
William D. Havird | US | Simpsonville | 2011-03-17 / 20110065347 - Flame retardant, cotton/thermoset fabrics | 1 |
Clark R. Havis | US | Roundrock | 2011-09-01 / 20110209853 - GEOMETRICALLY REORIENTED LOW-PROFILE PHASE PLANE HEAT PIPES | 2 |
Simon Brian Havis | GB | Leeds | 2013-08-01 / 20130192189 - CARBON FIBRE YARN AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Clark R. Havis | US | Round Rock | 2016-02-04 / 20160030236 - COMPRESSION SEQUENCED THERMAL THERAPY SYSTEM | 2 |
Kevin Havis | US | Fort Worth | 2014-01-02 / 20140000904 - POWER SUPPLY APPARATUS AND METHOD FOR PROVIDING BACKUP POWER | 1 |
Yossi Haviv | IL | Rehovot | 2015-12-24 / 20150367296 - AUTOMATIC RELEASE OF PRESSURE IN A HOME SODA MACHINE | 1 |
Yaron Haviv | IL | Zoran | 2011-09-01 / 20110213854 - Device, system, and method of accessing storage | 2 |
Yaron Haviv | IL | Tel Mond | 2015-09-17 / 20150261720 - ACCESSING REMOTE STORAGE DEVICES USING A LOCAL BUS PROTOCOL | 7 |
Elad Haviv | IL | Dn Misgav | 2011-02-24 / 20110047458 - DATA TRANSFER METHOD AND SYSTEM | 1 |
Ami Haviv | IL | Hod-Hasharon | 2012-06-28 / 20120167212 - METHODS FOR INSPECTING SECURITY CERTIFICATES BY NETWORK SECURITY DEVICES TO DETECT AND PREVENT THE USE OF INVALID CERTIFICATES | 3 |
Asher Haviv | IL | Bat-Yam | 2012-02-16 / 20120038478 - LOCKING MECHAMISM WITH SABBATH CONTROL UNIT | 3 |
Asi Haviv | IL | Gan Shlomo | 2015-03-26 / 20150086549 - TREATMENT OF LUPUS ARTHRITIS USING LAQUINIMOD | 3 |
Yinnon Avraham Haviv | IL | Haafik | 2010-11-11 / 20100284527 - Importance-Based Call Graph Construction | 1 |
Yinnon A. Haviv | IL | Haifa | 2010-12-30 / 20100333201 - SYSTEM, METHOD, AND PROGRAM FOR DETERMINING VALIDITY OF STRING | 1 |
Yosef Haviv | IL | Haifa | 2013-09-05 / 20130232384 - METHOD AND SYSTEM FOR ITERATIVELY TESTING AND REPAIRING AN ARRAY OF MEMORY CELLS | 2 |
Yinnon A. Haviv | IL | Beerotaim | 2014-07-31 / 20140215431 - STATIC ANALYSIS OF COMPUTER SOFTWARE APPLICATIONS HAVING A MODEL-VIEW-CONTROLLER ARCHITECTURE | 13 |
Yinnon A. Haviv | IL | Beerotaim | 2014-07-31 / 20140215431 - STATIC ANALYSIS OF COMPUTER SOFTWARE APPLICATIONS HAVING A MODEL-VIEW-CONTROLLER ARCHITECTURE | 13 |
Yinnon Haviv | IL | Herzliya | 2011-04-14 / 20110088023 - SYSTEM AND METHOD FOR STATIC DETECTION AND CATEGORIZATION OF INFORMATION-FLOW DOWNGRADERS | 1 |
Yinnon Avraham Haviv | IL | Beerotaim | 2015-03-26 / 20150089637 - System, Method and Apparatus for Simultaneous Definition and Enforcement of Access-control and Integrity Policies | 6 |
Asi Haviv | US | 2011-02-03 / 20110027219 - Treatment of Crohn's disease with laquinimod | 1 | |
Izhak Haviv | AU | Caulfield | 2016-05-12 / 20160131667 - LIPID BIOMARKERS FOR STABLE AND UNSTABLE HEART DISEASE | 6 |
Asi Haviv | IL | Kvutsat Shiller (gan Schlomo) | 2015-02-05 / 20150038508 - TREATMENT OF LUPUS NEPHRITIS USING LAQUINIMOD | 1 |
Yinnon Haviv | IL | Beerotaim | 2013-05-23 / 20130133075 - FIXING SECURITY VULNERABILITY IN A SOURCE CODE | 2 |
Asi Haviv | IL | Kvutsat Shiller (gan Shlomo) | 2015-12-17 / 20150359788 - USE OF LAQUINIMOD FOR TREATING CROHN'S DISEASE PATIENTS WHO FAILED FIRST-LINE ANTI-TNF THERAPY | 5 |
Zohar Haviv | IL | Har-Hadar | 2011-05-26 / 20110120526 - Monolithic Low Concentration Photovoltaic Panel Based On Polymer Embedded Photovoltaic Cells And Crossed Compound Parabolic Concentrators | 1 |
Yinnon A. Haviv | IL | Be'Erotayim | 2012-10-04 / 20120254839 - SIMULATING BLACK BOX TEST RESULTS USING INFORMATION FROM WHITE BOX TESTING | 1 |
Elad Haviv | IL | Zurit | 2012-07-05 / 20120173622 - SOCIAL SCREEN CASTING | 2 |
Lior Haviv | IL | Ness-Ziyona | 2015-04-23 / 20150110566 - DUST EXTRACTION DEVICE FOR A ROTARY POWER TOOL | 1 |
Dvir Haviv | IL | Rehovot | 2013-11-07 / 20130294707 - GEOMETRIC MODELIZATION OF IMAGES AND APPLICATIONS | 1 |
Zohar Haviv | IL | Har-Adar | 2012-10-25 / 20120270358 - Method for Fabrication of an Array of Chip-Sized Photovoltaic Cells for a Monolithic Low Concentration Photovoltaic Panel Based on Crossed Compound Parabolic Concentrators | 1 |
Rony Havive | US | Oak Park | 2014-04-10 / 20140099586 - Wax Forms with Permanent Hardware | 1 |
Shahar Havivi | IL | Ramat-Gan | 2014-07-24 / 20140208249 - PRESENTING A MODAL DIALOG BOX USING AN INVISIBLE PANEL UNDERNEATH | 6 |
Ehud Havivi | IL | Natania | 2015-11-05 / 20150316523 - SYSTEMS AND METHODS FOR IDENTIFYING EXPLOSIVES | 1 |
Irit Haviv-Segal | IL | Tel-Aviv | 2009-02-26 / 20090055373 - System and method for refining search terms | 1 |
Daphna Havkin-Frenkel | US | North Brunswick | 2008-10-16 / 20080254149 - Recovery of Residual Plant Components After Distillation of Essential Oils | 1 |
Arlene Havlark | US | Seattle | 2014-11-27 / 20140349678 - Wireless TeleCommunications Location Based Services Scheme Selection | 2 |
Vladimir Havlena | CZ | Prague | 2014-12-04 / 20140358459 - MODEL-BASED BATTERY MONITORING | 11 |
Jan Havlicek | CZ | Zdan Nad Sazavou | 2010-11-04 / 20100275721 - CLAMP ASSEMBLY FOR A STEERING COLUMN ASSEMBLY | 1 |
Vladimir Havlicek | CZ | Prague 4 | 2013-10-03 / 20130260050 - Method of Surface Modification for the Purpose of Enrichment of Phosphorylated Peptides for Analysis by Desorption/Ionization Mass Spectrometry Techniques | 1 |
Libor Havlicek | CZ | Praha 4 | 2012-03-22 / 20120070512 - Substituted 6-(2-hydroxybenzylamino)purine Derivatives, Their Use as Medicaments and Compositions Containing These Derivatives | 1 |
Jan Havlicek | CZ | Zdar Nad Sazavou | 2011-02-24 / 20110041642 - Adjustable Steering Column Assembly | 1 |
Vladimir Havlicek | CZ | Praha | 2011-12-08 / 20110297820 - PSEUDACYCLIN AND METHOD OF INDICATION OF A FUNGUS PSEUDALLESCHERIA BOYDII | 1 |
Libor Havlicek | CZ | Praha | 2011-11-24 / 20110287111 - SUBSTITUTED 6-(2-AMINOBENZYLAMINO)PURINE DERIVATIVES, THEIR USE AS MEDICAMENTS AND PREPARATIONS CONTAINING THESE COMPOUNDS | 1 |
Steven Havlik | US | Barrington | 2012-05-24 / 20120124941 - ACTIVE STERILIZATION ZONE FOR CONTAINER FILLING | 4 |
Peter Havlik | US | Tulsa | 2014-09-18 / 20140261715 - REDUCING PRESSURE DROP BUILDUP IN BIO-OIL HYDROPROCESSING REACTORS | 6 |
Steven E. Havlik | US | Crystal Lake | 2011-02-10 / 20110034548 - Method for Suspending a Flavonoid in a Beverage | 1 |
James Havlik | US | Viola | 2010-04-01 / 20100077980 - COMPRESSION RELEASE MECHANISM | 1 |
Robert C. Havlik | US | Montgomery | 2014-09-18 / 20140260418 - Method to Maximize LNG Plant Capacity in All Seasons | 3 |
Peter Z. Havlik | US | Tulsa | 2015-05-14 / 20150133355 - BIO-BASED SYNTHETIC FLUIDS | 3 |
Robert Havlik | US | Fort Collins | 2015-07-09 / 20150193920 - MAPPING GLINTS TO LIGHT SOURCES | 1 |
Gregory J. Havlik | US | Chanhassen | 2013-08-22 / 20130212963 - Wind Turbine Tower | 1 |
Elizabeth Jean Havlin | US | Seattle | 2010-07-29 / 20100186765 - Hair Styling Tool, System and Method of Use | 1 |
Brian Havlin | US | San Jose | 2014-02-06 / 20140040718 - TRACKING NEW SUBMISSIONS FOR AN ONLINE FORMS SERVICE | 2 |
Chanoch Havlin | IL | Jerusalam | 2010-03-18 / 20100066817 - METHOD AND A SYSTEM FOR CALIBRATING AND/OR VISUALIZING A MULTI IMAGE DISPLAY AND FOR REDUCING GHOSTING ARTIFACTS | 1 |
Kenneth L. Havlinek | US | Katy | 2014-07-10 / 20140190949 - SYSTEMS, TOOLS AND METHODS FOR HIGH POWER LASER SURFACE DECOMMISSIONING AND DOWNHOLE WELDING | 1 |
Kenneth L. Havlinek | US | Houston | 2009-02-19 / 20090044951 - Apparatus and Methods to Control Fluid Flow in a Downhole Tool | 1 |
Dennis Lee Havlin, Jr. | US | Walled Lake | 2015-10-29 / 20150307740 - METHODS OF APPLYING COATING COMPOSITIONS | 1 |
Andrew M. Havlir | US | Austin | 2016-02-25 / 20160055833 - HIGH-SPEED LOW-POWER ACCESS TO REGISTER FILES | 9 |
Karel Havlovic | CZ | Praha | 2012-06-14 / 20120149907 - PROCESS FOR PREPARING TRICHLOROAMMINEPLATINATE SALT AND THE PRODUCTS OBTAINED THEREIN | 1 |
Ron G. Havlovick | US | Dallas | 2009-11-19 / 20090288123 - Passenger tray convenience system | 1 |
Paul Havlovitz | US | Dublin | 2015-04-16 / 20150102131 - Ready-To-Use Hose End Sprayer | 6 |
Paul M. Havlovitz | US | Plain City | 2013-07-04 / 20130168464 - Cartridge Spreader System | 6 |
Paul M. Havlovitz | US | Dublin | 2015-05-21 / 20150136880 - CARTRIDGE SPREADER SYSTEM | 2 |
Jarle Havn | NO | Stavanger | 2015-04-23 / 20150110582 - Handling Loads in Offshore Environments | 1 |
Uzi Ezra Havosha | IL | Tel Aviv | 2010-04-15 / 20100089010 - Method and device for plastic wrap | 29 |
Lisa Marie Havran | US | Florence | 2008-11-27 / 20080293790 - SUBSTITUTED ARYL OXIMES | 1 |
Curtis Havran | US | Gilbert | 2015-08-27 / 20150241190 - ELECTRONIC SAFE/ARM SYSTEM AND METHODS OF USE THEREOF | 2 |
Miroslav Havranek | CZ | Prague | 2014-01-23 / 20140024645 - PHENOXY ACETIC ACIDS AND PHENYL PROPIONIC ACIDS AS PPAR DELTA AGONISTS | 3 |
Jordan P. Havranek | US | Charlotte | 2012-08-02 / 20120198556 - INSIDER THREAT PING AND SCAN | 1 |
Miroslav Havranek | CZ | Praha | 2012-02-23 / 20120046350 - NOVEL COMPOUNDS, THEIR PREPARATION AND USE | 3 |
Miroslav Havranek | AU | Macquarie University | 2011-12-29 / 20110319703 - Implantable Microphone System and Calibration Process | 1 |
Kjetil Havre | NO | Kjeller | 2016-04-07 / 20160098502 - MULTIPHASE FLOW SIMULATOR SUB-MODELING | 1 |
Kjetil Havre | NO | Skedsmokorset | 2016-05-19 / 20160138350 - CONTROL OF MANAGED PRESSURE DRILLING | 2 |
Bard Havre | NO | Oslo | 2009-05-21 / 20090131211 - DEVICE FOR TRANSMISSION OF A TORQUE | 1 |
Joseph B. Havrilla | US | Pittsburgh | 2013-08-29 / 20130226090 - INJECTOR SYSTEM FOR ENCODING AND SENSING OF SYRINGE INFORMATION | 2 |
George J. Havrilla | US | Los Alamos | 2009-07-09 / 20090175410 - FLOW METHOD AND APPARATUS FOR SCREENING CHEMICALS USING MICRO X-RAY FLUORESCENCE | 1 |
Maja Havriluk | HR | Sesvete | 2009-01-29 / 20090026135 - MIXED BACTERIAL CULTURE FOR ATRAZINE DEGRADATION | 1 |
Omer Havron | IL | Tel Aviv | 2014-12-25 / 20140378901 - MECHANICAL PUMP TO TUBE INTERFACES, SYSTEMS INCLUDING THE INTERFACES AND METHODS FOR PRODUCING SAME | 3 |
Glenn L. Havskjold | US | Thousand Oaks | 2011-07-21 / 20110174262 - ROTARY ENGINE WITH EXHAUST GAS SUPPLEMENTAL COMPOUNDING | 1 |
David G. Havskjold | US | Sunnyvale | 2016-05-05 / 20160126761 - Power Management Systems for Product Demonstration Fixtures | 4 |
David G. Havskjold | US | Cupertino | 2016-03-17 / 20160073793 - Product Demonstration Fixture for a Portable Electronic Device | 4 |
David Glen Havskjold | US | Sunnyvale | 2015-03-12 / 20150070826 - COMPONENT ASSEMBLY IN PRE BENT STATE | 1 |
David G. Havskjold | US | Portola Valley | 2015-06-11 / 20150163382 - COVER GLASS ARRANGEMENT FOR AN ELECTRONIC DEVICE | 3 |
Glenn L. Havskjold | US | Canoga Park | 2011-07-21 / 20110174261 - ROTARY ENGINE WITH ALIGNED ROTOR | 1 |
David Glenn Havskjold | US | Portola Valley | 2015-11-12 / 20150327412 - LIGHT GUIDED ALIGNMENT FOR SEMI-AUTOMATED SEAL APPLICATION | 2 |
Ari Havu | FI | Pori | 2009-10-08 / 20090250180 - Method for Controlling a Pulping Process | 1 |
Pauli Havukainen | FI | Ylamylly | 2010-04-29 / 20100105219 - BOARD-TO-BOARD CONNECTOR AND ARRANGEMENT WITH TWO CIRCUIT BOARDS | 1 |
Ilkka Havukkala | NZ | Remura | 2010-07-22 / 20100186118 - COMPOSITIONS AND METHODS FOR REGULATING POLYSACCHARIDES OF A PLANT CELL | 1 |
Ilkka Havukkala | NZ | Auckland | 2012-05-31 / 20120137385 - TRANSCRIPTION FACTORS | 3 |
Ilkka Havukkala | NZ | Parnell | 2011-04-14 / 20110088126 - Cell signaling genes and related methods | 2 |
Thomas Haw | US | Portland | 2013-10-10 / 20130264316 - LASER NOISE ELIMINATION IN TRANSMISSION THERMOMETRY | 4 |
Brandon Haw | GB | London | 2010-01-21 / 20100013434 - Charging station | 1 |
Kok-Giap Haw | MY | Muar Johor | 2016-05-19 / 20160136625 - CATALYST COMPOSITIONS COMPRISING SMALL SIZE MOLECULAR SIEVES CRYSTALS DEPOSITED ON A POROUS MATERIAL | 1 |
Chiew-Chiang Haw | CN | Taipei | 2011-10-27 / 20110263806 - COPOLYMER FOR PRODUCING MOLDED BODIES THAT ARE DIMENSIONALLY STABLE UNDER HEAT FROM MOLDING COMPOUNDS OR CAST GLASS | 1 |
Rim Haw | KR | Seoul | 2015-08-13 / 20150229564 - METHOD FOR TRANSMITTING CONTENT IN CONTENT CENTRIC NETWORK | 2 |
Shijun Haw | SG | Singapore | 2016-03-31 / 20160093112 - DEEP IMAGE IDENTIFIERS | 2 |
Gerhard Hawa | AT | Vienna | 2012-04-19 / 20120094314 - DIAGNOSIS OF SEPTIC COMPLICATIONS | 6 |
Raja Elias Hawa | CA | Ottawa | 2015-10-01 / 20150278330 - Systems and Methods for Seamless Access to Remotely Managed Documents UsingSynchronization of Locally Stored Documents | 2 |
Niki Hawa | AT | Linz | 2012-07-12 / 20120175092 - PLATE HEAT EXCHANGER HAVING A PLURALITY OF PLATES STACKED ONE UPON THE OTHER | 1 |
Javed Anwar Hawa | IN | Mumbai | 2013-01-24 / 20130019966 - DUAL PLATE CHECK VALVE | 1 |
Shigekazu Hawaka | JP | Kanagawa | 2013-12-12 / 20130331046 - CONTROLLING ANTENNA OUTPUT POWER | 1 |
Sunita Hawaldar | US | Miami | 2015-07-02 / 20150186809 - SYSTEM AND METHOD FOR TRACKING AMI ASSETS | 1 |
S. Hawan | KR | Gyeomggi-Do | 2013-05-16 / 20130120200 - MULTI LEVELED ACTIVE ANTENNA CONFIGURATION FOR MULTIBAND MIMO LTE SYSTEM | 1 |
Jeong-Mo Hawang | US | San Jose | 2012-02-02 / 20120028398 - SYSTEMS AND METHODS FOR CHARGING SOLAR CELL LAYERS | 1 |
Seong Han Hawang | KR | Jeonbuk | 2011-01-27 / 20110019133 - LIQUID CRYSTAL DISPLAY DEVICE WITH MIRROR FUNCTION | 1 |
Jeffrey P. Hawarden | GB | Lancashire | 2010-09-09 / 20100228455 - TRANSMISSION CONTROL MODULE WITH VALVE CONTROL | 3 |
Vishwanath Hawargi | IN | Bangalore | 2012-08-02 / 20120197624 - SYSTEM AND METHOD FOR MANAGING A STORAGE ARRAY USING SIMULATION | 1 |
Ayman I. Hawari | US | Cary | 2011-04-07 / 20110079725 - APPARATUS AND METHOD TO ACHIEVE HIGH-RESOLUTION MICROSCOPY WITH NON-DIFFRACTING OR REFRACTING RADIATION | 1 |
Rami Hawari | US | Hampton Cove | 2009-01-08 / 20090012154 - Antagonists of the transient receptor potential vanilloid 1 and uses thereof | 1 |
Hani Hawari | CA | Waterloo | 2012-09-13 / 20120232747 - FLEXIBLE COACHING PLATFORM FOR TELEMATICS SYSTEM | 3 |
Noureddine Hawat | US | Woburn | 2010-09-02 / 20100221860 - PRECISION MICRO-ELECTROMECHANICAL SENSOR (MEMS) MOUNTING IN ORGANIC PACKAGING | 2 |
Noureddine Hawat | US | Wilmington | 2013-09-26 / 20130249542 - FOLDABLE SUBSTRATE | 2 |
Shunji Hawatashi | JP | Tokyo | 2010-01-14 / 20100005845 - METHOD OF IDENTIFICATION OF CAUSE OF OCCURRENCE OF SPRINGBACK, METHOD OF DISPLAY OF DEGREE OF EFFECT OF SPRINGBACK, METHOD OF IDENTIFICATION OF LOCATION OF CAUSE OF OCCURRENCE OF SPRINGBACK, METHOD OF IDENTIFICATION OF POSITION OF MEASURE AGAINST SPRINGBACK, APPARATUSES OF THESE, AND PROGRAMS OF THESE | 1 |
Shadi Hawawini | US | San Jose | 2015-03-12 / 20150069983 - MULTI-OUTPUT BOOST REGULATOR WITH SINGLE CONTROL LOOP | 7 |
Shadi Hawawini | US | Mountain View | 2016-03-24 / 20160087472 - MULTIPLE POWER CHARGERS FOR MOBILE TERMINALS | 9 |
Shadi Hawawini | US | Mountain View | 2016-03-24 / 20160087472 - MULTIPLE POWER CHARGERS FOR MOBILE TERMINALS | 9 |
Veronica Hawbaker | US | Nashville | 2014-02-06 / 20140037231 - Compressible and Storable Bags and Items | 2 |
Donna M. Hawbaker | US | Bella Vista | 2011-07-21 / 20110173739 - Invisible zipper ponytail cap | 1 |
Charlie Hawbaker | US | Bella Vista | 2011-07-21 / 20110173739 - Invisible zipper ponytail cap | 1 |
Michael Hawbaker | US | De Soto | 2009-01-15 / 20090019594 - SOYBEAN VARIETY D4266582 | 2 |
Jeffrey A. Hawbaker | US | Naperville | 2009-05-28 / 20090135735 - Method and apparatus of RTP control protocol (RTCP) processing in real-time transport protocol (RTP) intermediate systems | 3 |
Thomas Edward Hawco | CA | Bonnyville | 2015-09-24 / 20150265488 - EXERCISE MACHINE | 1 |
Francis Edward Hawe | US | Santa Clara | 2011-11-03 / 20110266592 - DEVICE AND METHOD FOR TRANSIENT VOLTAGE SUPPRESSOR | 1 |
William Hawe | US | Hollis | 2010-10-21 / 20100267414 - Program for Adjusting Channel Interference Between Access Points in a Wireless Network | 3 |
William R. Hawe | US | Hollis | 2015-02-05 / 20150038189 - Program for Adjusting Channel Interference between Access Points in a Wireless Network | 2 |
Kevin J. Hawes | US | Greentown | 2015-01-15 / 20150015193 - VEHICLE POSITIONING SYSTEM FOR WIRELESS CHARGING STATIONS | 15 |
Rick Hawes | US | Mountain View | 2015-11-26 / 20150339275 - RENDERING OF ON-LINE CONTENT | 1 |
Christopher Martin Hawes | GB | Reading | 2015-12-31 / 20150375407 - DISPOSABLE FLUID DISPENSING RESERVOIR | 9 |
Donna Hawes | US | Farmersville | 2015-05-21 / 20150137491 - PANEL WITH INTEGRAL HIDDEN DOOR COVER AND METHOD OF MANUFACTURE AND MATERIALS THEREOF | 2 |
Eric Hawes | US | Midlothian | 2016-01-28 / 20160021931 - ELECTRONIC SMOKING ARTICLE | 7 |
Michael Hawes | US | Oakland | 2013-04-04 / 20130081992 - Low pressure water filter cassette | 1 |
Ben Hawes | US | Fircrest | 2013-03-14 / 20130061537 - RAIN GUTTER GUARD AND METHOD FOR INSTALLATION | 2 |
Peter Hawes | CA | Burnaby | 2013-07-11 / 20130178851 - ENHANCED MEDICAL DEVICE FOR USE IN BODILY CAVITIES, FOR EXAMPLE AN ATRIUM | 8 |
Eleanor Augusta Hawes | US | Lexington | 2015-02-19 / 20150050179 - Three-Dimensional Molding Using Magnetically Activated Static and Dynamic Ferrofluid Configurations | 1 |
Peter Hawes | CA | Burnaby | 2013-07-11 / 20130178851 - ENHANCED MEDICAL DEVICE FOR USE IN BODILY CAVITIES, FOR EXAMPLE AN ATRIUM | 8 |
Matthew D Hawes | US | Orrington | 2015-04-09 / 20150096189 - METHOD OF DRYING CANNABIS MATERIALS | 1 |
Natalie Hawes | US | Round Rock | 2011-06-23 / 20110153971 - Data Processing System Memory Allocation | 1 |
Mark A. Hawes | US | Boise | 2016-04-14 / 20160104526 - DETERMINING SOFT DATA FOR COMBINATIONS OF MEMORY CELLS | 3 |
David William Hawes | GB | Uxbridge | 2008-08-28 / 20080207422 - CENTRIFUGE | 1 |
Kevin G. Hawes | US | Windsor Locks | 2016-03-31 / 20160094162 - SYNCHRONIZATION MODULE FOR MOTOR SPEED CONTROL | 3 |
Craig Hawes | US | Ottertail | 2016-02-04 / 20160029564 - Strapping System For Agricultural Implement | 1 |
Nathan John Hawes | AU | Kenmore | 2014-10-30 / 20140325477 - METHOD AND SYSTEM FOR VISUALIZATION OF LARGE CODEBASES | 1 |
Ruston Van Hawes | US | Lamar | 2016-01-07 / 20160003278 - RELEASABLE CONNECTION APPARATUS AND METHOD | 1 |
Michael Hawes | GB | Kent | 2009-04-23 / 20090100794 - FLOOR CONSTRUCTION METHOD AND SYSTEM | 1 |
Mary Jane Hawes | US | Portola Valley | 2014-02-20 / 20140052645 - MULTI-CHANNEL CUSTOMER SUPPORT AND SERVICE | 1 |
William Roland Hawes | GB | Hertfordshire | 2009-11-19 / 20090284853 - LENS POSITIONING ASSEMBLY | 2 |
David H. Hawes | US | Raleigh | 2013-12-26 / 20130344304 - Packaging Materials with Enhanced Thermal-Insulating Performance | 3 |
Richard John Hawes | GB | Norwich | 2009-12-24 / 20090317221 - PICK AND PLACE HANDLING DEVICE | 1 |
Adrian Hawes | US | Seattle | 2016-05-05 / 20160121457 - SYSTEM AND METHOD FOR LOW PRESSURE PIERCING USING A WATERJET CUTTER | 1 |
Robert H. Hawes | US | Awendaw | 2013-01-10 / 20130012863 - RESTRICTIVE AND/OR OBSTRUCTIVE IMPLANT SYSTEM FOR INDUCING WEIGHT LOSS | 1 |
Natalie A. Hawes | US | Round Rock | 2010-05-20 / 20100125691 - METHOD FOR POWERLESS IDENTIFICATION OF SERVER I/O SLOTS | 1 |
Edwina J. Hawes | HU | Princeton | 2013-04-18 / 20130091724 - PORTABLE HAIR DRYER SYSTEM | 1 |
John E. Hawes | US | Southlake | 2011-04-07 / 20110079302 - Pump Valve with Full Elastomeric Contact on Seat | 3 |
David W. Hawes | GB | Powys | 2010-05-13 / 20100120597 - CENTRIFUGE WITH NON-SYNCHRONOUS DRIVE SYSTEM | 1 |
John Hawes | US | Southlake | 2011-02-10 / 20110030213 - HYDRAULIC INSTALLATION TOOL FOR PUMP PLUNGER | 1 |
Raymond W. Hawes | US | Mount Pleasant | 2013-11-14 / 20130298478 - SYSTEM AND COMPNENT ELEMENTS FOR RAPIDLY DEPLOYABLE TEMPORARY MODULAR STRUCTURES | 2 |
Richard John Hawes | GB | Hethersett | 2010-06-03 / 20100133862 - PICK AND PLACE GRIPPER DEVICE | 1 |
David Hawes | US | Raleigh | 2010-12-23 / 20100323571 - APPLICATION OF MATERIALS TO FORM A MULTI-LAYER PRODUCT | 1 |
Edwina J. Hawes | US | Princeton | 2010-11-11 / 20100282810 - PORTABLE HAIR DRYER SYSTEM | 1 |
Raymond W. Hawes | US | Mt. Pleasant | 2010-01-14 / 20100007098 - RAPIDLY DEPLOYABLE TEMPORARY MODULAR STRUCTURES AND COMPONENT ELEMENTS THEREOF | 3 |
Christopher Martin Hawes | GB | Reading | 2015-12-31 / 20150375407 - DISPOSABLE FLUID DISPENSING RESERVOIR | 9 |
Keith Hawes | US | San Jose | 2010-01-07 / 20100005006 - METHOD OF SHARING AN ITEM RENTAL ACCOUNT | 1 |
Mark Hawes | US | Boise | 2014-08-28 / 20140241097 - LOADING TRIM ADDRESS AND TRIM DATA PAIRS | 2 |
Craig Dean Hawes | US | Ottertail | 2016-01-28 / 20160021826 - Strapping System For Agricultural Implement | 1 |
Peter Josiah Hawes | CA | Burnaby | 2013-11-14 / 20130304065 - ENHANCED MEDICAL DEVICE FOR USE IN BODILY CAVITIES, FOR EXAMPLE AN ATRIUM | 3 |
David E. Hawes | US | Broken Arrow | 2009-09-17 / 20090230809 - STATOR WINDING FOR A SLOTLESS MOTOR | 1 |
Michael Kerrigan Hawes | US | Pittsford | 2012-08-02 / 20120194855 - COLLAPSIBLE BREADCRUMBS | 2 |
Paula Ann Hawes | CA | Ontario | 2010-01-14 / 20100008515 - MULTIPLE ACOUSTIC THREAT ASSESSMENT SYSTEM | 1 |
Charles L. Hawes | US | Cordova | 2015-11-05 / 20150315712 - CLEANING COMPOSITION FOR METAL ARTICLES | 4 |
Dana Hawes | US | Richmond | 2011-10-06 / 20110245737 - FETAL STIMULATION DEVICE | 1 |
Jonathan L. Hawes | US | West Linn | 2009-07-23 / 20090187435 - SECURITY METHODS EMPLOYING DRIVERS LICENSES AND OTHER DOCUMENTS | 1 |
John M. Hawes | US | Averill Park | 2011-11-10 / 20110272113 - Multiaxial Fabrics | 3 |
William R. Hawes | US | Hudson | 2009-05-21 / 20090131915 - CATHETER HAVING INSERTION CONTROL MECHANISM | 1 |
Brian E. Hawes | US | Hillsborough | 2012-04-05 / 20120084871 - NPC1L1 ORTHOLOGUES | 2 |
Christopher Michael Hawes | GB | Steeple Aston, Bicester | 2016-02-25 / 20160054236 - CRYOSTAT INSPECTION CAMERA ARRANGEMENT AND METHOD | 1 |
Richard Masao Hawes | US | Mountain View | 2009-03-05 / 20090064306 - Network access control based on program state | 1 |
Darwin N. Hawes | CA | Vancouver | 2010-03-25 / 20100074278 - Timing Distribution Within a Network Element While Supporting Multiple Timing Domains | 1 |
William Roland Hawes | GB | Hemel Hempstead | 2014-03-13 / 20140071438 - METHOD AND APPARATUS FOR IMAGE SCANNING | 1 |
Peter J. Hawes | CA | Burnaby | 2012-06-07 / 20120137909 - PRINTING PLATE REGISTRATION | 5 |
Charles L. Hawes, Iii | US | Cordova | 2011-08-04 / 20110190187 - ORGANIC RESIDUE REMOVER COMPOSITION | 1 |
Eduardo Hawie | CA | Woodbridge | 2016-04-07 / 20160097537 - FUEL NOZZLE | 13 |
Eduardo Hawie | CA | Woodbridge | 2016-04-07 / 20160097537 - FUEL NOZZLE | 13 |
Jack J. Hawiger | US | Nashville | 2015-09-10 / 20150250850 - COMPOSITIONS AND METHODS FOR TREATING AND PREVENTING HYPERLIPIDEMIA, FATTY LIVER, ATHEROSCLEROSIS AND OTHER DISORDERS ASSOCIATED WITH METABOLIC SYNDROME | 6 |
Achim Hawighorst | DE | Beuhlertal | 2008-09-04 / 20080209660 - Window Wiping Device | 1 |
Thomas Hawighorst | DE | Hasbergen | 2015-12-10 / 20150353218 - METHOD FOR PRODUCING BAGS | 8 |
Joachim Hawighorst | DE | Georgsmarienhutte | 2014-10-30 / 20140319004 - Flexible Package | 2 |
Achim Hawighorst | DE | Buehlertal | 2011-02-24 / 20110043073 - DRIVE UNIT | 8 |
Thomas Hawighorst | DE | Hasbergen | 2015-12-10 / 20150353218 - METHOD FOR PRODUCING BAGS | 8 |
Joachim Hawighorst | DE | Georgsmarienhuette | 2014-10-30 / 20140322530 - MULTILAYER COEXTRUDED FILM, IN PARTICULAR PACKAGING FILM | 2 |
Joey Hawilo | US | Chicago | 2016-03-03 / 20160063608 - METHOD AND APPARATUS FOR ELECTRONIC TRANSACTIONS BASED ON A REPLY MESSAGE | 1 |
Andre F. Hawit | US | Foster City | 2011-10-06 / 20110246201 - SYSTEM FOR PROVIDING AUDIO MESSAGES ON A MOBILE DEVICE | 1 |
Travis Hawk | US | San Bruno | 2015-01-29 / 20150031440 - SYSTEM AND METHOD FOR A MULTI-PRIZE MYSTERY BOX THAT DYNAMICALLY CHANGES PROBABILITIES TO ENSURE PAYOUT VALUE | 1 |
Grant W. Hawk | US | Idaho City | 2010-06-03 / 20100132198 - FOLDING KNIFE HAVING A LOCKING MECHANISM | 3 |
Jeffrey Allen Hawk | US | Corvallis | 2014-06-05 / 20140154093 - METHOD OF HEAT TREATING A SUPERALLOY ARTICLE AND ARTICLE MADE THEREBY | 4 |
Todd Hawk | US | Knoxville | 2011-01-20 / 20110015914 - SPECIAL NUCLEAR MATERIAL SIMULATION DEVICE | 1 |
Jeffrey Allen Hawk | US | Guilderland | 2010-11-04 / 20100276041 - Heat Treatment Method and Components Treated According to the Method | 1 |
John M. Hawk | US | Harrison | 2014-04-03 / 20140090603 - ILLUMINATED DRINKING SYSTEM | 2 |
Gavin D. Hawk | US | Idaho City | 2010-06-03 / 20100132198 - FOLDING KNIFE HAVING A LOCKING MECHANISM | 4 |
Douglas C. Hawk | US | Parryville | 2010-02-18 / 20100042229 - Activity specific finger prosthesis | 1 |
John J. Hawk | US | Austin | 2012-11-08 / 20120284700 - MODULAR ASL COMPONENT | 4 |
Phyllis C. Hawk | US | Richmond | 2009-10-29 / 20090265855 - Crib Mattress Sheet | 1 |
James A. Hawk | US | Newark | 2009-02-05 / 20090035765 - Polynucleotides and methods for making plants resistant to fungal pathogens | 1 |
Wesley S. Hawk | US | York | 2009-01-01 / 20090000435 - APPARATUS AND METHOD FOR ATTACHING SPOUTS TO CONTAINERS | 1 |
John Hawk | US | Austin | 2008-11-06 / 20080273550 - Auto-Detecting and Auto-Correcting System State Changes Before Booting Into Operating Systems | 1 |
Chris Hawk | US | Chattanooga | 2015-05-21 / 20150136262 - Electronically-Controlled Pressure Regulator System for Poultry Drinker Lines | 1 |
T. Daniel Hawk | US | Smithville | 2016-03-10 / 20160069093 - Method For Installing Trim System With A Hidden Fastener | 4 |
Patrick S. Hawk | US | Columbia Heights | 2015-08-13 / 20150226886 - Easy-Clean Coating | 1 |
Rick James Hawk | US | Tower City | 2014-11-06 / 20140325912 - Sliding Door Assembly | 1 |
Donald E. Hawk | US | Allentown | 2014-05-15 / 20140131854 - MULTI-CHIP MODULE CONNECTION BY WAY OF BRIDGING BLOCKS | 1 |
Bryan K. Hawk | US | Frisco | 2014-07-24 / 20140204361 - LASER RANGE FINDING | 1 |
Robert J. Hawk | US | O'Fallon | 2015-10-01 / 20150276104 - TUBING JOINT | 1 |
Ken Hawk | US | Palo Alto | 2011-12-22 / 20110310839 - HANDOVER IN MOBILE COMMUNICATIONS NETWORKS | 2 |
Grant Woodrow Hawk | US | Idaho City | 2013-08-22 / 20130212886 - Lock Optional, Spring Assisted Folding Knife | 2 |
Lee J. Hawk | US | Wausau | 2016-02-04 / 20160031921 - OXYGEN ASSISTED ORGANOSOLV PROCESS, SYSTEM AND METHOD FOR DELIGNIFICATION OF LIGNOCELLULOSIC MATERIALS AND LIGNIN RECOVERY | 1 |
Gavin Dan Hawk | US | Idaho City | 2013-08-22 / 20130212886 - Lock Optional, Spring Assisted Folding Knife | 1 |
Christopher Hawk | US | Meridian | 2013-08-15 / 20130210213 - METHOD FOR FORMING SELF-ALIGNED OVERLAY MARK | 1 |
Nathan Hawk | US | Marietta | 2014-09-18 / 20140279238 - Facilitation of Authorized In-Store Pickup in Conjunction with Online Ordering | 1 |
Ryan Hawk | US | San Antonio | 2013-09-12 / 20130238785 - System and Method for Metadata Discovery and Metadata-Aware Scheduling | 2 |
Donald E. Hawk | US | King Of Prussia | 2014-02-13 / 20140042601 - MULTI-CHIP STACKING OF INTEGRATED CIRCUIT DEVICES USING PARTIAL DEVICE OVERLAP | 3 |
Ryan Hawke | US | Jacksonville | 2016-05-19 / 20160135677 - MEANS AND METHOD FOR DEMONSTRATING THE EFFECTS OF LOW CYLINDER ASTIGMATISM CORRECTION | 6 |
William Hawke | US | Rolling Hills | 2015-12-10 / 20150353330 - AUTOMATED HANDLING OF SHIPPING CONTAINERS AND CONNECTORS | 1 |
Michael Henry Hawke | US | Kenmore | 2011-10-27 / 20110259194 - SYSTEM FOR PREVENTING CONTAMINANTS FROM REACHING A GAS PURIFIER | 3 |
Larry B. Hawke | US | Hobe Sound | 2015-11-26 / 20150337681 - SCUPPER CHANNELLING IN GAS TURBINE MODULES | 2 |
Christopher Jason Hawke | US | Symmes Township | 2013-04-18 / 20130096527 - Absorbent Article Providing a Better Fit and More Comfort to a Wearer | 2 |
Christopher M. Hawke | US | Chicago | 2009-10-15 / 20090259504 - METHOD AND SYSTEM FOR PAR OPTIMIZATION | 1 |
Michael Henry Hawke | US | Olive Branch | 2013-04-04 / 20130081542 - SYSTEM FOR PREVENTING CONTAMINANTS FROM REACHING A GAS PURIFIER | 1 |
Tracey Anne Hawke | GB | Bristol | 2009-03-26 / 20090081434 - THIN FILM GETTER DEVICES | 1 |
John Hawke | US | Baton Rouge | 2011-03-17 / 20110064766 - Attenuated Vaccine Against Fish Pathogen Francisella Sp. | 1 |
Robert E. Hawke | CA | St. Williams | 2009-03-12 / 20090065678 - SYSTEMS AND METHODS FOR A TILTED OPTICAL RECEIVER ASSEMBLY | 1 |
Ryan Hawke | US | Vancouver | 2014-10-30 / 20140320801 - DYNAMIC STABILIZATION ZONES FOR CONTACT LENSES | 1 |
Noel A. Hawke | US | Durham | 2009-09-17 / 20090232824 - BIVM (BASIC, IMMUNOGLOBULIN-LIKE VARIABLE MOTIF-CONTAINING) GENE, TRANSCRIPTIONAL PRODUCTS, AND USES THEREOF | 1 |
Tracey Ann Hawke | GB | Bristol | 2010-10-07 / 20100252177 - ADHESIVE MICROSTRUCTURES | 1 |
Tracey Hawke | GB | Bristol | 2015-01-22 / 20150021745 - REACTIVE ION ETCHING | 1 |
Melvin Hawke | US | Radford | 2010-07-01 / 20100163592 - PROCESS AND APPARATUS FOR SCORING AND BREAKING INGOTS | 1 |
Delwyn Hawke | AU | Ipswitch | 2012-08-02 / 20120193317 - Baby Formula Delivery Assembly | 1 |
Donald R. Hawken | US | Trenton | 2012-01-12 / 20120010385 - SPLICE VARIANTS OF HUMAN G-PROTEIN COUPLED RECEPTOR HGPRBMY29 (HGPRMBY29SV2) | 2 |
David John Hawker | GB | Malmesbury | 2014-01-09 / 20140009095 - CONTROL OF A BRUSHLESS MOTOR | 3 |
Larry Hawker | US | 2008-08-21 / 20080199038 - DISPLAY COVER AND CASE FOR A COMMUNICATION DEVICE | 1 | |
Steven Hawker | GB | Hertfordshire | 2014-09-04 / 20140249320 - Process for Preparing 5-biphenyl-4-amino-2-methyl Pentanoic Acid | 2 |
Philip Hawker | IE | County Kerry | 2015-04-30 / 20150119478 - GAS-TO-LIQUID TECHNOLOGY | 2 |
Jonathan R. Hawker | US | Perrysburg | 2015-04-16 / 20150103245 - TABLET MOUNT | 2 |
Robert Hawker | AU | Nowra | 2015-04-30 / 20150117962 - RESIN SYSTEM AND ROCK ANCHOR | 1 |
Larry Hawker | CA | Waterloo | 2014-02-20 / 20140050348 - COMMUNICATION DEVICE HAVING A MICROPHONE COUPLER | 17 |
Christopher L. Hawker | US | Columbus | 2011-05-05 / 20110099818 - Hand held rotary cutting devices | 3 |
Christopher Hawker | US | Columbus | 2016-04-28 / 20160113479 - DISH RACK | 21 |
Robert Hawker | AU | New South Wales | 2011-08-04 / 20110188946 - Method of stabilising a blasthole | 1 |
Christopher L. Hawker | US | Santa Cruz | 2009-05-07 / 20090114581 - Aquarium Filter | 3 |
Craig Hawker | US | Santa Barbara | 2015-08-27 / 20150243869 - SELF DOPING MATERIALS AND METHODS | 1 |
Steven Hawker | GB | Royston | 2013-03-21 / 20130072722 - SUPPORTED METAL CATALYSTS | 2 |
Ryan Hawker | US | Quincy | 2015-02-26 / 20150054260 - PACKAGING FOR UMBREALLA STROLLERS | 1 |
Michael John Hawker | GB | Ipswich | 2008-12-25 / 20080314450 - Flow Control | 3 |
Nicholas Hawker | GB | Oxford | 2015-05-21 / 20150139378 - LOCALISED ENERGY CONCENTRATION | 4 |
William Hawker | AU | St. Lucia | 2014-01-23 / 20140023572 - METHOD OF ORE PROCESSING | 1 |
Christopher Hawker | US | Columbus | 2016-04-28 / 20160113479 - DISH RACK | 21 |
Craig J. Hawker | US | Santa Barbara | 2016-03-24 / 20160081899 - METHODS FOR FIXING HAIR AND SKIN | 27 |
Tobias Alexander Hawker | AU | Stanmore | 2015-07-09 / 20150195220 - PARTICIPANT SUGGESTION SYSTEM | 1 |
Dave Hawker | US | Otisville | 2010-07-29 / 20100186987 - ALUMINUM GROUNDING CABLE FOR METAL AND NON METAL SHEATHED ELECTRICAL CABLES | 1 |
David John Hawker | GB | Crawley | 2011-02-03 / 20110026775 - METHOD FOR MEASUREMENT OF A FLOW IN AN OBJECT, ESPECIALLY A LUMEN OR A VESSEL | 1 |
Larry Edward Hawker | CA | Waterloo | 2016-05-12 / 20160132285 - PORTABLE ELECTRONIC DEVICE INCLUDING TOUCH-SENSITIVE DISPLAY AND METHOD OF CONTROLLING AUDIO OUTPUT | 14 |
Sam Hawker | GB | Berkhamstead | 2016-05-19 / 20160139064 - X-RAY DEVICE AND MANUFACTURING METHOD OF STRUCTURE | 1 |
Larry Edward Hawker | CA | Waterloo | 2016-05-12 / 20160132285 - PORTABLE ELECTRONIC DEVICE INCLUDING TOUCH-SENSITIVE DISPLAY AND METHOD OF CONTROLLING AUDIO OUTPUT | 14 |
Craig Hawker | US | Los Gato | 2009-03-19 / 20090073849 - HIGH DENSITY DATA STORAGE MEDIUM | 1 |
David John Hawker | GB | Bristol | 2014-12-18 / 20140366286 - SURFACE CLEANING APPLIANCE | 1 |
Craig Jon Hawker | US | Los Gatos | 2011-01-27 / 20110020533 - SCANNING PROBE-BASED LITHOGRAPHY METHOD | 2 |
Charles Hawker | US | Salt Lake City | 2008-12-04 / 20080297769 - Through-container optical evaluation system | 1 |
Chris Hawker | US | Columbus | 2014-12-04 / 20140353183 - CONTAINER WITH CALORIC MARKINGS | 1 |
Rycharde Jeffery Hawkes | GB | Bristol | 2013-05-02 / 20130106906 - REFLECTING VALUES FOR A METRIC IN A DISPLAY | 1 |
Michael Hawkes | US | San Francisco | 2015-04-09 / 20150096514 - POSITIVE CONTROL (DESMODROMIC) VALVE SYSTEMS FOR INTERNAL COMBUSTION ENGINES | 8 |
Kelly Davidson Hawkes | US | Los Altos | 2014-01-09 / 20140009228 - PRE-DISTORTION FOR FAST POWER TRANSIENT WAVEFORMS | 2 |
Philip Michael Hawkes | AU | New South Wales | 2011-08-04 / 20110191835 - METHOD AND APPARATUS FOR IDENTITY REUSE FOR COMMUNICATIONS DEVICES | 1 |
Larry Hawkes | US | San Diego | 2009-03-19 / 20090076660 - PC-Programmed Irrigation Control System | 1 |
Peter Hawkes | US | Los Angeles | 2014-02-06 / 20140035805 - SPATIAL OPERATING ENVIRONMENT (SOE) WITH MARKERLESS GESTURAL CONTROL | 1 |
Richard Hawkes | GB | Norwich | 2012-03-22 / 20120069354 - Vision recognition system for produce labeling | 1 |
Jason Hawkes | US | Weare | 2016-02-04 / 20160030617 - Sterilization Container with Movable and Nestable Lid | 6 |
David T. Hawkes | US | Pleasant Grove | 2016-05-05 / 20160120576 - Press-On Link for Surgical Screws | 16 |
Heather V. Hawkes | US | Trumbull | 2016-04-07 / 20160095502 - BRONCHOSCOPIC SHEATH FOR MEASURING OR SPACING | 2 |
Timothy Robert Hawkes | GB | Bracknell | 2014-02-20 / 20140053295 - MUTANT HYDROXYPHENYLPYRUVATE DIOXYGENASE POLYPEPTIDES AND METHODS OF USE | 16 |
Jamie Anthony Hawkes | GB | Guiseley | 2013-05-30 / 20130133141 - COMPOSITION AND METHOD | 1 |
Michael Ian Hawkes | GB | Manchester | 2010-06-17 / 20100153270 - AUTHENTICATION OF MESSAGE RECIPIENTS | 1 |
Philip Hawkes | AU | Burwood | 2008-09-18 / 20080226073 - METHOD AND APPARATUS FOR SECURITY IN A DATA PROCESSING SYSTEM | 1 |
Philip M. Hawkes | AU | Burwood | 2008-10-23 / 20080260164 - Method and Application for Authentication of a Wireless Communication Using an Expiration Marker | 1 |
Rycharde Hawkes | GB | Bristol Bristol | 2015-02-05 / 20150040017 - GENERATING A VISUAL REPRESENTATION | 1 |
Brad Hawkes | AU | New South Wales | 2011-04-21 / 20110093512 - SYSTEMS AND METHODS FOR MANAGING BUSINESS OBJECTS | 1 |
Michael Ian Hawkes | GB | Rudyard Staffordshire | 2013-09-05 / 20130232549 - METHOD AND APPARATUS FOR SECURING NETWORK COMMUNICATIONS | 1 |
Thomas Edgar Hawkes | US | Pacifica | 2011-04-07 / 20110082890 - Method of integrating applications with a network service application by creating new records in a relationship field | 3 |
Michael Hawkes | GB | Staffordshire | 2010-07-15 / 20100179906 - Payment authorization method and apparatus | 1 |
Jon Hawkes | GB | Winchester | 2014-02-13 / 20140046849 - HEURISTICS PROCESSING | 2 |
Michael I. Hawkes | GB | Staffordshire | 2012-02-09 / 20120033811 - METHOD AND APPARATUS FOR SECURING NETWORK COMMUNICATIONS | 1 |
Graham Hawkes | US | San Anselmo | 2016-01-28 / 20160023733 - POSITIVELY BUOYANT, VERTICAL THRUST, MANNED SUBMERSIBLE | 8 |
James Hawkes | US | Charleston | 2011-10-27 / 20110262889 - DEVICE FOR PROMOTING LEARNING OF SKILLS AND CONCEPTS AND METHOD OF USING SAME | 1 |
Kimberly Hawkes | US | Powell | 2014-01-16 / 20140017044 - APPARATUS AND METHODS FOR AUTOMATED DISPENSING OF INDIVIDUALLY PACKAGED PRODUCTS | 7 |
Richard B. Hawkes | US | Bethlehem | 2010-09-30 / 20100250005 - Ice Management Apparatus | 4 |
Timothy J. Hawkes | US | Westport | 2014-01-09 / 20140012613 - SYSTEM AND METHOD FOR MANAGING THIRD-PARTY LABOR | 1 |
Philip Hawkes | AU | Valley Heights | 2016-05-12 / 20160135011 - METHODS AND APPARATUS FOR IDENTIFYING AND AUTHORIZING LOCATION SERVERS AND LOCATION SERVICES | 1 |
Ian R.a Hawkes | GB | England | 2015-09-24 / 20150266459 - Front Park Brake Protection Controls | 1 |
Daniel John Hawkes | GB | Shadoxhurst | 2011-07-07 / 20110162428 - Continuous extrusion apparatus | 2 |
Meghan Elizabeth Hawkes | CA | Ottawa | 2016-05-05 / 20160123976 - MULTIPLE HYBRID IMMUNOASSAY | 2 |
Justin R. Hawkes | US | Vernon | 2010-01-28 / 20100021791 - ASYMMETRIC DOVETAIL INTERCONNECT FOR SOLID OXIDE FUEL CELL | 2 |
Michael Hawkes | US | San Francisco | 2015-04-09 / 20150096514 - POSITIVE CONTROL (DESMODROMIC) VALVE SYSTEMS FOR INTERNAL COMBUSTION ENGINES | 8 |
David Hawkes | US | Pleasant Grove | 2016-03-17 / 20160074174 - IBD Expandable Ti | 2 |
Ghaham Hawkes | US | San Anselmo | 2012-12-27 / 20120328372 - DUAL MODE FIBER OPTIC CABLE SYSTEM FOR UNDERWATER REMOTELY OPERATED VEHICLE | 1 |
Jamie Anthony Hawkes | GB | Leeds | 2015-09-10 / 20150252521 - RELATING TO WOOL TREATMENT | 7 |
Justin R. Hawkes | US | Marlborough | 2016-02-25 / 20160053380 - HIGH TEMPERATURE AND HIGH PRESSURE PORTABLE GAS HEATER | 8 |
Philip Hawkes | AU | Warrimoo | 2013-10-17 / 20130275760 - Method for configuring an internal entity of a remote station with a certificate | 3 |
James G. Hawkes | US | Chicago | 2014-07-24 / 20140205723 - STABILIZATION OF OXIDIZED FATS | 3 |
David T. Hawkes | US | Salt Lake City | 2014-10-16 / 20140309704 - CERVICAL POP RIVET LOCKING MECHANISM | 4 |
Philip Michael Hawkes | AU | Warrimoo | 2016-05-05 / 20160127901 - AUTHENTICATING MESSAGES IN A WIRELESS COMMUNICATION | 33 |
Stephanie Yuk Fan Wong Hawkes | US | Philadelphia | 2010-01-21 / 20100011842 - BIOCHEMICAL ASSAY METHODS | 1 |
James B. Hawkes | US | Boston | 2008-11-27 / 20080294574 - TAX MANAGED BUY-WRITE FUND | 1 |
Patrick J. Hawkes | US | Bountiful | 2008-10-23 / 20080260646 - Imaging Reporters of Transgene Expression | 1 |
Calvert Hawkes | US | Sarasota | 2009-12-03 / 20090293794 - SHAFT SEAL PRESSURE COMPENSATION SYSTEM FOR AN UNDERWATER DEVICE | 1 |
Grant L. Hawkes | US | Sugar City | 2011-08-25 / 20110206566 - SYNGAS PRODUCTION SYSTEMS | 2 |
David A. Hawkes | US | Layton | 2008-10-16 / 20080255559 - Orthopaedic trauma hip screw assembly and associated method | 1 |
E. Gerry Hawkes | US | Woodstock | 2012-12-20 / 20120319381 - Wheelable loadbearing and leveraging frame apparatus | 2 |
David A. Hawkes | US | Winona Lake | 2009-04-02 / 20090088768 - Apparatus for measuring an angle of a guide wire relative to a bone | 2 |
Timothy Robert Hawkes | GB | Bracknell Berkshire | 2009-01-08 / 20090011936 - Cytochrome P450 genes conferring herbicide resistance | 1 |
Jamie Anthony Hawkes | GB | Yorkshire | 2014-07-10 / 20140190508 - METHOD | 4 |
Justin Hawkes | US | Marlborough | 2010-09-30 / 20100248065 - FUEL CELL REPEATER UNIT | 1 |
Thomas Hawkes | US | Pacifica | 2015-01-15 / 20150019561 - Method for generating indexes for downloading data | 1 |
Graham Hawkes | US | San Anselmo | 2016-01-28 / 20160023733 - POSITIVELY BUOYANT, VERTICAL THRUST, MANNED SUBMERSIBLE | 8 |
Stephen Robert Hawkes | GB | Staffordshire | 2011-11-03 / 20110266480 - BALL VALVE ISOLATOR | 1 |
Elliot W. Hawkes | US | Tallahassee | 2010-11-25 / 20100295417 - Multi-Segmented Spine with Integrated Actuation | 1 |
Jeremy John Hawkes | GB | Manchester | 2010-12-30 / 20100331220 - ACOUSTIC DEVICE | 1 |
Nicholas C. Hawkes | CA | Markham | 2014-09-18 / 20140282028 - OBJECT AND CONNECTION ORGANIZATION IN A DIAGRAM | 2 |
Matthew Hawkes | US | West Jordan | 2014-02-13 / 20140041793 - CASTING MATERIAL AND METHODS OF USE | 1 |
David Hawkes | GB | West Sussex | 2009-04-02 / 20090089034 - Surgical Planning | 1 |
Timothy Robert Hawkes | GB | Berkshire | 2012-04-05 / 20120083413 - CYTOCHROME P450 GENES CONFERRING HERBICIDE RESISTANCE | 3 |
Calvert T. Hawkes | US | Sarasota | 2009-08-20 / 20090208293 - Propulsion and Steering Mechanism for an Underwater Vehicle | 2 |
David Hawkes | GB | London | 2012-08-30 / 20120219185 - APPARATUS AND METHOD FOR DETERMINING A LOCATION IN A TARGET IMAGE | 1 |
David John Hawkes | GB | Crawley | 2015-08-06 / 20150222876 - BREAST SURGERY GUIDANCE BASED ON BREAST MR IMAGES AND RADIOACTIVE MARKERS | 2 |
Philip Michael Hawkes | AU | Warimoo | 2015-12-24 / 20150373540 - SECURE MECHANISM FOR OBTAINING AUTHORIZATION FOR A DISCOVERED LOCATION SERVER | 4 |
James Timothy Hawkes | GB | Essex | 2009-11-26 / 20090288236 - PROTECTIVE GLOVE | 1 |
Jeremy John Hawkes | GB | Cardiff | 2011-06-30 / 20110158855 - Concentration of Particles in a Fluid Within an Acoustic Standing Wave Field | 2 |
Andrew Hawkes | GB | Hartlebury | 2011-10-20 / 20110255945 - CASH HANDLING SYSTEM | 2 |
Charles Edward Hawkes | US | Cary | 2011-12-08 / 20110299304 - DC/DC CONVERTER WITH MAGNETIC FLUX DENSITY LIMITS | 4 |
Justin R. Hawkes | US | Marlborough | 2016-02-25 / 20160053380 - HIGH TEMPERATURE AND HIGH PRESSURE PORTABLE GAS HEATER | 8 |
Brien Hawkes | US | Wimberley | 2010-08-26 / 20100214789 - REFLECTOR ASSEMBLY AND METHOD OF MAKING SAME | 1 |
Matthew Hawkes | US | Orem | 2015-02-19 / 20150050856 - RIGID REPAIR WRAP | 3 |
Brian Stanley Hawkett | AU | Mona Vale | 2015-02-05 / 20150037252 - Method for the Treatment of a Solid Tumour | 7 |
Brian S. Hawkett | AU | Mona Vale | 2013-04-11 / 20130087736 - WATER SWELLABLE POLYMER MATERIALS | 1 |
Brian Stanley Hawkett | AU | New South Wales | 2011-08-04 / 20110190566 - POLYMER MICROGEL BEADS | 5 |
David James Hawkey | US | Schenectady | 2008-11-13 / 20080282230 - PRODUCT, METHOD AND SYSTEM FOR USING WINDOW AUTHENTICATION IN TESTING GRAPHICAL USER INTERFACE APPLICATIONS | 1 |
David J. Hawkey | US | Schenectady | 2009-01-15 / 20090019315 - AUTOMATED SOFTWARE TESTING VIA MULTI-CHANNEL REMOTE COMPUTING | 2 |
John Hawkey | US | Hillsboro | 2008-10-16 / 20080251401 - Suspendible Tool Storage Apparatus | 1 |
David Hawkey | US | Boulder | 2015-08-13 / 20150228112 - Using Embedded Camera Parameters to Determine a Position for a Three-Dimensional Model | 1 |
Barry Thomas Hawkey | US | Allentown | 2009-02-12 / 20090042382 - Device packages | 1 |
James V. Hawk, Iii | US | Mcclelland | 2013-06-13 / 20130146113 - LAYOUT HUNTING BLIND | 1 |
David Hawking | AU | Australian Capital Territory | 2010-03-04 / 20100057800 - ANNOTATION INDEX SYSTEM AND METHOD | 1 |
Robert George Hawking | US | Seattle | 2013-05-02 / 20130111320 - SLICER ELEMENTS FOR FILTERING TABULAR DATA | 2 |
Robert G. Hawking | US | Seattle | 2012-08-23 / 20120216103 - PRIVATE VIEWS OF DATA AND LOCAL CALCULATIONS DURING REAL TIME COLLABORATION | 4 |
Robert Hawking | US | Seattle | 2016-04-21 / 20160110337 - Filtering User Interface for a Data Summary Table | 3 |
Robert G. Hawking | US | Redmond | 2015-01-15 / 20150019947 - Multi-Client Collaboration to Access and Update Structured Data Elements | 2 |
Brenda Hawkins | US | 2011-06-23 / 20110153817 - TRACE MONITORING | 1 | |
Daniel Hawkins | US | Fremont | 2016-05-19 / 20160135828 - SHOCK WAVE VALVULOPLASTY DEVICE AND METHODS | 4 |
Jan Hawkins | US | Pittsburgh | 2011-07-21 / 20110174594 - Checkstand | 1 |
Dale Hawkins | US | Erie | 2015-06-04 / 20150153194 - CONTEXTUAL TRAFFIC OR TRANSIT ALERTS | 10 |
Robert Hawkins | US | Littleton | 2014-02-27 / 20140055875 - Solar Collectors Having Slidably Removable Reflective Panels for Use in Solar Thermal Applications | 3 |
Steven A. Hawkins | US | Livermore | 2014-09-18 / 20140265940 - DIAMAGNETIC COMPOSITE MATERIAL STRUCTURE FOR REDUCING UNDESIRED ELECTROMAGNETIC INTERFERENCE AND EDDY CURRENTS IN DIELECTRIC WALL ACCELERATORS AND OTHER DEVICES | 8 |
Jeffrey C. Hawkins | US | Redwood City | 2013-01-03 / 20130005400 - Integrated Personal Digital Assistant Device | 5 |
John Hawkins | US | Redwood City | 2011-02-24 / 20110042218 - CARTRIDGE HAVING TEXTURED MEMBRANE | 1 |
Aaron B. Hawkins | US | Durham | 2015-07-30 / 20150211030 - SEQUESTRATION OF CARBON DIOXIDE WITH HYDROGEN TO USEFUL PRODUCTS | 1 |
Nathaniel Hawkins | US | Greensboro | 2013-08-08 / 20130202757 - APPARATUS FOR AERATING AND FILTERING WINE | 2 |
John Hawkins | US | San Francisco | 2014-02-27 / 20140058720 - DISPLAYING ORIGINAL TEXT IN A USER INTERFACE WITH TRANSLATED TEXT | 3 |
Ray Hawkins | AU | Victoria | 2010-12-30 / 20100325965 - DRIVE ASSEMBLIES | 2 |
Todd R. Hawkins | US | Massillon | 2013-06-06 / 20130140486 - CHEMICAL METHOD AND COMPOSITION FOR SOIL IMPROVEMENT | 5 |
Charles W. Hawkins | US | Sparta | 2015-07-23 / 20150202556 - FILTER CARTRIDGE WITH FLOW PASSAGE IN ENDPLATE | 21 |
James Ryan Hawkins | US | Boston | 2015-05-28 / 20150147593 - Electrodes for Cost-Effective Bio-Electrochemical Systems | 1 |
James H. Hawkins | US | Anderson | 2010-12-30 / 20100330887 - ABRASIVE FLAP WHEEL WITH CUSTOM PROFILES | 1 |
Tommy R. Hawkins | US | Scottsburh | 2015-12-31 / 20150375343 - SYSTEMS AND METHODS FOR KERFING VENEERS | 1 |
Douglas Hawkins | US | Maple Glen | 2013-05-16 / 20130123386 - HINDERED PRIMARY CHLORINATED AMINE IN A LATEX FORMULATION | 1 |
Brent Alan Hawkins | US | Eureka | 2010-07-29 / 20100191022 - METHODS, COMPOSITIONS AND SYSTEMS RELATED TO ETHANOL MANUFACTURED FROM THE GRASS ARUNDO DONAX | 1 |
Jeffrey Hawkins | US | Atherton | 2015-05-21 / 20150143278 - INTERFACE FOR PROCESSING OF AN ALTERNATE SYMBOL IN A COMPUTER DEVICE | 6 |
Sterling Hawkins | US | Skaneateles | 2011-02-17 / 20110040609 - COMPUTER-BASED CONSUMER/RETAILER MERCHANDIZING SYSTEM AND RELATED METHODOLOGY | 1 |
Christopher M. Hawkins | US | Alexandria | 2015-02-05 / 20150033981 - BIO-BASED BINDERS FOR INSULATION AND NON-WOVEN MATS | 8 |
Stephen Hawkins | US | Ypsilanti | 2013-11-28 / 20130313850 - SEAT-BACK WITH ANCHORAGE BAR | 3 |
Craig Andrew Hawkins | US | Mason | 2015-11-05 / 20150313773 - DISPOSABLE PULL-ON GARMENT HAVING IMPROVED PROTECTION AGAINST RED MARKING AND METHOD FOR MAKING THE SAME | 13 |
Gary E. Hawkins | US | Skaneateles | 2011-02-17 / 20110040609 - COMPUTER-BASED CONSUMER/RETAILER MERCHANDIZING SYSTEM AND RELATED METHODOLOGY | 1 |
Dax H. Hawkins | US | Kirkland | 2013-03-07 / 20130060571 - INTEGRATED LOCAL AND CLOUD BASED SPEECH RECOGNITION | 1 |
Gary F. Hawkins | US | Torrance | 2014-05-01 / 20140117600 - HIGH STIFFNESS VIBRATION DAMPING APPARATUS, METHODS AND SYSTEMS | 6 |
Krik Hawkins | US | Los Angeles | 2012-07-26 / 20120187242 - WINGTIP AND SPONSON INTERACTION ON AN AMPHIBIOUS AIRCRAFT | 1 |
Edwin David Hawkins | AU | Victoria | 2011-06-02 / 20110131028 - CELL POPULATION SYSTEM AND PROCESS | 1 |
Samuel H. Hawkins | GB | Sheffield | 2016-02-04 / 20160032897 - DETERMINING A YAW DIRECTION OF A WIND TURBINE | 2 |
Chris Hawkins | GB | Dorset | 2015-10-01 / 20150274437 - APPARATUS FOR AND METHOD OF HANDLING WORKPIECES | 1 |
Kirk Hawkins | US | Los Angeles | 2010-12-16 / 20100314493 - WINGTIP AND SPONSON INTERACTION ON AN AMPHIBIOUS AIRCRAFT | 2 |
John M. Hawkins | US | Covington | 2014-11-06 / 20140330167 - SPECIMEN OBSERVATION, COLLECTION, STORAGE AND PRESERVATION DEVICES AND METHODS OF USE | 1 |
Phillip R. Hawkins | US | Mountain View | 2010-11-04 / 20100279333 - METHODS OF TREATMENT WITH ANTIBODIES TO A CHEMOKINE EXPRESSED IN INFLAMED ADENOID | 1 |
John R. Hawkins | US | Cumberland | 2014-07-03 / 20140188177 - PASSIVE SCREW LOCKING MECHANISM | 9 |
Erika Hawkins | US | Madison | 2015-11-26 / 20150337359 - LUCIFERASE-BASED ASSAYS | 7 |
H. Gene Hawkins | US | Warsaw | 2013-09-26 / 20130247516 - Bone Cement Mixing Cartridge And Method Of Use | 11 |
Cory A. Hawkins | US | Shorewood | 2013-01-17 / 20130017613 - METHOD AND APPARATUS FOR EXTRACTION OF STRONTIUM FROM URINEAANM KAMINSKI; Michael D.AACI LockportAAST ILAACO USAAGP KAMINSKI; Michael D. Lockport IL USAANM MERTZ; Carol J.AACI Downers GroveAAST ILAACO USAAGP MERTZ; Carol J. Downers Grove IL USAANM SHKROB; Ilya A.AACI ChicagoAAST ILAACO USAAGP SHKROB; Ilya A. Chicago IL USAANM DIETZ; Mark L.AACI EvanstonAAST ILAACO USAAGP DIETZ; Mark L. Evanston IL USAANM HAWKINS; Cory A.AACI ShorewoodAAST WIAACO USAAGP HAWKINS; Cory A. Shorewood WI US | 1 |
Bret D. Hawkins | US | Brownsburg | 2010-03-04 / 20100058391 - SYSTEM AND METHOD FOR ACCOMMODATION OF DIGITAL AND ANALOG CHANNEL NUMBER CONFLICTS | 3 |
Peter Hawkins | GB | Leamington Rd | 2015-10-01 / 20150275882 - NOISE SUPPRESSOR FOR VEHICLE SUSPENSION SYSTEM | 1 |
Michael Hawkins | CA | Cambridge | 2013-10-17 / 20130273471 - Low Melt Toner | 1 |
John Riley Hawkins | US | Cumberland | 2016-04-21 / 20160106550 - ZERO PROFILE SPINAL FUSION CAGE | 57 |
Peter Geoffrey Hawkins | NZ | Auckland | 2016-03-24 / 20160082220 - FLUID MIXING STRUCTURE | 8 |
Bert D. Hawkins | US | Brownsburg | 2010-03-18 / 20100070838 - SYSTEM AND METHOD FOR DETECTING AND IGNORING AN INVALID CHANNEL MAP FEATURE | 1 |
Richard Hawkins | US | Los Angeles | 2010-01-28 / 20100019036 - ELECTRONIC VOTING APPARATUS THAT IS ACCESSIBLE TO DISABLED VOTERS | 2 |
Jay Hawkins | US | Livermore | 2009-12-31 / 20090327045 - GENERATING RETAIL SALES REPORT | 1 |
Douglas Sherman Hawkins | US | Morgantown | 2014-10-30 / 20140324177 - PROSTHETIC JOINT | 2 |
Andrew Hawkins | US | Parker | 2014-10-16 / 20140308721 - Cytosolic Isobutanol Pathway Localization for the Production of Isobutanol | 13 |
Ray Hawkins | AU | Frankston | 2015-09-10 / 20150252607 - APPARATUS AND METHOD FOR DRIVING A MOVEABLE CLOSURE | 2 |
Susan Hawkins | GB | Bristol | 2012-02-16 / 20120041165 - PARTICLES FOR ELECTROPHORETIC DISPLAYS | 1 |
Steve Hawkins | US | Midlothian | 2012-02-23 / 20120043697 - FLUID FLOW FILTER AND METHOD OF MAKING AND USING | 2 |
Aaron Hawkins | US | Seattle | 2015-05-07 / 20150122946 - AIRCRAFT GALLEY | 4 |
Jeffrey Charles Hawkins | US | Atherton | 2009-10-29 / 20090271407 - INTEGRATED HANDHELD COMPUTING AND TELEPHONY SYSTEM AND SERVICES | 2 |
Bradley E. Hawkins | US | Tucson | 2013-10-03 / 20130255354 - Wear Monitoring Device and Method of Monitoring Undercarriage and Roller Wear | 1 |
Rylan M. Hawkins | US | Seattle | 2012-06-21 / 20120159260 - RESOURCE INDEX IDENTIFYING MULTIPLE RESOURCE INSTANCES | 1 |
M. Kem Hawkins | US | Bloomington | 2013-01-24 / 20130023802 - APPARATUS AND METHOD FOR DESTRUCTION OF VASCULAR THROMBUS | 1 |
Julie Jia Li Hawkins | US | Weston | 2016-04-07 / 20160096898 - PC33718E | 4 |
Graham Hawkins | GB | London | 2011-10-13 / 20110247515 - PRINTING PROCESS | 1 |
Victoria Lynn Hawkins | US | Warner Robins | 2013-08-08 / 20130198990 - Floor Mat with Removable Pads | 1 |
Timothy B. Hawkins | US | Fort Smith | 2014-09-18 / 20140260392 - APPARATUS AND METHODS FOR HEATING WATER WITH REFRIGERANT FROM AIR CONDITIONING SYSTEM | 4 |
Daniel J. Hawkins | US | Ormond Beach | 2014-05-22 / 20140141658 - SOLDER-LESS ELECTRICAL CONNECTION | 1 |
William G. Hawkins | US | Olivette | 2011-12-08 / 20110300629 - TRAIL trimers, methods and uses therefor | 2 |
William Cory Hawkins | US | Woodstock | 2013-05-02 / 20130111572 - IP PUSH PLATFORM AND CONNECTION PROTOCOL IN A PUSH NOTIFICATION FRAMEWORK | 1 |
Kari B. Hawkins | US | Medicine Lake | 2014-05-01 / 20140122341 - SYSTEM AND METHOD FOR PROCESSING CHECKS AND CHECK TRANSACTIONS | 6 |
Charles Patrick Hawkins | US | Davidson | 2014-02-20 / 20140052018 - SPECIMEN REMOVAL BAG AND METHODS OF USING SAME | 1 |
Bobby L. Hawkins | US | Travelers Rest | 2014-03-06 / 20140065933 - SAND BLASTER WITH REDUCED VIBRATION AND WEAR | 1 |
Susan Hawkins | US | Shorewood | 2014-04-24 / 20140114855 - SYSTEMS AND METHODS FOR SECURE MOBILE PAYMENTS | 1 |
Brenda Hawkins | GB | Eastleigh | 2013-06-13 / 20130152049 - WARNING OF REGISTER AND STORAGE AREA ASSIGNMENT ERRORS | 1 |
James C. Hawkins | US | Allen | 2016-04-28 / 20160116920 - DYNAMIC PRESSURE REGISTRATION DEVICE FOR INTERNALLY REGISTERED ACTUATORS AND OVERPRESSURE PROTECTION DEVICES | 10 |
Daniel Andrew Hawkins | AU | Emerald, Qld | 2015-05-07 / 20150123056 - TACKLE FOR DISPLACING A LOAD | 1 |
John Riley Hawkins | US | Raynham | 2011-12-29 / 20110319999 - Lateral Spondylolisthesis Reduction Cage | 4 |
Daniel Andrew Hawkins | AU | Emerald | 2015-05-07 / 20150123056 - TACKLE FOR DISPLACING A LOAD | 1 |
Andrew Hawkins | GB | London | 2011-04-21 / 20110093588 - Monitoring the performance of a Computer | 4 |
Rylan Michael Hawkins | US | Seattle | 2015-10-01 / 20150278358 - ADJUSTING SERP PRESENTATION BASED ON QUERY INTENT | 2 |
John Alastair Hawkins | GB | London | 2014-08-07 / 20140223458 - CHANNEL TUNE DWELL TIMES | 10 |
Andrew C. Hawkins | US | Parker | 2015-10-29 / 20150307904 - Methods for the Economical Production of Biofuel from Biomass | 19 |
Lynn Hawkins | US | Concord | 2015-04-16 / 20150105370 - SELECTIVELY SUBSTITUTED QUINOLINE COMPOUNDS | 10 |
Mark P. Hawkins | AU | Epping | 2010-06-17 / 20100148989 - Safety or Alert Device | 1 |
Alfred J. Hawkins | US | Riverside | 2009-08-20 / 20090206853 - Sensor for sensing moisture in soils | 1 |
Daniel Hawkins | GB | London | 2009-04-23 / 20090103436 - System and method for reducing traffic in a wide area Ethernet network | 1 |
Ryan Paul Hawkins | AU | Coffs Harbor | 2014-02-13 / 20140045580 - Gaming System and a Method of Gaming | 1 |
Dan Hawkins | US | Milford | 2011-12-01 / 20110291435 - GAP HIDER FOR ASSIST GRIP | 1 |
Victor J. Hawkins | US | 2013-04-11 / 20130087157 - SUPPLEMENT DISPENSING MOUTHGUARD | 1 | |
Philip Nigel Hawkins | GB | London | 2008-10-09 / 20080249003 - Compounds Inhibiting the Binding of Sap for Treating Osteoarthritis | 1 |
David Frederick Hawkins | GB | London | 2008-09-25 / 20080231001 - Sealing Device | 1 |
Michael E. Hawkins | US | Columbia City | 2013-12-26 / 20130344186 - SPACER MOLD AND METHODS THEREFOR | 10 |
Jason Hawkins | CA | London | 2009-12-03 / 20090295182 - FOLDABLE TRUCK BED EXTENDER | 1 |
Adam Hawkins | US | San Diego | 2015-06-25 / 20150178458 - PATIENT HEALTH MANAGEMENT PORTAL | 2 |
Thomas Hawkins | US | Waco | 2011-01-27 / 20110016839 - TRACKIFIED AIR FILTRATION MEDIA AND A SELF-SUPPORTING FILTER HAVING IMPROVED STIFFNESS AND FOLDABILITY | 1 |
Paul Hawkins | US | Cambridge | 2009-12-10 / 20090306022 - 7-SUBSTITUTED TETRACYCLINE COMPOUNDS | 1 |
John Alistair Hawkins | GB | London | 2015-07-02 / 20150187107 - HIGHLIGHTING RELATED POINTS OF INTEREST IN A GEOGRAPHICAL REGION | 1 |
John Hawkins | GB | Staffordshire | 2011-05-19 / 20110117019 - Novel Compositions and Uses Thereof | 1 |
Michael Hawkins | US | Martinsville | 2013-06-06 / 20130142949 - Catalysts for Thermal Cure Silicone Release Coatings | 1 |
Douglas Raymond Hawkins | US | Maple Glen | 2014-02-27 / 20140056840 - HINDERED ALKYLAMINE POLYMER | 1 |
Andrew Evan Hawkins | US | Wilmington | 2013-09-26 / 20130247329 - FIRE DOOR HINGE WITH FUSIBLE PIN | 1 |
Quinn Patrick Hawkins | US | Seattle | 2013-08-08 / 20130205187 - HYPERLINK DIALOG | 1 |
John R. Hawkins | US | Riva | 2011-05-19 / 20110117285 - Liquid vessel liner and method of application | 1 |
Gretta Hawkins | US | Castle Rock | 2015-02-12 / 20150040915 - STABILIZING MOUTHPIECE WITH LATERAL SHIFT GUARDS | 2 |
Blaine Francis Hawkins | CA | Calgary | 2012-08-09 / 20120199355 - WATER FLOODING METHOD FOR SECONDARY HYDROCARBON RECOVERY | 1 |
Terrance J. Hawkins | US | Sunnyvale | 2012-02-09 / 20120032869 - FREQUENCY SCALABLE LOW PROFILE BROADBAND QUAD-FED PATCH ELEMENT AND ARRAY | 1 |
Geoffrey Hawkins | US | Penn Valley | 2010-06-03 / 20100137238 - Method For Increasing Hair Growth | 3 |
William R. Hawkins | US | Abilene | 2011-04-28 / 20110094405 - WARHEAD BOOSTER EXPLOSIVE LENS | 2 |
Shawn Scott Hawkins | US | Shelby Township | 2014-09-04 / 20140248985 - HYBRID VEHICLE WITH FLYWHEEL AND ELECTRIC KINETIC ENERGY SYSTEM | 1 |
Phillip J. Hawkins | US | Irwin | 2016-05-05 / 20160123310 - Thermal Retracting Actuator | 14 |
William Hawkins | US | San Mateo | 2015-01-22 / 20150024817 - PLATFORM FOR TEACHING SOCIAL CURRICULUM | 1 |
David John Hawkins | GB | Stradford Upon Avon | 2013-03-07 / 20130060397 - MANAGEMENT OF POWER DISTRIBUTION CONSTRAINTS | 1 |
John S. Hawkins | US | San Francisco | 2014-09-11 / 20140257787 - ENCODING AND ADAPTIVE, SCALABLE ACCESSING OF DISTRIBUTED MODELS | 3 |
Deborah J. Hawkins | US | Davis | 2015-04-23 / 20150113676 - Transgenic plants with enhanced agronomic traits | 5 |
Edward Wayne Hawkins | US | Pensacola | 2009-06-11 / 20090146393 - Guide it | 1 |
Bobby M. Hawkins | US | Wylie | 2010-10-21 / 20100264511 - PROVIDING CURRENT CONTROL OVER WAFER BORNE SEMICONDUCTOR DEVICES USING TRENCHES | 1 |
Charles R. Hawkins | US | 2011-08-25 / 20110208117 - Catheter | 1 | |
William J. Hawkins | US | Longboat Key | 2009-08-20 / 20090210901 - Targeted content delivery system in an interactive television network | 1 |
Jessica Hawkins | US | Dallas | 2010-06-24 / 20100155002 - CURTAIN GLIDE AND CLIP RING | 1 |
Robert K. Hawkins | US | Arlington | 2009-12-17 / 20090311086 - Hydraulic coupler | 1 |
Geoffrey Hawkins | US | Yardley | 2015-12-03 / 20150342867 - Method For Straightening Hair Using Mild Hair Straightening Compositions | 18 |
Thomas W. Hawkins | US | Carrollton | 2015-03-05 / 20150064949 - CIRCUIT INTERCONNECT ATTACHING APPARATUS, ATTACHING ASSEMBLIES, AND METHODS OF CONNECTING CIRCUIT INTERCONNECT DEVICES | 6 |
Aaron Hawkins | US | Mercer Island | 2015-09-10 / 20150251760 - SPACE EFFICIENT LAVATORY MODULE FOR COMMERCIAL AIRCRAFT | 1 |
James Chester Hawkins | US | Allen | 2014-04-03 / 20140090726 - DYNAMIC PRESSURE REGISTRATION DEVICE FOR INTERNALLY REGISTERED ACTUATORS AND OVER PRESSURE PROTECTION DEVICES | 5 |
James M. Hawkins | US | Miami Beach | 2011-02-10 / 20110031149 - DOSING CONTAINER | 1 |
H. Gene Hawkins | US | Warsaw | 2013-09-26 / 20130247516 - Bone Cement Mixing Cartridge And Method Of Use | 11 |
Charles L. Hawkins | US | Green Cove Springs | 2011-07-21 / 20110174391 - Repositioner | 3 |
Russell C. Hawkins | US | Tampa | 2010-05-13 / 20100121724 - Trucking Document Delivery System and Method | 1 |
John R. Hawkins | US | Cumberland | 2014-07-03 / 20140188177 - PASSIVE SCREW LOCKING MECHANISM | 9 |
Lynn D. Hawkins | US | Concord | 2016-02-04 / 20160030430 - TETRAHYDROPYRAZOLOPYRIMIDINE COMPOUNDS | 2 |
Dan Hawkins | US | Ormond Beach | 2011-02-10 / 20110034066 - MULTIPLE LAYER CONDUCTOR PIN FOR ELECTRICAL CONNECTOR AND METHOD OF MANUFACTURE | 1 |
Kelly S. Hawkins | US | Centralia | 2015-06-04 / 20150152620 - BENT BLADE SCREW GROUND ANCHOR | 7 |
Stephen Hawkins | US | South Lyon | 2016-01-28 / 20160023423 - Hollow Article with Pillar Structural Members | 3 |
Andrew H. Hawkins | US | Orlando | 2012-12-20 / 20120320195 - INERTIAL MEASUREMENT WITH AN IMAGING SENSOR AND A DIGITIZED MAP | 3 |
Scott M. Hawkins | US | Fleetwood | 2008-10-16 / 20080253958 - PRODUCTION OF HIGH-PURITY TITANIUM MONOXIDE AND CAPACITOR PRODUCTION THEREFROM | 3 |
John C. Hawkins | GB | Hampshire | 2009-11-19 / 20090287805 - SYSTEM & METHOD FOR NON-HTTP SESSION BASED PUBLISH/SUBSCRIBE SUPPORT USING PRE-EMPTIVE SUBSCRIPTIONS | 2 |
Jeff Hawkins | US | Atherton | 2009-04-02 / 20090089676 - Tabbed Multimedia Navigation | 1 |
James C. Hawkins | US | Allen | 2016-04-28 / 20160116920 - DYNAMIC PRESSURE REGISTRATION DEVICE FOR INTERNALLY REGISTERED ACTUATORS AND OVERPRESSURE PROTECTION DEVICES | 10 |
Thomas D. Hawkins | US | Waco | 2009-11-26 / 20090288558 - Nonwovens of controlled stiffness and retained foldability | 1 |
John T. Hawkins | US | Adkins | 2009-02-05 / 20090032541 - Method and apparatus for a product dispenser with increased insulative properties | 2 |
Kevin Hawkins | GB | Runcorn | 2013-11-14 / 20130303475 - TOBRAMYCIN FORMULATION | 1 |
Sherisse Hawkins | US | Boulder | 2012-11-22 / 20120297182 - CIPHER AND ANNOTATION TECHNOLOGIES FOR DIGITAL CONTENT DEVICES | 2 |
John Hawkins | UK | London | 2011-07-28 / 20110185382 - GENERATING REACH AND FREQUENCY DATA FOR TELEVISION ADVERTISEMENTS | 1 |
Ryan Hawkins | US | Arcadia | 2012-10-18 / 20120265314 - Prosthetic System | 1 |
Dale Kris Hawkins | US | Erie | 2015-12-10 / 20150354963 - PRESENTING INFORMATION FOR A CURRENT LOCATION OR TIME | 6 |
Laura L. Hawkins | US | Minneapolis | 2015-12-10 / 20150351560 - FREE-STANDING DISPLAY FIXTURE | 12 |
Janice Hawkins | US | Lake Forest | 2015-12-03 / 20150349261 - DIFLUOROTHIENOTHIOPHENE BASED CONJUGATED POLYMERS | 4 |
Roland C. Hawkins | US | Sterling Heights | 2012-07-19 / 20120180753 - ACTIVE THERMAL SHIELD AND DIVERTER | 1 |
Duane L. Hawkins | US | Rochester | 2009-04-02 / 20090084462 - APPARATUS FOR AND METHOD OF FILLING CONTAINER WITH SIMILAR ARTICLES | 1 |
William Charles Hawkins | US | Plainfield | 2015-12-03 / 20150345370 - COOLING CONTROL SYSTEM FOR A MOBILE MACHINE | 1 |
Tracy Hawkins | US | Scarborough | 2015-08-20 / 20150235184 - TECHNIQUES FOR PROCESSING RECYCLABLE CONTAINERS | 1 |
Victor J. Hawkins | US | Castle Rock | 2015-02-12 / 20150040915 - STABILIZING MOUTHPIECE WITH LATERAL SHIFT GUARDS | 4 |
Brent A. Hawkins | US | Eureka | 2015-05-14 / 20150129143 - PULPING PROCESSES | 6 |
Robert Hawkins | US | Athens | 2014-04-24 / 20140110242 - BIOMASS CONVERTER AND METHODS | 1 |
Gary Stuart Hawkins | US | Johnson City | 2014-12-18 / 20140370218 - FOAMED ARTICLES WITH DEEP UNDERCUTS | 3 |
Ian Hawkins | FR | Vaugneray | 2009-02-26 / 20090053436 - SILOXANE-BASED COMPOSITION, WHICH DOES NOT EMIT HYDROGEN, INTENDED FOR MOLDING/MOLD-RELEASE OF PNEUMATIC TIRES | 1 |
Kari Hawkins | US | Medicine Lake | 2013-02-07 / 20130034292 - CONTROL FEATURES IN A SYSTEM AND METHOD FOR PROCESSING CHECKS AND CHECK TRANSACTIONS | 6 |
Erika Hawkins | CA | Pembal | 2008-10-09 / 20080248511 - METHODS TO QUENCH LIGHT FROM OPTICAL REACTIONS | 1 |
Joel Michael Hawkins | US | Old Lyme | 2013-03-28 / 20130074581 - SAMPLING DEVICE AND METHOD OF USE THEREOF | 4 |
Lynn Hawkins | US | Concord | 2015-04-16 / 20150105370 - SELECTIVELY SUBSTITUTED QUINOLINE COMPOUNDS | 10 |
Johanna Hawkins | US | Redmond | 2015-12-24 / 20150372829 - SHARE TIMELINE OF CALENDAR | 7 |
Johnny Hawkins | US | Kansas City | 2014-12-18 / 20140369240 - System and Method for Providing Information to a User of a Telephone about Another Party on a Telephone Call | 17 |
Mark Hawkins | US | Cambridge | 2015-07-09 / 20150194056 - WIRELESS VEHICLE DETECTION SYSTEM AND ASSOCIATED METHODS HAVING ENHANCED RESPONSE TIME | 4 |
Michael Hawkins | CA | Oakville | 2014-12-18 / 20140370428 - NEUTRALIZING AGENTS FOR RESIN EMULSIONS | 1 |
Jennifer L. Hawkins | CA | Toronto | 2008-11-27 / 20080295065 - SYSTEM AND METHOD FOR RESOLVING INTERDEPENDENCIES BETWEEN HETEROGENEOUS ARTIFACTS IN A SOFTWARE SYSTEM | 2 |
Patrick A. Hawkins | US | Plymouth | 2010-10-14 / 20100258700 - MOUNTING DEVICE | 1 |
Eric Hawkins | US | Lake Elmo | 2009-03-05 / 20090060766 - ADJUSTABLE LENGTH BICYCLE MOUNTABLE HAND PUMP | 1 |
Jerry Hawkins | US | Sullivan | 2014-03-06 / 20140061269 - Easy to attach rear passenger backrest, arm rests and luggage rack device for a motorcycle | 1 |
Paul Hawkins | GB | Shawford | 2016-05-05 / 20160125242 - METHOD, SYSTEM AND APPARATUS FOR PROVIDING IMPROVED AUDIENCE PARTICIPATION | 4 |
Joseph L. Hawkins | US | Maurice | 2011-10-20 / 20110253361 - Fluid power conducting swivel | 6 |
Tyler Hawkins | US | Sunnyvale | 2016-03-03 / 20160066178 - DEVICE ACTIVATION METHOD AND SYSTEM | 2 |
Gary Hawkins | CA | Dollard-Des-Ormeaux | 2008-12-18 / 20080308568 - CARRYING CASE WITH LOCKING LATCH MECHANISM | 2 |
Jeffrey Hawkins | US | Sunnyvale | 2016-01-07 / 20160006853 - METHOD AND APPARATUS FOR ACCESSING A CONTACTS DATABASE AND TELEPHONE SERVICES | 2 |
Michael J. Hawkins | US | San Francisco | 2015-06-04 / 20150150881 - METHODS FOR TREATING CANCERS | 2 |
Kristy Michelle Hawkins | US | Emveryville | 2013-09-12 / 20130236942 - PRODUCTION OF ACETYL-COENZYME A DERIVED ISOPRENOIDS | 1 |
Kristy Hawkins | US | Pasadena | 2013-05-09 / 20130115656 - ENGINEERED YEAST CELLS AND USES THEREOF | 1 |
Harold Hawkins | US | Carlsbad | 2013-11-14 / 20130304129 - SURGICAL HOOK INCLUDING FLOW PATH | 1 |
Douglas M. Hawkins | US | Edina | 2013-09-12 / 20130237842 - DETERMINING CONDITION OF TISSUE USING SPECTRAL ANALYSIS | 2 |
Thomas D. Hawkins | US | Eden Prairie | 2015-06-04 / 20150152861 - FAULT DETECTION AND MITIGATION IN HYBRID DRIVE SYSTEM | 3 |
Erika Hawkins | CA | Pembroke | 2009-01-22 / 20090023173 - Luminescence-based methods and probes for measuring cytochrome P450 activity | 2 |
Daniel Hawkins | US | Pleasanton | 2016-05-19 / 20160140986 - MONITORING TREATMENT COMPLIANCE USING COMBINED PERFORMANCE INDICATORS | 32 |
Damon Howard Hawkins | US | Frisco | 2015-12-24 / 20150366271 - Anti-Slip Slip-On Slip-Over Roof Safety Shorts | 2 |
Blaine F. Hawkins | CA | Calgary | 2009-08-13 / 20090200026 - METHOD FOR RECOVERY OF NATURAL GAS FROM A GROUP OF SUBTERRANEAN ZONES | 1 |
David Frederick Hawkins | GB | Hayes | 2014-05-15 / 20140131995 - A CONNECTOR | 1 |
Aaron T. Hawkins | US | Raleigh | 2011-06-30 / 20110159467 - EEG-BASED ACCELERATION OF SECOND LANGUAGE LEARNING | 1 |
Craig Andrew Hawkins | US | Mason | 2015-11-05 / 20150313773 - DISPOSABLE PULL-ON GARMENT HAVING IMPROVED PROTECTION AGAINST RED MARKING AND METHOD FOR MAKING THE SAME | 13 |
J. R. Hawkins | US | Cumberland | 2013-02-28 / 20130053894 - DEVICES AND METHODS FOR CERVICAL LATERAL FIXATION | 2 |
Sabrina Hawkins | US | New York | 2012-11-01 / 20120272567 - FUEL PROCESSING SYSTEM AND RELATED METHODS | 1 |
Louis A. Hawkins | US | Charlotte | 2013-07-18 / 20130185200 - ELECTRONIC LOCKBOX IMPLEMENTATION AND MANAGEMENT | 2 |
Daniel Hawkins Hawkins | US | Newcastle | 2013-08-29 / 20130226092 - DISPOSABLE INFUSION DEVICE FILLING APPARATUS AND METHOD | 1 |
Johnny D. Hawkins | US | Kansas City | 2014-06-05 / 20140153447 - System and Method for Remote Home Monitoring Utilizing a VoIP Phone | 8 |
Lee William Hawkins | GB | Kent | 2013-02-21 / 20130042509 - METHOD AND SYSTEM FOR PRODUCING GREETING CARDS | 1 |
Siavash James Joorabchian Hawkins | GB | Kent | 2013-05-23 / 20130132473 - METHODS AND APPARATUS FOR ANONYMISING USER DATA BY AGGREGATION | 1 |
William Hawkins | US | Olivette | 2010-02-25 / 20100048614 - THERAPEUTIC USES OF BICYCLIC LIGANDS OF SIGMA 2 RECEPTOR | 1 |
Michael Steven Hawkins | CA | Cambridge | 2013-10-03 / 20130260305 - Powder Coated Carrier | 3 |
Daniel Hawkins | US | Pleasanton | 2016-05-19 / 20160140986 - MONITORING TREATMENT COMPLIANCE USING COMBINED PERFORMANCE INDICATORS | 32 |
Ann M. Hawkins | US | Lake Elmo | 2013-06-20 / 20130157544 - NONWOVEN ABRASIVE WHEEL | 3 |
Kem Hawkins | US | Bloomington | 2015-11-12 / 20150320979 - GUIDE MEMBERS AND ASSOCIATED APPARATUSES USEFUL FOR INTRAVASCULAR ULTRASOUND PROCEDURES | 4 |
Daniel Paul Hawkins | US | Jacksonville | 2014-03-13 / 20140072383 - Linkable Rope Assembly | 2 |
Kelly P. Hawkins | US | Mckinney | 2012-12-06 / 20120308239 - Active Tracking for Free-Space Optical Communication Systems | 1 |
Cindy Ann Hawkins | US | San Saba | 2015-04-23 / 20150108264 - Wire Reels, Components Thereof, and Related Methods | 1 |
Jeffrey C. Hawkins | US | 2012-09-27 / 20120244915 - HAND-HELD DEVICE | 2 | |
Ray Russell Hawkins | AU | Frankston | 2009-10-22 / 20090260291 - Noise Reduction Device | 1 |
Clint Hawkins | US | West Frankfort | 2013-10-31 / 20130284786 - TWIN ROD FESTOON ROLL | 1 |
Timothy Hawkins | US | Los Angeles | 2013-11-28 / 20130314502 - PORTABLE MOBILE LIGHT STAGE | 1 |
Stan Hawkins | US | Snellville | 2016-05-19 / 20160140582 - INFORMATION TRANSACTIONS OVER A NETWORK | 5 |
Tyler D. Hawkins | US | San Jose | 2016-03-31 / 20160094502 - SERVICE COMPATIBILITY CHECK FOR MESSAGES | 5 |
Craig J. Hawkins | US | Howell | 2016-02-11 / 20160039422 - METHOD OF CONTROLLING A VEHICLE DURING A CLUTCH-TO-CLUTCH POWER UPSHIFT OF A TRANSMISSION | 10 |
Roland Wade Hawkins | US | San Saba | 2015-04-23 / 20150108264 - Wire Reels, Components Thereof, and Related Methods | 1 |
Andrew Hawkins | US | Parker | 2014-10-16 / 20140308721 - Cytosolic Isobutanol Pathway Localization for the Production of Isobutanol | 13 |
Andrew Hawkins | GB | Bracknell | 2012-06-07 / 20120144028 - MONITORING PROCESSES IN A COMPUTER | 1 |
Peter Hawkins | NZ | Greenlane Auckland | 2014-06-19 / 20140166005 - CONTROL OF HUMIDIFIER CHAMBER TEMPERATURE FOR ACCURATE HUMIDITY CONTROL | 3 |
Steven A. Hawkins | US | Livermore | 2014-09-18 / 20140265940 - DIAMAGNETIC COMPOSITE MATERIAL STRUCTURE FOR REDUCING UNDESIRED ELECTROMAGNETIC INTERFERENCE AND EDDY CURRENTS IN DIELECTRIC WALL ACCELERATORS AND OTHER DEVICES | 8 |
Tyler Dagan Mcrae Hawkins | US | Sunnyvale | 2014-07-31 / 20140215635 - VARIABLE ANONYMOUS IDENTIFIER VALUE | 1 |
Patrick Howard Hawkins | US | Blaine | 2013-07-04 / 20130171314 - COMPOSITIONS AND METHODS FOR CONTROL OF LISTERIA MONOCYTOGENES | 3 |
Catherine Hawkins | GB | London | 2012-05-10 / 20120114611 - BACTERIOPHAGE-CONTAINING THERAPEUTIC AGENTS | 1 |
Tommy W. Hawkins | US | Lancaster | 2014-07-10 / 20140190599 - HYPERGOLIC BIPROPELLANTS | 2 |
Robert W. Hawkins | US | Redmond | 2015-11-26 / 20150338934 - Input Cueing Emmersion System and Method | 4 |
Siavash James Joorabchian Hawkins | GB | London | 2015-10-29 / 20150310220 - CLIPBOARD MANAGEMENT | 3 |
Robert Lewis Hawkins | US | Hart | 2011-08-04 / 20110186313 - Dirt Hole Closer | 1 |
Richard A. Hawkins | US | Puyallup | 2010-02-04 / 20100028123 - SUPERCONDUCTING CRAWLER SYSTEM FOR A PRODUCTION LINE | 1 |
Peter Hawkins | GB | Kingsbridge | 2012-10-25 / 20120267505 - VIBRATION DAMPER OF A VEHICLE AND METHOD OF REDUCING VIBRATION | 1 |
Bobby Marion Hawkins | US | Wylie | 2011-09-22 / 20110229702 - REDUCING THERMAL EXPANSION EFFECTS IN SEMICONDUCTOR PACKAGES | 1 |
James Hawkins | GB | Kingsbridge | 2012-10-25 / 20120267505 - VIBRATION DAMPER OF A VEHICLE AND METHOD OF REDUCING VIBRATION | 1 |
Mark P. Hawkins | AU | Cooma | 2009-09-24 / 20090239005 - EDGE IMPACT PROTECTOR | 1 |
James Bradford Hawkins | US | Liberty | 2015-12-17 / 20150360271 - CURVED LIGHT EMITTING DIODE FIXTURE | 1 |
Siavash James Joorabchian Hawkins | GB | Marden | 2012-08-30 / 20120219133 - METHOD AND APPARATUS FOR THE PROCESSING OF DATA RELATING TO CALENDAR ENTRIES | 1 |
Ryan Paul Hawkins | AU | Coffs Harbour | 2011-06-23 / 20110151968 - METHOD OF GAMING, A GAME CONTROLLER AND A GAMING SYSTEM | 2 |
Quinn Hawkins | US | Kirkland | 2013-01-03 / 20130004069 - TECHNIQUES TO MANAGE A WHITEBOARD FOR MULTIMEDIA CONFERENCE EVENTS | 7 |
Thomas Hawkins | US | Carrollton | 2010-04-22 / 20100096962 - Electrical Insulation Barriers Having Knock Out Features | 2 |
Robert Hawkins | GB | Cheshire | 2008-08-21 / 20080200393 - Method and Composition For Treating Angiogenesis and For Preventing Cancer Progression and Metastasis Comprising a Prostate Secretory Protein (Psp94) Family Member | 1 |
Trevor Hawkins | US | Belle Plaine | 2016-03-10 / 20160069601 - Ice Maker | 4 |
David R. Hawkins | US | Sugar Hill | 2015-11-19 / 20150332808 - ALIEN CROSSTALK SUPPRESSION WITH ENHANCED PATCH CORD | 5 |
Andrew Hawkins | US | Colorado Springs | 2015-12-10 / 20150354911 - INTEGRAL SPRING BOTTOM METAL LATCH | 1 |
Jonathan C. Hawkins | US | Seattle | 2013-11-07 / 20130297585 - SEARCH TECHNIQUES FOR RICH INTERNET APPLICATIONS | 10 |
Steven Hawkins | GB | Lichfield | 2015-08-06 / 20150219281 - VALVE ASSEMBLY | 2 |
Stan Hawkins | US | Kennesaw | 2014-03-13 / 20140075000 - SYSTEM AND METHOD FOR FACILITATING THE TRANSFER OF INFORMATION RELATING TO QUALITY OF AN ORGANIZATION | 1 |
Brian F. Hawkins | US | Lynnwood | 2010-04-22 / 20100097752 - AIRFLOW INTAKE SYSTEMS AND ASSOCIATED METHODS FOR USE WITH COMPUTER CABINETS | 1 |
Geoffrey Hawkins | US | Yardley | 2015-12-03 / 20150342867 - Method For Straightening Hair Using Mild Hair Straightening Compositions | 18 |
Anthony Hawkins | GB | Cambridge Cambridgeshire | 2015-08-06 / 20150219531 - EXTRACTION APPARATUS AND METHOD | 1 |
Jonathan Hawkins | US | Seattle | 2014-07-03 / 20140188978 - CLOUD-BASED MEDIA PROCESSING PIPELINE | 1 |
Sabrina A. Hawkins | US | New York | 2014-06-19 / 20140166539 - METHOD OF LIQUID FUEL DESULFURIZATION | 1 |
Jonathan James Hawkins | GB | Didcot | 2011-08-04 / 20110187538 - DROWNING ALERT TRANSMITTER | 1 |
Scott A. Hawkins | US | Olathe | 2011-02-24 / 20110047057 - Simultaneous real-time access to financial information | 1 |
Julie Jia Li Hawkins | US | Old Lyme | 2015-04-09 / 20150098957 - PCSK9 VACCINE | 5 |
Oriana Hawkins | US | Shawnee | 2013-06-06 / 20130143235 - COMPARATIVE LIGAND MAPPING FROM MHC CLASS I POSITIVE CELLS | 4 |
Priscilla Hawkins | US | Silver Spring | 2009-08-27 / 20090211050 - Wipe dispenser | 1 |
James W. Hawkins | US | Lake Bluff | 2012-05-17 / 20120120645 - Sealed Unibody-Reflector Luminaire | 3 |
J. Riley Hawkins | US | Cumberland | 2015-12-10 / 20150351741 - Anti-Backup Suture Anchor | 7 |
Darrell Hawkins | CA | Newfoundland, Labrador | 2015-11-19 / 20150330568 - Pressure Vessel Having Composite Boss With Weldable Metal Fitting | 1 |
Jonathan C. Hawkins | US | Seattle | 2013-11-07 / 20130297585 - SEARCH TECHNIQUES FOR RICH INTERNET APPLICATIONS | 10 |
Robert W. Hawkins | US | Rapids City | 2009-10-29 / 20090270147 - COMBINE CLEAN GRAIN ELEVATOR SYSTEM | 1 |
William E. Hawkins | US | Millersville | 2009-06-18 / 20090151816 - Adjustable Work Benches for Power Tools | 1 |
Charles R. Hawkins | US | Bartlett | 2009-05-14 / 20090125003 - APPARATUS FOR SEALING, SECURING AND ADJUSTING THE LENGTH OF A FLEXIBLE TUBE | 1 |
Jeff Hawkins | US | Portland | 2011-09-29 / 20110233056 - ELECTROPLATING CUP ASSEMBLY | 4 |
Riley Hawkins | US | Cumberland | 2009-04-30 / 20090112319 - EXPANDABLE FUSION CAGE | 1 |
James Hawkins | US | Lake Bluff | 2016-04-28 / 20160116151 - LED Luminaire Tertiary Optic System | 5 |
Richard Rodeheaver Hawkins | US | Raleigh | 2009-02-12 / 20090040746 - NOVELTY STICK HOLDERS FOR FOOD ON STICKS | 1 |
Oriana E. Hawkins | US | Tuscola | 2015-08-13 / 20150225456 - IDENTIFICATION OF MHC CLASS I PHOSPHO-PEPTIDE ANTIGENS FROM BREAST CANCER UTILIZING SHLA TECHNOLOGY AND COMPLEMENTARY ENRICHMENT STRATEGIES | 1 |
Dennis A. Hawkins | US | Warren | 2008-11-20 / 20080282942 - MODULAR PORTABLE TABLE | 1 |
Aaron Roe Hawkins | US | Provo | 2015-12-31 / 20150377768 - HYBRID, PLANAR OPTOFLUIDIC INTEGRATION | 7 |
Robert Dennis Hawkins | US | Bonney Lake | 2012-02-23 / 20120043704 - BULK RESIN INFUSION | 1 |
Steven D. Hawkins | US | Midlothian | 2011-03-24 / 20110067183 - CUSHIONING DEVICE AND METHOD OF MANUFACTURING | 3 |
Daniel Paul Hawkins | US | Medford | 2014-01-16 / 20140017024 - LINKABLE ROPE SYSTEM | 1 |
Aaron B. Hawkins | US | Seattle | 2014-08-28 / 20140238064 - CONVERTIBLE AIRCRAFT GALLEY REFRIGERATOR/CHILLER WITH SIDE DOOR ACCESS | 1 |
Todd Hawkins | US | Massillon | / - | 1 |
Gary Hawkins | US | Clarendon Hills | 2014-02-06 / 20140039805 - SYSTEMS AND METHODS TO MONITOR PUMP CAVITATION | 1 |
Lawrence A. Hawkins | US | Redondo Beach | 2014-07-10 / 20140191604 - MECHANICAL BACKUP BEARING ARRANGEMENT FOR A MAGNETIC BEARING SYSTEM | 5 |
H. Gene Hawkins | US | Warsarw | 2014-07-03 / 20140185405 - BONE CEMENT MIXING CARTRIDGE AND METHOD OF USE | 1 |
Christopher M. Hawkins | US | Alexandria | 2015-02-05 / 20150033981 - BIO-BASED BINDERS FOR INSULATION AND NON-WOVEN MATS | 8 |
Gilbert A. Hawkins | US | Mendon | 2013-08-01 / 20130194339 - PRINTED DROP DENSITY RECONFIGURATION | 20 |
Jeffery S. Hawkins | US | Farmington Hills | 2013-03-21 / 20130070804 - METHOD FOR ESTIMATING AMBIENT AIR TEMPERATURE PRIOR TO COMBUSTION IN AN INTERNAL COMBUSTION ENGINE | 5 |
Nathaniel E. Hawkins | US | Chatham | 2008-11-13 / 20080281423 - INTERSPINOUS IMPLANT | 1 |
Trevor Hawkins | US | Walnut Creek | 2014-01-30 / 20140032125 - Environment and Method for Rapid Analysis of Genomic Sequence Data | 1 |
Joel M. Hawkins | US | Old Lyme | 2012-10-11 / 20120259115 - PYRROLO[2,3-D]PYRIMIDINE DERIVATIVES: THEIR INTERMEDIATES AND SYNTHESIS | 3 |
Thomas Hawkins | US | Normal | 2008-10-30 / 20080263818 - Upright Vacuum Cleaner with Cyclonic Air Path | 1 |
David Michael Hawkins | US | Phoenixville | 2015-04-02 / 20150090099 - Method for Transforming a Poem into a Song | 1 |
Aaron R. Hawkins | US | Provo | 2008-09-04 / 20080210859 - COAXIAL HYBRID RADIO FREQUENCY ION TRAP MASS ANALYZER | 1 |
Kenny M. Hawkins | US | Tulsa | 2014-02-13 / 20140043963 - METHOD AND SYSTEM FOR DETERMINING ALTERNATE PATHS | 7 |
Dana Michelle Hawkins | US | Enlgwood | 2014-01-16 / 20140019309 - Online Virtual Mall | 1 |
Dale Hawkins | US | Erie | 2015-06-04 / 20150153194 - CONTEXTUAL TRAFFIC OR TRANSIT ALERTS | 10 |
Dana Michelle Hawkins | US | Enlgewood | 2014-01-16 / 20140019556 - Autism Social Media | 1 |
Nathaniel E. Hawkins | US | Greensboro | 2008-10-23 / 20080262553 - SPINAL CONNECTOR | 1 |
Aaron Hawkins | US | Raleigh | 2011-06-30 / 20110158510 - BIOLOGICALLY-INSPIRED METADATA EXTRACTION (BIME) OF VISUAL DATA USING A MULTI-LEVEL UNIVERSAL SCENE DESCRIPTOR (USD) | 2 |
Gregory D. Hawkins | US | Charlotte | 2008-10-02 / 20080242693 - Pharmaceutical Compositions and Methods for Relieving Pain and Treating Central Nervous System Disorders | 1 |
Siavash James Joorabchian Hawkins | GB | Tonbridge | 2015-04-02 / 20150094043 - SYSTEM, APPARATUS AND ASSOCIATED METHODOLOGY FOR ENRICHING CONTACT OF A REMOTE CLIENT | 1 |
Robert J. Hawkins | US | Hillsboro | 2012-03-22 / 20120069054 - ELECTRONIC DISPLAY SYSTEMS HAVING MOBILE COMPONENTS | 1 |
Darrell Hawkins | CA | St. John'S | 2015-06-25 / 20150176766 - System and Method for Loading, Storing and Offloading Natural Gas from Ships | 1 |
John Alastair Hawkins | GB | London | 2014-08-07 / 20140223458 - CHANNEL TUNE DWELL TIMES | 10 |
William Gregg Hawkins | US | Rexford | 2013-06-13 / 20130146898 - SiC MOSFETS AND SELF-ALIGNED FABRICATION METHODS THEREOF | 3 |
Gregory M. Hawkins | US | Sparta | 2008-10-30 / 20080264542 - Method and apparatus for protecting innerliner splice of a green tire | 1 |
R. Thomas Hawkins | US | Aloha | 2008-10-23 / 20080262484 - Motion-controlled laser surface treatment apparatus | 1 |
Katherine V. Hawkins | US | Hyde Park | 2008-12-04 / 20080301597 - Method to Determine the Root Causes of Failure Patterns by Using Spatial Correlation of Tester Data | 1 |
Michael S. Hawkins | US | 2008-10-02 / 20080236446 - Toner processes | 1 | |
Ameyon R. Hawkins | US | Oldsmar | 2016-03-03 / 20160061621 - METHODS AND SYSTEMS TO DETERMINE CONSUMER LOCATIONS BASED ON NAVIGATIONAL VOICE CUES | 1 |
William Hawkins | US | Rexford | 2009-10-01 / 20090243081 - SYSTEM AND METHOD OF FORMING A WAFER SCALE PACKAGE | 1 |
Samuel P. Hawkins | US | Lafayette | 2008-10-02 / 20080238117 - Load ring for lifting by elevator, of casing having no upset | 2 |
Phillip J. Hawkins | US | Irwin | 2016-05-05 / 20160123310 - Thermal Retracting Actuator | 14 |
William Mcadam Hawkins | US | Nashville | 2009-10-08 / 20090250675 - Vehicle Barrier | 2 |
Sheldrick Faris Hawkins | US | Hermitage | 2009-10-08 / 20090250675 - Vehicle Barrier | 3 |
Lynn D. Hawkins | US | Andover | 2014-03-06 / 20140065100 - Compositions and Methods for Cancer Immunotherapy | 3 |
Gilbert Allan Hawkins | US | Mendon | 2011-05-12 / 20110107962 - PIEZOELECTRIC ACTUATORS | 1 |
Ryan Paul Hawkins | US | Henderson | 2016-03-31 / 20160093153 - Method of Gaming, a Gaming System and a Game Controller | 3 |
Ian Michael Hawkins | AU | New South Wales | 2014-05-22 / 20140141263 - BIOCIDAL FOUL RELEASE COATING SYSTEMS | 1 |
Andrew Hawkins | GB | Gloucestershire | 2014-09-25 / 20140287139 - EXTRUSION-BASED ADDITIVE MANUFACTURING | 1 |
Samuel David Hawkins | US | Lebanon | 2009-05-07 / 20090114732 - MULTIPLE CELL LIQUID HEAT PUMP SYSTEM AND METHOD | 1 |
Kelly Suzanne Hawkins | US | Centralia | 2015-04-30 / 20150117960 - Helical Screw Pile and Soil Displacement Device with Curved Blades | 1 |
Gene Hawkins | US | Ann Arbor | 2010-04-08 / 20100083653 - Mass Damper | 1 |
Jeffrey Alan Hawkins | US | Portland | 2015-04-30 / 20150118012 - WAFER ENTRY PORT WITH GAS CONCENTRATION ATTENUATORS | 1 |
Jeffrey Charles Hawkins | US | Redwood City | 2016-03-17 / 20160081017 - INTEGRATED PERSONAL DIGITAL ASSISTANT DEVICE | 3 |
Brian Philip Hawkins | US | San Diego | 2015-09-17 / 20150262282 - COMPUTER-IMPLEMENTED METHOD AND SYSTEM FOR RECOMMENDATION SYSTEM INPUT MANAGEMENT | 1 |
Ryan Paul Hawkins | AU | Coffs Harbour Nsw | 2011-06-23 / 20110151966 - SYSTEM AND METHOD FOR CASHLESS GAMING | 1 |
Gary Thomas Hawkins | US | Clarendon Hills | 2014-02-06 / 20140039833 - SYSTEMS AND METHODS TO MONITOR AN ASSET IN AN OPERATING PROCESS UNIT | 1 |
John Hawkins | GB | London | 2015-06-04 / 20150153181 - SYSTEM AND METHOD FOR PROVIDING INDOOR NAVIGATION SERVICES | 1 |
Mark Hawkins | US | Gilbert | 2013-05-02 / 20130109192 - SUSCEPTOR WITH RING TO LIMIT BACKSIDE DEPOSITION | 5 |
Darell Hawkins | CA | St.john'S | 2014-11-13 / 20140331691 - SYSTEM AND METHOD FOR LOADING, STORING AND OFFLOADING NATURAL GAS FROM A BARGE | 1 |
Andrew Hawkins | US | Englewood | 2015-06-04 / 20150152443 - Methods of Increasing Dihydroxy Acid Dehydratase Activity to Improve Production of Fuels, Chemicals, and Amino Acids | 3 |
Michael Hawkins | US | Hoboken | 2014-07-17 / 20140200925 - SYSTEMS AND METHODS FOR INTERUPPTION WORKFLOW MANAGEMENT | 5 |
Christopher S. Hawkins | US | Rockford | 2010-05-27 / 20100129760 - Loading System for a Heat Treating Furnace | 1 |
Colin Anthony Hawkins | US | Lafayette | 2011-06-02 / 20110129359 - VARIABLE OUTPUT PUMP | 2 |
Daniel Hawkins | US | Bellevue | 2015-06-11 / 20150157476 - VASCULAR REINFORCEMENT DEVICE | 34 |
Dave Hawkins | US | Ashburn | 2013-11-14 / 20130301627 - SYSTEM AND METHOD FOR WI-FI ROAMING | 2 |
Glen S. Hawkins | US | Indianapolis | 2009-12-24 / 20090318257 - CLUTCH TOOTH INDEX AND STEP FOR MULTIPLE CAST CLUTCHES IN ONE HOUSING | 1 |
Parris C.m. Hawkins | US | Los Altos | 2012-06-07 / 20120143574 - PROCESS TOOL CHEMICAL AND GAS USAGE OPTIMIZATION | 3 |
Ghislaine Hawkins | US | Glendora | 2010-01-28 / 20100021491 - PROTEIN KINASE DEFICIENT, IMMUNOLOGICALLY ACTIVE CMVpp65 MUTANT CELLULAR VACCINES | 1 |
David Stanley Hawkins | US | Colorado Springs | 2011-05-05 / 20110100899 - PERFORATED HEXAGON-HOLE TUBE SUPPORT FOR SYNTHETIC SCREEN SEPARATOR | 3 |
Paul Hawkins | US | Santa Fe | 2012-11-08 / 20120283201 - 9-SUBSTITUTED MINOCYCLINE COMPOUNDS | 3 |
Greg Hawkins | US | Pueblo West | 2011-05-05 / 20110104041 - METHODS AND SYSTEMS FOR HCN REMOVAL | 2 |
David C.v. Hawkins | AU | Dee Why | 2012-07-05 / 20120167873 - SOLAR POWERED HEATING SYSTEM FOR WORKING FLUID | 1 |
Kyle A. Hawkins | US | Stanford | 2013-03-07 / 20130055522 - UPRIGHT VACUUM CLEANER WITH AGITATOR LIFT FEATURE | 5 |
Michael Hawkins | US | Columbia City | 2012-02-23 / 20120046752 - Unitary Orthopedic Implant | 1 |
Siavash James Joorachian Hawkins | GB | London | 2015-12-31 / 20150381714 - APPLICATION REGISTRATION AND INTERACTION | 1 |
Mike Hawkins | CA | Cambridge | 2013-10-10 / 20130266896 - SUPER LOW MELT EMULSION AGGREGATION TONERS COMPRISING A TRANS-CINNAMIC DI-ESTER | 1 |
Richard R. Hawkins | US | Santa Rosa | 2011-06-02 / 20110128034 - SELF-BALANCING FREQUENCY DETERMINING BRIDGE | 3 |
Rylan Hawkins | US | Seattle | 2015-12-24 / 20150373183 - USE OF A DIGITAL ASSISTANT IN COMMUNICATIONS | 6 |
Daniel Hawkins | US | Coto De Caza | 2014-12-04 / 20140358216 - STENT GRAFT | 2 |
Gregory D. Hawkins | US | Durham | 2010-07-15 / 20100179183 - PHARMACEUTICAL COMPOSITIONS AND METHODS FOR RELIEVING PAIN AND TREATING CENTRAL NERVOUS SYSTEM DISORDERS | 2 |
Timothy S. Hawkins | US | Marina Del Rey | 2014-11-06 / 20140327691 - HIGH DYNAMIC RANGE IMAGE EDITING | 3 |
Timothy Hawkins | US | Marina Del Rey | 2009-08-06 / 20090195545 - Facial Performance Synthesis Using Deformation Driven Polynomial Displacement Maps | 2 |
Michael S. Hawkins | CA | Cambridge | 2015-11-05 / 20150316866 - CARRIER AND DEVELOPER | 39 |
Shawn Scott Hawkins | US | Shelby Twp. | 2015-09-17 / 20150258973 - METHOD AND APPARATUS FOR CONTROLLING A POWERTRAIN SYSTEM DURING COASTING | 7 |
Daniel Hawkins | US | Bellevue | 2015-06-11 / 20150157476 - VASCULAR REINFORCEMENT DEVICE | 34 |
James Thomas Hawkins | US | Loveland | 2012-06-28 / 20120163985 - COMPONENTS CONTAINING CERAMIC-BASED MATERIALS AND COATINGS THEREFOR | 3 |
George W. Hawkins | US | Portland | 2010-08-26 / 20100216044 - Air-Cooled Thermal Management for a Fuel Cell Stack | 1 |
J. Adrian Hawkins | US | Denver | 2010-05-13 / 20100118598 - Phosphonium Ionic Liquids, Compositions, Methods of Making and Electronic Devices Formed There From | 6 |
Daniel W. Hawkins | US | Lake Mary | 2012-02-23 / 20120044705 - INSULATED RECESSED LIGHT CAN COVER | 1 |
Andrew C. Hawkins | US | Parker | 2015-10-29 / 20150307904 - Methods for the Economical Production of Biofuel from Biomass | 19 |
Johnny D. Hawkins | US | Kansas City | 2014-06-05 / 20140153447 - System and Method for Remote Home Monitoring Utilizing a VoIP Phone | 8 |
Ryan Hawkins | US | Las Vegas | 2015-12-10 / 20150356827 - METHOD OF GAMING, A GAME CONTROLLER, AND A GAMING SYSTEM | 3 |
Janice Hawkins | US | El Monte | 2015-05-21 / 20150136224 - ANTHRADITHIOPHENE-BASED SEMICONDUCTING POLYMERS AND METHODS THEREOF | 1 |
James W. Hawkins | US | Lomita | 2009-07-23 / 20090183942 - Sound Diffuser | 1 |
David L. Hawkins | US | Akron | 2010-11-25 / 20100297598 - PORTABLE BATHROOM AND KITCHEN FIXTURE DISPLAY | 1 |
Andrew C. Hawkins | US | Pasadena | 2009-06-18 / 20090155869 - ENGINEERED MICROORGANISMS FOR PRODUCING N-BUTANOL AND RELATED METHODS | 1 |
Steve Anthony Hawkins | US | Livermore | 2013-09-26 / 20130251933 - Fabrication of High Gradient Insulators By Stack Compression | 1 |
Faye Hawkins | US | Seattle | 2012-12-20 / 20120323595 - SYSTEMS AND METHODS FOR NURSE ASSIGNMENT AND PATIENT LIST MANAGEMENT INTERACTION WITH ELECTRONIC HEALTH RECORD | 1 |
Deborah Hawkins | US | Davis | 2009-06-11 / 20090151027 - DIACYLGLYCEROL ACYL TRANSFERASE PROTEINS | 1 |
Parris Hawkins | US | Los Altos | 2016-03-31 / 20160092618 - METHOD FOR REJECTING TUNING DISTURBANCES TO IMPROVE LAMP FAILURE PREDICTION QUALITY IN THERMAL PROCESSES | 4 |
Jeffrey C. Hawkins | US | Sunnyvale | 2008-11-13 / 20080280645 - Integrated personal digital assistant device | 1 |
Bret David Hawkins | US | Brownsburg | 2011-05-26 / 20110122319 - SYSTEM AND METHOD FOR CONFIGURING A TELEVISION CHANNEL SCAN LIST | 4 |
Jeffrey C. Hawkins | US | Atherton | 2016-03-24 / 20160086098 - Temporal Memory Using Sparse Distributed Representation | 22 |
Scott Allan Hawkins | US | Foothill Ranch | 2014-10-16 / 20140309016 - ELECTRONIC DICE | 4 |
Trevor L. Hawkins | US | Belle Plaine | 2014-05-29 / 20140145579 - MODULAR INTERFACE FOR PANTRY TEMPERATURE CONTROL | 3 |
Nicholas Hawkins | US | Chicago | 2014-07-17 / 20140197091 - High Capacity Suction Strainer for an Emergency Core Cooling System in a Nuclear Power Plant | 1 |
Karl Hawkins | GB | Swansea | 2010-06-10 / 20100139375 - APPARATUS AND METHOD FOR MEASURING RHEOLOGICAL PROPERTIES OF BLOOD | 1 |
Tyler Hawkins | US | San Jose | 2014-09-18 / 20140283141 - Switching a Mobile Device from Operating in a Primary Access Mode to a Secondary Access Mode | 2 |
John Hawkins | US | Loganville | 2009-01-15 / 20090014084 - Dual crimped warp fabric for conveyor belt applications | 1 |
Sherry D. Hawkins | US | Portland | 2012-05-17 / 20120117705 - Pants With A Weight-Distributing Waistband | 1 |
David Frederick Hawkins | GB | Middlesex | 2010-06-03 / 20100135630 - CABLE DUCT RESTRAINING DEVICE | 1 |
Laura L. Hawkins | US | Minneapolis | 2015-12-10 / 20150351560 - FREE-STANDING DISPLAY FIXTURE | 12 |
Stanley Edward Hawkins | US | Visalia | 2014-10-23 / 20140312134 - MODULATED CYCLIC FLOW (MCF) DRIP IRRIGATION SYSTEMS | 1 |
John Christopher Hawkins | GB | Netley Abbey | 2010-05-06 / 20100115041 - CREATING A MESSAGE READABLE BY A PLURALITY OF HETEROGENEOUS RECIPIENTS | 1 |
William G. Hawkins | US | St. Louis | 2013-11-14 / 20130302270 - TUMOR TARGETED TNF-RELATED APOPTOSIS INDUCING LIGAND FUSION POLYPEPTIDE, METHODS AND USES THEREFOR | 1 |
Lee A. Hawkins | US | Fulton | 2011-08-04 / 20110188970 - LOCKING NUT | 1 |
Samantha A. Hawkins | US | Athens | 2010-05-13 / 20100115742 - Triple bar, high efficiency mechanical sealer | 1 |
David Hawkins | US | Austin | 2013-01-31 / 20130030864 - Vehicle Desirability and Stocking Based on Live Markets | 1 |
Catherine Hawkins | GB | Southampton | 2013-08-15 / 20130209408 - BACTERIOPHAGE-CONTAINING THERAPEUTIC AGENTS | 2 |
Daniel Hawkins | US | Newcastle | 2011-06-02 / 20110130742 - DISPOSABLE INFUSION DEVICE FILLING APPARATUS AND METHOD | 6 |
John Hawkins | GB | Kinver South Staffordshire | 2011-04-14 / 20110086154 - FOOD AND BEVERAGE FOAMS | 1 |
John M. Hawkins | US | Duvall | 2014-05-22 / 20140143606 - Web Page Error Reporting | 4 |
David Hawkins | US | Houston | 2014-01-02 / 20140002455 - Systems and Methods for the Construction of Closed Bodies During 3D Modeling | 1 |
Michael E. Hawkins | US | Columbia City | 2013-12-26 / 20130344186 - SPACER MOLD AND METHODS THEREFOR | 10 |
Robert D. Hawkins | US | Bonney Lake | 2015-08-20 / 20150231834 - METHOD OF FABRICATING FIBER REINFORCED COMPOSITE STRUCTURE HAVING STEPPED SURFACE | 5 |
Kristy Michelle Hawkins | US | Emeryville | 2015-07-02 / 20150184199 - METHODS FOR GENOMIC INTEGRATION | 4 |
Michael J. Hawkins | US | Ambler | 2013-10-24 / 20130281407 - NOVEL PHOSPHONIC ACID COMPOUNDS AS INHIBITORS OF SERINE PROTEASES | 19 |
Steve M. Hawkins | US | Marble Falls | 2013-11-21 / 20130308675 - OPTIMUM PLANT CANOPY TEMPERATURE | 1 |
Peter Hawkins | GB | Bath | 2009-11-05 / 20090276838 - PASS-THROUGH HIJACK AVOIDANCE TECHNIQUE FOR CASCADED AUTHENTICATION | 1 |
Dax Hawkins | US | Kirkland | 2012-12-20 / 20120323967 - Spelling Using a Fuzzy Pattern Search | 6 |
Bernard Francis Hawkins | US | Long Beach | 2015-07-30 / 20150215690 - ADJUSTABLE MOUNTING ASSEMBLY | 2 |
Douglas R. Hawkins | US | Maple Glen | 2015-07-16 / 20150197643 - HINDERED ALKYLAMINE POLYMER | 1 |
Michael G. Hawkins | US | Plano | 2012-12-06 / 20120309327 - RF AMPLIFIER WITH OPEN CIRCUIT INPUT OFF-STATE | 1 |
John Ross Hawkins | GB | Potters Bar Hertfordshire | 2011-01-20 / 20110014622 - GENETIC REFERENCE MATERIALS | 1 |
Geoff Hawkins | US | Yardley | 2012-05-31 / 20120132223 - Compositions And Methods For Increasing Tightly Bound Water In Hair | 1 |
Peter Geoffrey Hawkins | NZ | Auckland | 2016-03-24 / 20160082220 - FLUID MIXING STRUCTURE | 8 |
Craig J. Hawkins | US | Howell | 2016-02-11 / 20160039422 - METHOD OF CONTROLLING A VEHICLE DURING A CLUTCH-TO-CLUTCH POWER UPSHIFT OF A TRANSMISSION | 10 |
Neil Hawkins | GB | Macclesfield | 2011-01-20 / 20110015393 - PHTHALAZINONE COMPOUND | 1 |
Clifford J. Hawkins | AU | Queensland | 2009-07-23 / 20090186012 - PLANT EXTRACT | 1 |
Harold Hawkins | US | Atlanta | 2015-12-17 / 20150361629 - Docking System with Joint Supports | 5 |
Riley Hawkins | US | Raynham | 2016-03-31 / 20160089154 - SURGICAL TOOL WITH FEEDBACK | 1 |
John Hawkins | GB | South Staffordshire | 2011-11-03 / 20110268683 - STRUCTURED SUSPENDING SYSTEMS | 3 |
John Christopher Hawkins | GB | Southhampton | 2010-09-23 / 20100241717 - Message Brokering in a Consuming Broker Device | 1 |
John Christopher Hawkins | GB | Southampton | 2010-09-09 / 20100229024 - MESSAGE PRODUCER WITH MESSAGE TYPE VALIDATION | 2 |
Michael Hawkins | US | Ambler | 2012-05-24 / 20120129897 - BIS HETEROARYL INHIBITORS OF PRO-MATRIX METALLOPROTEINASE ACTIVATION | 5 |
John Christopher Hawkins | GB | Hampshire | 2010-08-26 / 20100217807 - SYSTEM AND METHOD OF OBFUSCATING QUEUE MANAGEMENT | 1 |
Veronica Hawkins-Garcia | US | Jamaica | 2010-09-02 / 20100221562 - MULTI-LAYERED FOOD WRAPPING MATERIAL | 1 |
R. Thomas Hawkins, Ii | US | Aloha | 2015-05-28 / 20150144575 - UVA GERMICIDAL DEVICE | 2 |
Jeffrey C. Hawkins, Ii | US | Atherton | 2015-05-07 / 20150127595 - MODELING AND DETECTION OF ANOMALY BASED ON PREDICTION | 1 |
R.thomas Hawkins, Ii | US | Aloha | 2012-09-13 / 20120228236 - PHOTOCHEMICAL PURIFICATION OF FLUIDS | 1 |
Harold M. Hawkins, Iii | US | Atlanta | 2015-04-09 / 20150099945 - ACTIVITY MONITORING COMPUTING DEVICE AND SYSTEM | 6 |
Samuel P. Hawkins, Iii | US | Scott | 2015-07-16 / 20150197996 - Load Ring for Lifting By Elevator, Of Casing Having An Upset | 1 |
William M. Hawkins, Iii | US | San Mateo | 2011-11-10 / 20110275442 - NETWORKED COMPUTER GAME SYSTEMS AND METHODS WITH SOCIAL NETWORK FUNCTIONS | 2 |
Samuel P. Hawkins, Iii | US | Lafayette | 2013-08-29 / 20130220610 - METHODS AND MULTI-PURPOSE APPARATUS FOR DISPENSING AND CIRCULATING FLUID IN WELLBORE CASING | 6 |
Tommie Hawkins, Jr. | US | Fort Worth | 2011-12-08 / 20110297003 - Automatic Coffee Maker with Cup Warmer(s) (aka) - also known as automatic coffee maker with cup/decanter warmer(s) | 1 |
John C. Hawkins, Jr. | US | Mandeville | 2014-10-09 / 20140299528 - AQUATIC LIFE AND DEBRIS COLLECTION DEVICE FOR A WATER SCREEN | 1 |
Glen S. Hawkins, Jr. | US | Indianapolis | 2016-04-21 / 20160109007 - INPUT CLUTCH ASSEMBLY FOR INFINITELY VARIABLE TRANSMISSION | 7 |
James E. Hawkinson | US | Jacksonville | 2009-06-18 / 20090152026 - Mulch Distribution Device and Process | 1 |
Karla Hawkinson | US | Mount Hermon | 2015-11-05 / 20150317710 - METHOD AND SYSTEM FOR ANONYMOUS GIFTING | 1 |
Paul Griffee Hawkinson | US | Mcpherson | 2009-08-13 / 20090199995 - Heat Exchange Tubing Assembly | 1 |
Benjamin Curtis Hawkinson | US | Paso Robles | 2016-03-03 / 20160061023 - Method and Apparatus for Through-Tubular Sensor Deployment | 1 |
Karen Hawkinson | US | Portland | 2015-11-12 / 20150320136 - Packaged Dyed Knitted Component | 1 |
Wesley J. Hawkinson | US | Chanhassen | 2015-08-27 / 20150241220 - FILTERING GNSS-AIDED NAVIGATION DATA TO HELP COMBINE SENSOR AND A PRIORI DATA | 9 |
Daniel C. Hawkinson | US | Elburn | 2009-09-24 / 20090237242 - Remotely Controllable Route Indicating Devices | 3 |
Karen A. Hawkinson | US | Portland | 2016-03-03 / 20160058101 - Knit Component Bonding | 9 |
David Hawkinson | US | Girard | 2010-12-16 / 20100314898 - Multi-purpose storage container | 1 |
Charles E. Hawkinson | US | Crystal | 2010-12-23 / 20100321835 - SELF-ALIGNED, TRAILING EDGE PARTIAL SIDE SHIELD AND METHOD OF MAKING | 1 |
Charles Everett Hawkinson | US | Crystal | 2013-10-17 / 20130271889 - COMMONLY-POLED PIEZOELECTRIC DEVICE | 3 |
Robert N. Hawkinson | US | Jacksonville | 2009-06-18 / 20090152026 - Mulch Distribution Device and Process | 1 |
Andrew James Hawkinson | US | 2016-02-04 / 20160032836 - FILTRATION SYSTEM FOR A GAS TURBINE AIR INTAKE AND METHODS | 1 | |
Robert Neal Alfred Hawkinson | US | Orange Park | 2015-12-24 / 20150368872 - Methods for Controlling Weeds and Water Using a Bag Filled with Landscaping Material | 6 |
James Earl Hawkinson | US | Orange Park | 2015-12-24 / 20150368872 - Methods for Controlling Weeds and Water Using a Bag Filled with Landscaping Material | 6 |
Wesley J. Hawkinson | US | Chanhassen | 2015-08-27 / 20150241220 - FILTERING GNSS-AIDED NAVIGATION DATA TO HELP COMBINE SENSOR AND A PRIORI DATA | 9 |
Karen A. Hawkinson | US | Portland | 2016-03-03 / 20160058101 - Knit Component Bonding | 9 |
Dan Hawkinson | US | Elburn | 2012-09-13 / 20120228140 - Low Water Vapour Transfer Coating of Thermoplastic Moulded Oxygen Gas Sensors | 2 |
Rande Hawkinson | US | Garden Ridge | 2016-05-12 / 20160130746 - SEALANT COMPOSITIONS AND METHODS | 1 |
Dean A. Hawkinson | US | Bothell | 2009-06-18 / 20090157363 - System, method, and computer program product for predicting cruise orientation of an as-built airplane | 1 |
Andrew James Hawkinson | US | Eden Prairie | 2016-02-04 / 20160032836 - FILTRATION SYSTEM FOR A GAS TURBINE AIR INTAKE AND METHODS | 2 |
Donald E. Hawk, Jr. | US | King Of Prussia | 2010-12-16 / 20100318340 - METHOD OF GENERATING A LEADFRAME IC PACKAGE MODEL, A LEADFRAME MODELER AND AN IC DESIGN SYSTEM | 1 |
Fred M. Hawkridge | US | Powhatan | 2012-06-28 / 20120164738 - DETECTION OF SMALL LIGANDS WITH METMYOGLOBIN | 1 |
Fred Hawkridge | US | Richmond | 2009-05-28 / 20090134043 - NON-BIOFOULING, UNIVERSAL REDOX ELECTRODE AND MEASUREMENT SYSTEM | 1 |
Donald Hawkridge | GB | Church Broughton | 2016-04-28 / 20160115651 - STABILIZING AGENTS AND METHODS OF USE THEREOF | 1 |
Donald Hawkridge | GB | Church Broughton, Derbyshire | 2014-12-18 / 20140369749 - STABILIZING AGENTS AND METHODS OF USE THEREOF | 1 |
Ronald L. Hawks | US | Raleigh | 2010-01-07 / 20100000406 - APPARATUS AND METHOD FOR CONTROLLING MERCURY POLLUTION FROM A CEMENT PLANT | 1 |
David Hawks | US | Woodbury | 2010-12-02 / 20100305630 - HOUSING | 1 |
Kevin J. Hawks | US | Ottawa | 2013-11-28 / 20130312864 - Banding System for Insulation | 1 |
David J. Hawks | US | Rochester | 2015-09-24 / 20150269412 - FULL RESOLUTION COLOR IMAGING OF AN OBJECT | 2 |
Johnathan N. Hawks | US | High Point | 2011-01-06 / 20110000921 - Reservoir Baffle Arrangement for a Vehicle Fuel Tank | 1 |
Douglas Alan Hawks | US | Escondido | 2011-05-26 / 20110121413 - MICROELECTROMECHANICAL SYSTEMS MICROPHONE PACKAGING SYSTEMS | 3 |
Harvey Kramer Hawks | US | Seattle | 2013-11-14 / 20130304506 - SYSTEM AND METHOD FOR MANAGING HEALTH RISKS | 1 |
Dan Hawks | US | Pella | 2012-05-03 / 20120102791 - SHEAR RESISTANT EXCAVATION COMPONENT | 2 |
Douglas Hawks | US | Escondido | 2011-06-23 / 20110147917 - INTEGRATED CIRCUIT PACKAGE WITH EMBEDDED COMPONENTS | 1 |
Joseph David Hawks | US | Powder Springs | 2016-03-10 / 20160068286 - SYSTEMS, METHODS, AND DEVICES FOR TENSIONING STRAPS | 3 |
Benjamin Arthur Hawks | US | Seattle | 2015-09-17 / 20150263978 - COORDINATED ADMISSION CONTROL FOR NETWORK-ACCESSIBLE BLOCK STORAGE | 3 |
Robert W. Hawks | US | Memphis | 2016-03-24 / 20160084230 - Apparatus and Method to Utilize Wind Power to Generate Electricity | 2 |
Robert Daniel Hawks | US | Pella | 2009-10-22 / 20090260264 - TRENCHER ATTACHMENT | 1 |
Wayne R. Hawks | US | Columbia | 2016-04-21 / 20160107900 - SYSTEM AND METHOD FOR TREATING CONTAMINATED WATER | 7 |
Jonathan Hawks | US | Cedar Park | 2013-09-19 / 20130246998 - Interactively Designing a Hardware Implementation of a Graphical Program | 1 |
Doug Hawks | US | Escondido | 2009-12-24 / 20090315163 - Semiconductor Die Packages with Stacked Flexible Modules Having Passive Components, Systems Using the Same, and Methods of Making the Same | 2 |
Arlen Hawks | CA | Vancouver | 2009-11-12 / 20090278365 - Pet dog clean-up poo scooper | 1 |
Marshall Wells Hawks | US | Upperco | 2012-08-02 / 20120197862 - System and Method for Creating and Maintaining a Database of Disambiguated Entity Mentions and Relations from a Corpus of Electronic Documents | 1 |
Jeff Hawks | US | Lincoln | 2014-11-27 / 20140350574 - Methods, Systems, and Devices for Surgical Access and Procedures | 3 |
Daniel Harlan Hawks | US | University City | 2013-12-19 / 20130339731 - DEVICE-SPECIFIC SECURE LICENSING | 4 |
Daniel Hawks | US | St. Louis | 2015-09-17 / 20150262120 - Systems and Methods for Displaying the Location of a Product in a Retail Location | 1 |
David Jeffrey Hawks | US | Rochester | 2014-10-16 / 20140310306 - System And Method For Pattern Recognition And User Interaction | 1 |
William Robert Hawks, Jr. | US | Orlando | 2015-02-12 / 20150041513 - Handgun Pouch Holster | 1 |
Andrew Robert Hawksworth | GB | Shifnal | 2009-10-01 / 20090242692 - Clutch Arrangement | 1 |
Andrew Robert Hawksworth | GB | Shifnal Shropshire | 2010-09-16 / 20100229528 - ACTUATION SYSTEM FOR A TRANSLATING VARIABLE AREA FAN NOZZLE | 1 |
David J. Hawksworth | US | Lake Villa | 2015-07-30 / 20150212083 - ANTI-T. CRUZI ANTIBODIES AND METHODS OF USE | 15 |
David Hawksworth | US | Abbott Park | 2014-09-18 / 20140272931 - HCV CORE LIPID BINDING DOMAIN MONOCLONAL ANTIBODIES | 1 |
Andrew Robert Hawksworth | GB | Newport | 2013-08-01 / 20130193267 - Nose-Wheel Steering Actuator | 1 |
Jeff Hawksworth | US | Paynesville | 2015-10-01 / 20150273971 - REMOTELY ADJUSTABLE DEGREES OF FREEDOM FOR SUSPENSION COUPLING | 2 |
Jason Hawksworth | US | Silver Spring | 2012-03-29 / 20120076776 - METHOD FOR TREATING INFLAMATION BY LYMPHOCYTE DEPLETION OR SEQUESTERING | 4 |
Douglas Kenneth Hawksworth | CA | Oakville | 2010-08-19 / 20100206529 - A Brazing Piece, a Method of Making a Brazing Piece, and a Method of Brazing and Components Made From Said Brazing Piece | 1 |
Andrew Robert Hawksworth | GB | Shropshire | 2016-04-14 / 20160101847 - NOSE WHEEL STEERING | 2 |
Andrew Robert Hawksworth | GB | Shropshie | 2009-01-29 / 20090026312 - Landing Gear Assembly | 1 |
Richard John Steele Hawksworth | GB | Combe Florey | 2016-02-04 / 20160037237 - SYSTEM AND METHOD FOR ENCODING AUDIO BASED ON PSYCHOACOUSTICS | 1 |
Jake Hawksworth | US | Paynesville | 2015-10-01 / 20150273971 - REMOTELY ADJUSTABLE DEGREES OF FREEDOM FOR SUSPENSION COUPLING | 2 |
Ronald Charles Hawley | US | Glen Ridge | 2015-03-19 / 20150080408 - PYRIDINYL AMIDES AS P2X3 AND P2X2/3 INHIBITORS | 11 |
Ronald Charles Hawley | US | Mountain View | 2015-07-09 / 20150191487 - TETRAZOLE-SUBSTITUTED ARYLAMIDES AS P2X3 AND P2X2/3 ANTAGONISTS | 18 |
John Alan Hawley | AU | Victoria | 2013-08-08 / 20130203645 - METHOD OF ENHANCING MUSCLE PROTEIN SYNTHESIS | 3 |
Ronald C. Hawley | US | Winona | 2015-08-13 / 20150225023 - ONE-PIECE POLYMERIC COMPOSITE RAFTER | 2 |
Charles William Hawley | US | Conyers | 2012-09-13 / 20120227600 - ADJUSTABLE PRESS CHASE | 1 |
Robert J. Hawley | US | Louisville | 2015-01-22 / 20150020886 - DETAIN H2O - DETENTION POND RETROFIT DEVICE | 1 |
Stewart Hawley | GB | Sheffield | 2010-02-11 / 20100031512 - SAW BLADE HANDLE WITH REPLACEABLE BLADES | 2 |
Frank Hawley | US | Campbell | 2015-12-17 / 20150365090 - RESISTIVE RANDOM ACCESS MEMORY CELLS | 7 |
George C. Hawley | CA | Cornwall | 2015-03-26 / 20150086785 - REINFORCED POLYOLEFIN COMPOSITIONS AND RELATED PRODUCTS AND METHODS | 2 |
Frank W. Hawley | US | Campbell | 2013-08-29 / 20130221316 - FRONT TO BACK RESISTIVE RANDOM ACCESS MEMORY CELLS | 5 |
Kenneth N. Hawley | US | Yorba Linda | 2015-04-16 / 20150104238 - SINGLE BOOSTER BINDER MECHANISM | 1 |
Brad J. Hawley | US | Grass Valley | 2010-11-25 / 20100297574 - CT-based, Side-loading Surgical and Laboratory Dental Implant Guide System and Methodology of Use | 1 |
Megan L. Hawley | US | Roseville | 2015-08-13 / 20150227951 - FORECASTING HOLIDAY WEEKLY SALES PROFILES | 1 |
Ronald Charles Hawley | US | San Francisco | 2016-02-11 / 20160039818 - NAPHTHYRIDINONE DERIVATIVES AND THEIR USE IN THE TREATMENT, AMELIORATION OR PREVENTION OF A VIRAL DISEASE | 4 |
Stephen W. Hawley | US | Emerald Hills | 2010-02-25 / 20100045248 - Bi-directional Boost-Buck Voltage Converter | 2 |
Stephen W. Hawley | US | Redwood City | 2013-06-20 / 20130154491 - EFFICIENCY REGULATION FOR LED ILLUMINATION | 1 |
John Raymond Hawley | US | San Diego | 2010-07-01 / 20100162726 - Mobile platform system for a gas turbine engine | 1 |
Arthur V. Hawley | US | Huntington Beach | 2009-03-19 / 20090072079 - Aircraft having a pivotable powerplant | 1 |
Hugh Hawley | CA | New Brunswick | 2008-09-25 / 20080230151 - Board Edger | 1 |
Ronald Charles Hawley | US | Glen Ridge | 2015-03-19 / 20150080408 - PYRIDINYL AMIDES AS P2X3 AND P2X2/3 INHIBITORS | 11 |
Frank Hawley | US | San Jose | 2014-05-22 / 20140138755 - Non-volatile Programmable Memory Cell and Array for Programmable Logic Array | 1 |
Christopher Hawley | AU | Mulgrave | 2008-09-11 / 20080222290 - Access control list generation and validation tool | 1 |
Shiloh Hawley | US | Sunnyvale | 2012-08-23 / 20120215372 - Detection and Prevention of Hot Spots in a Solar Panel | 2 |
Dale Hawley | US | Round Rock | 2008-08-28 / 20080208647 - Information Technologies Operations Performance Benchmarking | 1 |
James Hawley | US | Sheffield Village | 2008-10-23 / 20080257499 - ADHESIVE FILM DISPENSER | 1 |
Roger Hawley | US | Rancho Santa Fe | 2016-02-25 / 20160051466 - NOVEL FORMULATIONS FOR TREATMENT OF MIGRAINE | 4 |
Derwin G. Hawley | US | Perrysburg | 2014-04-17 / 20140106052 - STABILIZED WHOLE GRAIN FLOUR AND METHOD OF MAKING | 1 |
Joan Hawley | US | Westerville | 2015-04-23 / 20150108181 - HANDICRAFT TOOL FOR PRESSING OR SUPPORTING CLOTH OR FABRIC | 1 |
Shawn Hawley | US | Ann Arbor | 2015-11-12 / 20150321671 - Vehicle Speed Control System | 1 |
Kyle Hawley | US | Woodbury | 2014-06-26 / 20140178270 - SABATIER PROCESS AND APPARATUS FOR CONTROLLING EXOTHERMIC REACTION | 2 |
Peter Hawley | US | Menlo Park | 2014-04-03 / 20140094304 - Apparatus and Method for In-Game Video Capture | 1 |
Dan W. Hawley | US | St. James | 2012-02-23 / 20120043280 - IONIC REMOVAL PROCESS USING FILTER MODIFICATION BY SELECTIVE INORGANIC ION EXCHANGER EMBEDMENT | 1 |
James P. Hawley | US | Oakland | 2015-05-21 / 20150142520 - CROWD-BASED SENTIMENT INDICES | 1 |
John Hawley | US | Fairfax | 2008-10-30 / 20080271019 - System and Method for Creating a Virtual Assurance System | 2 |
Michael Hawley | US | Stonington | 2008-11-06 / 20080275101 - Solid Salt Forms Of A Pyrrole Substituted 2-Indolinone | 1 |
Craig P. Hawley | US | Jonesville | 2015-10-08 / 20150285579 - EJECTION PORT DUST GATE FOR AUTOMATIC WEAPONS | 1 |
Evelene Huguenin Hawley | US | Florence | 2011-12-15 / 20110306921 - THERAPEUTIC DEVICE AND METHODS OF USING AND MAKING SAME FOR MULTIMODAL STIMULATION OF LIVING TISSUE | 1 |
J. Christopher Hawley | US | Chelmsford | 2009-01-01 / 20090006980 - METHOD AND SYSTEM FOR PROVIDING USER REPRESENTATIONS IN REAL-TIME COLLABORATION SESSION PARTICIPANT LISTS REFLECTING EXTERNAL COMMUNICATIONS TOGETHER WITH USER REPRESENTATIONS IN EXTERNAL COMMUNICATION APPLICATIONS INDICATING CURRENT REAL-TIME COLLABORATION SESSION PARTICIPATION | 1 |
Marilyn E. Hawley | US | Los Alamos | 2009-01-01 / 20090006015 - Method and apparatus for measuring current density in conductive materials | 1 |
Dave Hawley | US | Westbury | 2015-11-19 / 20150329953 - LONG-LIFE NOZZLE FOR A THERMAL SPRAY GUN AND METHOD MAKING AND USING THE SAME | 4 |
Ronald Clare Hawley | US | Winona | 2015-06-04 / 20150151506 - COMPOSITE STRUCTURAL ARTICLE | 1 |
Rage Hawley | US | Redmond | 2014-06-12 / 20140165167 - SCALABLE AND AUTOMATED SECRET MANAGEMENT | 1 |
Ronald Hawley | US | Winona | 2009-04-16 / 20090096236 - TRUCK BED RACK | 1 |
R. Scott Hawley | US | Overland Park | 2009-05-14 / 20090123934 - Inhibition of polo kinase by matrimony maintains G2 arrest in the meiotic cell cycle | 1 |
Edward T. Hawley | US | Keyport | 2009-06-18 / 20090152884 - Animal Feces Collection and Disposal Apparatus | 2 |
Todd William Hawley | US | Reston | 2013-11-14 / 20130303479 - Antiretroviral Cyclonucleoside Compositions and Methods and Articles of Title of Invention Manufacture Therewith | 1 |
James Hawley | US | Minneapolis | 2009-08-13 / 20090199847 - Earth based solar collector | 1 |
Stephen Hawley | US | Florence | 2009-09-24 / 20090238459 - METHOD OF IMAGE ANALYSIS USING SPARSE HOUGH TRANSFORM | 1 |
Thomas P. Hawley | US | Skaneateles | 2009-10-01 / 20090242635 - Processing scheme for an indicia reader | 1 |
Mark Robert Hawley | US | Spokane | 2009-12-24 / 20090316707 - Services Switch Form Factor | 5 |
Cory E. Hawley | US | Austell | 2009-04-02 / 20090087296 - Carton Feeder Having Friction Reducing Support Shaft | 1 |
Michael Anthony Hawley | US | Highland | 2010-03-25 / 20100071422 - ANTI-THEFT DEVICE AND METHOD FOR FUEL DISPENSERS | 1 |
Thomas Hawley | US | Skaneateles | 2016-03-24 / 20160086007 - SYSTEM AND METHOD TO MANIPULATE AN IMAGE | 6 |
Greg Hawley | US | Carlsbad | 2015-05-14 / 20150129317 - FIELD REMOVABLE CHOKE FOR MOUNTING IN THE PISTON OF A ROTARY PERCUSSION TOOL | 1 |
Richard Gregory Hawley | US | Bolivia | 2010-08-19 / 20100205847 - Fishing rod holder extension | 1 |
Michael J. Hawley | US | Naperville | 2012-12-06 / 20120307989 - METHOD AND APPARATUS FOR MULTIMEDIA CALLS | 3 |
Gil R. Hawley | US | Dewey | 2010-11-04 / 20100280199 - COMPOSITIONS THAT CAN PRODUCE POLYMERS | 2 |
Hugh Hawley | CA | Keswick Ridge | 2011-04-07 / 20110079324 - Board edger with tandem saw boxes | 1 |
J. Christopher Hawley | US | Montrose | 2015-10-01 / 20150278313 - TAGGING OF FACET ELEMENTS IN A FACET TREE | 2 |
Stephen Hawley | US | San Diego | 2014-10-16 / 20140308729 - Novel Method of Protein Purification | 1 |
Ronald Charles Hawley | US | Mountain View | 2015-07-09 / 20150191487 - TETRAZOLE-SUBSTITUTED ARYLAMIDES AS P2X3 AND P2X2/3 ANTAGONISTS | 18 |
Chadwick Todd Hawley | US | Woodbridge | 2010-12-02 / 20100305806 - Portable Multi-Modal Emergency Situation Anomaly Detection and Response System | 1 |
Michael Hawley | US | Kalamazoo | 2015-06-11 / 20150158849 - Solid Salt Forms Of A Pyrrole Substituted 2-indolinone | 3 |
Raymond D. Hawley, Iii | US | Pennsburg | 2011-11-10 / 20110271856 - Method and Apparatus for Printing Radiopaque Indicia | 1 |
Eric G. Hawman | US | Schaumburg | 2012-12-27 / 20120326059 - Composite Segment Collimators for SPECT Without Dead Zones | 2 |
Huang Haw-Ming | TW | Taipei City | 2010-07-08 / 20100173328 - Apparatus for measuring a cell number and a quantity of a cellular protein expression and the method thereof | 1 |
Mark K. Hawn | US | Atlanta | 2010-09-02 / 20100223109 - BEHAVIOR MAPPED INFLUENCE ANALYSIS TOOL WITH COACHING | 2 |
Larry L. Hawn | US | Laguna Niguel | 2008-11-27 / 20080290044 - Chlorine Dioxide-Based Water Treatment System For On-Board Ship Applications | 1 |
Douglas J. Hawn | US | Melissa | 2012-03-22 / 20120069742 - Method and Apparatus for Network OAM Interworking | 1 |
Mary Hawn | US | Birmingham | 2011-06-16 / 20110144636 - UNIVERSAL SURGICAL FUNCTION CONTROL SYSTEM | 2 |
Dong Yun Hawng | KR | Seoul | 2014-12-18 / 20140369232 - METHOD AND APPARATUS FOR REGISTERING WIRELESS DEVICE IN WIRELESS COMMUNICATION SYSTEM | 4 |
Brian D. Haworth | NL | Voorburg | 2015-11-19 / 20150329348 - LOCK-OUT DEVICE AND METHOD | 4 |
Kelly Haworth | US | Lake Villa | 2015-04-02 / 20150089743 - MULTI-SLEEP POSITION HEAD AND NECK PILLOW | 2 |
Randal Haworth | US | Los Angeles | 2016-04-14 / 20160101008 - Jaw Thrust Device and Method | 6 |
Thomas B. Haworth | US | Avon | 2013-12-12 / 20130332200 - SYSTEM AND METHOD FOR INTELLIGENT CUSTOMER DATA ANALYTICS | 1 |
Stephen Haworth | GB | Workingham | 2013-11-14 / 20130299125 - COOLING SYSTEM AND METHOD FOR COOLING RADIO UNIT | 1 |
Daniel Nicholas Haworth | GB | Marlborough | 2015-07-30 / 20150209479 - METHODS AND DEVICES FOR CELLULAR TRANSPLANTATION | 2 |
Ronald Haworth | US | Lafayette | 2010-07-08 / 20100175010 - Graphical Configuration and Management of Interfaces | 1 |
Liza Haworth | US | Valencia | 2009-01-29 / 20090030782 - Methods For Obtaining Leads | 1 |
Brian Haworth | NL | Voorburg | 2014-11-13 / 20140332554 - DEVICE FOR EMPTYING A CANISTER | 1 |
Jason Haworth | US | Lynnwood | 2013-08-15 / 20130212240 - METHODS FOR DYNAMIC DNS IMPLEMENTATION AND SYSTEMS THEREOF | 2 |
Oliver Haworth | US | Berkeley | 2014-09-04 / 20140248289 - Methods and Compositions for the Treatment of Respiratory Conditions Via NKG2D Inhibition | 1 |
Daniel Haworth | GB | Wiltshire | 2016-05-19 / 20160138560 - WATER CURRENT POWER GENERATION STRUCTURE | 1 |
Troy A. Haworth | US | Redmond | 2009-02-12 / 20090041974 - Compound Contoured Composite Beams and Fabrication Methods | 1 |
John David Haworth | US | Kalamazoo | 2010-02-04 / 20100028379 - METHODS OF VACCINE ADMINISTRATION | 2 |
David Patrick Haworth | GB | Worcestershire | 2009-11-12 / 20090278733 - METHOD AND APPARATUS FOR LOCATING THE SOURCE OF AN UNKNOWN SIGNAL | 2 |
William Haworth | US | Columbia Heights | 2010-04-22 / 20100099130 - METHODS AND DEVICES FOR MONITORING PLATELET FUNCTION | 1 |
Tony Martyn Haworth | GB | Bristol | 2008-12-25 / 20080316650 - Method for Changing the Configuration of a Media Drive Apparatus, Computer Readable Medium, and Media Drive Apparatus | 1 |
Simon Charles Haworth | GB | Cheltenham Gloucestershire | / - | 1 |
Julie Haworth | US | Plano | 2013-02-21 / 20130043254 - SILICONE COOKWARE WITH REMOVABLE COVER AND CARRIER VESSEL | 1 |
Steven A. Haworth | US | Gilbert | 2013-11-28 / 20130312269 - Fruit and Vegetable Decorative Cutting Device | 1 |
Stephen Haworth | US | Orlando | 2009-06-18 / 20090157501 - Integrated Exchange for 24 hour real time trading of fungibles & continuous cash T+0 settlement | 1 |
Stuart Hyram Haworth | GB | Walmersley | 2015-12-31 / 20150375271 - Foam Generating Spray Device and Spray Head for Use Therein | 1 |
Soames S. Haworth | US | Del Mar | 2011-08-25 / 20110204757 - ORGANIZING VALET AND METHOD OF USING SAME | 2 |
Evelyn Haworth | US | Lake Villa | 2015-04-02 / 20150089743 - MULTI-SLEEP POSITION HEAD AND NECK PILLOW | 2 |
Steve A. Haworth | US | Phoenix | 2015-08-06 / 20150216270 - COLLAPSIBLE RETAINING STRUCTURE FOR BODY PIERCING JEWELRY | 2 |
Jonathan Lee Haworth | US | Hendersonville | 2014-06-19 / 20140165827 - DIAGNOSTIC BREATHER DRYER | 1 |
Graham Haworth | US | Chicago | 2014-02-06 / 20140040871 - MOBILE BUILD, QUALITY AND DEPLOYMENT MANAGER | 1 |
Jennifer Haworth | GB | Manchester | 2016-02-11 / 20160040255 - Polynucleotide Primers For Detecting PIK3CA Mutations | 2 |
Kevin Haworth | US | Cincinnati | 2012-10-25 / 20120271167 - Methods of Enhancing Delivery of Drugs Using Ultrasonic Waves and Systems for Performing The Same | 2 |
Samuel F. Haworth | US | Oak Creek | 2014-02-06 / 20140037414 - ROPE SHOVEL | 1 |
Elvin L. Haworth | US | Chowchilla | 2010-10-14 / 20100261605 - Method and apparatus for processing work materials | 1 |
John David Haworth | US | Englewood | 2015-07-02 / 20150182619 - METHODS OF VACCINE ADMINISTRATION | 1 |
Daniel Haworth | GB | Cambridge | 2015-06-04 / 20150152834 - CONNECTION SYSTEMS | 2 |
Adam Lee Haworth | US | Louisville | 2008-12-04 / 20080296131 - COMBINE HEADER BELT TENSIONING APPARATUS AND METHOD | 2 |
Kenneth R. Haworth | US | Walnut Creek | 2011-06-09 / 20110132930 - TOILETRIES DISPENSING DEVICE AND METHOD FOR REPLACING A PLURALITY OF DISPENSING BOTTLES | 1 |
William Stafford Haworth | GB | Biggar | 2011-03-03 / 20110049757 - HEART VALVE PROSTHESIS AND METHOD OF MANUFACTURE | 1 |
Richard G. Haworth | US | Holland | 2013-02-07 / 20130034409 - AUTOMATIC GAP ADJUSTOR | 1 |
Craig Haworth | ZA | Sandton | 2009-03-26 / 20090080753 - Radiography image processing method | 1 |
Ian Stuart Haworth | US | Santa Monica | 2016-05-12 / 20160130643 - ACCURATE IN VITRO COPYING OF DNA METHYLATION | 1 |
Jerzy Hawranek | SE | Vargarda | 2010-05-13 / 20100116466 - Axial Heat Exchanger for Regulating the Temperature and Air Comfort in an Indoor Space | 1 |
Liam Hawry | US | Chicago | 2016-04-07 / 20160095454 - Liquid And Solid Packaging And Mixing System And Container | 5 |
Peter Joseph Hawrylak | US | Tulsa | 2015-09-17 / 20150261958 - COMPLIANCE METHOD FOR A CYBER-PHYSICAL SYSTEM | 1 |
Peter Hawrylak | US | Woodstown | 2009-12-17 / 20090310393 - Motion Activated Amplifier | 1 |
Peter J. Hawrylak | US | Woodstown | 2011-01-20 / 20110012728 - Sensor and System to Detect Bridge Scour | 3 |
Peter J. Hawrylak | US | Tulsa | 2013-10-03 / 20130260701 - Method of Controlling RF Transmissions to Mitigate Interference with Critical Care Medical Equipment | 4 |
Pawel Hawrylak | CA | Gloucester | 2008-10-02 / 20080237576 - Voltage Controlled Computing Element for Quantum Computer | 1 |
Lara Hawrylchak | US | Gilroy | 2015-11-19 / 20150329966 - SHOWERHEAD DESIGN | 12 |
Lara Hawrylchak | US | San Jose | 2015-11-19 / 20150332941 - METHODS AND APPARATUS FOR PROCESSING SUBSTRATES USING AN ION SHIELD | 10 |
Lara Hawrylchak | US | San Jose | 2015-11-19 / 20150332941 - METHODS AND APPARATUS FOR PROCESSING SUBSTRATES USING AN ION SHIELD | 10 |
Lara Hawrylchak | US | Gilroy | 2015-11-19 / 20150329966 - SHOWERHEAD DESIGN | 12 |
Lara Hawrylchak | US | Santa Clara | 2012-08-16 / 20120205241 - PROCESS KIT FOR RF PHYSICAL VAPOR DEPOSITION | 5 |
Roman B. Hawrylko | US | Avon Lake | 2013-11-28 / 20130317139 - LOW GLOSS IONOMER-BASED CAPSTOCK | 2 |
Jean-Mary Hawrylko | FR | Valdahon | 2013-12-12 / 20130331007 - SYSTEM OF FINISHING A PART FORMED OF SEVERAL MATERIALS | 2 |
Patrick Hawrylko | CH | Neuchatel | 2010-12-16 / 20100315909 - PROCESS AND DEVICE FOR FASTENING A GLASS TO A BEZEL | 1 |
Mark Andrew Hawryluck | CA | Ottawa | 2013-08-08 / 20130203398 - System and Method for Selecting Operating Parameters in a Communications System | 2 |
Chris D. Hawryluck | CA | Georgetown | 2009-05-21 / 20090131208 - Tensioner With Molded Arm | 1 |
Mark Andrew Hawryluck | CA | Kanata | 2014-01-02 / 20140003268 - System and Method for Grouping and Selecting Transmission Points | 2 |
Andrew M. Hawryluk | US | Los Atros | 2014-08-28 / 20140238958 - Systems and methods for material processing using light-emitting diodes | 1 |
Natallie A. Hawryluk | US | San Diego | 2012-04-12 / 20120088741 - ARYL-SUBSTITUTED HETEROCYCLIC UREA MODULATORS OF FATTY ACID AMIDE HYDROLASE | 1 |
Matthew J. Hawryluk | US | Watertown | 2015-12-24 / 20150366866 - METHODS OF TREATING CHOLANGIOCARCINOMA | 10 |
Natalie A. Hawryluk | US | San Diego | 2015-12-31 / 20150376212 - FLAP MODULATORS | 16 |
Andrew M. Hawryluk | US | Los Altos | 2016-03-24 / 20160086832 - Laser annealing systems and methods with ultra-short dwell times | 31 |
Michael Hawryluk | US | Indianapolis | 2010-09-23 / 20100241492 - Dynamic Pricing of Items Based on Cross-Price Effects on demand of Associated Items | 1 |
Matthew J. Hawryluk | US | Watertown | 2015-12-24 / 20150366866 - METHODS OF TREATING CHOLANGIOCARCINOMA | 10 |
Kent P. Hawryluk | US | Indianapolis | 2015-10-15 / 20150295872 - AGGREGATING DIGITAL FILE AND MESSAGE CONTENT INTO A SINGULAR AND CHRONOLOGICALLY ORGANIZED CONVERSATION | 1 |
Andrew M. Hawryluk | US | Los Altos Hills | 2012-09-06 / 20120223062 - Minimization of Surface Reflectivity | 3 |
Andrew Hawryluk | US | Los Altos | 2015-12-24 / 20150371911 - Systems and methods for reducing beam instability in laser annealing | 1 |
Natalie A. Hawryluk | US | San Diego | 2015-12-31 / 20150376212 - FLAP MODULATORS | 16 |
Timothy John Hawryschuk | US | Miamisburg | 2014-06-26 / 20140176654 - INKJET PRINTING SYSTEM WITH CONDENSATION CONTROL | 10 |
Timothy John Hawryschuk | US | Miamisburg | 2014-06-26 / 20140176654 - INKJET PRINTING SYSTEM WITH CONDENSATION CONTROL | 10 |
Craig W. Hawryshyn | CA | Kingston | 2008-11-27 / 20080289241 - Artificial Fishing Lure that Generates Visual and Audible Signals | 1 |
Jason P. Hawrysz | US | Durham | 2013-10-24 / 20130282485 - Targeted Advertising Based on Client-Side Tracking | 6 |
Joseph E. Hawrysz | US | Aurora | 2013-12-12 / 20130332332 - SYSTEM AND METHOD FOR SETTLING TRADES | 7 |
Joseph E. Hawrysz | US | Chicago | 2014-07-10 / 20140195409 - System and Method for Making Positions Held by a Trader Fungible | 1 |
Jean K. Hawrysz | US | Durham | 2011-02-24 / 20110047276 - ACCEPT AND RECEIVE ENHANCEMENTS | 1 |
Matthew Haws | US | Indianapolis | 2015-09-10 / 20150255233 - FLIP SWITCH TIMER DECORATOR SWITCH CLIP | 1 |
Kim Haws | US | Richland | 2016-05-12 / 20160128376 - FOOD PRODUCT DRYING SYSTEM | 1 |
David C. Haws | US | New York | 2015-03-05 / 20150065361 - LOSSLESS COMPRESSION OF THE ENUMERATION SPACE OF FOUNDER LINE CROSSES | 4 |
Spencer Kim Haws | US | Richland | 2016-01-07 / 20160003485 - HOT WATER RECOVERY | 8 |
John Courtney Haws | US | Durham | 2015-11-05 / 20150317390 - COMPUTER-IMPLEMENTED SYSTEMS AND METHODS FOR TAXONOMY DEVELOPMENT | 2 |
Ronald E. Haws | US | Houston | 2015-01-15 / 20150013992 - Top Entry Wireline Apparatus and Methods | 1 |
James D. Haws | CA | Ancaster | 2013-10-31 / 20130285411 - Drag Reduction Plate and Structure For Trailers | 1 |
James D. Haws | CA | Oakville | 2015-10-29 / 20150307142 - DRAG REDUCTION FAIRING FOR A TRAILER CONVERTER DOLLY | 3 |
Michael E. Haws | US | Golden | 2008-10-30 / 20080270837 - SYSTEM DIAGNOSTIC UTILITY | 1 |
Thomas Haws | US | Wilmington | 2011-10-27 / 20110263831 - NUCLEAR FACTOR kappaB INDUCING FACTOR | 2 |
Spencer Kim Haws | US | Richland | 2016-01-07 / 20160003485 - HOT WATER RECOVERY | 8 |
David Haws | US | New York | 2014-07-24 / 20140207800 - HILL-CLIMBING FEATURE SELECTION WITH MAX-RELEVANCY AND MINIMUM REDUNDANCY CRITERIA | 12 |
Tyler Mckay Haws | US | Boise | 2015-03-12 / 20150073949 - SYSTEM AND METHOD FOR PERFORMING RECONCILIATION OF AN ACCOUNT USING AT LEAST THREE SETS OF RECORDS | 1 |
Matt Haws | US | Noblesville | 2014-06-12 / 20140161273 - SMARTPHONE SECURITY MASKING ENCLOSURE | 1 |
Joe D. Haws, Jr. | US | Shreveport | 2009-07-23 / 20090184610 - Modular merchandise pod | 2 |
Jeffrey Alan Hawthome | US | Decatur | 2010-08-05 / 20100198389 - PATTERNED WAFER INSPECTION SYSTEM USING A NON-VIBRATING CONTACT POTENTIAL DIFFERENCE SENSOR | 1 |
Colin John Hawthorn | US | Barrington | 2014-07-03 / 20140182933 - OPTICAL FEEDBACK TO MONITOR AND CONTROL LASER ROCK REMOVAL | 1 |
Colin John Hawthorn | US | Des Plaines | 2011-08-25 / 20110205652 - TRANSMISSION OF LIGHT THROUGH LIGHT ABSORBING MEDIUM | 1 |
George Hawthorn | US | Kaneohe | 2014-06-12 / 20140159751 - Passive Multi-Layered Corrosion Sensor | 1 |
Andy Hawthorn | US | Missouri City | 2013-11-14 / 20130301389 - System And Method For Communicating Data Between Wellbore Instruments And Surface Devices | 2 |
Laura A. Hawthorn | US | Tipp City | 2010-07-15 / 20100176578 - METHOD OF FOLDING AN INFLATABLE CUSHION AND AIRBAG MODULE HAVING A FOLDED INFLATABLE CUSHION | 2 |
Trevor Tyler Hawthorn | US | Ashburn | 2015-08-13 / 20150229664 - ASSESSING SECURITY RISKS OF USERS IN A COMPUTING NETWORK | 2 |
Colin Hawthorn | US | Barrington | 2012-05-17 / 20120118568 - METHOD AND APPARATUS FOR WELLBORE PERFORATION | 1 |
Andrew Hawthorn | US | Missouri City | 2015-03-12 / 20150073713 - System And Method For Improving Surface Electromagnetic Surveys | 11 |
Laura Hawthorn | US | Tipp City | 2008-10-09 / 20080246261 - Apparatus and Method for Controlling An Inflatable Cushion | 1 |
Andrew Hawthorn | US | Missouri City | 2015-03-12 / 20150073713 - System And Method For Improving Surface Electromagnetic Surveys | 11 |
Nate D. Hawthorn | US | Las Vegas | 2015-08-06 / 20150221799 - Transformerless Photovoltaic Solar Heating System | 1 |
Stewart Hawthorn | CA | Campbell River | 2015-12-03 / 20150342205 - METHODS OF PREPARING A FRESH CLEANED FISH CARCASS FOR STORAGE | 1 |
Matthew Hawthorne | GB | Bristol | 2016-05-19 / 20160138554 - POWER GENERATING SYSTEMS | 1 |
Michael J. Hawthorne | US | Arlington | 2013-10-31 / 20130289807 - DISTRIBUTED TRAIN INTELLIGENCE SYSTEM AND METHOD | 1 |
Michael Hawthorne | US | Vail | 2011-03-24 / 20110071865 - CONCIERGE SYSTEMS AND METHODS | 1 |
Donald J. Hawthorne | US | San Pedro | 2015-08-20 / 20150231489 - Strategy Game, Method and/or System | 1 |
Robert J.c. Hawthorne | GB | Larne | 2011-06-30 / 20110159161 - APPARATUS FOR MAKING CANDY FLOSS | 1 |
Frederick William George Hawthorne | AU | Queensland | 2011-08-25 / 20110207377 - BEACH LIFESAVING SYSTEM AND METHOD OF DEPLOYMENT | 1 |
Robert J.c Hawthorne | GB | County Antrim | 2009-03-19 / 20090074931 - APPARATUS FOR MAKING CANDY FLOSS | 1 |
M. Frederick Hawthorne | US | Columbia | 2013-03-28 / 20130078175 - HYDROXYLATION OF ICOSAHEDRAL BORON COMPOUNDS | 1 |
Marion Frederick Hawthorne | US | Columbia | 2016-02-04 / 20160031912 - CLUSTER BORON COMPOUNDS AND USES THEREOF | 3 |
Namon A. Hawthorne | US | Miami | 2011-12-22 / 20110309031 - Offshore oil spill remediation and recovery system | 1 |
Philip James Hawthorne | AU | Glengarry | 2014-02-20 / 20140053232 - AUTOMATED REDACTION OF DOCUMENTS BASED ON SECURITY-LEVEL DETERMINATION | 3 |
Brian Hawthorne | US | Chicago | 2015-03-19 / 20150076154 - RELEASABLY CLOSABLE PRODUCT ACCOMMODATING PACKAGE | 2 |
James Hawthorne | US | Vancouver | 2011-07-07 / 20110162173 - QUICK RELEASE CLAMP AND METHOD OF USE | 1 |
Donn Bede Hawthorne | AU | Hawthorn (victoria) | 2015-02-05 / 20150034646 - CLOSURE SYSTEM | 1 |
Curtis Glenn Hawthorne | US | Mountain View | 2015-04-23 / 20150113399 - Landing Page for Presenting Media Items | 2 |
Donald J. Hawthorne | US | Great Falls | 2014-06-19 / 20140171167 - Strategy Game, Method and/or System | 1 |
Louis Hawthorne | US | Mill Valley | 2016-05-12 / 20160129085 - COMPOSITIONS AND METHODS FOR INHIBITING THE BIOLOGICAL ACTIVITY OF SOLUBLE BIOMOLECULES | 10 |
Donn Bede Hawthorne | AU | Hawthron(victoria) | 2014-03-13 / 20140069920 - CLOSURE SYSTEM | 1 |
Curtis Glen Hawthorne | US | Mountain View | 2015-03-12 / 20150074059 - Undoing an Action in a Media Player | 1 |
Brian Hawthorne | US | Bloomington | / - | 1 |
Georgia Ann Hawthorne | US | Goodyear | 2009-04-23 / 20090101721 - Emergency contact information and identification card | 1 |
Brian L. Hawthorne | US | Emeryville | 2014-02-06 / 20140038294 - SYSTEMS AND METHODS FOR ENGINEERING NUCLEIC ACID CONSTRUCTS USING SCORING TECHNIQUES | 1 |
Jeffrey Scott Hawthorne | US | Bennett | 2011-01-20 / 20110015873 - DETECTING ENVIRONMENTAL INTERFERENT IN A TRANSDERMAL ALCOHOL MONITOR | 2 |
Jonathan Mini Hawthorne | US | Eugene | 2011-09-22 / 20110231652 - PROXY SSL AUTHENTICATION IN SPLIT SSL FOR CLIENT-SIDE PROXY AGENT RESOURCES WITH CONTENT INSERTION | 1 |
Grace Huang Hawthorne | US | Mill Valley | 2012-12-06 / 20120309258 - FOLDED BLOCK STRUCTURE KIT AND METHOD FOR MAKING | 1 |
Jonathan Mini Hawthorne | US | Seattle | 2016-03-17 / 20160080328 - PROXY SSL HANDOFF VIA MID-STREAM RENEGOTIATION | 4 |
Robert R. Hawthorne | US | The Woodlands | 2012-10-04 / 20120253904 - INTERNET-BASED METHOD AND SYSTEM FOR AFFILIATE MARKETING AND COMMISSION SHARING TO CREATE SALES LEADS | 1 |
Matthew Hawthorne | US | Los Gatos | 2015-06-04 / 20150156137 - PROXY APPLICATION WITH DYNAMIC FILTER UPDATING | 3 |
Hugh James Hawthorne | US | Hampstead | 2013-09-26 / 20130247329 - FIRE DOOR HINGE WITH FUSIBLE PIN | 1 |
Brian Hawthorne | US | Jersey City | 2009-11-19 / 20090283581 - PACKAGING AND DISPLAY TRAY FORMED FROM INTERLOCKED BLANKS | 1 |
Anthony Dwane Hawthorne | US | Goodyear | 2009-04-23 / 20090101721 - Emergency contact information and identification card | 1 |
Joel Hawthorne | US | Akron | 2015-02-19 / 20150050440 - MULTI-DIMENSIONAL FIBER COMPOSITES AND ARTICLES USING THE SAME | 1 |
John H. Hawthorne | US | Loves Park | 2012-06-07 / 20120138218 - RAPID MATERIAL PLACEMENT APPLICATION FOR WIND TURBINE BLADE MANUFACTURE | 1 |
Greg Hawthorne | US | Holden | 2009-06-25 / 20090163309 - ARROWHEAD | 1 |
Brian Lee Hawthorne | US | San Francisco | 2009-04-30 / 20090112871 - Genome sharing | 1 |
Edward T. Hawthorne | US | Fairfield | 2009-12-03 / 20090299804 - OPERATIONAL RISK ASSESSMENT AND CONTROL | 1 |
Michael J Hawthorne | US | Watertown | 2014-09-25 / 20140288734 - DISTRIBUTED TRAIN INTELLIGENCE SYSTEM | 3 |
Brad L. Hawthorne | US | Saratoga | 2012-11-01 / 20120273944 - Power Semiconductor Package With Bottom Surface Protrusions | 4 |
Kevin Bryan Hawthorne | US | Montreal | 2011-09-15 / 20110220321 - GEOTHERMAL TANK VAULT WITH TRANSITION FITTINGS | 1 |
Jeffrey Alan Hawthorne | US | Decatur | 2009-06-04 / 20090139312 - DEFECT CLASSIFICATION UTILIZING DATA FROM A NON-VIBRATING CONTACT POTENTIAL DIFFERENCE SENSOR | 2 |
Steven B. Hawthorne | US | Grand Forks | 2016-02-18 / 20160047791 - SAMPLING AN OIL COMPOSITION | 3 |
Stephan A. Hawthorne | US | St. Paul | 2015-07-30 / 20150208835 - Removable Wall Decoration Kits, Systems and Methods | 2 |
Rachael E. Hawtin | US | San Carlos | 2015-07-23 / 20150202189 - METHODS OF USING SNS-595 FOR TREATMENT OF CANCER SUBJECTS WITH REDUCED BRCA2 ACTIVITY | 2 |
Racheal Hawtin | US | San Carlos | 2014-04-03 / 20140093903 - METHODS FOR DIAGNOSIS, PROGNOSIS AND METHODS OF TREATMENT | 1 |
Rachael Hawtin | US | San Carlos | 2015-04-30 / 20150118247 - METHODS AND COMPOSITIONS FOR IMMUNOMODULATION | 4 |
Steve Hawtin | GB | Basingstoke | 2008-09-18 / 20080228830 - METHOD AND SYSTEM FOR MANAGING INFORMATION | 1 |
Daniel Warren Hawtof | US | Corning | 2015-04-23 / 20150111007 - LOCALLY-SINTERED POROUS SOOT PARTS AND METHODS OF FORMING | 18 |
Daniel W. Hawtof | US | Corning | 2013-07-25 / 20130189450 - YAD INCLUDING MEZUZAH CASEMENT | 2 |
Brett Hawton | US | Alamo | 2011-01-06 / 20110004586 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR CREATING A VIRTUAL DATABASE | 1 |
Bruce Hawver | US | Hawthorn | 2013-10-31 / 20130288759 - METHOD AND APPARATUS FOR PRESENTING GAMER PERFORMANCE AT A SOCIAL NETWORK | 5 |
Bruce Hawver | IL | Hawthorn Woods | 2011-02-10 / 20110034248 - APPARATUS FOR ASSOCIATING PHYSICAL CHARACTERISTICS WITH COMMANDS | 1 |
Jeffery R. Hawver | US | Marion | 2015-03-19 / 20150078530 - DIGITAL RADIOGRAPHY DETECTOR IMAGE READOUT PROCESS | 8 |
Jeffrey R. Hawver | US | Marion | 2015-12-24 / 20150369930 - RADIOGRAPHIC IMAGING DETECTOR USING VOLTAGE CONVERSION ON GLASS | 2 |
Bruce Hawver | US | Hawthorn Woods | 2016-05-05 / 20160121210 - METHOD AND APPARATUS FOR CONFIGURING AN ACCESSORY DEVICE | 32 |
Jeffery R. Hawver | US | Marion | 2015-03-19 / 20150078530 - DIGITAL RADIOGRAPHY DETECTOR IMAGE READOUT PROCESS | 8 |
Bruce Hawver | US | Hawthorn Woods | 2016-05-05 / 20160121210 - METHOD AND APPARATUS FOR CONFIGURING AN ACCESSORY DEVICE | 32 |
Jeffery Richard Hawver | US | Marion | 2009-10-08 / 20090251125 - POWER SUPPLY FOR PORTABLE RADIOGRAPHIC DETECTOR | 1 |
Muhammad A. Hawwa | SA | Dhahran | 2015-08-06 / 20150219559 - Fluorescent Contact Imaging for In-Process Print Sensing | 19 |
Laird Allen Haxton | US | Miami | 2012-04-19 / 20120093445 - Full-extension drawer slide | 1 |
Cameron Jon Haxton | NZ | Auckland | 2016-04-14 / 20160101256 - METHOD AND APPARATUS FOR INCREASING THERAPY COMPLIANCE | 4 |
Gregory John Haxton | US | Brea | 2014-07-03 / 20140184930 - System and Method for Providing Power to a Television Accessory | 1 |
Brent Haxton | US | Tuttle | 2012-09-06 / 20120226485 - METHODS FOR PREDICTING THE FORMATION OF WIND TURBINE BLADE ICE | 1 |
Soren Haxvig | DK | Espergaerde | 2012-10-18 / 20120261486 - Evapotranspiration and Soil Moisture Based Irrigation Control | 1 |
Richard T. Hay | US | Houston | 2015-06-04 / 20150152723 - DISPLACEABLE COMPONENTS IN DRILLING OPERATIONS | 2 |
Michael Cameron Hay | US | Mountain View | 2009-04-02 / 20090089516 - Reclaiming storage on a thin-provisioning storage device | 2 |
Timothy Nicholas Hay | GB | Cherry Hinton | 2014-06-05 / 20140156949 - FAULT HANDLING IN ADDRESS TRANSLATION TRANSACTIONS | 1 |
Peter Stewart Hay | AU | Mandurah | 2012-07-12 / 20120175240 - METHOD AND APPARATUS FOR ODORANT REMOVAL | 1 |
Roee Hay | IL | Herzliya | 2014-12-18 / 20140373158 - DETECTING SECURITY VULNERABILITIES ON COMPUTING DEVICES | 14 |
Bruce Allen Hay | US | Niskayuna | 2014-06-12 / 20140159712 - SYSTEMS AND METHODS FOR MAGNETIC MATERIAL IMAGING | 1 |
Michael Patrick Hay | NZ | Auckland | 2016-01-07 / 20160002222 - PRODRUG FORMS OF KINASE INHIBITORS AND THEIR USE IN THERAPY | 8 |
James Scott Hay | US | Austin | 2012-07-05 / 20120172669 - Retractor Component System And Method Comprising Same | 1 |
Robert W. Hay | US | 2012-07-05 / 20120173923 - ACCELERATING THE PERFORMANCE OF MATHEMATICAL FUNCTIONS IN HIGH PERFORMANCE COMPUTER SYSTEMS | 1 | |
Andrew Frank Hay | AU | Rolystone | 2012-07-05 / 20120168227 - DRILL ROD GUIDE | 1 |
Allan S. Hay | CA | Montreal | 2015-07-02 / 20150183934 - HIGH TEMPERATURE POLY(ARYL ETHER)S CONTAINING A PHTHALAZINONE MOIETY | 9 |
James Scott Hay | US | Parkland | 2014-11-13 / 20140336764 - EXPANDABLE SPINAL FUSION CAGE | 12 |
Stephane Hay | FR | Ingremare | 2015-05-21 / 20150137643 - RADIAL MAGNETIC BEARING AND METHOD OF MANUFACTURE | 1 |
Douglas Hay | US | Ottsville | 2015-12-31 / 20150374689 - Use of Levosimendan to Treat Left Ventricular Systolic Dysfunction in Patients Undergoing Cardiac Surgery Requiring Cardiopulmonary Bypass | 1 |
Carl Hay | US | Gaithersburg | 2016-05-12 / 20160129108 - THERAPEUTIC COMBINATIONS COMPRISING ANTI-CD73 ANTIBODIES AND USES THEREOF | 2 |
Gordon G. Hay | US | Marblehead | 2012-09-27 / 20120240433 - SHOE SOLE WITH PIVOTAL GROUND ENGAGING PLATE | 2 |
Kent James Hay | US | Mahomet | 2009-07-02 / 20090165649 - METHOD OF TREATING FLUID CONTAINING VAPOR PHASE METALS, VAPOR PHASE METAL COMPOUNDS, OR BOTH | 2 |
Nissim Hay | IL | Netanya | 2013-06-06 / 20130144281 - Tissue Treatment Apparatus with Interchangeable Instrument/Accessories | 1 |
K. James Hay | US | Mahomet | 2013-08-01 / 20130192468 - GAS PURIFICATION SYSTEM FOR LIQUEFACTION OF DILUTE GAS COMPONENTS | 3 |
Amy Joan Hay | US | Escondido | 2008-08-28 / 20080201882 - Athletic towel with strap and closable pockets | 1 |
John Hay | US | Earlysville | 2009-01-22 / 20090024423 - System and Method for Automated Vehicle Tracking | 1 |
Alan C. Hay | US | Sullivan | 2009-03-19 / 20090072971 - PERIMETER SECURITY SYSTEM | 1 |
Alexander Montagu Hay | US | Bothell | 2009-03-26 / 20090081605 - PHOTODYNAMIC THERAPY DEVICE ADAPTED FOR USE WITH SCALER | 1 |
Daniel N. T. Hay | US | Naperville | 2015-05-28 / 20150144836 - COMPOSITION FOR DUST CONTROL | 3 |
Judith A. Hay | US | Mountain View | 2014-08-28 / 20140245132 - Simplified Document Creation | 2 |
Benjamin D. Hay | US | Fithian | 2009-07-16 / 20090182255 - Device and Method for Treating Ear Injuries | 1 |
William D. Hay | US | Seattle | 2012-06-07 / 20120143826 - CREATING AND UTILIZING NETWORK RESTORE POINTS | 2 |
Michael Hay | US | Mountain View | 2009-09-03 / 20090222415 - EVALUATING RISK OF INFORMATION MISMANAGEMENT IN COMPUTER STORAGE | 1 |
Cyrus Hay | US | Palo Alto | 2009-09-17 / 20090235133 - SLACK-BASED TRANSITION-FAULT TESTING | 1 |
Robert Hay | US | Boise | 2009-11-05 / 20090273404 - Frequency Adjustable Surface Acoustic Wave Oscillator | 1 |
Robert G. Hay | US | Pelham | 2009-12-03 / 20090298358 - Corrosion Resistant automatic splice | 1 |
Stuart S. Hay | US | South Windsor | 2009-12-24 / 20090313966 - METHOD AND APPARATUS FOR DETERMINING FAILURES OF GAS SHUTOFF VALVES IN GAS TURBINES | 1 |
Gordon Hay | US | Stowe | 2010-01-21 / 20100013193 - RECREATIONAL BINDING WITH ADJUSTABLE SUSPENSION INTERFACE | 1 |
Vorin Hay | US | Nashua | 2013-06-27 / 20130160704 - CRUCIBLE SUPPORT STRUCTURE | 2 |
David Hay | US | Lexington | 2010-06-24 / 20100161776 - METHOD FOR REMOTELY PROVIDING SOFTWARE TO COMPUTING APPARATUS IN DISTRIBUTED COMPUTER NETWORK | 1 |
Jonathan David Hay | US | Kirkland | 2015-12-24 / 20150372535 - POWER SYSTEM RECONFIGURATION WITH AUTOMATIC TRANSFER SWITCH | 5 |
Kate Brooks Hay | US | Bexley | 2013-07-18 / 20130180406 - METHOD OF MANUFACTURING PARTIALLY ROASTED COFFEE BEANS AND A COMBINATION ROASTING AND BREWING DEVICE | 1 |
Duff M. Hay | US | Sandwich | 2009-07-16 / 20090182255 - Device and Method for Treating Ear Injuries | 1 |
Carl M. Hay | US | Portland | 2013-09-05 / 20130228998 - WHEELCHAIR SYSTEM | 2 |
Jordan Hay | US | Seattle | 2014-01-23 / 20140025541 - PLACING A PURCHASE ORDER USING ONE OF MULTIPLE PROCUREMENT OPTIONS | 2 |
Jennifer Hay | US | Knoxville | 2010-07-29 / 20100192267 - Scanning Probe Microscope with Independent Force Control and Displacement Measurements | 1 |
Richard T. Hay | US | Spring | 2015-01-08 / 20150008001 - Subsurface Well Systems with Multiple Drain Wells Extending from Production Well and Methods for Use Thereof | 14 |
Joanna Mary Hay | GB | Devon | 2011-12-22 / 20110313133 - Albumin variants and conjugates | 1 |
Carl Hay | US | Damascus | 2010-09-16 / 20100233173 - MULTISPECIFIC EPITOPE BINDING PROTEINS AND USES THEREOF | 2 |
Roee Hay | IL | Haifa | 2015-09-24 / 20150271198 - COMPARING SOURCE AND SINK VALUES IN SECURITY ANALYSIS | 15 |
Clifford A. Hay | US | Baton Rouge | 2008-10-16 / 20080251467 - Back flushable strainer device | 1 |
Ronald Hay | US | Ann Arbor | 2009-01-29 / 20090027334 - METHOD FOR CONTROLLING A GRAPHICAL USER INTERFACE FOR TOUCHSCREEN-ENABLED COMPUTER SYSTEMS | 1 |
Kevin Hay | US | Des Moines | 2010-12-02 / 20100301794 - SYSTEM AND METHOD INCLUDING FEED-FORWARD CONTROL OF A BRUSHLESS SYNCHRONOUS MOTOR | 1 |
Richard Thomas Hay | US | Spring | 2016-01-07 / 20160003029 - SYSTEMS AND METHODS FOR OPTIMIZING GRADIENT MEASUREMENTS IN RANGING OPERATIONS | 23 |
Ranald Joseph Hay | US | Bexley | 2013-07-18 / 20130180406 - METHOD OF MANUFACTURING PARTIALLY ROASTED COFFEE BEANS AND A COMBINATION ROASTING AND BREWING DEVICE | 2 |
Michael Edward Hay | US | Buckingham | 2013-12-19 / 20130334383 - WALL STORAGE MOUNTING ARRANGEMENTS | 3 |
Kevin A. Hay | US | Des Moines | 2015-06-04 / 20150151406 - CONTROL VALVES FOR WATERJET SYSTEMS AND RELATED DEVICES, SYSTEMS, AND METHODS | 4 |
Jeffery T. Hay | US | Avon | 2014-09-04 / 20140249862 - Systems, Methods, and Apparatus for Insurance Pricing | 2 |
Thomas E. Hay | US | Tiffin | 2015-06-25 / 20150174643 - PRECISION FORGED CARTRIDGE CASE | 8 |
James D. Hay | US | Milford | 2013-03-21 / 20130071203 - FASTENER RETENTION SYSTEM | 4 |
Henry M. Hay | US | Charleston | 2008-08-21 / 20080196317 - Impact resistant multipane window | 1 |
James Scott Hay | US | Parkland | 2014-11-13 / 20140336764 - EXPANDABLE SPINAL FUSION CAGE | 12 |
Carl M. Hay | US | Damascus | 2014-11-27 / 20140348798 - SENECA VALLEY VIRUS BASED COMPOSITIONS AND METHODS FOR TREATING DISEASE | 3 |
Stephen O. Hay | US | South Windsor | 2010-08-05 / 20100196223 - PHOTOCATALYST PROTECTION | 1 |
Darrel E. Hay | US | Webster City | 2010-11-18 / 20100287787 - DEVICE AND METHOD FOR BREAKING CAKED GRAIN IN A STORAGE BIN | 1 |
Grant Hay | US | Evansville | 2011-01-20 / 20110011837 - SYSTEMS FOR FORMING A PLURALITY OF CELLS ON THE MASTERING TOOLS | 1 |
Bruce Allan Hay | US | Niskayuna | 2016-02-11 / 20160038617 - TREATING WATER INSOLUBLE NANOPARTICLES WITH HYDROPHILIC ALPHA-HYDROXYPHOSPHONIC ACID CONJUGATES, THE SO MODIFIED NANOPARTICLES AND THEIR USE AS CONTRAST AGENTS | 16 |
Gadi Hay | IL | Haifa | 2012-10-04 / 20120254535 - INSTANT DATA RESTORATION | 2 |
Daniel N.t. Hay | US | Naperville | 2015-12-24 / 20150367363 - COMPOSITION FOR DUST CONTROL AND IMPROVED MATERIAL HANDLING | 4 |
Scott Hay | US | Portland | 2015-03-19 / 20150075329 - Method, Device, and System for Assembling Rare-earth Magnets in a Novelty Button | 1 |
Catherine Hay | CA | Waterloo | 2012-10-25 / 20120271882 - SOCIAL MEDIA SHUFFLE SYSTEM AND APPLICATION | 1 |
Joanna Hay | GB | Leicestershire | 2014-01-30 / 20140031294 - RECOMBINANT TRANSFERRIN MUTANTS | 2 |
John G. Hay | US | Baldwin Place | 2012-05-31 / 20120134964 - HUMAN MATRIX METALLOPROTEINASE-8 GENE DELIVERY ENHANCES THE ONCOLYTIC ACTIVITY OF A REPLICATING ADENOVIRUS | 1 |
Stuart Hay | AU | New South Wales | 2013-05-09 / 20130113678 - RECONFIGURABLE SELF COMPLEMENTARY ARRAY | 1 |
Laura Anne Hay | GB | Banchory | 2014-08-28 / 20140239011 - CONTAINER FOR USE WITH A COUNTER MOUNTED DISPENSING SYSTEM | 1 |
Jim W. Hay | US | Willis | 2011-09-22 / 20110227382 - Dual Swivel Seats for Hunting Blinds | 1 |
Jeremy Hay | US | Santa Clara | 2013-02-07 / 20130036460 - Cross-domain Session Refresh | 1 |
Curtis Hay | US | West Bloomfield | 2014-11-20 / 20140340257 - Configuring Global Navigation Satellite System Receiver Units Via Over-The-Air Communications With Control Center | 1 |
James Westwood Hay | GB | Cambridge | 2010-12-23 / 20100320963 - CONTACT-LESS POWER TRANSFER | 4 |
Cameron Hay | AU | Haberfield | 2014-10-23 / 20140312638 - SPREADER AND USE THEREOF | 1 |
Timothy Nicholas Hay | GB | Cambridge | 2011-05-19 / 20110116337 - Synchronising between clock domains | 1 |
Michael Hay | JP | Yokohama | 2012-04-26 / 20120102080 - COMPUTER SYSTEM AND STORAGE CAPACITY EXTENSION METHOD | 1 |
Stuart Gifford Hay | AU | Kogarah Bay | 2015-03-26 / 20150084827 - Enhanced Connected Tiled Array Antenna | 1 |
Jeff Hay | US | Louisville | 2012-04-26 / 20120101747 - DETECTION AND IMAGING OF TURBULENCE IN A FLUID | 1 |
Stephan Hay | SG | Singapore | 2015-10-29 / 20150310930 - EQUIVALENT FUSE CIRCUIT FOR A ONE-TIME PROGRAMMABLE READ-ONLY MEMORY ARRAY | 1 |
Gal Hay | IL | Rosh Pina | 2011-05-19 / 20110114684 - LOAD CARRYING SYSTEM | 1 |
Johanna Hay | GB | Colyton | 2014-01-16 / 20140017273 - Albumin-Fused Anti-Angiogenesis Peptides | 1 |
Ronny Hay | US | Encino | 2014-10-23 / 20140316916 - COMPUTER-CONTROLLED, UNATTENDED, AUTOMATED CHECKOUT STORE OUTLET AND RELATED METHOD | 3 |
Richard Thomas Hay | US | Spring | 2016-01-07 / 20160003029 - SYSTEMS AND METHODS FOR OPTIMIZING GRADIENT MEASUREMENTS IN RANGING OPERATIONS | 23 |
Mark E. Hay | US | Atlanta | 2011-08-04 / 20110190338 - Compounds and Compositions Useful in the Treatment of Malaria | 1 |
Gordon Hay | CA | Ste-Anne-Des-Plaines | 2015-04-02 / 20150091324 - AUTOMOTIVE FLOOR MAT ASSEMBLY | 1 |
Michael Hay | US | Santa Clara | 2011-07-14 / 20110173215 - RETRIEVAL SYSTEM, RETRIEVAL SPACE MAP SERVER APPARATUS AND PROGRAM | 1 |
Richard T. Hay | US | Spring | 2015-01-08 / 20150008001 - Subsurface Well Systems with Multiple Drain Wells Extending from Production Well and Methods for Use Thereof | 14 |
Stephen O. Hay | US | Tolland | 2012-02-02 / 20120027657 - CONTROL SYSTEM FOR UV-PCO AIR PURIFIER | 12 |
Catharine Hay | CA | Waterloo | 2014-02-06 / 20140035956 - DISPLAYING ACTION ITEMS BASED ON DEADLINE AND IMPORTANCE | 1 |
Joanna Hay | GB | Devon | 2012-03-08 / 20120059155 - Method of Controlling O-Linked Glycosylation of Antibodies | 1 |
Joanna Hay | GB | Colyton | 2012-10-18 / 20120263747 - Albumin-Fused Anti-Angiogenesis Peptides | 2 |
Michael C. Hay | JP | Yokohama | 2011-09-29 / 20110238715 - COMPLEX OBJECT MANAGEMENT THROUGH FILE AND DIRECTORY INTERFACE | 1 |
Kyle A. Hay | US | San Francisco | 2015-11-12 / 20150326762 - Mounts for Attaching a Motion Capture Device to a Head Mounted Display | 2 |
Philip Andrew Hay | GB | Scarborough | 2010-12-30 / 20100330077 - 1-ACETIC ACID-INDOLE DERIVATIVES WITH PGD2 ANTAGONIST ACTIVITY | 1 |
Frances Geralyn Boul Hay | GB | Prestbury | 2014-07-10 / 20140195379 - Technology Alternative to Money for Enabling Equitable Trade | 1 |
Curtis L. Hay | US | Clarkston | 2014-02-13 / 20140043204 - LTE MIMO-CAPABLE MULTI-FUNCTIONAL VEHICLE ANTENNA | 3 |
Jeffrey Hay | US | Louisville | 2012-09-27 / 20120243747 - System and Method for Precision Measurement of Position, Motion and Resonances | 1 |
Stuart Gifford Hay | AU | Marsfield | 2016-03-10 / 20160072193 - Large Scale Phased Array Structure and Method of Fabrication | 1 |
Jacob Hay | US | Circleville | 2016-03-10 / 20160067791 - HIGH-SPEED ROTARY ELECTRICAL CONNECTOR FOR USE IN ULTRASONICALLY ASSISTED MACHINING | 2 |
Scott Hay | US | Arlington | 2016-02-18 / 20160045326 - INTERBODY SPACER SYSTEM | 1 |
Alan James Hay | GB | London | 2009-10-22 / 20090265114 - Influenza virus neuraminidase crystal structure and their use thereof | 1 |
Alexander Michael Hay | US | Endicott | 2012-04-19 / 20120093274 - CHANNEL CONFINEMENT METHOD FOR DRY-STORAGE OF BWR FUEL BUNDLES | 2 |
Gary Hay | GB | Motherwell | 2011-04-07 / 20110083041 - MEMORY SYSTEM WITH REDUNDANT DATA STORAGE AND ERROR CORRECTION | 3 |
Bruce Allan Hay | US | Niskayuna | 2016-02-11 / 20160038617 - TREATING WATER INSOLUBLE NANOPARTICLES WITH HYDROPHILIC ALPHA-HYDROXYPHOSPHONIC ACID CONJUGATES, THE SO MODIFIED NANOPARTICLES AND THEIR USE AS CONTRAST AGENTS | 16 |
Gavin Hay | CA | Toronto | 2016-02-11 / 20160039544 - SYSTEM AND TOOL FOR ACCESSING SATELLITE FILL/DRAIN VALVES DURING PROPELLANT RESUPPLY | 3 |
Bruce A. Hay | US | Niskayuan | 2010-11-18 / 20100292205 - Pyrimidone Compounds As GSK-3 Inhibitors | 1 |
Alan Hay | GB | Belfast | 2013-05-23 / 20130132560 - DYNAMIC ADAPTATIONS FOR NETWORK DELAYS DURING COMPLEX EVENT PROCESSING | 1 |
Alastair Mackie Hay | GB | Gladsmuir | 2013-08-15 / 20130211047 - PURIFICATION TAGS OF SYNTHETIC PEPTIDES AND PROTEINS | 1 |
Robert Hay | AU | Turner Australian Capital Territory | 2014-08-28 / 20140244685 - METHOD OF SEARCHING AND GENERATING A RELEVANT SEARCH STRING | 1 |
Michael B. Hay | FR | Montpellier | 2014-08-28 / 20140244356 - Smart Analytics for Forecasting Parts Returns for Reutilization | 2 |
Douglas R. Hay | CA | Calgary | 2011-11-17 / 20110282563 - Onboard Controller System | 2 |
J. Scott Hay | US | Parkland | 2014-11-20 / 20140343608 - EXPANSION INTERSPINOUS FIXATION DEVICE AND METHOD | 5 |
Bradley John Hay | US | Edmond | 2011-10-20 / 20110257944 - MODELING HYDRAULIC FRACTURING INDUCED FRACTURE NETWORKS AS A DUAL POROSITY SYSTEM | 1 |
Henry Hay | CA | Calgary | 2011-02-17 / 20110036853 - CONTAINER ASSEMBLY HAVING REMOVABLE EXTERIOR SHELF STRUCTURES | 2 |
Henry F. Hay | CA | Calgary | 2014-04-03 / 20140091088 - PLASTIC DUMPSTER | 5 |
Allan S. Hay | CA | Montreal | 2015-07-02 / 20150183934 - HIGH TEMPERATURE POLY(ARYL ETHER)S CONTAINING A PHTHALAZINONE MOIETY | 9 |
Lloyd Frank Hay | CA | Langley | 2010-09-09 / 20100228586 - SYSTEM AND METHOD OF MONITORING PRIVATE UTILITIES | 1 |
Bruce A. Hay | US | Encino | 2016-03-03 / 20160060358 - INDUCTION OF ANTIGEN-SPECIFIC TOLERANCE | 3 |
Judith A. Hay | CH | Basel | 2015-01-22 / 20150026336 - SYSTEMS, METHODS, AND DEVICES FOR DYNAMIC RESOURCE MONITORING AND ALLOCATION IN A CLUSTER SYSTEM | 1 |
Martin Alexander Hay | GB | Prestbury | 2014-07-10 / 20140195379 - Technology Alternative to Money for Enabling Equitable Trade | 3 |
Gareth Ian Hay | GB | Middlesex | 2010-05-27 / 20100129710 - LITHOGRAPHICALLY PRINTED CELLS | 1 |
Jennifer Hay | GB | Glasgow | 2011-01-13 / 20110008813 - ASSAY DEVICE AND METHOD | 1 |
Thomas E. Hay | US | Tiffin | 2015-06-25 / 20150174643 - PRECISION FORGED CARTRIDGE CASE | 8 |
Roee Hay | IL | Haifa | 2015-09-24 / 20150271198 - COMPARING SOURCE AND SINK VALUES IN SECURITY ANALYSIS | 15 |
Cameron Hay | SG | Singapore | 2010-12-23 / 20100320265 - METHOD AND APPARATUS FOR TWIST LOCK MANIPULATION | 1 |
William Hay | AU | Thebarton | 2010-10-07 / 20100251481 - APPARATUS FOR TRANSFERRING A PERSON FROM A WHEELCHAIR TO A FIXED SEAT | 1 |
Michael Cameron Hay | JP | Yokohama | 2010-09-23 / 20100241820 - RECLAIMING STORAGE ON A THIN-PROVISIONING STORAGE DEVICE | 1 |
William C. Hay | DE | Heppenheim | 2011-11-10 / 20110273768 - TUNABLE MULTIPLE LASER PULSE SCANNING MICROSCOPE AND METHOD OF OPERATING THE SAME | 2 |
Gregory Malcolm Hay | AU | Taren Point Nsw | 2010-05-27 / 20100126107 - ROOFING TILE CLIP AND INSTALLATION METHOD | 1 |
Michael P. Hay | NZ | Aukland | 2011-05-05 / 20110105436 - HETEROARYL COMPOUNDS, COMPOSITIONS, AND METHODS OF USE IN CANCER TREATMENT | 1 |
Phillip Hay | AU | Victoria | 2009-10-01 / 20090247407 - Glyceride Ester Derivatives of Herbicidal Compounds and Compositions Thereof | 1 |
Michael Patrick Hay | NZ | Auckland | 2016-01-07 / 20160002222 - PRODRUG FORMS OF KINASE INHIBITORS AND THEIR USE IN THERAPY | 8 |
Roee Hay | IL | Herzliya | 2014-12-18 / 20140373158 - DETECTING SECURITY VULNERABILITIES ON COMPUTING DEVICES | 14 |
Alf Gerhard Hay | NO | Solbergmoen | 2009-11-19 / 20090284901 - SUBSEA SWITCHGEAR APPARATUS | 1 |
Allan S. Hay | US | Niskayuna | 2015-09-10 / 20150252189 - HIGH TEMPERATURE POLYMER BLENDS OF POLY(ARYL ETHER KETONE PHTHALAZINONE) | 1 |
Ori Hay | IL | Moshav Aviel | 2015-01-29 / 20150031979 - DERIVING INDIVIDUAL THORACIC PARAMETERS OF A SUBJECT | 2 |
Gean Ricardo Hay | BR | Joinville | 2011-01-20 / 20110012493 - MOBILE SHELF FOR REFRIGERATORS AND FREEZERS | 1 |
Choon Teck Hay | SG | Singapore | 2010-06-10 / 20100140167 - WATER RECLAMATION WITHOUT BIOSLUDGE PRODUCTION | 1 |
Ori Hay | IL | Haifa | 2012-06-07 / 20120143090 - Assessment of Spinal Anatomy | 3 |
David C. Hay | GB | Midlothian | 2010-04-08 / 20100086999 - DIFFERENTIATION OF PRIMATE PLURIPOTENT CELLS TO HEPATOCYTE-LINEAGE CELLS | 1 |
Nathaniel Jay Hay | US | Hilliard | 2012-08-02 / 20120193330 - SPOT WELD DATA MANAGEMENT AND MONITORING SYSTEM | 1 |
Florent Hay | BE | Ixelles | 2014-10-02 / 20140298322 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR MANAGING SERVICE INSTALLATION | 2 |
Ran Eliyahu Hay | IL | Rosh Hahayin | 2013-07-18 / 20130185517 - TECHNIQUES FOR IMPROVING THROUGHPUT AND PERFORMANCE OF A DISTRIBUTED INTERCONNECT PERIPHERAL BUS CONNECTED TO A HOST CONTROLLER | 2 |
David Hay | IL | Tel Aviv | 2013-09-26 / 20130254197 - METHOD AND SYSTEM FOR DECOMPRESSION-FREE INSPECTION OF SHARED DICTIONARY COMPRESSED TRAFFIC OVER HTTP | 1 |
Curtis L. Hay | US | West Bloomfield | 2015-07-30 / 20150215986 - VEHICLE TELEMATICS CONNECTION RETRY | 6 |
P. Jeffrey Hay | US | Los Alamos | 2011-06-02 / 20110129411 - Method and system for hydrogen evolution and storage | 1 |
Bruce A. Hay | US | Pasadena | 2014-08-07 / 20140223591 - ANTIBODY-MEDIATED IMMUNOCONTRACEPTION | 1 |
Christopher Hay | HK | Causeway Bay | 2015-07-23 / 20150201819 - SURFACE CLEANING DEVICE | 1 |
Donald Hay | US | Houston | 2016-01-28 / 20160025085 - SUBSEA PRESSURE COMPENSATING PUMP APPARATUS | 1 |
Don Hay | US | Houston | 2016-01-28 / 20160023843 - SUBSEA FLUID STORAGE SYSTEM | 1 |
Joanne Hay | GB | Leicestershire | 2011-05-26 / 20110124576 - Transferrin Variants and Conjugates | 1 |
Richard Thomas Hay | US | Houston | 2014-12-25 / 20140377873 - FOSSIL RECOGNITION APPARATUS, SYSTEMS, AND METHODS | 1 |
Alex Hay | US | Bothell | 2014-12-25 / 20140374577 - OPTICAL POWER MEASUREMENT DEVICE | 1 |
David Hay | IL | Haifa | 2012-05-10 / 20120117431 - EFFICIENT DETECTION OF ERRORS IN ASSOCIATIVE MEMORY | 2 |
Timothy Nicholas Hay | UK | Cambridge | 2014-05-22 / 20140143633 - APPARATUS AND METHOD FOR CORRECTING ERRORS IN DATA ACCESSED FROM A MEMORY DEVICE | 1 |
James W. Hay | GB | Cambridge | 2015-10-29 / 20150311745 - ADAPTING PORTABLE ELECTRICAL DEVICES TO RECEIVE POWER WIRELESSLY | 4 |
Ian Bryce Haya | CA | Ottawa | 2009-07-23 / 20090186658 - JOINT COMMUNICATION AND ELECTROMAGNETIC OPTIMIZATION OF A MULTIPLE-INPUT MULTIPLE-OUTPUT ULTRA WIDEBAND BASE STATION ANTENNA | 1 |
Eric Haya | US | Las Vegas | 2011-03-10 / 20110060751 - MEDIA TRANSCRIPTION, SYNCHRONIZATION AND SEARCH | 1 |
Eric Haya | US | El Dorado Hills | 2014-09-25 / 20140289226 - System and Method For Search and Display of Content in the Form of Audio, Video or Audio-Video | 1 |
Keisuke Hayabusa | JP | Fujisawa-Shi | 2010-07-01 / 20100163408 - Plating apparatus and plating method | 1 |
Kyoko Hayabusa | JP | Chigasaki-Shi | 2009-01-15 / 20090016148 - Mixing method for powder material and liquid material, and mixer | 1 |
Keisuke Hayabusa | JP | Tokyo | 2015-05-21 / 20150135809 - EROSION PREDICTION METHOD, EROSION PREDICTION SYSTEM, EROSION CHARACTERISTICS DATABASE USED IN THIS PREDICTION, AND METHOD CONSTRUCTING THE SAME | 1 |
Naganobu Hayabusa | JP | Chigasaki-Shi | 2009-01-15 / 20090016148 - Mixing method for powder material and liquid material, and mixer | 1 |
Kenji Hayafuji | JP | Fuefuki-Shi | 2015-07-02 / 20150183053 - PROCESS FOR SPOT-JOINING STACKED METAL FOILS | 1 |
Akinori Hayafuji | JP | Yamagaya | 2010-05-27 / 20100127617 - LIGHT EMITTING APPARATUS | 1 |
Akinori Hayafuji | JP | Yonezawa-Shi | 2012-08-02 / 20120194063 - LIGHT EMITTING APPARATUS WITH AN ORGANIC ELECTROLUMINESCENCE CELL | 3 |
Kenji Hayafuji | JP | Ayase-Shi | 2012-02-23 / 20120043308 - EQUALIZING MECHANISM OF WELDING APPARATUS | 1 |
Hisao Hayafuji | JP | Kyoto | 2016-05-05 / 20160127115 - FRONT-END CIRCUIT | 3 |
Akinori Hayafuji | JP | Yamagata | 2011-12-01 / 20110291098 - ORGANIC EL DISPLAY DEVICE, MOTHER SUBSTRATE OF ORGANIC EL DISPLAY DEVICE, AND METHOD OF TESTING ORGANIC EL DISPLAY DEVICE | 3 |
Hisao Hayafuji | JP | Nagaokakyo-Shi | 2014-06-19 / 20140169422 - HIGH FREQUENCY FRONT END MODULE | 2 |
Shigeto Hayafuji | JP | Tokyo | 2011-09-29 / 20110233147 - METHOD AND APPARATUS FOR PRODUCING HIGH-PURITY WATER FROM LOW-PURITY WATER | 1 |
Hiroaki Hayahara | JP | Seto-Shi | 2012-07-19 / 20120181409 - VEHICLE SLIDE RAIL APPARATUS | 5 |
Shinsuke Hayahara | JP | Mobara | 2015-04-16 / 20150102356 - LIQUID CRYSTAL DEVICE AND MANUFACTURING METHOD FOR SAME | 2 |
Ryuuji Hayahara | JP | Tokyo | 2015-06-25 / 20150179492 - ELECTROSTATIC CHUCK APPARATUS | 5 |
Manabu Hayahi | JP | Kanagawa | 2010-02-11 / 20100031473 - TILT HINGE CAPABLE OF EASILY SWITCHING A MOVABLE RANGE BY A PUSHING OPERATION | 1 |
Yamato Hayahi | JP | Sendai | 2015-12-31 / 20150380765 - SOLID ELECTROLYTE AND ALL-SOLID STATE ION SECONDARY BATTERY USING THE SAME | 1 |
Daisuke Hayahi | JP | Yamanashi | 2012-09-13 / 20120228985 - SQUIRREL-CAGE ROTOR OF INDUCTION MOTOR AND PRODUCTION METHOD THEREOF WHEREIN END RING IS BRAZED WITH BAR | 1 |
Ikuo Hayaishi | JP | Matsumoto-Shi | 2014-10-02 / 20140294321 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 4 |
Ikuo Hayaishi | JP | Nagano-Ken | 2011-08-18 / 20110200267 - ENHANCEMENT OF IMAGE DATA | 7 |
Ikuo Hayaishi | IE | Dun Laoghaire | 2011-03-31 / 20110078176 - IMAGE SEARCH APPARATUS AND METHOD | 3 |
Ikuo Hayaishi | JP | Asahi-Mura | 2015-08-27 / 20150244799 - DISPLAY SYSTEM, DISPLAY APPARATUS, DISPLAY METHOD, AND COMPUTER PROGRAM | 1 |
Ikuo Hayaishi | JP | Suwa-Shi | 2009-03-05 / 20090060384 - Image Processing Device and Image Processing Method | 1 |
Masahiko Hayakawa | JP | Tokyo | 2013-04-25 / 20130102617 - METHOD OF TREATING DIABETES, METABOLIC SYNDROME AND OBESITY USING PHENYLACETAMIDE DERIVATIVE | 5 |
Yasutake Hayakawa | JP | Kuwana-Shi | 2015-08-20 / 20150231754 - GREEN BALL GRINDING METHOD, CERAMIC SPHERE FABRICATION METHOD, AND GRINDING APPARATUS | 3 |
Masahiko Hayakawa | JP | Tsukuba-Shi | 2010-06-03 / 20100137585 - FUSED HETEROARYL DERIVATIVES | 3 |
Kentaro Hayakawa | JP | Kawaguchi-Shi | 2011-12-01 / 20110291686 - ELECTRIC CONTACT AND SOCKET FOR ELECTRICAL PART | 1 |
Eiji Hayakawa | JP | Tsunomiya | 2009-01-22 / 20090022961 - INTERLAYER FOR LITHOGRAPHIC PLATES | 1 |
Masahiko Hayakawa | JP | Ama-Gun | 2016-03-17 / 20160077487 - Developing Unit Having Guide that Stably Supports Toner Cartridge | 4 |
Masahiko Hayakawa | JP | Kanagawa-Ken | 2010-09-09 / 20100224868 - Light Emitting Device | 1 |
Yoshiaki Hayakawa | JP | Tokyo | 2013-11-21 / 20130308437 - STP PATHWAY CONTROL SYSTEM APPLIED TO WIRELESS COMMUNICATION DEVICE HAVING AMR FUNCTION | 2 |
Masahiko Hayakawa | JP | Fukushima | 2009-02-26 / 20090051323 - BATTERY PACK | 2 |
Yasuhisa Hayakawa | JP | Yokohama-Shi | 2015-11-26 / 20150339535 - ON-VEHICLE IMAGE PROCESSOR | 37 |
Tadashi Hayakawa | JP | Kanagawa | 2015-11-26 / 20150338775 - POWDER CONTAINER AND IMAGE FORMING APPARATUS | 28 |
Norimitsu Hayakawa | JP | Inagi | 2013-06-13 / 20130152083 - VIRTUAL COMPUTER SYSTEM AND CONTROL METHOD OF MIGRATING VIRTUAL COMPUTER | 5 |
Kazunori Hayakawa | JP | Ishikawa | 2009-04-02 / 20090086153 - STRIP-SHAPED BASE METAL FOR LIQUID CELL, MULTI-FACE CHAMFERED BASE MATERIAL FOR LIQUID CELL, SUBSTRATE FOR ARRAY SUBSTRATE, AND LIQUID CELL MANUFACTURING METHOD | 1 |
Masahiko Hayakawa | JP | Tochigi | 2015-09-24 / 20150270403 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE INCLUDING SEMICONDUCTOR DEVICE, DISPLAY MODULE INCLUDING DISPLAY DEVICE, AND ELECTRONIC DEVICE INCLUDING SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND DISPLAY MODULE | 9 |
Miki Hayakawa | JP | Tokyo | 2016-05-05 / 20160125430 - Behavior Analysis Device | 3 |
Takayuki Hayakawa | JP | Fujioka-Shi | 2014-03-20 / 20140079654 - AQUEOUS MANICURE COMPOSITION | 3 |
Michihiko Hayakawa | JP | Shizuoka-Shi | 2013-10-17 / 20130272013 - VEHICLE LAMP | 2 |
Kiyoharu Hayakawa | JP | Nagoya-Shi | 2009-04-02 / 20090085940 - Image forming apparatus and information recording medium | 1 |
Takeshi Hayakawa | JP | Kyoto | 2015-04-23 / 20150109481 - COMPUTER-READABLE RECORDING MEDIUM RECORDING INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD | 12 |
Takahiro Hayakawa | US | 2012-08-02 / 20120195005 - ELECTRONIC UNIT | 1 | |
Ryoichi Hayakawa | JP | Yokohama-Shi | 2015-04-23 / 20150110880 - ORALLY DISINTEGRATING TABLET AND PRODUCTION PROCESS THEREFOR | 1 |
Tomohiro Hayakawa | JP | Saitama | 2016-04-14 / 20160104296 - IMAGE PROCESSING DEVICE, METHOD, AND PROGRAM | 15 |
Yuji Hayakawa | JP | Shiga | 2016-03-10 / 20160066738 - STEAM GENERATOR | 12 |
Yoshitaka Hayakawa | JP | Tokyo | 2012-03-29 / 20120076880 - PLASTIC LENS MANUFACTURING DEVICE | 1 |
Koichi Hayakawa | JP | Okayama | 2009-12-03 / 20090296765 - SEMICONDUCTOR LASER DEVICE | 3 |
Yoji Hayakawa | JP | Chiba-Shi | 2014-09-04 / 20140248488 - 4-METHYL-1-PENTENE POLYMER, RESIN COMPOSITION CONTAINING 4-METHYL-1-PENTENE POLYMER, MASTERBATCH THEREOF, AND FORMED PRODUCT THEREOF | 3 |
Jiro Hayakawa | JP | Tokyo | 2011-08-04 / 20110191734 - DESIGNING APPARATUS, DESIGNING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Ryohei Hayakawa | JP | Shirako | 2010-07-15 / 20100177359 - MAINTENANCE WORK SUPPORT DEVICE, MAINTENANCE WORK SUPPORT METHOD, AND PROGRAM | 1 |
Ken Hayakawa | JP | Tokyo | 2014-09-11 / 20140252613 - SEMICONDUCTOR DEVICE | 2 |
Koji Hayakawa | JP | Chosei, Chosei-Gun | 2016-04-28 / 20160117054 - COORDINATE INPUT DEVICE AND DISPLAY DEVICE INCLUDING THE SAME | 3 |
Mitsuhiro Hayakawa | JP | Kawasaki | 2012-04-12 / 20120086977 - PRINTING METHOD, RECORDING MEDIUM, AND INFORMATION PROCESSING APPARATUS | 1 |
Tetsuya Hayakawa | JP | Tokyo | 2012-12-13 / 20120315821 - TOY SET, GAME CONTROL PROGRAM, AND GAME DEVICE AND TOY COMMUNICATION SYSTEM | 1 |
Yoshitsune Hayakawa | JP | Tokyo | 2009-03-05 / 20090062721 - IONTOPHORESIS DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Koichi Hayakawa | JP | Hitachinaka | 2012-05-24 / 20120131529 - SEMICONDUCTOR DEFECT CLASSIFYING METHOD, SEMICONDUCTOR DEFECT CLASSIFYING APPARATUS, AND SEMICONDUCTOR DEFECT CLASSIFYING PROGRAM | 4 |
Emiko Hayakawa | JP | Kyonan | 2009-01-08 / 20090009692 - Liquid Crystal Display Device | 1 |
Tomoki Hayakawa | JP | Tokyo | 2015-11-12 / 20150322398 - BIOMASS PROCESSING DEVICE AND PROCESSING METHOD | 4 |
Kiro Hayakawa | JP | Nasushiobara | 2012-03-08 / 20120058233 - METHOD OF PRODUCING PROCESSED TOMATO PRODUCT | 1 |
Kazuhiro Hayakawa | JP | Tokyo | 2014-10-02 / 20140290599 - ACTIVE GRILLE SHUTTER | 1 |
Satoshi Hayakawa | JP | Osaka | 2010-08-26 / 20100217005 - EPOXY COMPOUND AND METHOD FOR MANUFACTURING THE SAME | 1 |
Koichi Hayakawa | JP | Tokyo | 2014-01-09 / 20140012212 - Sprayer | 7 |
Hirofumi Hayakawa | JP | Tokyo | 2014-02-20 / 20140048720 - SAMPLE HOLDER OF ELECTRON BEAM EXPOSURE APPARATUS AND ELECTRON BEAM EXPOSURE METHOD USING THE SAME | 1 |
Norimitsu Hayakawa | JP | Tokyo | 2015-04-30 / 20150121372 - VIRTUAL COMPUTER SYSTEM FOR RESTORING NETWORK CONNECTION OF LIVE-MIGRATED VIRTUAL COMPUTER | 3 |
Koichiro Hayakawa | JP | Saitama | 2012-10-18 / 20120262800 - ZOOM LENS SYSTEM | 6 |
Masamitsu Hayakawa | JP | Obu-Shi | 2013-01-03 / 20130000610 - FUEL VAPOR PROCESSING APPARATUS | 2 |
Fumihiko Hayakawa | JP | Kawasaki | 2015-07-09 / 20150194198 - MULTI-CORE PROCESSOR SYSTEM, MEMORY CONTROLLER CONTROL METHOD, AND COMPUTER PRODUCT | 12 |
Koshi Hayakawa | JP | Saitama | 2010-09-16 / 20100234178 - ELECTRONIC CLUTCH CONTROL APPARATUS FOR VEHICLE | 9 |
Koichi Hayakawa | JP | Machida-Shi | 2015-04-02 / 20150094731 - BONE TREATMENT SYSTEM | 2 |
Koichi Hayakawa | JP | Ashigarakami-Gun | 2013-08-22 / 20130218165 - PUNCTURE NEEDLE FOR INJECTING BONE CEMENT, AND METHOD FOR PRODUCING SAME | 5 |
Yasuhisa Hayakawa | JP | Sagamihara-Shi | 2014-12-18 / 20140368656 - THREE-DIMENSIONAL OBJECT DETECTION DEVICE | 2 |
Kazuhisa Hayakawa | JP | Joetsu-Shi | 2014-05-22 / 20140142018 - EXTRUSION OR INJECTION MOLDING MACHINE PURGING COMPOSITION AND METHOD | 14 |
Zenji Hayakawa | JP | Aichi | 2015-01-29 / 20150029736 - CEILING LIGHTING APPARATUS OF AIRCRAFT AND AIRCRAFT | 1 |
Junji Hayakawa | JP | Miyako-Gun | 2013-08-01 / 20130195713 - HEAT-RESISTANT, FERRITIC CAST STEEL HAVING EXCELLENT MELT FLOWABILITY, GAS DEFECT RESISTANCE, TOUGHNESS AND MACHINABILITY, AND EXHAUST MEMBER MADE THEREOF | 1 |
Koichi Hayakawa | JP | Kanagawa-Ken | 2011-08-18 / 20110202065 - BONE CEMENT INJECTION NEEDLE | 2 |
Hiroshi Hayakawa | JP | Saitama | 2014-03-27 / 20140084061 - OPTICAL INFORMATION READING DEVICE, OPTICAL INFORMATION READING METHOD, COMPUTER-READABLE PROGRAM AND RECORDING MEDIUM | 3 |
Hideki Hayakawa | JP | Tokyo | 2012-05-10 / 20120113277 - CONTROL APPARATUS, CONTROL METHOD, IMAGAGING APPARATUS, PROGRAM AND IMAGING SYSTEM | 2 |
Sho Hayakawa | JP | Mie | 2012-02-23 / 20120042707 - WIRE DRAWING DEVICE AND METHOD FOR MANUFACTURING WIRE | 1 |
Satoshi Hayakawa | JP | Saitama-Shi | 2012-04-19 / 20120094090 - METHOD FOR FORMING TRANSPARENT CONDUCTIVE LAYER PATTERN | 1 |
Tomohiro Hayakawa | JP | Saitama | 2016-04-14 / 20160104296 - IMAGE PROCESSING DEVICE, METHOD, AND PROGRAM | 15 |
Hisashi Hayakawa | JP | Iwata | 2010-04-22 / 20100099529 - CHAIN TENSIONER | 3 |
Kojiro Hayakawa | JP | Sunto-Gun, Shizuoka-Ken | 2016-03-03 / 20160061091 - COOLING WATER CONTROL APPARATUS | 1 |
Satoshi Hayakawa | JP | Saitama | 2013-07-04 / 20130168138 - SUBSTRATE HAVING TRANSPARENT CONDUCTIVE LAYER, METHOD FOR PRODUCING SAME, TRANSPARENT CONDUCTIVE FILM LAMINATE FOR TOUCH PANEL, AND TOUCH PANEL | 2 |
Satoshi Hayakawa | JP | Kanagawa | 2016-03-10 / 20160069930 - STATIC ELECTRICITY NEUTRALIZING DEVICE AND STATIC ELECTRICITY NEUTRALIZING METHOD | 2 |
Yukio Hayakawa | JP | Kyoto | 2015-09-17 / 20150263279 - RESISTIVE NONVOLATILE STORAGE DEVICE, MANUFACTURING METHOD FOR SAME, AND RESISTIVE NONVOLATILE STORAGE APPARATUS | 24 |
Hisashi Hayakawa | JP | Shizuoka | 2013-10-17 / 20130269514 - HYDRAULIC AUTO-TENSIONER | 3 |
Satoshi Hayakawa | JP | Ichikawa-Shi | 2013-11-07 / 20130293967 - ZOOM LENS SYSTEM, OPTICAL APPARATUS, AND METHOD FOR MANUFACTURING ZOOM LENS SYSTEM | 6 |
Motoo Hayakawa | JP | Kure-Shi | 2011-10-06 / 20110246049 - ABNORMAL COMBUSTION DETECTION METHOD FOR SPARK-IGNITION ENGINE, AND SPARK-IGNITION ENGINE | 1 |
Toshinobu Hayakawa | JP | Shizuoka | 2009-01-22 / 20090024078 - Triple lumen catheter | 1 |
Tadashi Hayakawa | JP | Ohta-Ku, Tokyo | 2015-11-26 / 20150338775 - POWDER CONTAINER AND IMAGE FORMING APPARATUS | 1 |
Yoji Hayakawa | JP | Chiba | 2011-12-29 / 20110319548 - THERMOPLASTIC RESIN COMPOSITION | 3 |
Natsuto Hayakawa | JP | Kosai-Shi | 2012-03-22 / 20120066975 - CLUTCH, MOTOR AND VEHICLE DOOR OPENING/CLOSING DEVICE | 1 |
Kiyoharu Hayakawa | JP | Obu-City | 2009-10-01 / 20090243042 - LATERAL SEMICONDUCTOR DEVICE | 1 |
Katsuyuki Hayakawa | JP | Saitama | 2013-05-09 / 20130115614 - METHOD FOR DETECTING AND QUANTIFYING ENDOGENOUS WHEAT DNA SEQUENCE | 3 |
Hiroshi Hayakawa | JP | Aichi | 2013-12-12 / 20130330792 - METHOD FOR PRODUCING CHEMICALS BY CONTINUOUS FERMENTATION | 1 |
Akinobu Hayakawa | JP | Kyoto | 2011-11-03 / 20110265877 - ORGANIC THIN-FILM PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF MANUFACTURING THE SAME | 2 |
Hidesuke Hayakawa | JP | Tokyo | 2009-11-12 / 20090281667 - AIR CONDITIONING SYSTEM | 3 |
Takeshi Hayakawa | JP | Shizuoka-Ken | 2012-04-26 / 20120096841 - EXHAUST DEVICE OF INTERNAL-COMBUSTION ENGINE | 1 |
Yasuhiro Hayakawa | JP | Tokyo | 2009-11-26 / 20090290340 - Lighting Device | 1 |
Yoshito Hayakawa | JP | Osaka | 2016-02-25 / 20160055688 - DATA COLLECTION DEVICE, WORKING MACHINE HAVING DATA COLLECTION DEVICE, AND SYSTEM USING DATA COLLECTION DEVICE | 1 |
Hirohiko Hayakawa | JP | Hyogo | 2014-02-13 / 20140043032 - SWITCH CIRCUIT, SELECTION CIRCUIT, AND VOLTAGE MEASUREMENT DEVICE | 1 |
Yasuhisa Hayakawa | JP | Yokohama-Shi, Kanagawa | 2016-05-19 / 20160137197 - Acceleration Suppression Device for Vehicle, and Acceleration Suppression Method for Vehicle | 10 |
Tadashi Hayakawa | JP | Yokohama-Shi | 2014-01-30 / 20140029973 - POWDER STORAGE CONTAINER AND IMAGE FORMING APPARATUS | 8 |
Hiroyuki Hayakawa | JP | Chiba | 2010-01-21 / 20100016567 - PROCESS FOR PRODUCING DI(PYRIMIDINE NUCLEOSIDE 5'-)POLYPHOSPHATE | 1 |
Tadashi Hayakawa | JP | Matsudo City | 2008-10-09 / 20080245762 - SQUARE BOTTLE MANUFACTURED FROM SYNTHETIC RESIN | 1 |
Masahiko Hayakawa | JP | Kitanagoya-Shi | 2015-03-26 / 20150086223 - Image Forming Apparatus and Method of Manufacturing the Same | 1 |
Hiroshi Hayakawa | JP | Yokohama | 2013-03-28 / 20130080604 - SYSTEM MANAGEMENT APPARATUS AND SYSTEM MANAGEMENT METHOD | 1 |
Hitoshi Hayakawa | JP | Yachiyo-Shi | 2009-05-07 / 20090118448 - METHOD OF PRODUCING POLYMER USING IRON COMPLEX AS CATALYST | 1 |
Takeshi Hayakawa | JP | Kyoto | 2015-04-23 / 20150109481 - COMPUTER-READABLE RECORDING MEDIUM RECORDING INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD | 12 |
Hitoshi Hayakawa | JP | Sakura-Shi | 2009-11-19 / 20090286940 - Hyperbranched Polyether Polyol and Urethane Resin Composition | 1 |
Hitoshi Hayakawa | JP | Shiojiri-Shi | 2008-08-21 / 20080198186 - LIQUID EJECTING APPARATUS AND PROGRAM | 1 |
Takeshi Hayakawa | JP | Yokohama-Shi | 2012-10-04 / 20120251222 - INK COMPOSITION FOR AQUEOUS INK BALL POINT PEN AND AQUEOUS INK BALL POINT PEN | 1 |
Mayumi Hayakawa | JP | Kanagawa | 2014-01-23 / 20140025333 - POSITION MEASUREMENT APPARATUS, POSITION MEASUREMENT METHOD, ANDNON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Shuhei Hayakawa | JP | Tokyo | 2011-10-13 / 20110247365 - MOLD AND GLASS MOLDED BODY MANUFACTURING METHOD | 1 |
Youji Hayakawa | JP | Yamagata-Shi | 2011-07-28 / 20110180397 - WATER BATTERY DEVICE | 1 |
Junichiro Hayakawa | JP | Kanagawa | 2016-04-28 / 20160118773 - SURFACE-EMITTING SEMICONDUCTOR LASER DEVICE AND METHOD FOR PRODUCING THE SAME | 5 |
Shinji Hayakawa | JP | Gifu-Shi | 2011-07-28 / 20110180397 - WATER BATTERY DEVICE | 1 |
Yasutake Hayakawa | JP | Mie | 2012-02-16 / 20120039558 - CAGE, ROLLING BEARING, METHOD FOR MANUFACTURING CAGE, AND INJECTION MOLD | 1 |
Yukihiko Hayakawa | JP | Kofu-Shi | 2013-07-04 / 20130169107 - BRUSH MOTOR | 4 |
Hitoshi Hayakawa | JP | Chiba | 2011-05-05 / 20110105703 - PROCESS FOR PRODUCTION OF POLYMERS WITH IRON COMPLEX CATALYST | 1 |
Kazutaka Hayakawa | JP | Ebina-Shi | 2008-10-16 / 20080250721 - FOAM-MOLDED MEMBER HAVING SKIN AND METHOD OF MANUFACTURING FOAM-MOLDED MEMBER HAVING SKIN | 1 |
Yasuo Hayakawa | JP | Tokyo | 2008-11-13 / 20080278866 - MAGNETIC SENSING ELEMENT | 1 |
Akihiro Hayakawa | JP | Yamagata-Shi | 2011-07-28 / 20110180397 - WATER BATTERY DEVICE | 1 |
Kenji Hayakawa | JP | Hyogo Pref. | 2009-02-26 / 20090054467 - Pyrrolo Pyrimidines as Agents for the Inhibition of Cystein Proteases | 1 |
Motoo Hayakawa | JP | Hiroshima | 2016-04-21 / 20160108870 - INTAKE SYSTEM OF ENGINE | 1 |
Kenji Hayakawa | JP | Takarazuka-Shi | 2008-11-06 / 20080275127 - Arylsulfonamido-substituted hydroxamic acid derivatives | 1 |
Kenichi Hayakawa | JP | Yokohama-Shi | 2014-06-05 / 20140151277 - FLUID PURIFICATION SYSTEM | 1 |
Hirohiko Hayakawa | JP | Kanagawa | 2014-09-18 / 20140266051 - BATTERY SYSTEM | 1 |
Kenji Hayakawa | JP | Hyogo | / - | 1 |
Yukihiro Hayakawa | JP | Kanagawa | 2016-01-07 / 20160004484 - PRINT CONTROL METHOD AND RECORDING MEDIUM STORING PRINT CONTROL PROGRAM | 4 |
Akio Hayakawa | JP | Tokyo | 2012-01-26 / 20120023281 - Single-chip microcomputer | 2 |
Yasuyuki Hayakawa | JP | Kurashiki-Shi | 2013-03-28 / 20130074996 - METHOD FOR MANUFACTURING GRAIN ORIENTED ELECTRICAL STEEL SHEET | 1 |
Jun Hayakawa | JP | Tokyo | 2016-02-11 / 20160043297 - HIGH EFFICIENCY THERMOELECTRIC CONVERSION UNIT | 7 |
Atsushi Hayakawa | JP | Shinjuku-Ku | 2014-05-29 / 20140144105 - DRINK FILLING METHOD AND APPARATUS | 8 |
Kiyoshi Hayakawa | JP | Kizugawa-Shi | 2009-02-05 / 20090035439 - Method and Ball Mill Apparatus for Manufacturing Powdered Tea | 1 |
Kiyoshi Hayakawa | JP | Shiga | 2009-03-26 / 20090078619 - Classification Apparatus For Powdery Substance | 1 |
Miki Hayakawa | JP | Kokubunji | 2012-06-14 / 20120151197 - INFORMATION PROCESSING DEVICE | 2 |
Takashi Hayakawa | JP | Minato-Ku | 2014-07-24 / 20140206187 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Miki Hayakawa | US | Cambridge | 2012-06-07 / 20120139750 - SENSOR NODE | 2 |
Haruo Hayakawa | JP | Kanagawa | 2012-04-19 / 20120091952 - CONTACTLESS CHARGING SYSTEM | 9 |
Yasuyoshi Hayakawa | JP | Mishima-Shi | 2011-06-16 / 20110140355 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Koji Hayakawa | JP | Chosei | 2010-11-25 / 20100295810 - SENSORING APPARATUS OF PROXIMITY AND CONTACT, AND DISPLAY DEVICES | 3 |
Yoshikuni Hayakawa | JP | Tokai-Shi | 2013-02-14 / 20130038108 - VEHICLE SEAT | 1 |
Michio Hayakawa | JP | Kanagawa | 2015-09-17 / 20150262046 - PRINT DATA PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 9 |
Kunihiro Hayakawa | JP | Nakano-Ku | 2015-02-12 / 20150045276 - AGENT AND METHOD FOR CLEANING PERMEABLE MEMBRANES | 2 |
Kouichi Hayakawa | JP | Tokyo | 2009-12-10 / 20090307701 - INFORMATION PROCESSING METHOD AND APPARATUS USING THE SAME | 1 |
Yuji Hayakawa | JP | Kanagawa | 2015-09-03 / 20150248100 - IMAGE FORMING APPARATUS | 4 |
Koji Hayakawa | JP | Chosei-Gun | 2011-09-08 / 20110216033 - COORDINATE INPUT DEVICE AND DISPLAY DEVICE INCLUDING THE SAME | 3 |
Masahiro Hayakawa | JP | Kyoto-Shi | 2013-02-14 / 20130038655 - PRINTING APPARATUS AND DENSITY CORRECTION METHOD | 1 |
Toshinobu Hayakawa | JP | Fukuroi-Shi | 2012-09-27 / 20120245513 - Dialysis Catheter | 1 |
Kouichi Hayakawa | JP | Hitachinaka | 2010-01-28 / 20100019148 - INSPECTION APPARATUS FOR CIRCUIT PATTERN | 1 |
Takako Hayakawa | JP | Kanagawa | 2013-07-04 / 20130170074 - HERMETICALLY RESEALABLE HARD-DISK DRIVE CONFIGURED FOR RECHARGING WITH A LOW-DENSITY GAS | 11 |
Kouji Hayakawa | JP | Chousei | 2009-02-05 / 20090033852 - Liquid Crystal Display Device | 1 |
Kouji Hayakawa | JP | Chosei | 2015-07-16 / 20150199060 - LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Kenji Hayakawa | JP | Iga-Shi | 2013-01-10 / 20130011611 - LAMINATE | 1 |
Munetaka Hayakawa | JP | Toyota-Shi | 2013-11-28 / 20130314839 - FILM CAPACITOR ELEMENT, FILM CAPACITOR, AND METHOD OF PRODUCING THE FILM CAPACITOR ELEMENT | 3 |
Takanobu Hayakawa | JP | Kanagawa | 2010-11-04 / 20100280776 - Mobile Electronic Device and Method for Controlling the Same | 3 |
Kunihiro Hayakawa | JP | Hasuda-Shi | 2009-02-05 / 20090032466 - Agent for Increasing Rejection with a Permeable Membrane, Process for Increasing the Rejection, Permeable Membrane and Process for Water Treatment | 1 |
Takeshi Hayakawa | JP | Yamaguchi | 2011-10-13 / 20110251374 - ANTIBODY PURIFICATION METHOD | 1 |
Shinpei Hayakawa | JP | Kanagawa | 2010-02-04 / 20100031331 - Remote Access Method | 1 |
Shota Hayakawa | JP | Ohtsu-Shi | 2010-04-15 / 20100093938 - HEAT SHRINKABLE POLYSTYRENE FILM AND PROCESS FOR PRODUCING THE SAME | 1 |
Etsuji Hayakawa | JP | Kanagawa | 2009-08-20 / 20090208165 - WAVEGUIDE TYPE OPTICAL ISOLATOR AND MAGNET HOLDER USED IN WAVEGUIDE TYPE OPTICAL ISOLATOR | 1 |
Toshio Hayakawa | JP | Tokyo | 2010-12-02 / 20100301743 - ORGANIC EL DISPLAY APPARATUS | 1 |
Fujio Hayakawa | JP | Tokyo | 2012-08-23 / 20120215441 - MAP DATA CREATING DEVICE, NAVIGATION DEVICE, AND MAP PROCESSING SYSTEM USING THESE DEVICES | 4 |
Koshi Hayakawa | JP | Wako-Shi | 2016-03-31 / 20160089945 - INDEPENDENT SUSPENSION SYSTEM OF DRIVE WHEEL | 6 |
Kunio Hayakawa | JP | Mishima-Shi | 2009-02-19 / 20090048106 - REVERSIBLE THERMOSENSITIVE RECORDING MEDIUM, REVERSIBLE THERMOSENSITIVE RECORDING LABEL, MEMBER, AND IMAGE PROCESSING METHOD | 1 |
Yukio Hayakawa | JP | Kyoto | 2015-09-17 / 20150263279 - RESISTIVE NONVOLATILE STORAGE DEVICE, MANUFACTURING METHOD FOR SAME, AND RESISTIVE NONVOLATILE STORAGE APPARATUS | 24 |
Kazuhito Hayakawa | JP | Tokyo | 2014-10-30 / 20140320703 - Zoom Lens Having Optical Path Bending Member and Image Pickup Apparatus Equipped with same | 7 |
Makio Hayakawa | JP | Tokyo | 2010-03-04 / 20100055714 - IKB kinase, subunits thereof, and methods of using same | 1 |
Akinori Hayakawa | JP | Sagamihara | 2015-11-12 / 20150323738 - OPTICAL DEVICE AND OPTICAL MODULE | 7 |
Haruo Hayakawa | JP | Kanagawa | 2012-04-19 / 20120091952 - CONTACTLESS CHARGING SYSTEM | 9 |
Shoji Hayakawa | JP | Kawasaki | 2012-08-16 / 20120209598 - STATE DETECTING DEVICE AND STORAGE MEDIUM STORING A STATE DETECTING PROGRAM | 10 |
Kazuhiko Hayakawa | JP | Tokyo | 2012-07-19 / 20120182709 - SUPPORT ARM AND IMAGE DISPLAY DEVICE | 6 |
Makoto Hayakawa | JP | Chigasaki-Shi | 2011-09-29 / 20110236284 - PHOTOCATALYST-COATED BODY AND PHOTOCATALYTIC COATING LIQUID | 3 |
Takahiko Hayakawa | JP | Tokyo | 2010-08-05 / 20100199376 - Protein Involved in Restoration of Cytoplasmic Male Sterility to Fertility and Gene Encoding the Protein and Gene | 1 |
Kojiro Hayakawa | JP | Sunto-Gun | 2016-04-14 / 20160102601 - COOLING WATER CONTROL APPARATUS | 2 |
Hiroshi Hayakawa | JP | Ibaraki | 2011-09-15 / 20110223098 - TITANIUM OXIDE AND METHOD OF PRODUCING THE SAME | 2 |
Hiroshi Hayakawa | JP | Saitama Pref. | 2012-04-19 / 20120091207 - METHOD AND APPARATUS FOR SCANNING OPTICAL CODE | 2 |
Masahiko Hayakawa | JP | Tochigi | 2015-09-24 / 20150270403 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE INCLUDING SEMICONDUCTOR DEVICE, DISPLAY MODULE INCLUDING DISPLAY DEVICE, AND ELECTRONIC DEVICE INCLUDING SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND DISPLAY MODULE | 9 |
Yoshikazu Hayakawa | JP | Aichi-Ken | 2011-11-24 / 20110288683 - GRIP POSITION CALCULATOR AND METHOD OF CALCULATING GRIP POSITION | 1 |
Toshiyuki Hayakawa | JP | Tokyo | 2012-10-18 / 20120261607 - THERMAL STORAGE MEDIUM COMPOSITION AND THERMAL STORAGE MEDIUM | 3 |
Makoto Hayakawa | JP | Kanagawa-Ken | 2013-10-10 / 20130267410 - PHOTOCATALYST-COATED OBJECT AND PHOTOCATALYST COATING LIQUID FOR SAME | 4 |
Yoichi Hayakawa | JP | Tokyo | 2014-07-03 / 20140186061 - IMAGE FORMATION APPARATUS | 8 |
Yoshinobu Hayakawa | JP | Tokyo | 2012-05-03 / 20120107482 - CONCENTRATED COFFEE EXTRACT | 5 |
Masato Hayakawa | JP | Saitama | 2011-11-24 / 20110288681 - FIVE-FINGERED HAND DEVICE | 2 |
Yuki Hayakawa | JP | Saitama | 2011-09-29 / 20110234032 - SUBSTRATE SUPPORT STRUCTURE | 2 |
Masamitsu Hayakawa | JP | Anjo-Shi | 2012-05-24 / 20120125200 - APPARATUS HAVING A CANISTER AND A COMPONENT ASSOCIATED WITH THE CANISTER | 2 |
Masamitsu Hayakawa | JP | Anjyo-Shi | / - | 1 |
Teruo Hayakawa | JP | Shiga | 2010-09-02 / 20100219002 - MEASURING DEVICE | 4 |
Atsushi Hayakawa | JP | Saitama | 2014-06-12 / 20140160270 - CORRECTION APPARATUS, PROBE APPARATUS, AND TEST APPARATUS | 1 |
Satoshi Hayakawa | JP | Inuyama-Shi | 2008-11-20 / 20080284057 - HEAT-SHRINKABLE POLYESTER FILM ROLL AND A PROCESS FOR PRODUCING THE SAME | 1 |
Takahiro Hayakawa | JP | Osaka | 2010-10-28 / 20100272271 - SPEAKER SYSTEM | 1 |
Masahiro Hayakawa | JP | Yotsukaido-Shi | 2009-10-01 / 20090246306 - Injection Apparatus and Adjusting Method of the Injection Apparatus | 1 |
Yoichi Hayakawa | JP | Tokyo | 2014-07-03 / 20140186061 - IMAGE FORMATION APPARATUS | 8 |
Hidekazu Hayakawa | JP | Mooka-Shi | 2010-10-28 / 20100271837 - VEHICULAR ILLUMINATION DEVICE | 1 |
Masahiko Hayakawa | JP | Kanagawa | 2015-09-24 / 20150270322 - LIGHT EMITTING DEVICE | 10 |
Masahiro Hayakawa | JP | Chiba | 2013-09-26 / 20130251842 - INJECTION MOLDING MACHINE | 3 |
Takeshi Hayakawa | JP | Susono-Shi | 2013-05-23 / 20130125542 - EXHAUST HEATING APPARATUS FOR INTERNAL COMBUSTION ENGINE AND CONTROL METHOD FOR THE SAME | 1 |
Kazuhide Hayakawa | JP | Osaka | 2015-07-02 / 20150183812 - PYRIMIDINE COMPOUND AND MEDICAL USE THEREOF | 1 |
Shinichi Hayakawa | JP | Aichi-Ken | 2010-10-07 / 20100253053 - Inflator | 1 |
Masahiko Hayakawa | JP | Atsugi | 2016-04-21 / 20160111451 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE PRODUCTION SYSTEM | 50 |
Shinichi Hayakawa | JP | Aichi | 2009-09-17 / 20090230664 - GAS GENERATOR | 2 |
Naoya Hayakawa | JP | Tokyo | 2015-10-29 / 20150306694 - SUBMERGED ARC WELDING METHOD FOR STEEL PLATE | 3 |
Yoshihiro Hayakawa | JP | Aichi | 2011-04-21 / 20110092690 - LINKER AND SUPPORT FOR SOLID PHASE SYNTHESIS OF NUCLEIC ACID | 1 |
Setsuyu Hayakawa | JP | Aichi | 2011-02-24 / 20110042029 - Mold Release Agent and Casting Method | 1 |
Masahito Hayakawa | JP | Osaka | 2008-10-23 / 20080259201 - Camera Module and Electronic Apparatus Provided with it | 1 |
Kunihiro Hayakawa | JP | Tokyo | 2010-06-03 / 20100136238 - METHOD OF ENHANCING REJECTION OF PERMEATION MEMBRANE, REJECTION-ENHANCED MEMBRANE, METHOD AND APPARATUS FOR TREATMENT BY PERMEATION MEMBRANE | 2 |
Masanobu Hayakawa | JP | Aichi | 2009-10-29 / 20090266505 - Continuous casting method of steel | 1 |
Atsushi Hayakawa | JP | Aichi | 2009-07-02 / 20090169233 - Image Formation Device | 1 |
Yukio Hayakawa | JP | Fukushima-Ken | 2015-09-24 / 20150270278 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THEREOF | 8 |
Eiichi Hayakawa | JP | Aichi | 2009-12-17 / 20090308719 - SWITCH | 1 |
Akira Hayakawa | JP | Aichi | 2009-07-23 / 20090186491 - SERIES UNIT | 1 |
Shigenori Hayakawa | JP | Atsugi | 2012-01-12 / 20120008895 - SEMICONDUCTOR OPTICAL DEVICE, OPTICAL TRANSMITTER MODULE, OPTICAL TRANSCEIVER MODULE, AND OPTICAL TRANSMISSION EQUIPMENT | 3 |
Hiromasa Hayakawa | JP | Aichi-Ken | 2011-09-29 / 20110233365 - VEHICLE SEAT RAIL ASSEMBLY | 4 |
Kiyoshi Hayakawa | JP | Shinjuku-Ku | 2013-05-23 / 20130126334 - METHOD FOR PRODUCING GLASS SUBSTRATE FOR MAGNETIC DISKS, AND METHOD FOR PRODUCING MAGNETIC DISK | 1 |
Tomoharu Hayakawa | JP | Kasugai-City | 2009-11-26 / 20090292936 - MICROCOMPUTER HAVING CPU AND PWM TIMER | 2 |
Takao Hayakawa | JP | Tokyo | 2011-05-12 / 20110111480 - Telomelysin/GFP-expressing recombinant virus | 1 |
Ken Hayakawa | JP | Kanagawa | 2010-09-02 / 20100220954 - SIGNAL PROCESSING APPARATUS | 4 |
Masahiro Hayakawa | JP | Odawara-Shi | 2014-03-13 / 20140072318 - IMAGE FORMING APPARATUS | 5 |
Takeshi Hayakawa | JP | Osaka | 2009-07-09 / 20090175593 - DIGITAL BROADCAST RECEIVING APPARATUS AND DIGITAL BROADCAST RECEIVING METHOD | 1 |
Seiichirou Hayakawa | JP | Osaka | 2011-12-22 / 20110311865 - IONIC LIQUID, ELECTROLYTE, LITHIUM SECONDARY BATTERY USING THE SAME, AND PROCESS FOR PRODUCING IONIC LIQUID | 2 |
Masashi Hayakawa | JP | Nagoya-City | 2009-10-01 / 20090246409 - Method and apparatus for mass-producing DLC films | 1 |
Atsuya Hayakawa | JP | Chita-Shi | 2011-08-25 / 20110203504 - MULTI-NEEDLE SEWING MACHINE | 4 |
Kazuhiro Hayakawa | JP | Nagoya-Shi, Aichi | 2015-12-03 / 20150346485 - LIGHT DEFLECTOR AND IMAGE FORMING APPARATUS | 1 |
Mineo Hayakawa | JP | Aichi-Ken | 2010-07-01 / 20100166909 - Mold clamping mechanism and injection-molding method | 2 |
Hiroko Hayakawa | JP | Tokyo | 2009-07-02 / 20090170185 - CHOLESTEROL ABSORPTION INHIBITOR | 1 |
Masashi Hayakawa | JP | Nagaokakyo-Shi | 2014-07-24 / 20140206299 - HIGH-FREQUENCY MODULE | 4 |
Koichi Hayakawa | JP | Machida-City | 2016-04-07 / 20160095602 - MEDICAL DEVICE | 1 |
Hiroshi Hayakawa | JP | Osaka | 2010-03-18 / 20100064969 - SEMICONDUCTOR MANUFACTURING PLANT | 2 |
Michio Hayakawa | JP | Saitama-Shi | 2011-03-31 / 20110075165 - IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD AND COMPUTER READABLE MEDIUM | 1 |
Takuma Hayakawa | JP | Tokyo | 2014-10-30 / 20140318316 - RARE EARTH BASED SINTERED MAGNET | 4 |
Kojiro Hayakawa | JP | Suntou-Gun | 2015-09-24 / 20150267602 - COOLING APPARATUS FOR ENGINE | 1 |
Hiroshige Hayakawa | JP | Kanagawa | 2010-04-22 / 20100097530 - DISPLAY DEVICE AND RADIO TRANSMISSION CONTROL METHOD | 1 |
Naoya Hayakawa | JP | Mie | 2011-01-20 / 20110015053 - Lead-Free Low-Melting-Point Glass Composition Having Acid Resistance | 1 |
Junichi Hayakawa | JP | Tokyo | 2012-08-16 / 20120208698 - THERMOSENSITIVE RECORDING LABEL | 2 |
Eiji Hayakawa | JP | Utsunomiya | 2014-09-11 / 20140255848 - LITHOGRAPHIC PRINTING PLATE PRECURSOR AND A METHOD FOR MAKING A PRINTING PLATE | 8 |
Satoshi Hayakawa | JP | Chiba-Ken | 2010-12-23 / 20100321791 - VARIABLE MAGNIFICATION OPTICAL SYSTEM, OPTICAL APPARATUS WITH THE SAME, AND METHOD FOR MANUFACTURING VARIABLE MAGNIFICATION OPTICAL SYSTEM | 2 |
Eisuke Hayakawa | JP | Kobe-Shi | 2015-09-17 / 20150260836 - ANTENNA | 6 |
Makoto Hayakawa | JP | Koshi City | 2012-05-10 / 20120116567 - SUBSTRATE TREATMENT APPARATUS, METHOD OF TRANSFERRING SUBSTRATE, AND NON-TRANSITORY COMPUTER STORAGE MEDIUM | 1 |
Toshio Hayakawa | US | Los Angeles | 2012-06-28 / 20120166557 - INFORMATION SEARCH METHOD USING ELECTRONIC MAIL ADDRESS | 2 |
Jun-Ya Hayakawa | JP | Chiba | 2015-10-08 / 20150284509 - AROMATIC POLYCARBONATE RESIN COMPOSITION | 5 |
Chihiro Hayakawa | JP | Tokyo | 2016-03-24 / 20160081904 - OIL-BASED COSMETIC | 5 |
Tohru Hayakawa | JP | Tokyo | 2011-10-20 / 20110257094 - ANTI PERIODONTITIS-CAUSING MICROORGANISM AGENT AND MEDICAL OR DENTAL MATERIALS USING THE SAME | 1 |
Harumi Hayakawa | JP | Wako-Shi | 2014-05-08 / 20140127626 - RESIST COMPOSITION FOR NEGATIVE DEVELOPMENT WHICH IS USED FOR FORMATION OF GUIDE PATTERN, GUIDE PATTERN FORMATION METHOD, AND METHOD FOR FORMING PATTERN ON LAYER CONTAINING BLOCK COPOLYMER | 5 |
Naoya Hayakawa | JP | Chiba | 2012-12-27 / 20120325362 - SUBMERGED ARC WELDING METHOD FOR STEEL PLATE | 3 |
Nobuhiko Hayakawa | JP | Kawasaki-Shi | 2012-12-20 / 20120323002 - IMIDAZOPYRIDAZINE COMPOUNDS | 2 |
Yasuhisa Hayakawa | JP | Yokohama-Shi | 2015-11-26 / 20150339535 - ON-VEHICLE IMAGE PROCESSOR | 37 |
Norihiro Hayakawa | JP | Yasu-Shi | 2010-05-06 / 20100108248 - METHOD FOR PRODUCING PIEZOELECTRIC COMPOSITE SUBSTRATE | 1 |
Fumio Hayakawa | JP | Tokyo | 2013-12-26 / 20130340932 - PAINT COAT-PROTECTING REMOVABLE PRESSURE-SENSITIVE ADHESIVE SHEET | 5 |
Hirohiko Hayakawa | JP | Itami | 2014-02-27 / 20140055896 - SEMICONDUCTOR DEVICE AND BATTERY VOLTAGE MONITORING DEVICE | 1 |
Norihiro Hayakawa | JP | Moriyama-Shi | 2010-09-02 / 20100219717 - ACOUSTIC WAVE DEVICE | 1 |
Kazuhide Hayakawa | JP | Takatsuki | 2010-09-23 / 20100240613 - PYRIMIDINE COMPOUND AND MEDICAL USE THEREOF | 2 |
Masatoshi Hayakawa | JP | Aichi-Ken | 2009-12-24 / 20090314916 - SEAT SLIDE DEVICE FOR VEHICLE | 2 |
Yukihiro Hayakawa | JP | Yokohama-Shi | 2016-04-14 / 20160104742 - METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP APPARATUS | 4 |
Akira Hayakawa | JP | Yokohama | 2013-12-26 / 20130346688 - COMPUTER SYSTEM AND METHOD OF CONTROLLING I/O WITH RESPECT TO STORAGE APPARATUS | 1 |
Nobuko Hayakawa | JP | Chiba | 2015-06-11 / 20150162563 - ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Aki Hayakawa | JP | Sagamihara-Shi | 2015-05-14 / 20150134215 - VEHICLE STARTUP CONTROL DEVICE AND STARTUP CONTROL METHOD | 3 |
Hironori Hayakawa | JP | Osaka | 2015-10-01 / 20150282386 - HEAT SOURCE UNIT OF REFRIGERATING APPARATUS | 3 |
Motomu Hayakawa | JP | Suwa-Shi | 2011-08-11 / 20110193931 - Image Forming Apparatus and Image Forming Method | 1 |
Kenji Hayakawa | JP | Aichi-Ken | 2009-10-01 / 20090243268 - Occupant protection system | 1 |
Naoaki Hayakawa | JP | Niigata | 2011-01-27 / 20110018702 - METER FOR VEHICLE | 1 |
Seiichirou Hayakawa | JP | Osaka-Shi | 2013-04-11 / 20130089777 - MATERIAL FOR USE AS ELECTROLYTE, LITHIUM SECONDARY BATTERY ELECTROLYTE, LITHIUM SECONDARY BATTERY EMPLOYING THE SAME, AND NOVEL LITHIUM SALT | 2 |
Akinobu Hayakawa | JP | Osaka | 2015-11-05 / 20150318480 - SOLAR CELL | 13 |
Shigeru Hayakawa | JP | Tokyo | 2015-05-21 / 20150136798 - SYNTHETIC RESIN CONTAINER HAVING INVERTED, FOLDED BACK BOTTOM WALL | 5 |
Teruaki Hayakawa | JP | Tokyo | 2014-12-18 / 20140370442 - BLOCK COPOLYMERS AND LITHOGRAPHIC PATTERNING USING SAME | 3 |
Haruo Hayakawa | JP | Yokohama-Shi | 2010-08-26 / 20100216528 - FOLDING MOBILE TERMINAL | 1 |
Chihiro Hayakawa | JP | Yokohama-Shi | 2011-10-20 / 20110256077 - WATER-RELEASING COSMETIC MAKEUP MATERIAL | 2 |
Takahiro Hayakawa | JP | Obu-Shi | 2012-05-17 / 20120119868 - WIRING SUBSTRATE AND MANUFACTURING METHOD FOR WIRING SUBSTRATE | 1 |
Akira Hayakawa | JP | Kawasaki-Shi | 2013-10-17 / 20130272730 - IMAGE FORMING APPARATUS | 1 |
Yoshinobu Hayakawa | JP | Sumida-Ku | 2012-12-27 / 20120328762 - PROCESSED AND ROASTED COFFEE BEAN AND METHOD FOR PRODUCTION OF PROCESSED AND ROASTED COFFEE BEAN | 1 |
Kazuhiro Hayakawa | JP | Kanagawa | 2009-06-11 / 20090147793 - PACKET COMMUNICATION NETWORK AND PACKET COMMUNICATION METHOD | 1 |
Kazuhiro Hayakawa | JP | Nagoya-Shi | 2015-08-13 / 20150226958 - LIGHT DEFLECTOR AND POLYGON MIRROR | 3 |
Norio Hayakawa | JP | Shizuoka | 2015-07-16 / 20150197285 - COWL-TOP COVER | 3 |
Satoshi Hayakawa | JP | Okayama | 2010-06-24 / 20100159118 - METHOD FOR PRODUCTION OF BIOCOMPATIBLE IMPLANT | 2 |
Makoto Hayakawa | JP | Koshi-Shi | 2015-08-06 / 20150219994 - COATING AND DEVELOPING APPARATUS AND METHOD | 3 |
Seiichi Hayakawa | JP | Hitachi | 2010-12-30 / 20100327654 - Power Module and Vehicle-Mounted Inverter Using the Same | 1 |
Hideo Hayakawa | JP | Edogawa-Ku | 2012-09-06 / 20120224347 - VIDEO DISPLAY DEVICE, AND ELECTRONIC DEVICE | 1 |
Koshi Hayakawa | JP | Tokyo | 2009-08-27 / 20090211870 - CLUTCH ACTUATOR DEVICE | 1 |
Kazuhiro Hayakawa | JP | Kawasaki-Shi | 2010-12-23 / 20100323463 - METHOD FOR MANUFACTURING LIQUID DISCHARGE HEAD | 5 |
Kazuhiro Hayakawa | JP | Isehara-Shi | 2011-02-03 / 20110027530 - BEAM, INK JET RECORDING HEAD HAVING BEAMS, AND METHOD FOR MANUFACTURING INK JET RECORDING HEAD HAVING BEAMS | 1 |
Kazuhiro Hayakawa | JP | Inzai | 2011-04-14 / 20110087507 - Cost Standard Determining System for Calculating Commitment Fee | 1 |
Seiichi Hayakawa | JP | Ibaraki | 2011-03-03 / 20110051371 - Semiconductor Device, and Power Conversion Device Using Semiconductor Device | 1 |
Eisuke Hayakawa | JP | Hyogo | 2016-03-17 / 20160079674 - Distributor And Planar Antenna | 3 |
Shoji Hayakawa | JP | Kawasaki-Shi | 2013-03-21 / 20130073281 - NON-SPEECH SECTION DETECTING METHOD AND NON-SPEECH SECTION DETECTING DEVICE | 1 |
Takahito Hayakawa | JP | Kariya-City | 2012-05-31 / 20120133355 - VOLTAGE MEASUREMENT APPARATUS | 1 |
Eiji Hayakawa | JP | Shizuoka | 2014-07-10 / 20140193496 - INTRABUCCALLY RAPIDLY DISINTEGRATING TABLET AND A PRODUCTION METHOD OF THE TABLETS | 3 |
Michio Hayakawa | JP | Kanagawa | 2015-09-17 / 20150262046 - PRINT DATA PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 9 |
Katsuyuki Hayakawa | JP | Fujimino-Shi | 2012-02-16 / 20120040862 - METHOD FOR DETECTING AND QUANTIFYING ENDOGENOUS WHEAT DNA SEQUENCE | 4 |
Kazutaka Hayakawa | JP | Anjo-Shi | 2012-01-12 / 20120007985 - CALIBRATION DEVICE, METHOD, AND PROGRAM FOR ON-BOARD CAMERA | 1 |
Tatsuo Hayakawa | JP | Yokohama-Shi | 2011-12-08 / 20110301271 - TWO-LIQUID TYPE URETHANE PAINT COMPOSITION | 1 |
Nana Hayakawa | JP | Sapporo | 2015-10-01 / 20150280246 - METHOD FOR PRODUCING CATALYST AND CATALYST | 1 |
Kiyoshi Hayakawa | JP | Ichikawa-Shi | 2013-05-16 / 20130122592 - FOREIGN GENE TRANSFER METHOD BY ELECTROPORATION TECHNIQUE | 1 |
Kotaro Hayakawa | JP | Tokyo | 2014-03-27 / 20140087110 - ADHESIVE COMPOSITION, ADHESION METHOD, AND PNEUMATIC TIRE | 1 |
Masamichi Hayakawa | JP | Chiba | 2009-06-04 / 20090142697 - PHOTOSENSITIVE RESIN, AND PHOTOSENSITIVE COMPOSITION | 1 |
Shigeki Hayakawa | JP | Kariya-Shi | 2012-05-31 / 20120134727 - Image Forming Apparatus | 4 |
Miki Hayakawa | JP | Kawasaki | 2013-10-17 / 20130272714 - State Control System and State Control Method | 1 |
Nobuhide Hayakawa | JP | Wako-Shi | 2009-05-07 / 20090113654 - WIPER APPARATUS | 1 |
Takeshi Hayakawa | JP | Kyoto-Shi | 2013-06-27 / 20130165227 - VIDEO GAME USING DUAL MOTION SENSING CONTROLLERS | 4 |
Satoshi Hayakawa | JP | Toyko | 2014-10-30 / 20140318164 - AUTOMOTIVE AIR CONDITIONER AND EXPANSION VALVE | 1 |
Jyun Hayakawa | JP | Tokyo | 2015-05-21 / 20150136195 - THERMOELECTRIC CONVERSION MATERIAL AND THERMOELECTRIC CONVERSION MODULE USING THE SAME | 1 |
Takashi Hayakawa | JP | Tokyo | 2015-07-09 / 20150194441 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 5 |
Kisaburo Hayakawa | JP | Nagoya-Shi | 2013-05-16 / 20130123059 - TRACTION DRIVE MECHANISM | 1 |
Takako Hayakawa | JP | Kanagawa | 2013-07-04 / 20130170074 - HERMETICALLY RESEALABLE HARD-DISK DRIVE CONFIGURED FOR RECHARGING WITH A LOW-DENSITY GAS | 11 |
Naoyuki Hayakawa | JP | Susono-Shi | 2013-11-07 / 20130291843 - PCV SYSTEM FOR INTERNAL COMBUSTION ENGINE | 1 |
Takuya Hayakawa | JP | Toride-Shi | 2010-09-23 / 20100239296 - IMAGE FORMING APPARATUS | 3 |
Maki Hayakawa | JP | Kodaira-Shi | 2010-10-07 / 20100255338 - DECORATIVE PART | 2 |
Tatsuya Hayakawa | JP | Yokkaichi-City | 2012-05-31 / 20120135619 - ELECTRICAL JUNCTION BOX | 4 |
Ichiro Hayakawa | JP | Tokyo | 2013-04-18 / 20130094120 - THIN-FILM CAPACITOR | 1 |
Yuko Hayakawa | JP | Fukushima | 2015-10-29 / 20150311566 - ELECTROLYTE AND SECONDARY BATTERY | 4 |
Shingo Hayakawa | JP | Toyota-Shi | 2012-06-07 / 20120139461 - POWER CONVERSION DEVICE FOR A ROTARY ELECTRIC MACHINE | 1 |
Shigeyuki Hayakawa | JP | Yokosuka-Shi | 2013-06-06 / 20130140687 - SEMICONDUCTOR DEVICE | 1 |
Nobuhiro Hayakawa | JP | Chita-Shi | 2012-08-02 / 20120196096 - INK | 4 |
Atsushi Hayakawa | JP | Okazaki-Shi | 2015-10-01 / 20150274469 - IMAGE FORMING APPARATUS | 11 |
Kenichi Hayakawa | JP | Kanagawa | 2015-12-31 / 20150376042 - FLUID TREATMENT APPARATUS | 4 |
Tomohiro Hayakawa | JP | Okayama | 2010-12-09 / 20100310921 - SEPARATOR FOR ALKALINE BATTERY, METHOD FOR PRODUCING THE SAME, AND BATTERY | 1 |
Tomonori Hayakawa | JP | Aichi | 2015-12-03 / 20150346907 - INPUT STATE DETECTION DEVICE | 3 |
Jun Hayakawa | JP | Hino | 2015-10-01 / 20150280095 - THERMOELECTRIC CONVERSION DEVICE | 10 |
Jun Hayakawa | JP | Sakai-Gun | 2009-08-27 / 20090211700 - Process for laser welding resinous members, apparatus for the same and laser-welded resinous product | 1 |
Kiyoharu Hayakawa | JP | Aichi-Ken | 2009-07-23 / 20090184370 - LATERAL SOI SEMICONDUCTOR DEVICES AND MANUFACTURING METHOD THEREOF | 1 |
Satoshi Hayakawa | JP | Osaka-Shi | 2013-03-21 / 20130072683 - EPOXY COMPOUND AND METHOD FOR MANUFACTURING THE SAME | 1 |
Haruhito Hayakawa | JP | Chiba | 2014-11-27 / 20140349140 - CXNYHZ FILM, DEPOSITION METHOD, MAGNETIC RECORDING MEDIUM AND METHOD FOR MANUFACTURING THE SAME | 2 |
Hideyuki Hayakawa | JP | Nishio-City | 2012-04-26 / 20120098548 - OCCUPANT DETECTION SENSOR TESTING APPARATUS AND METHOD | 9 |
Tadashi Hayakawa | JP | Osaka | 2016-03-17 / 20160075924 - HOT MELT ADHESIVE | 5 |
Aya Hayakawa | JP | Kanagawa | 2015-08-20 / 20150231031 - Blood Bag and Blood Bag System Provided Therewith | 6 |
Yasuhisa Hayakawa | JP | Atsugi-Shi | 2012-06-28 / 20120166017 - VEHICLE DRIVING ASSISTANT AND VEHICLE DRIVING ASSISTING METHOD | 1 |
Jumpei Hayakawa | JP | Koriyama-Shi | 2015-09-03 / 20150247514 - CYLINDER DEVICE | 1 |
Yoshinobu Hayakawa | JP | Nirasaki-Shi | 2012-06-14 / 20120145679 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Kazuhiro Hayakawa | JP | Inagi-Shi | 2013-08-15 / 20130206723 - METHOD OF MANUFACTURING LIQUID EJECTION HEAD AND METHOD OF PROCESSING SUBSTRATE | 1 |
Yoshinobu Hayakawa | JP | Nirasaki City | 2012-02-16 / 20120037316 - METHOD OF SUPPLYING ETCHING GAS AND ETCHING APPARATUS | 2 |
Jun Hayakawa | JP | Kokubunji | 2009-04-16 / 20090096045 - MAGNETORESISTIVE DEVICE AND NONVOLATILE MAGNETIC MEMORY EQUIPPED WITH THE SAME | 1 |
Rumi Hayakawa | JP | Yokohama | 2015-07-16 / 20150199368 - DATA ANALYSIS SUPPORTING APPARATUS | 2 |
Yuuki Hayakawa | JP | Tokyo | 2016-03-31 / 20160092131 - STORAGE SYSTEM, STORAGE SYSTEM CONTROL METHOD, AND RECORDING MEDIUM STORING VIRTUAL TAPE DEVICE CONTROL PROGRAM | 4 |
Yohei Hayakawa | JP | Tokyo | 2014-03-06 / 20140061237 - APPARATUS FOR AND METHOD OF ADJUSTING DILUTION RATIO IN BEVERAGE DISPENSER | 1 |
Takanobu Hayakawa | JP | Yokohama-Shi | 2013-08-22 / 20130216928 - ELECTRONIC DEVICE | 1 |
Norihiro Hayakawa | JP | Nagaokakyo-Shi | 2011-09-15 / 20110220275 - METHOD FOR PRODUCING PIEZOELECTRIC COMPOSITE SUBSTRATE AND METHOD FOR PRODUCING PIEZOELECTRIC ELEMENT | 1 |
Jun Hayakawa | JP | Hitachinaka | 2016-02-25 / 20160057403 - Stereo Camera Device | 4 |
Takehito Hayakawa | JP | Kyoto | 2012-01-05 / 20120002783 - NONDESTRUCTIVE INSPECTION SYSTEM USING NUCLEAR RESONANCE FLUORESCENCE | 1 |
Munetaka Hayakawa | JP | Chita-Shi | 2012-06-28 / 20120160669 - RESIN PRODUCT MANUFACTURING SYSTEM | 1 |
Tomohiro Hayakawa | JP | Okayama-Shi | 2015-10-15 / 20150294801 - SEPARATOR FOR ELECTRIC DOUBLE LAYER CAPACITORS, AND ELECTRIC DOUBLE LAYER CAPACITOR | 9 |
Yasushi Hayakawa | JP | Kawasaki-Shi | 2011-01-13 / 20110007855 - Clock Data Recovery Circuit Capable of Generating Clock Signal Synchronized with Data Signal | 1 |
Tomonori Hayakawa | JP | Komaki-Shi | 2012-08-30 / 20120218029 - TOUCH SWITCH | 5 |
Akinori Hayakawa | JP | Kawasaki | 2012-09-27 / 20120243820 - OPTICAL SEMICONDUCTOR DEVICE | 4 |
Shoji Hayakawa | JP | Kawasaki | 2012-08-16 / 20120209598 - STATE DETECTING DEVICE AND STORAGE MEDIUM STORING A STATE DETECTING PROGRAM | 10 |
Yasuo Hayakawa | JP | Gyoda-Shi | 2011-11-10 / 20110274841 - COIL SPRING COATING PORTION FORMING METHOD AND APPARATUS | 2 |
Jun Hayakawa | JP | Hino | 2015-10-01 / 20150280095 - THERMOELECTRIC CONVERSION DEVICE | 10 |
Tomoyuki Hayakawa | JP | Tokyo | 2014-03-27 / 20140086650 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Kazuhisa Hayakawa | JP | Niigata-Ken | 2011-12-22 / 20110311712 - CAPSULE COMPRISING LOW-SUBSTITUTED CELLULOSE ETHER AND METHOD FOR PREPARING THE SAME | 5 |
Yasuo Hayakawa | JP | Niigata-Ken | 2009-12-24 / 20090316308 - SELF-PINNED CPP GIANT MAGNETORESISTIVE HEAD WITH ANTIFERROMAGNETIC FILM ABSENT FROM CURRENT PATH | 1 |
Kazuhisa Hayakawa | JP | Joetsu-Shi | 2014-05-22 / 20140142018 - EXTRUSION OR INJECTION MOLDING MACHINE PURGING COMPOSITION AND METHOD | 14 |
Kazuhisa Hayakawa | JP | Niigata | 2009-07-09 / 20090176277 - METHOD FOR PREPARING CELLULOSE DERIVATIVES HAVING SOLUBILITY IMPROVED | 2 |
Takeshi Hayakawa | JP | Kawasaki-Shi | 2015-04-30 / 20150116755 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 7 |
Toshiyuki Hayakawa | JP | Kawasaki-Shi | 2010-09-23 / 20100241870 - CONTROL DEVICE, STORAGE DEVICE, DATA LEAKAGE PREVENTING METHOD | 1 |
Mizuki Hayakawa | JP | Kawasaki-Shi | 2016-05-05 / 20160127588 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 18 |
Yasuhiko Hayakawa | JP | Ichikawa-Shi | 2013-05-16 / 20130122592 - FOREIGN GENE TRANSFER METHOD BY ELECTROPORATION TECHNIQUE | 1 |
Yoshihiro Hayakawa | JP | Kyoto | 2010-07-01 / 20100162801 - METHOD AND APPARATUS FOR ANALYSIS BY LIQUID CHROMATOGRAPHY | 1 |
Yuji Hayakawa | JP | Saitama-Shi | 2011-03-24 / 20110070008 - CONVEYING DEVICE AND IMAGE-FORMING APPARATUS | 2 |
Yasuhisa Hayakawa | JP | Kanagawa | 2011-06-09 / 20110137487 - RUNNING CONTROL DEVICE, AND RUNNING CONTROL METHOD | 1 |
Tadashi Hayakawa | JP | Yokohama | 2011-02-03 / 20110025789 - IMAGE FORMING APPARATUS | 1 |
Keiichiro Hayakawa | JP | Tokyo | 2009-10-22 / 20090261307 - Resistor Compositions using a Cu-containing Glass Frit | 3 |
Kenji Hayakawa | JP | Saitama | 2013-10-24 / 20130280938 - SOCKET FOR ELECTRIC PARTS | 1 |
Yukio Hayakawa | JP | Aizuwakamatsu-Shi | 2008-09-18 / 20080224275 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THEREOF | 2 |
Toshiyuki Hayakawa | JP | Yokohama-Shi | 2015-02-12 / 20150043228 - SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Yukio Hayakawa | JP | Fukushima-Ken | 2015-09-24 / 20150270278 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THEREOF | 8 |
Teruaki Hayakawa | JP | Chiyoda-Ku | 2015-05-07 / 20150122303 - THERMOELECTRIC CONVERSION MATERIAL USING SUBSTRATE HAVING NANOSTRUCTURE, AND METHOD FOR PRODUCING SAME | 1 |
Nariyasu Hayakawa | JP | Kawasaki | 2012-03-08 / 20120057094 - Liquid crystal display device | 5 |
Tadashi Hayakawa | JP | Yokohama-Shi | 2014-01-30 / 20140029973 - POWDER STORAGE CONTAINER AND IMAGE FORMING APPARATUS | 8 |
Akinobu Hayakawa | JP | Osaka | 2015-11-05 / 20150318480 - SOLAR CELL | 13 |
Fumio Hayakawa | JP | Minato-Ku | 2013-07-18 / 20130183523 - PAINT COAT-PROTECTING REMOVABLE PRESSURE-SENSITIVE ADHESIVE SHEET | 1 |
Makoto Hayakawa | JP | Fukuoka | 2011-06-09 / 20110136660 - PHOTOCATALYST-COATED BODY | 1 |
Tsuneyasu Hayakawa | JP | Nagoya-Shi | 2011-09-29 / 20110232502 - FRYER | 4 |
Makoto Hayakawa | JP | Tokyo | 2013-04-25 / 20130099860 - HIGH FREQUENCY POWER AMPLIFIER | 3 |
Masao Hayakawa | JP | Ibaraki | 2015-10-29 / 20150306696 - WELDING EQUIPMENT FOR METALLIC MATERIALS AND METHOD FOR WELDING METALLIC MATERIALS | 5 |
Masatoshi Hayakawa | JP | Yokohama-Shi | 2014-07-17 / 20140196505 - GLASS PREFORM HEATING FURNACE | 1 |
Isao Hayakawa | JP | Tokyo | 2009-04-30 / 20090111358 - Polishing apparatus and polishing method | 1 |
Shigeo Hayakawa | JP | Kawachinagano-Shi | 2012-12-20 / 20120321433 - ALKALI METAL INTRODUCTION APPARATUS AND ALKALI METAL INTRODUCTION METHOD | 1 |
Haruhito Hayakawa | JP | Nagareyama-Shi | 2011-07-07 / 20110165057 - PLASMA CVD DEVICE, DLC FILM, AND METHOD FOR DEPOSITING THIN FILM | 1 |
Yutaka Hayakawa | JP | Susono-Shi | 2012-08-23 / 20120210987 - ABNORMALITY DETECTION DEVICE FOR EXHAUST GAS RECIRCULATION APPARATUS | 1 |
Isao Hayakawa | US | 2011-07-07 / 20110166131 - SUBSTITUTED PYRROLIDINE DERIVATIVE | 1 | |
Shinji Hayakawa | JP | Hokuto-Shi | 2014-06-12 / 20140158683 - METALLIC SEALED DOUBLE CONTAINER | 2 |
Toshiyuki Hayakawa | JP | Kanagawa | 2011-12-29 / 20110315984 - SEMICONDUCTOR MEMORY CARD AND METHOD OF MANUFACTURING THE SAME | 1 |
Yukio Hayakawa | JP | Miyagi | 2008-10-30 / 20080268657 - Plasma Processing Method and Method for Manufacturing an Electronic Device | 1 |
Atsushi Hayakawa | JP | Kawasaki-Shi | 2011-03-17 / 20110065153 - METHOD OF PRODUCING L-LYSINE | 1 |
Takayuki Hayakawa | JP | Gunma | 2011-09-15 / 20110222956 - AQUEOUS MANICURE PREPARATION AND MANICURE PREPARATION-FILLED APPLICATOR | 1 |
Masahiko Hayakawa | JP | Ama-Shi | 2012-03-22 / 20120070171 - IMAGE FORMING APPARATUS | 2 |
Atsushi Hayakawa | JP | Shinjuku-Ku | 2014-05-29 / 20140144105 - DRINK FILLING METHOD AND APPARATUS | 8 |
Eiji Hayakawa | JP | Utsunomiya | 2014-09-11 / 20140255848 - LITHOGRAPHIC PRINTING PLATE PRECURSOR AND A METHOD FOR MAKING A PRINTING PLATE | 8 |
Rumi Hayakawa | JP | Yokohama-Shi | 2014-04-24 / 20140112549 - PATTERN EXTRACTING APPARATUS AND METHOD | 1 |
Yasuyuki Hayakawa | JP | Tokyo | 2013-06-27 / 20130160901 - GRAIN ORIENTED ELECTRICAL STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kiyoharu Hayakawa | JP | Obu-Shi | 2013-07-18 / 20130181252 - SEMICONDUCTOR DEVICE | 1 |
Toru Hayakawa | JP | Okayama | 2011-09-15 / 20110223686 - PROTEIN PRODUCTION METHOD, FUSION PROTEIN, AND ANTISERUM | 1 |
Nobuhiro Hayakawa | JP | Kanagawa | 2012-08-09 / 20120203471 - GENERATION SOURCE ESTIMATION APPARATUS AND METHOD OF DIFFUSION MATERIAL | 1 |
Tsuyoshi Hayakawa | JP | Hekinan-City | 2012-08-09 / 20120199561 - TIG WELDING METHOD AND APPARATUS | 2 |
Hitoshi Hayakawa | JP | Yokohama | 2013-10-03 / 20130262664 - COMPUTER SYSTEM AND SUBSYSTEM MANAGEMENT METHOD | 5 |
Yoshiki Hayakawa | JP | Tokyo | 2011-11-10 / 20110275849 - PROCESSES FOR THE PRODUCTION OF TRI-ORGANO-MONOALKOXYSILANES AND PROCESS FOR THE PRODUCTION OF TRI-ORGANO-MONOCHLOROSILANES | 2 |
Mizuki Hayakawa | JP | Kawasaki-Shi | 2016-05-05 / 20160127588 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 18 |
Miki Hayakawa | JP | Fussa | 2012-07-26 / 20120191413 - SENSOR INFORMATION ANALYSIS SYSTEM AND ANALYSIS SERVER | 1 |
Tomohiro Hayakawa | JP | Okayama-Shi | 2015-10-15 / 20150294801 - SEPARATOR FOR ELECTRIC DOUBLE LAYER CAPACITORS, AND ELECTRIC DOUBLE LAYER CAPACITOR | 9 |
Michihiko Hayakawa | JP | Shizuoka | 2016-02-11 / 20160039286 - VEHICLE DISPLAY SYSTEM | 2 |
Koto Hayakawa | CA | Edmonton | 2009-02-05 / 20090036654 - Crystal structure of Rho-kinase I kinase domain complexes and binding pockets thereof | 1 |
Yuji Hayakawa | JP | Shiga | 2016-03-10 / 20160066738 - STEAM GENERATOR | 12 |
Katsuichiro Hayakawa | JP | Kanagawa | 2013-09-19 / 20130244137 - Catalyst for polymer electrolyte fuel cell and method for producing the same | 1 |
Hideaki Hayakawa | JP | Aichi | 2014-05-29 / 20140144272 - SHIFT SWITCHING DEVICE | 1 |
Tadashi Hayakawa | JP | Kanagawa | 2015-11-26 / 20150338775 - POWDER CONTAINER AND IMAGE FORMING APPARATUS | 28 |
Masahiko Hayakawa | JP | Kanagawa | 2015-09-24 / 20150270322 - LIGHT EMITTING DEVICE | 10 |
Masahiko Hayakawa | JP | Aichi-Ken | 2014-02-27 / 20140056604 - Image Forming Apparatus | 2 |
Tomonori Hayakawa | JP | Aichi-Ken | 2014-07-31 / 20140210490 - HYBRID SENSOR | 3 |
Eiji Hayakawa | JP | Hyogo | 2010-11-25 / 20100298447 - COMPOSITE PRODUCT OF LOW-SOLUBILITY DRUG AND SURFACTANT, AND PROCESS FOR PRODUCTION THEREOF | 1 |
Atsushi Hayakawa | JP | Okazaki-Shi | 2015-10-01 / 20150274469 - IMAGE FORMING APPARATUS | 11 |
Gal Hayam | IL | Tivon | 2015-05-21 / 20150141785 - FLEXIBLE MULTIPLE-ARM DIAGNOSTIC CATHETER | 9 |
Gal Hayam | IL | Tivon | 2015-05-21 / 20150141785 - FLEXIBLE MULTIPLE-ARM DIAGNOSTIC CATHETER | 9 |
Satoru Hayama | JP | Osaka-Shi | 2016-02-11 / 20160044188 - DISPLAY APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Hideki Hayama | JP | Tokyo | 2011-10-06 / 20110241951 - PORTABLE WIRELESS APPARATUS | 1 |
Akira Hayama | JP | Yokohama-Shi | 2014-04-03 / 20140092215 - ENDOSCOPIC SYSTEM | 3 |
Makoto Hayama | JP | Chigasaki-Shi | 2015-03-12 / 20150072906 - SLIDING MECHANISM AND GREASE COMPOSITION FOR SLIDING MECHANISMS | 3 |
Yoshitaka Hayama | JP | Kikuch-Gun | 2013-08-29 / 20130220724 - BODY COVER SYSTEM FOR A SMALL VEHICLE, AND VEHICLE INCLUDING SAME | 1 |
Yoshimasa Hayama | JP | Hitachinaka | 2014-03-27 / 20140082882 - PORTABLE CLEANER PROVIDED WITH EXHAUST REGULATING UNIT | 1 |
Kiyoshi Hayama | JP | Fujisawa-Shi | 2016-05-12 / 20160133980 - SOLID OXIDE FUEL CELL MANUFACTURING METHOD AND DISPENSER APPARATUS FOR MANUFACTURING SAME | 12 |
Hidetaka Hayama | JP | Kobe-Shi | 2014-04-03 / 20140093967 - SPECIMEN TRANSPORTER, SPECIMEN TESTING SYSTEM AND SPECIMEN TRANSPORTING METHOD | 3 |
Kiyoshi Hayama | JP | Fujisawa-Shi | 2016-05-12 / 20160133980 - SOLID OXIDE FUEL CELL MANUFACTURING METHOD AND DISPENSER APPARATUS FOR MANUFACTURING SAME | 12 |
Keisuke Hayama | JP | Ayase-Shi | 2010-09-30 / 20100244513 - VEHICLE SEAT | 1 |
Takahiro Hayama | JP | Hiroshima | 2010-09-02 / 20100219260 - Method for Manufacturing a Hydrogen-Added Water and a Device for the Same | 1 |
Noritaka Hayama | JP | Tokyo | 2010-04-15 / 20100095302 - DATA PROCESSING APPARATUS, DISTRIBUTED PROCESSING SYSTEM, DATA PROCESSING METHOD AND DATA PROCESSING PROGRAM | 1 |
Kosuke Hayama | JP | Kyoto | 2015-02-19 / 20150050902 - SENSOR MODULE, SENSOR NETWORK SYSTEM, DATA TRANSMISSION METHOD, DATA TRANSMISSION PROGRAM, AND DATA COLLECTION METHOD IN SENSOR NETWORK SYSTEM | 2 |
Hidekazu Hayama | JP | Kyoto | 2011-04-14 / 20110083737 - TITANIUM OXIDE-COVERED CARBON FIBER AND POROUS TITANIUM OXIDE-COVERED CARBON MATERIAL COMPOSITION | 2 |
Hideki Hayama | JP | Kanagawa | 2011-07-14 / 20110169712 - PORTABLE RADIO EQUIPMENT | 4 |
Masao Hayama | JP | Yokohama | 2011-10-20 / 20110256874 - HANDOFF METHOD BETWEEN DIFFERENT SYSTEMS AND WIRELESS TERMINAL | 4 |
Takuji Hayama | JP | Tokyo | 2009-03-12 / 20090068935 - POLISHING APPARATUS | 1 |
Ryouhei Hayama | JP | Mie | 2010-12-16 / 20100318264 - VEHICLE STEERING SYSTEM | 2 |
Masaaki Hayama | JP | Nara | 2015-02-19 / 20150048256 - SAMPLE HOLDING CARRIER, AND FLUORESCENCE DETECTION SYSTEM AND FLUORESCENCE DETECTION DEVICE THAT USE SAME | 5 |
Isamu Hayama | JP | Kawasaki | 2010-06-24 / 20100157993 - ACCESS GATEWAY AND METHOD OF OPERATION BY THE SAME | 1 |
Masanobu Hayama | JP | Shinagawa | 2011-06-23 / 20110148808 - Touch panel and coordinate detection method in touch panel | 5 |
Yoshimasa Hayama | JP | Hitachinaka-Shi | 2010-09-23 / 20100236369 - CUTTING APPARATUS | 2 |
Hideki Hayama | JP | Yokohama-Shi | 2010-09-23 / 20100240424 - PORTABLE RADIO DEVICE | 1 |
Noritaka Hayama | JP | Osaka | 2010-09-16 / 20100231212 - MAGNETIC DETECTOR AND INPUT DEVICE USING THE SAME | 1 |
Yoshimasa Hayama | JP | Ibaraki | 2010-06-24 / 20100154161 - DUST COLLECTOR | 1 |
Miyuki Hayama | JP | Tokyo | 2010-05-13 / 20100115710 - HAIR DYE COMPOSITION | 1 |
Tsuyoshi Hayama | JP | Miyagi-Ken | 2010-05-13 / 20100118560 - CAPACITANCE-TYPE SENSOR | 5 |
Masaaki Hayama | JP | Nara-Shi | 2014-12-04 / 20140353485 - MEASUREMENT PLATE FOR MALDI MASS SPECTROMETRY | 2 |
Hiroyuki Hayama | JP | Yokohama-Shi | 2009-11-05 / 20090272611 - Damping force adjustable fluid pressure shock absorber | 2 |
Takehiro Hayama | JP | Fujisawa | 2013-01-10 / 20130010582 - OPTICAL PICKUP AND DISC DRIVE USING OPTICAL PICKUP | 2 |
Hiroshi Hayama | JP | Tokyo | 2014-05-08 / 20140125573 - LIQUID CRYSTAL DISPLAY DEVICE, BACKLIGHT USED FOR SAME DISPLAY DEVICE, METHOD FOR DRIVING SAME BACKLIGHT AND METHOD FOR MANUFACTURING SAME BACKLIGHT | 2 |
Akio Hayama | JP | Yokohama-Shi | 2009-06-25 / 20090161073 - Projection display apparatus | 1 |
Kenji Hayama | JP | Toyota-Shi | 2012-03-15 / 20120061920 - OIL RING MECHANISM OF A PISTON | 3 |
Tsutomu Hayama | JP | Handa-City | 2009-04-30 / 20090109588 - Load driver with wire break detection circuit | 1 |
Ryouhei Hayama | JP | Nabari-Shi | 2014-10-30 / 20140318264 - VEHICLE TEST APPARATUS AND VEHICLE TEST SYSTEM | 14 |
Yoshihiko Hayama | JP | Shizuoka | 2009-03-12 / 20090069101 - Cross Groove Constant Velocity Universal Joint | 1 |
Junichi Hayama | JP | Kawasaki | 2015-06-25 / 20150181721 - COMPONENT MOUNTING METHOD AND MOUNTING COMPONENT | 2 |
Hitoshi Hayama | JP | Shiojiri-Shi | 2009-02-12 / 20090040542 - IMAGE PROCESSING SYSTEM, DISPLAY DEVICE, PROGRAM AND INFORMATION STORAGE MEDIUM | 1 |
Kosuke Hayama | JP | Soraku-Gun | 2009-01-15 / 20090015387 - CONTROL SYSTEM AND METHOD, FIXED RADIO COMMUNICATION DEVICE AND METHOD, AND PORTABLE RADIO COMMUNICATION DEVICE AND METHOD | 1 |
Kosuke Hayama | JP | Kizukawa-Shi | 2009-01-15 / 20090018734 - CONTROL DEVICE AND METHOD | 1 |
Minoru Hayama | JP | Hiroshima | 2008-11-06 / 20080274213 - Drug for Ameliorating Male Climacteric Disorders | 1 |
Hiroshi Hayama | JP | Kanagawa | 2008-10-30 / 20080266505 - Display device | 1 |
Kenji Hayama | JP | Kakamigahara-Shi | 2015-02-26 / 20150053825 - HIGH-LIFT DEVICE OF AIR VEHICLE | 3 |
Yuko Hayama | JP | Kanagawa | 2014-08-21 / 20140234002 - CURL STRAIGHTENING METHOD AND IMAGE FORMING APPARATUS | 2 |
Takahiro Hayama | JP | Minato-Ku | 2015-11-26 / 20150337245 - CLEANING COMPOSITION AND CLEANING METHOD | 1 |
Makoto Hayama | JP | Fujisawa-Shi | 2013-05-30 / 20130137615 - LUBRICANT COMPOSITION USING IONIC LIQUID AS BASE OIL AND HAVING EXCELLENT RUST PREVENTION PROPERTIES | 2 |
Hironobu Hayama | JP | Utsunomiya-Shi | 2011-05-19 / 20110118876 - TEACHING LINE CORRECTING APPARATUS, TEACHING LINE CORRECTING METHOD, AND PROGRAM THEREOF | 1 |
Ryouhei Hayama | JP | Nabari-Shi | 2014-10-30 / 20140318264 - VEHICLE TEST APPARATUS AND VEHICLE TEST SYSTEM | 14 |
Masanobu Hayama | JP | Tokyo | 2016-05-19 / 20160139713 - POSITION DETECTING METHOD FOR TOUCHSCREEN PANEL, TOUCHSCREEN PANEL, AND ELECTRONIC APPARATUS | 7 |
Tomoharu Hayama | JP | Chiba | 2014-12-04 / 20140353640 - ORGANIC ELECTROLUMINESCENCE DEVICE | 1 |
Takafumi Hayama | JP | Osaka-Shi | 2014-04-17 / 20140104559 - DISPLAY PANEL AND METHOD OF INSPECTING CURED STATE OF SEALING MATERIAL BASED ON FOURIER TRANSFORM INFRARED SPECTROSCOPY | 5 |
Masahiro Hayama | JP | Tokyo | 2013-11-07 / 20130291963 - CAPACITY CONTROL VALVE | 1 |
Frank Hayama | JP | Tokyo | 2013-03-07 / 20130056239 - Conductive element and method for manufacturing the same | 1 |
Tomoharu Hayama | JP | Sodegaura-Shi, Chiba | 2016-05-19 / 20160141515 - MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME, AND ELECTRONIC DEVICE | 1 |
Yutaka Hayama | JP | Kawasaki | 2011-12-29 / 20110320641 - CONTROL APPARATUS, SWITCH, OPTICAL TRANSMISSION APPARATUS, AND CONTROL METHOD | 1 |
Takahiro Hayama | JP | Tokyo | 2016-04-21 / 20160109801 - UPPER LAYER-FORMING COMPOSITION AND RESIST PATTERNING METHOD | 6 |
Hideki Hayama | JP | Osaka | 2016-02-18 / 20160045142 - HEARING EXAMINATION DEVICE, HEARING EXAMINATION METHOD, AND METHOD FOR GENERATING WORDS FOR HEARING EXAMINATION | 2 |
Noritaka Hayama | JP | Okayama | 2011-06-09 / 20110134025 - INPUT DEVICE | 1 |
Hidekazu Hayama | JP | Osaka | 2016-01-07 / 20160004003 - HYBRID NANOPARTICLES AND ILLUMINATION DEVICES USING THE HYBRID NANOPARTICLES | 3 |
Kohei Hayama | JP | Suita-Shi | 2014-11-27 / 20140349333 - METHOD FOR INSPECTING SUSCEPTIBILITY OF BACTERIA OR FUNGI TO ANTIMICROBIAL DRUG AND SYSTEM FOR USE IN THE SAME | 1 |
Ryuichi Hayama | JP | Kyoto | 2015-01-29 / 20150028055 - DISCHARGE APPARATUS AND DISCHARGE METHOD | 1 |
Tomoharu Hayama | JP | Utsunomiya-Shi | 2015-12-10 / 20150357576 - CONDENSED FLUORANTHENE COMPOUND, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL INCLUDING SAME, ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME, AND ELECTRONIC DEVICE | 2 |
Masanobu Hayama | JP | Kitakyushu-Shi | 2015-02-19 / 20150047403 - CASTING PRODUCT REDUCTION APPARATUS | 1 |
Nobuo Hayama | JP | Aichi | 2012-06-28 / 20120163906 - STRUCTURE FOR ATTACHING MOUNTING MEMBER TO DOOR IMPACT BEAM | 1 |
Nobuyuki Hayama | JP | Ibaraki | 2011-12-01 / 20110291158 - HETERO-JUNCTION BIPOLAR PHOTOTRANSISTOR | 1 |
Kiyoshi Hayama | JP | Kanagawa-Ken | 2016-03-31 / 20160093910 - SOLID OXIDE FUEL CELL STACK | 4 |
Yoshitaka Hayama | JP | Wako-Shi | 2014-05-15 / 20140131127 - SADDLE-RIDE TYPE VEHICLE | 6 |
Yutaka Hayama | JP | Sano | 2014-09-18 / 20140269264 - APPARATUS AND METHOD FOR SWITCHING COMMUNICATION CHANNELS HAVING REDUNDANT CONFIGURATION | 1 |
Akira Hayama | JP | Atsugi-Shi | 2009-12-17 / 20090309814 - IMAGE DISPLAY APPARATUS AND TELEVISION APPARATUS | 2 |
Akira Hayama | JP | Kanagawa-Ken | 2010-04-01 / 20100079506 - IMAGE DISPLAY APPARATUS | 2 |
Masao Hayama | JP | Tokyo | 2014-07-03 / 20140185439 - WIRELESS TERMINAL, CONTROL SERVER, AND WIRELESS COMMUNICATION SYSTEM USING SAME | 1 |
Akira Hayama | JP | Sagamihara-Shi | 2012-04-05 / 20120080997 - IMAGE DISPLAY APPARATUS | 6 |
Shigeru Hayama | JP | Osaka | 2014-09-25 / 20140283928 - FLOW AMOUNT CONTROL APPARATUS | 1 |
Takafumi Hayama | JP | Koshi-Shi | 2011-11-24 / 20110286738 - WET-PROCESSING APPARATUS | 1 |
Satoru Hayama | JP | Osaka | 2014-05-22 / 20140139890 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 2 |
Yasuhide Hayamaru | JP | Tokyo | 2010-08-12 / 20100199716 - GAS-LIQUID SEPARATOR AND AIR CONDITIONER EQUIPPED WITH THE SAME | 1 |
Terumoto Hayami | JP | Tokyo | 2014-02-13 / 20140045733 - GREASE COMPOSITION AND MOTION GUIDING DEVICE LUBRICATED BY GREASE COMPOSITION | 1 |
Hiroshi Hayami | JP | Osaka | 2011-09-29 / 20110236662 - INSULATING FILM AND FLAT CABLE USING THE SAME | 8 |
Yoshihiro Hayami | JP | Fukuoka | 2011-01-20 / 20110011604 - Earth Moving Device for Working Vehicle | 1 |
Yuki Hayami | JP | Kashiwa-Shi | 2015-10-01 / 20150274840 - ANTI-ADAM28 ANTIBODY FOR TREATING CANCER | 2 |
Hideki Hayami | JP | Osaka | 2011-08-25 / 20110205248 - DISPLAY DEVICE AND MOBILE TERMINAL | 1 |
Yoshikazu Hayami | JP | Fuchu-Shi | 2015-12-10 / 20150355894 - INFORMATION PROCESSING APPARATUS, APPLICATION MANAGEMENT METHOD, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 10 |
Katsuro Hayami | JP | Tokyo | 2012-05-24 / 20120127139 - IN-VEHICLE INFORMATION PROCESSING DEVICE | 3 |
Katsumi Hayami | JP | Tokyo | 2012-12-06 / 20120304453 - METHOD OF MANUFACTURING PERMANENT-MAGNET SYNCHRONOUS MOTOR | 3 |
Atsushi Hayami | JP | Osaka | 2012-11-22 / 20120295747 - STATIONARY CHAIN GUIDE | 7 |
Toshiki Hayami | JP | Tokyo | 2015-06-11 / 20150160589 - IMAGE FORMING APPARATUS | 6 |
Yuki Hayami | JP | Kashiwa-Shi, Chiba | 2015-04-30 / 20150118237 - ANTI-HUMAN CD69 ANTIBODY, AND USE THEREOF FOR MEDICAL PURPOSES | 1 |
Toshihiro Hayami | JP | Amagasaki-Shi | 2011-01-13 / 20110005684 - PLASMA PROCESSING APPARATUS | 2 |
Tadashi Hayami | JP | Kyoto-Shi, Kyoto | 2011-10-27 / 20110262192 - METHOD FOR PRODUCING FIXED MATERIAL, METHOD FOR PRODUCING DYE-PRINTED MATERIAL, FIXING APPARATUS, AND DYE-PRINTING SYSTEM | 1 |
Atsushi Hayami | JP | Yokohama-Shi | 2013-10-17 / 20130272456 - DECODING APPARATUS AND DECODING METHOD FOR DECODING DATA ENCODED BY LDPC | 6 |
Isao Hayami | JP | Yokohama-Shi | 2013-10-03 / 20130258362 - METHOD AND SYSTEM FOR CHANGING PHYSICAL PAGE PROPERTIES IN A PRITING APPLICATION | 5 |
Toshiyuki Hayami | JP | Sakura-Shi | 2012-09-06 / 20120222887 - PARTIALLY MULTILAYERED WIRING BOARD AND METHOD OF MANUFACTURING PARTIALLY MULTILAYERED WIRING BOARD | 1 |
Hichirou Hayami | JP | Machida | 2015-08-13 / 20150230156 - BASE STATION AND RADIO TERMINAL | 1 |
Tokusuke Hayami | JP | Fuchu-Shi | 2009-02-19 / 20090048103 - PHOSPHORUS COMPOUND ADSORBENT, PHOSPHORUS COMPOUND ADSORPTION SYSTEM, AND METHOD OF USING PHOSPHORUS COMPOUND ADSORBENT | 1 |
Muneto Hayami | JP | Kanagawa | 2016-04-14 / 20160104878 - ASSEMBLED BATTERY AND MANUFACTURING METHOD THEREOF | 1 |
Toshifumi Hayami | JP | Kariya-City | 2012-02-02 / 20120029760 - MALFUNCTION DIAGNOSING APPARATUS FOR VEHICLE | 3 |
Tokusuke Hayami | JP | Tokyo | 2014-02-06 / 20140034560 - SOLID SEPARATION SYSTEM | 6 |
Kazunori Hayami | JP | Fukuoka | 2015-03-26 / 20150084607 - POWER SUPPLY APPARATUS | 1 |
Ryuta Hayami | JP | Shizuoka | 2010-11-18 / 20100292876 - BOAT PROPELLING SYSTEM | 1 |
Yoshikazu Hayami | JP | Fuchu-Shi | 2015-12-10 / 20150355894 - INFORMATION PROCESSING APPARATUS, APPLICATION MANAGEMENT METHOD, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 10 |
Yuka Hayami | JP | Kawasaki | 2008-11-20 / 20080283924 - Semiconductor device and method for fabricating the same | 1 |
Isao Hayami | JP | Kanagawa | 2012-08-30 / 20120218594 - METHOD AND SYSTEM FOR FULFILLING A CUSTOMER'S PRINT JOB NEEDS BY SELECTING AND ASSIGNING THE PRINT JOB TO ONE OR MORE QUALIFIED PRINTERS FROM A GROUP OF PARTICIPATING PRINTERS | 4 |
Kazuhiko Hayami | JP | Ibaraki | 2009-06-04 / 20090142683 - COLOR TONER AND TWO-COMPONENT DEVELOPER | 1 |
Yasuaki Hayami | JP | Kanagawa | 2011-12-29 / 20110316348 - NON-CONTACT POWER SUPPLYING DEVICE AND NON-CONTACT POWER SUPPLYING METHOD | 1 |
Yasuaki Hayami | JP | Kanagawa-Ken | 2012-03-08 / 20120056580 - CONTACTLESS ELECTRICITY-SUPPLYING DEVICE | 1 |
Hiroshi Hayami | JP | Osaka-Shi | 2015-12-17 / 20150364768 - REDOX FLOW BATTERY CELL STACK | 9 |
Toshihiro Hayami | JP | Nirasaki-Shi | 2014-05-08 / 20140124139 - PLASMA PROCESSING APPARATUS AND METHOD | 5 |
Toshihiro Hayami | JP | Hyogo | 2016-04-28 / 20160118225 - Plasma Processing Apparatus and Opening and Closing Mechanism used therein | 2 |
Makoto Hayami | JP | Fukuyama | 2014-05-01 / 20140117824 - CONSTANT-TEMPERATURE DEVICE PROVIDED WITH ROTATING SPECIMEN TABLE | 1 |
Isao Hayami | JP | Hyogo | 2010-05-27 / 20100128750 - SEMICONDUCTOR DEVICE AND FABRICATION METHOD FOR THE SAME | 3 |
Hiroshi Hayami | JP | Osaka | 2011-09-29 / 20110236662 - INSULATING FILM AND FLAT CABLE USING THE SAME | 8 |
Yuji Hayami | JP | Chiyoda-Ku | 2015-03-05 / 20150063810 - OPTICAL 90-DEGREE HYBRID CIRCUIT AND OPTICAL RECEIVER USING SAME | 1 |
Yutaka Hayami | JP | Chiyoda-Ku | 2014-01-23 / 20140023860 - LIQUID COMPOSITION AND ITS PRODUCTION PROCESS, AND GLASS ARTICLE | 1 |
Hiroshi Hayami | JP | Osaka-Shi | 2015-12-17 / 20150364768 - REDOX FLOW BATTERY CELL STACK | 9 |
Yutaka Hayami | JP | Tokyo | 2015-07-02 / 20150183683 - LIQUID COMPOSITION AND GLASS ARTICLE | 1 |
Hirotaka Hayamizu | JP | Niigata | 2012-09-27 / 20120244539 - METHOD FOR SCREENING OF THERAPEUTIC AGENT FOR HYPERLIPEMIA | 1 |
Takashi Hayamizu | JP | Tokyo | 2009-11-26 / 20090291945 - CYSTEINE PROTEASE INHIBITORS | 1 |
Tomoaki Hayamizu | JP | Yokohama | 2015-03-19 / 20150081528 - Method and System for Providing Energy Creation Service of Turbine Generator | 1 |
Naoya Hayamizu | JP | Yokohama | 2015-12-31 / 20150377826 - AGING DEVICE FOR CONSTANT-POTENTIAL ELECTROLYTIC GAS SENSOR AND AGING METHOD FOR CONSTANT-POTENTIAL ELECTROLYTIC GAS SENSOR | 3 |
Yoshinori Hayamizu | JP | Tokyo | 2010-08-26 / 20100213516 - SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE | 1 |
Akira Hayamizu | JP | Hyogo | 2015-06-25 / 20150180083 - CYLINDRICAL BATTERY | 1 |
Kohei Hayamizu | JP | Mitaka-Shi | 2011-01-27 / 20110018396 - POWER GENERATION UNIT AND LIGHT EMITTING TOOL | 2 |
Naoki Hayamizu | JP | Tokyo | 2016-03-17 / 20160079728 - SEMICONDUCTOR LASER MODULE | 3 |
Shunichi Hayamizu | JP | Hyogo | 2011-07-07 / 20110162412 - METHODS FOR MANUFACTURING MOLDING DIE, GLASS GOB, AND GLASS MOLDED ARTICLE | 5 |
Shunichi Hayamizu | JP | Amagasaki-Shi | 2012-11-29 / 20120300320 - Method for Manufacturing Lens Unit, Imaging Device, Method for Manufacturing Mold, Mold For Molding, and Method for Molding Glass Lens Array | 6 |
Shunichi Hayamizu | JP | Tokyo | 2010-03-18 / 20100064727 - Method of Manufacturing An Optical Glass Element | 1 |
Yoshinori Hayamizu | JP | Nishishirakawa | 2012-01-05 / 20120001301 - ANNEALED WAFER, METHOD FOR PRODUCING ANNEALED WAFER AND METHOD FOR FABRICATING DEVICE | 2 |
Yoshimitsu Hayamizu | JP | Hiroshima-Shi | 2014-11-13 / 20140335778 - VEHICLE SHUTTER | 1 |
Naoya Hayamizu | JP | Yokohama-Shi | 2015-04-02 / 20150093637 - SECONDARY BATTERY | 4 |
Naoya Hayamizu | JP | Kanagawa-Ken | 2014-03-06 / 20140061023 - TREATMENT APPARATUS AND TREATMENT METHOD | 13 |
Hidetaka Hayamizu | JP | Himeji-Shi | 2010-04-22 / 20100099836 - PROCESS FOR PRODUCING PHOTORESIST POLYMERIC COMPOUNDS | 2 |
Taichi Hayamizu | JP | Tokyo | 2012-12-20 / 20120319235 - SEMICONDUCTOR DEVICE WITH A FUSE FORMED BY A DAMASCENE TECHNIQUE AND A METHOD OF MANUFACTURING THE SAME | 4 |
Kohsuke Hayamizu | JP | Chiyoda-Ku | 2014-04-17 / 20140107080 - BRAIN FUNCTION IMPROVING AGENT | 1 |
Kohei Hayamizu | JP | Tokyo | 2012-10-04 / 20120248937 - ELECTRIC APPARATUS PROVIDED WITH POWER GENERATING FUNCTION | 2 |
Naoya Hayamizu | JP | Minato-Ku | 2009-12-31 / 20090325390 - Cleaning method by electrolytic sulfuric acid and manufacturing method of semiconductor device | 3 |
Yuuhei Hayamizu | JP | Ibaraki | 2014-04-03 / 20140093694 - CARBON NANOTUBE FILM STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yuhei Hayamizu | US | Seattle | 2014-09-04 / 20140249052 - Polypeptides and their use | 1 |
Atsushi Hayamizu | JP | Shizuoka | 2010-08-19 / 20100207017 - CHARGED PARTICLE BEAM WRITING METHOD AND CHARGED PARTICLE BEAM WRITING APPARATUS | 1 |
Yuhei Hayamizu | JP | Ibaraki | 2010-07-08 / 20100173153 - BEAM-LIKE MATERIAL COMPRISING CARBON NANOTUBE AND MANUFACTURING METHOD THEREOF | 1 |
Hitoshi Hayamizu | JP | Osaka | 2014-06-05 / 20140153005 - SHEET CARRYING APPARATUS AND IMAGE FORMING APPARATUS HAVING THE SAME | 4 |
Sigetaka Hayano | JP | Tokyo | 2009-05-14 / 20090124769 - Process for producing cycloolefin addition polymer, catalyst for addition polymerization of cycloolefin, and transition metal compound | 1 |
Atsuko Hayano | JP | Kanagawa | 2008-08-28 / 20080209531 - Method, apparatus, and system for outputting information and forming image via network, and computer product | 1 |
Takeshi Hayano | JP | Tokorozawa-Shi | 2014-10-09 / 20140301723 - SUPERHEATED-STEAM GENERATOR DEVICE | 1 |
Fumihiko Hayano | JP | Nagano-Shi | 2011-01-20 / 20110010932 - WIRING BOARD, SEMICONDUCTOR DEVICE HAVING WIRING BOARD, AND METHOD OF MANUFACTURING WIRING BOARD | 2 |
Takeshi Hayano | JP | Saitama | 2013-08-08 / 20130202276 - SUPERHEATED-STEAM HEATING NOZZLE | 1 |
Takayuki Hayano | JP | Osaka-Shi | 2013-12-19 / 20130335684 - BONDING PANEL, DISPLAY UNIT, AND DISPLAY DEVICE | 3 |
Shigetaka Hayano | JP | Tokyo | 2016-04-07 / 20160096910 - CONJUGATED DIENE POLYMER AND METHOD FOR PRODUCING SAME | 11 |
Takayuki Hayano | JP | Matsusaka-Shi | 2011-03-10 / 20110058130 - Liquid crystal display device and its manufacturing method | 2 |
Katsuya Hayano | JP | Tokyo-To | 2015-05-21 / 20150140480 - PHOTOMASK AND METHODS FOR MANUFACTURING AND CORRECTING PHOTOMASK | 2 |
Takayuki Hayano | JP | Osaka | 2012-02-09 / 20120033160 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Kazuomi Hayano | JP | Tokyo | 2015-12-17 / 20150360455 - APPLYING METHOD, APPLYING DEVICE, MANUFACTURING METHOD, AND MANUFACTURING DEVICE | 1 |
Satoshi Hayano | JP | Osaka-Shi | 2009-02-26 / 20090051106 - AUTOMATIC DOCUMENT FEEDER AND IMAGE FORMING APPARATUS PROVIDED WITH SUCH AUTOMATIC DOCUMENT FEEDER | 1 |
Takayuki Hayano | JP | Mie | 2010-08-19 / 20100208178 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Shigetaka Hayano | JP | Kanagawa | 2015-09-24 / 20150270572 - POLYETHER COPOLYMER, CROSSLINKABLE POLYETHER COPOLYMER COMPOSITION AND ELECTROLYTE | 1 |
Koji Hayano | JP | Yamanashi | 2012-08-23 / 20120213238 - HIGH-POWER LASER UNIT WHEREIN LASER OUTPUT CAN BE ACCURATELY CORRECTED | 1 |
Masayuki Hayano | JP | Kanagawa | 2009-05-21 / 20090132492 - MENU DISTRIBUTION SYSTEM, MENU SERVER, READ/WRITE DEVICE, METHOD, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Shigetaka Hayano | JP | Tokyo | 2016-04-07 / 20160096910 - CONJUGATED DIENE POLYMER AND METHOD FOR PRODUCING SAME | 11 |
Yuka Hayano | JP | Osaka-Shi | 2014-04-03 / 20140095164 - MESSAGE ORIGINATING SERVER, MESSAGE ORGINATING METHOD, TERMINAL, ELECTRIC APPLIANCE CONTROL SYSTEM, AND ELECTRIC APPLIANCE | 1 |
Kiminori Hayano | JP | Tokyo | 2014-04-17 / 20140103392 - SEMICONDUCTOR DEVICE | 4 |
Koji Hayano | JP | Minamitsuru-Gun | 2011-10-06 / 20110243165 - LASER DEVICE THAT STABLY CONTROLS VERY LOW LASER POWER | 1 |
Hideaki Hayano | JP | Kanagawa | 2015-03-19 / 20150081354 - DISTRIBUTION APPARATUS, DISTRIBUTION METHOD, AND RECORDING MEDIUM | 3 |
Yutaka Hayano | JP | Tokyo | 2009-06-25 / 20090161115 - Wavefront sensor | 1 |
Tomio Hayano | JP | Hitachi | 2012-01-12 / 20120005998 - Elevator Wire Rope | 1 |
Fuminori Hayano | JP | Tokyo | 2011-02-03 / 20110026017 - SURFACE INSPECTING METHOD AND SURFACE INSPECTING APPARATUS | 1 |
Hitoshi Hayano | JP | Tsukuba-Shi | 2015-01-22 / 20150020561 - METHOD OF MANUFACTURING END-GROUP COMPONENTS WITH PURE NIOBIUM MATERIAL FOR SUPERCONDUCTING ACCELERATOR CAVITY | 1 |
Katsuhiko Hayano | JP | Miyoshi-Shi | 2014-04-10 / 20140097641 - PROTECTION STRUCTURE AND ELECTRIC VEHICLE | 1 |
Takanori Hayano | JP | Yokohama | 2015-11-12 / 20150325488 - VAPOR PHASE GROWTH METHOD AND VAPOR PHASE GROWTH APPARATUS | 1 |
Eiich Hayano | JP | Osaka | 2015-10-08 / 20150289355 - HIGH FREQUENCY POWER SUPPLY DEVICE AND HIGH FREQUENCY POWER SUPPLYING METHOD | 1 |
Yasutomo Hayano | JP | Osaka-Shi | 2015-09-17 / 20150261491 - IMAGE PROCESSING APPARATUS | 3 |
Seiya Hayano | JP | Yokohama-Shi | 2013-06-13 / 20130151627 - METHOD AND APPARATUS FOR CONTROLLING INFORMATION IN VIRTUAL WORLD | 2 |
Sakae Hayao | JP | Tokyo | 2015-02-05 / 20150033499 - ERASING IMPLEMENT AND WRITING IMPLEMENT PROVIDED WITH ERASING IMPLEMENT | 1 |
Yishay Hayardeni | IL | Jerusalem | 2010-08-05 / 20100194868 - SYSTEM, APPARATUS AND METHOD FOR FLEXIBLE MODULAR PROGRAMMING FOR VIDEO PROCESSORS | 1 |
Liat Hayardeny | IL | Tel Aviv | 2016-03-17 / 20160074380 - Treatment Of Neurodegenerative Diseases With Combination Of Laquinimod And Fingolimod | 9 |
Amiram Hayardeny | IL | Binyamina | 2010-03-25 / 20100077398 - Using Idempotent Operations to Improve Transaction Performance | 1 |
Amiram Hayardeny | CN | Beijing | 2009-08-20 / 20090210643 - System, Method and Computer Program Product for Generating a Consistent Point in Time Copy of Data | 2 |
Liat Hayardeny | IL | Tel Aviv | 2016-03-17 / 20160074380 - Treatment Of Neurodegenerative Diseases With Combination Of Laquinimod And Fingolimod | 9 |
Liat Hayardeny-Nisimov | IL | Tel Aviv | 2008-09-11 / 20080221115 - Use of long-chain alcohol derivatives for the treatment of alopecia areata | 1 |
Matthew S. Hayas | US | Cincinnati | 2012-05-10 / 20120111962 - SOLID CHEMICAL DISSOLVER AND METHODS | 5 |
Shoichi Hayasaka | JP | Shizuoka-Ken | 2008-09-25 / 20080232198 - Object Detection Apparatus and Method | 1 |
Takashi Hayasaka | JP | Minato | 2009-08-06 / 20090195863 - Optical amplification apparatus, optical communication apparatus, and optical communication method | 1 |
Shinichiro Hayasaka | JP | Miyagi-Gun | 2011-05-26 / 20110120563 - GAS SUPPLY SYSTEM, SUBSTRATE PROCESSING APPARATUS AND GAS SUPPLY METHOD | 1 |
Mitsuharu Hayasaka | JP | Suginami-Ku | 2015-03-19 / 20150077772 - DATA GENERATION APPARATUS, NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM, AND DATA GENERATION METHOD | 2 |
Mitsuo Hayasaka | JP | Tokyo | 2016-02-04 / 20160034476 - FILE MANAGEMENT METHOD | 8 |
Shinya Hayasaka | JP | Konan-Shi | 2011-11-10 / 20110272960 - SOUND ABSORPTION SHEET FOR MOTOR VEHICLES AND ENGINE UNDER COVER FOR MOTOR VEHICLES USING SOUND ABSORPTION SHEET | 1 |
Noboru Hayasaka | JP | Kawasaki | 2010-03-04 / 20100052212 - METHOD OF RESIN SEALING ELECTRONIC PART | 1 |
Shoichi Hayasaka | JP | Atsugi-Shi Kanagawa | 2015-03-12 / 20150070158 - ALERT DISPLAY DEVICE AND ALERT DISPLAY METHOD | 2 |
Shinichi Hayasaka | JP | Tendo-Shi | 2012-08-16 / 20120207321 - SPEAKER DEVICE | 1 |
Mitsuo Hayasaka | JP | Ota-Ku | 2014-05-01 / 20140122818 - STORAGE APPARATUS AND METHOD FOR CONTROLLING STORAGE APPARATUS | 1 |
Hideki Hayasaka | JP | Tokyo | 2015-10-15 / 20150291762 - RECYCLED FIBER AND RECYCLED FIBER MOLDING | 1 |
Shinya Hayasaka | JP | Kasugai-Shi | 2009-10-08 / 20090250292 - Automotive sound-absorbing material | 1 |
Kazuaki Hayasaka | JP | Tokyo | 2016-02-25 / 20160053196 - LUBRICATING OIL COMPOSITION | 27 |
Yusuke Hayasaka | JP | Kurokawa-Gun | 2015-05-07 / 20150122422 - THERMALLY CONDUCTIVE SILICONE SHEET, MANUFACTURING METHOD THEREOF, AND PLASMA PROCESSING APPARATUS USING THE SAME | 1 |
Taichi Hayasaka | JP | Saitama | 2015-01-15 / 20150015119 - PIEZOELECTRIC VIBRATING PIECE, METHOD FOR FABRICATING PIEZOELECTRIC VIBRATING PIECE, PIEZOELECTRIC DEVICE, AND METHOD FOR FABRICATING PIEZOELECTRIC DEVICE | 4 |
Shinichiro Hayasaka | JP | Miyagi | 2014-11-13 / 20140332100 - GAS SUPPLY METHOD | 2 |
Kazuaki Hayasaka | JP | Chiyoda-Ku | 2013-02-14 / 20130041052 - PROCESS FOR PRODUCTION OF ACTIVATED FISCHER-TROPSCH SYNTHESIS CATALYST, AND PROCESS FOR PRODUCTION OF HYDROCARBON | 3 |
Junya Hayasaka | JP | Funabashi-Shi | 2015-03-05 / 20150062260 - LIQUID EJECTION HEAD AND PRINTING APPARATUS | 2 |
Nobuo Hayasaka | JP | Kanagawa-Ken | 2008-12-04 / 20080296165 - PLATING APPARATUS | 2 |
Satoshi Hayasaka | JP | Ota-Ku, Tokyo | 2014-02-13 / 20140046460 - INPUT DEVICE | 1 |
Keiichi Hayasaka | JP | Kanagawa | 2015-06-04 / 20150155854 - SEMICONDUCTOR CIRCUIT | 1 |
Hiroshi Hayasaka | JP | Ibaraki | 2015-06-25 / 20150174590 - CENTRIFUGE | 4 |
Shoichi Hayasaka | JP | Atsugi-Shi | 2015-09-24 / 20150266473 - DRIVING SUPPORT APPARATUS AND DRIVING SUPPORT METHOD | 6 |
Naoki Hayasaka | JP | Nagaokakyo-Shi | 2013-12-19 / 20130335938 - HIGH-FREQUENCY MODULE | 1 |
Yasushi Hayasaka | JP | Tokyo | 2016-02-11 / 20160043603 - Neutral Ring for Use in Rotating Electrical Machine and Method for Manufacturing the Same | 1 |
Atsushi Hayasaka | JP | Anjo-City | 2011-01-27 / 20110017440 - HEAT EXCHANGER | 2 |
Tatsuya Hayasaka | JP | Saitama-Shi | 2015-02-05 / 20150038749 - Process for Producing 2-Chloro-1,3,3,3-Tetrafluoropropene | 1 |
Mitsuharu Hayasaka | JP | Tokyo | 2016-01-07 / 20160004195 - IMAGE FORMING APPARATUS, FIXING TEMPERATURE CONTROL METHOD, AND FIXING TEMPERATURE CONTROL PROGRAM | 2 |
Kengo Hayasaka | JP | Tokyo | 2015-11-19 / 20150332468 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, IMAGE PROCESSING PROGRAM, AND IMAGING DEVICE | 16 |
Shoichi Hayasaka | JP | Susono-Shi | 2010-09-09 / 20100226532 - Object Detection Apparatus, Method and Program | 2 |
Tatsuya Hayasaka | JP | Saitama | 2010-08-26 / 20100217049 - Process for Dehydration of Hexafluoroacetone Hydrate | 1 |
Kazumi Hayasaka | JP | Kawasaki | 2015-04-30 / 20150121033 - INFORMATION PROCESSING APPARATUS AND DATA TRANSFER CONTROL METHOD | 13 |
Naoto Hayasaka | JP | Tokyo | 2014-12-18 / 20140368255 - Power Source Connection Circuit | 1 |
Go Hayasaka | JP | Toyota-Shi | 2014-03-27 / 20140087917 - VEHICLE AND CONTROL METHOD FOR VEHICLE | 1 |
Tatsuya Hayasaka | JP | Iruma-Gun | 2012-05-31 / 20120136177 - Process for Preparation of Hexafluoroacetone Monohydrate | 1 |
Shokichi Hayasaka | JP | Sendai-Shi Miyagi | 2015-12-17 / 20150359557 - SHOCK WAVE FOCUSING DEVICE, SHOCK WAVE GENERATION APPARATUS, AND SHOCK WAVE ABLATION SYSTEM | 1 |
Nobuo Hayasaka | JP | Yokohama-Shi | 2011-05-05 / 20110101522 - Multichip semiconductor device, chip therefor and method of formation thereof | 1 |
Go Hayasaka | JP | Toyota-Shi Aichi-Ken | 2015-10-15 / 20150292427 - CONTROL SYSTEM OF VEHICLE | 1 |
Tsutomu Hayasaka | JP | Tokyo | 2016-01-28 / 20160024327 - DISPERSED COMPOSITION, COATING COMPOSITION, COATING FILM, AND COLORED ITEM | 1 |
Kazuaki Hayasaka | JP | Tokyo | 2016-02-25 / 20160053196 - LUBRICATING OIL COMPOSITION | 27 |
Satoru Hayasaka | US | Winston-Salem | 2014-08-07 / 20140222738 - Agent-Based Brain Model and Related Methods | 1 |
Kazuhito Hayasaka | JP | Tokyo | 2009-11-26 / 20090289650 - PROBE CARD AND METHOD FOR SELECTING THE SAME | 1 |
Masato Hayasaka | JP | Toyota-Shi | 2014-01-16 / 20140019081 - ENGINE TESTING APPARATUS, AND ENGINE TESTING METHOD | 1 |
Satoshi Hayasaka | JP | Kanagawa | 2016-01-28 / 20160024298 - RESIN COMPOSITION AND RESIN MOLDED ARTICLE | 1 |
Takuya Hayasaka | JP | Tokyo | 2009-08-20 / 20090208688 - Laminate Sheet, Laminate Sheet Roll, and Methods of Producing the Same | 2 |
Satoshi Hayasaka | JP | Miyagi-Ken | 2016-05-19 / 20160139734 - INPUT DEVICE | 5 |
Hiroshi Hayasaka | JP | Minato-Ku | 2012-05-31 / 20120135806 - INPUT DEVICE AND GAME SYSTEM INCLUDING THE INPUT DEVICE | 2 |
Hiroshi Hayasaka | JP | Tokyo | 2010-12-23 / 20100323792 - INPUT DEVICE FOR GAME MACHINE | 2 |
Kazumi Hayasaka | JP | Kawasaki | 2015-04-30 / 20150121033 - INFORMATION PROCESSING APPARATUS AND DATA TRANSFER CONTROL METHOD | 13 |
Kenji Hayasaka | JP | Miyagi | 2013-09-19 / 20130242359 - DOCUMENT CONVEYING DEVICE, IMAGE READING DEVICE, AND IMAGE FORMING APPARATUS | 2 |
Yuika Hayasaka | JP | Tokyo | 2015-12-10 / 20150353746 - PRINTING INK VARNISH, AND PRINTING INK COMPOSITION USING SAME | 1 |
Masayoshi Hayasaka | JP | Tsukuba | 2015-05-21 / 20150138356 - DISPLAY DEVICE FOR SELF-PROPELLED INDUSTRIAL MACHINE | 1 |
Nobuyuki Hayasaka | JP | Matsumoto | 2010-08-05 / 20100193055 - LIQUID-SUCTIONING TANK AND DROPLET DISCHARGE DEVICE PROVIDED WITH THE SAME | 1 |
Mamiko Hayasaka | JP | Tokyo | 2009-08-06 / 20090199291 - Communication apparatus, a firewall control method, and a firewall control program | 1 |
Ken Hayasaka | JP | Wako-Shi | 2013-10-03 / 20130260936 - HYBRID DRIVE APPARATUS | 1 |
Yukihiro Hayasaka | JP | Saitama | 2008-11-06 / 20080271707 - Liquefied Gas Fuel Supply Device of Diesel Engine | 1 |
Satoshi Hayasaka | JP | Tokyo | 2014-02-13 / 20140043287 - INPUT DEVICE | 1 |
Tadashi Hayasaka | JP | Tokyo | 2009-03-12 / 20090064902 - GROUTING MATERIAL | 1 |
Hideki Hayasaka | JP | Saitama | 2014-11-27 / 20140348977 - METHOD FOR MANUFACTURING MULTICORE GELATIN MICROCAPSULE | 1 |
Akihiro Hayasaka | JP | Tokyo | 2016-04-21 / 20160110586 - IMAGE RECOGNITION APPARATUS, IMAGE RECOGNITION METHOD AND COMPUTER-READABLE MEDIUM | 2 |
Hiroyuki Hayasaka | JP | Shioya-Gun | 2011-05-05 / 20110100330 - FUEL INJECTION CONTROL APPARATUS | 1 |
Masashi Hayasaka | JP | Kakuda-Shi | 2009-07-02 / 20090168358 - Power drive unit | 1 |
Kaname Hayasaka | JP | Iruma-Shi | 2009-01-29 / 20090028016 - OPTICAL DISK DRIVE | 2 |
Kenji Hayasaka | JP | Kanagawa | 2015-02-19 / 20150048566 - SHEET MATERIAL CONVEYING DEVICE, IMAGE SCANNING DEVICE, AND IMAGE FORMING APPARATUS | 3 |
Kaname Hayasaka | JP | Saitama-Ken | 2015-07-30 / 20150212721 - INFORMATION PROCESSING APPARATUS CAPABLE OF BEING OPERATED BY MULTI-TOUCH | 1 |
Shinichi Hayasaka | JP | Yamagata | 2010-05-06 / 20100108433 - ELECTROACOUSTIC TRANSDUCER DIAPHRAGM | 1 |
Nobuo Hayasaka | JP | Yokosuka-Shi | 2011-09-08 / 20110215443 - Multichip semiconductor device, chip therefor and method of formation thereof | 2 |
Tsuyoshi Hayasaka | JP | Ibaraki | 2015-08-13 / 20150228812 - COMPOSITION FOR FORMING PASSIVATION LAYER, SEMICONDUCTOR SUBSTRATE HAVING PASSIVATION LAYER, METHOD OF PRODUCING SEMICONDUCTOR SUBSTRATE HAVING PASSIVATION LAYER, PHOTOLOLTAIC CELL ELEMENT, METHOD OF PRODUCING PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL | 2 |
Shiro Hayasaka | JP | Tamano-Shi | 2012-11-08 / 20120279486 - Heliostat for collecting sunlight and method of controlling the same | 1 |
Takashi Hayasaka | JP | Tokyo | 2014-06-05 / 20140157149 - INFORMATION PROCESSING DEVICE AND INFORMATION PROCESSING METHOD | 1 |
Mitsuo Hayasaka | JP | Tokyo | 2016-02-04 / 20160034476 - FILE MANAGEMENT METHOD | 8 |
Yasuhiro Hayasaka | JP | Chiyoda-Ku | 2015-06-11 / 20150162796 - STATOR AND ROTATING ELECTRICAL MACHINE EQUIPPED WITH THE SAME | 1 |
Yasushi Hayasaka | JP | Mito | 2012-11-29 / 20120301311 - STEAM TURBINE ROTOR BLADE ASSEMBLY | 5 |
Takaharu Hayasaka | JP | Toride-Shi | 2009-02-12 / 20090039585 - SHEET PROCESSING APPARATUS AND IMAGE FORMING APPARATUS | 3 |
Masashi Hayasaka | JP | Tokyo | 2015-12-31 / 20150377377 - THREE-WAY SOLENOID VALVE | 2 |
Taichi Hayasaka | JP | Miyagi | 2014-09-11 / 20140252919 - PIEZOELECTRIC DEVICE | 1 |
Kengo Hayasaka | JP | Kanagawa | 2016-05-05 / 20160127636 - INFORMATION PROCESSING APPARATUS, ELECTRONIC APPARATUS, SERVER, INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING METHOD | 8 |
Yusuke Hayasaka | JP | Miyagi | 2015-04-30 / 20150114564 - SUBSTRATE PROCESSING APPARATUS AND SHUTTER MEMBER | 1 |
Kazuma Hayasaka | JP | Ashigarakami-Gun | 2012-10-04 / 20120253447 - BALLOON CATHETER | 1 |
Kazuaki Hayasaka | JP | Kanagawa | 2011-02-24 / 20110042267 - HYDROISOMERIZATION CATALYST, PROCESS FOR PRODUCING THE SAME, METHOD OF DEWAXING HYDROCARBON OIL, AND PROCESS FOR PRODUCING LUBE BASE OIL | 2 |
Emiko Hayasaka | JP | Chiyoda-Ku | 2010-03-11 / 20100060220 - VIBRATION ISOLATION CONTROL SYSTEM | 1 |
Kengo Hayasaka | JP | Kanagawa | 2016-05-05 / 20160127636 - INFORMATION PROCESSING APPARATUS, ELECTRONIC APPARATUS, SERVER, INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING METHOD | 8 |
Haruyasu Hayasaka | JP | Tokyo | 2008-09-18 / 20080228840 - DATA UPDATING METHOD AND DATA PROCESSING SYSTEM | 1 |
Naoko Hayasaka | JP | Nukata-Gun | 2012-10-18 / 20120264522 - TORQUE FLUCTUATION ABSORBER | 1 |
Masatoshi Hayasaka | JP | Haga-Gun | 2009-04-16 / 20090099749 - Vehicle collision decision apparatus | 1 |
Noriaki Hayasaka | JP | Miyagi | 2012-04-26 / 20120097751 - CARBON DIOXIDE COATING METHOD AND DEVICE THEREFOR | 1 |
Daisuke Hayasaka | JP | Yonezawa-Shi | 2010-04-29 / 20100101699 - METHOD OF PRODUCING A FERRULE WITH AN OPTICAL FIBER | 1 |
Tetsuji Hayasaki | JP | Kokubu-Shi | 2008-11-27 / 20080292890 - Corrosion Resistant Member and Method for Manufacturing the Same | 1 |
Kimiyuki Hayasaki | JP | Kawasaki-Shi | 2014-10-16 / 20140307013 - APPARATUS FOR CHARGING POWER STORAGE UNIT PROVIDED IN CARRIAGE HAVING PRINTHEAD, AND CHARGE CONTROL METHOD AND STORAGE MEDIUM STORING PROGRAM THEREOF | 10 |
Yasuyuki Hayasaki | JP | Aichi | 2014-09-04 / 20140248067 - MODIFIED POLYMER BODY | 1 |
Minoru Hayasaki | JP | Mishima-Shi | 2016-01-28 / 20160026130 - FIXING APPARATUS | 19 |
Tetsuji Hayasaki | JP | Kagoshima | 2008-11-20 / 20080283499 - Corrosion-Resistant Member, Treatment Apparatus and Sample Treatment Method Using the Member, and Method for Manufacture of Corrosion-Resistant Member | 1 |
Masahiro Hayasaki | JP | Fukuoka-Shi | 2014-08-28 / 20140239147 - RECYCLABLE FORMWORK | 2 |
Kei Hayasaki | JP | Fujisawa-Shi | 2009-01-01 / 20090002722 - STRUCTURE INSPECTION METHOD, PATTERN FORMATION METHOD, PROCESS CONDITION DETERMINATION METHOD AND RESIST PATTERN EVALUATION APPARATUS | 1 |
Kimiyuki Hayasaki | JP | Yokohama-Shi | 2011-02-10 / 20110032317 - SIGNALING MODULE, LIQUID CONTAINER, RECORDING APPARATUS, AND CONTROL METHOD | 6 |
Yoshiki Hayasaki | JP | Osaka-Shi | 2011-02-03 / 20110024274 - MEMS SWITCH AND METHOD OF MANUFACTURING THE MEMS SWITCH | 2 |
Kei Hayasaki | JP | Kamakura-Shi | 2011-09-22 / 20110229826 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD TO FORM RESIST PATTERN, AND SUBSTRATE PROCESSING APPARATUS | 5 |
Yuichi Hayasaki | JP | Sakata-Shi | 2009-10-29 / 20090267193 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroaki Hayasaki | JP | Chiba | 2010-02-11 / 20100032855 - PLASTICIZING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Hideto Hayasaki | JP | Osaka | 2010-03-18 / 20100071047 - Authentication system, terminal and information processing device, having function of performing stable authentication | 1 |
Yoshiki Hayasaki | JP | Osaka | 2014-08-07 / 20140217391 - ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING SAME | 1 |
Makoto Hayasaki | JP | Osaka | 2011-04-14 / 20110085204 - CAPTURED IMAGE PROCESSING SYSTEM, IMAGE CAPTURE METHOD, AND RECORDING MEDIUM | 7 |
Minoru Hayasaki | JP | Mishima-Shi | 2016-01-28 / 20160026130 - FIXING APPARATUS | 19 |
Makoto Hayasaki | JP | Tokyo | 2009-06-11 / 20090148006 - Control device, image forming apparatus, method of controlling image forming apparatus, and recording medium | 3 |
Makoto Hayasaki | JP | Chuo-Ku | 2008-12-11 / 20080304751 - IMAGE PROCESSING APPARATUS, IMAGE FORMING APPARATUS, IMAGE PROCESSING SYSTEM, AND IMAGE PROCESSING METHOD | 1 |
Kimiyuki Hayasaki | JP | Kawasaki-Shi | 2014-10-16 / 20140307013 - APPARATUS FOR CHARGING POWER STORAGE UNIT PROVIDED IN CARRIAGE HAVING PRINTHEAD, AND CHARGE CONTROL METHOD AND STORAGE MEDIUM STORING PROGRAM THEREOF | 10 |
Yuko Hayasaki | JP | Kamakura-Shi | 2010-12-30 / 20100327383 - SEMICONDUCTOR DEVICE INCLUDING THROUGH-ELECTRODE AND METHOD OF MANUFACTURING THE SAME | 1 |
Makoto Hayasaki | JP | Osaka-Shi | 2015-11-12 / 20150326752 - IMAGE PROCESSING APPARATUS AND RECORDING MEDIUM | 3 |
Hirotoshi Hayasawa | JP | Tokyo | 2010-09-23 / 20100240632 - DRUG FOR IMPROVING HYPERGLYCEMIA | 2 |
Tetsuo Hayase | JP | Kyoto | 2011-06-16 / 20110141568 - HALF MIRROR AND PROCESS FOR PRODUCING SAME | 3 |
Tomomi Hayase | JP | Gifu | 2016-03-03 / 20160059298 - APPARATUS AND METHOD FOR MANUFACTURING A KNUCKLE BRACKET | 1 |
Rumiko Hayase | JP | Yokohama | 2016-04-07 / 20160099414 - POLYMER AND SOLAR CELL USING THE SAME | 2 |
Kazuyoshi Hayase | JP | Setagaya-Ku, Tokyo | 2016-02-25 / 20160055146 - DOCUMENT PROCESSING DEVICE, DOCUMENT PROCESSING METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Yusuke Hayase | JP | Hamamatsu-Shi | 2013-11-28 / 20130313422 - MICROCHANNEL PLATE | 3 |
Gaku Hayase | KR | Suwon | 2014-05-01 / 20140116667 - HEAT EXCHANGER | 1 |
Yoji Hayase | JP | Kanagawa | 2012-05-03 / 20120108800 - METHOD FOR SYNTHESIZING NUCLEIC ACID | 2 |
Fumiaki Hayase | JP | Nirasaki City | 2012-03-22 / 20120067846 - Liquid Processing Method, Recording Medium Having Recorded Program for Executing Liquid Processing Method Therein and Liquid Processing Apparatus | 1 |
Masanori Hayase | JP | Tokyo | 2011-07-28 / 20110180412 - PLATING METHOD AND PLATING APPARATUS | 1 |
Rumiko Hayase | JP | Kanagawa | 2010-03-25 / 20100074074 - METHOD AND APPARATUS FOR RECORDING OPTICAL INFORMATION, AND METHOD AND APPARATUS FOR REPRODUCING OPTICAL INFORMATION | 2 |
Toru Hayase | JP | Nagoya-Shi | 2009-11-19 / 20090283933 - CERAMIC MEMBER AND METHOD FOR PRODUCING THE SAME | 1 |
Toru Hayase | JP | Tochigi | 2010-03-25 / 20100076394 - ABSORBENT ARTICLE | 1 |
Toru Hayase | JP | Nagoya-City | 2015-09-24 / 20150270037 - VOLTAGE NONLINEAR RESISTIVE ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 8 |
Toru Hayase | JP | Toyokawa-Shi | 2010-12-02 / 20100303526 - FIXING DEVICE AND IMAGE FORMING DEVICE | 6 |
Shigeaki Hayase | JP | Hyoko-Ken | 2013-05-09 / 20130113039 - SEMICONDUCTOR DEVICE | 1 |
Fumitaka Hayase | JP | Aichi | 2009-12-17 / 20090308719 - SWITCH | 1 |
Tadakatsu Hayase | JP | Kanagawa | 2014-10-23 / 20140315856 - AMINOPYRAZOLE DERIVATIVE | 3 |
Toru Hayase | JP | Nagoya-City | 2015-09-24 / 20150270037 - VOLTAGE NONLINEAR RESISTIVE ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 8 |
Kazuaki Hayase | JP | Toyota-Shi | 2014-01-23 / 20140021766 - VEHICLE FRAME COMPONENT AND MANUFACTURING METHOD FOR VEHICLE FRAME COMPONENT | 1 |
Shigeaki Hayase | JP | Tokyo | 2009-10-01 / 20090242977 - SEMICONDUCTOR DEVICE AND DC-DC CONVERTER | 1 |
Tetsuo Hayase | JP | Toyama-City | 2013-08-01 / 20130195730 - Device for Producing Gas Hydrate | 1 |
Yosuke Hayase | JP | Kawasaki-Shi | 2010-04-29 / 20100104176 - IMAGE PROCESSING METHOD | 3 |
Isao Hayase | JP | Tokyo | 2015-11-26 / 20150337836 - Gear Pump | 3 |
Shuzi Hayase | JP | Fukuoka-Ken | 2013-09-19 / 20130244092 - ELECTRODE MATERIAL FOR BATTERY, ELECTRODE MATERIAL PASTE FOR BATTERY, AND SOLAR CELL USING SAME, STORAGE BATTERY, AND METHOD FOR MANUFACTURING SOLAR CELL | 1 |
Seiji Hayase | JP | Kobe-Shi | 2016-03-10 / 20160067567 - GOLF CLUB | 11 |
Fumitaka Hayase | JP | Aichi-Ken | 2009-10-29 / 20090266197 - METHOD OF ASSEMBLING A GEARSHIFT MEMBER TO A STEERING WHEEL MAIN BODY, STEERING WHEEL, AND GEARSHIFT MEMBER | 1 |
Shigeaki Hayase | JP | Himeji Hyogo | 2015-01-22 / 20150021685 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 1 |
Masao Hayase | JP | Tottori City | 2011-12-01 / 20110290121 - AUTOMATIC BREAD MAKING MACHINE | 2 |
Shigeki Hayase | JP | Kyoto | 2009-10-22 / 20090263343 - Deodorized cloth and method for deodorizing cloth | 1 |
Shogo Hayase | JP | Kanagawa | 2010-06-10 / 20100140540 - Method For Producing Positive Electrode Material For Secondary Battery | 1 |
Shigenori Hayase | JP | Tokyo | 2014-07-17 / 20140201754 - WIRELESS COMMUNICATION BASE STATION AND WIRELESS COMMUNICATION METHOD | 4 |
Tetsuo Hayase | JP | Ritto-Shi | 2009-02-12 / 20090039545 - SEALING STRUCTURE OF TERMINAL AND SEALING MATERIAL THEREFOR | 1 |
Rumiko Hayase | JP | Tokyo | 2012-10-04 / 20120251927 - HOLOGRAM-RECORDING MEDIUM | 2 |
Rumiko Hayase | JP | Kanagawa-Ken | 2011-09-22 / 20110228663 - HOLOGRAPHIC RECORDING METHOD | 4 |
Shuji Hayase | JP | Yokohama-Shi | 2009-08-06 / 20090197139 - ION CONDUCTIVE FILM AND FUEL CELL USING THE SAME | 1 |
Seiji Hayase | JP | Kobe-Shi | 2016-03-10 / 20160067567 - GOLF CLUB | 11 |
Rumiko Hayase | JP | Yokohama-Shi | 2009-09-17 / 20090231979 - OPTICAL RECORDING MEDIUM | 4 |
Gen Hayase | JP | Kyoto | 2014-03-20 / 20140076070 - MONOLITHIC SILICONE AND METHOD OF SEPARATION, PURIFICATION AND CONCENTRATION THEREWITH | 1 |
Motoya Hayase | US | Boston | 2015-06-25 / 20150174367 - MATERIAL REMOVAL CATHETER AND METHOD | 3 |
Takeo Hayase | JP | Kanagawa-Ken | 2014-04-17 / 20140103934 - BATTERY DIAGNOSIS DEVICE AND METHOD | 2 |
Rumiko Hayase | JP | Tsurumi-Ku | 2008-09-18 / 20080225681 - OPTICAL RECORDING MEDIUM | 1 |
Noriaki Hayase | JP | Tokyo | 2011-02-17 / 20110038289 - DATA PROCESSING SYSTEM, CENTRAL MANAGEMENT UNIT THEREOF, COMPUTER PROGRAM THEREOF, AND DATA PROCESSING METHOD | 3 |
Fumiaki Hayase | JP | Iwate | 2016-05-19 / 20160138158 - NOZZLE AND SUBSTRATE PROCESSING APPARATUS USING SAME | 2 |
Kei Hayase | JP | Chiyoda-Ku | 2012-09-20 / 20120235859 - RADAR APPARATUS | 2 |
Hiroaki Hayase | JP | Osaka | 2009-04-16 / 20090095001 - Liquid Evaporation Cooling Apparatus | 1 |
Shigenori Hayase | JP | Kodaira | 2011-02-10 / 20110033005 - MIMO wireless data communication system, MIMO wireless data communication method and MIMO wireless data communication apparatus | 5 |
Yoji Hayase | JP | Ibaraki | 2010-05-27 / 20100130737 - Regulating Agent of GPR34 Receptor Function | 1 |
Tetsuo Hayase | JP | Aichi | 2013-12-26 / 20130341534 - SENSOR PROVIDED WITH METAL OXIDE FILM AND USE THEREOF | 1 |
Isao Hayase | JP | Tsuchiura-Shi | 2011-10-27 / 20110259673 - LINEAR ACTUATOR | 1 |
Ken Hayase | JP | Saitama | 2010-06-03 / 20100132869 - APPARATUS AND METHOD FOR MANUFACTURING GYPSUM BOARD | 1 |
Yusuke Hayase | JP | Tokyo | 2009-06-25 / 20090162018 - Hermetically Sealing Member Having Optical Transmission Means, Optoelectronic Apparatus, and Optical Transmission Method | 1 |
Yuuji Hayase | JP | Kitakyushu-Shi | 2011-02-10 / 20110034740 - METHOD FOR PURIFYING TETRAFLUOROETHYLENE | 1 |
Ken Hayase | JP | Ome-Shi | 2012-05-24 / 20120128325 - AUTHORING DEVICE AND AUTHORING METHOD | 4 |
Shuzi Hayase | JP | Fukuoka | 2012-02-02 / 20120029206 - DYE-SENSITIZED SOLAR CELL, PHOTOELECTRIC CONVERSION ELEMENT, AND DYE FOR USE IN THE SOLAR CELL AND THE ELEMENT | 7 |
Toru Hayase | JP | Toyohashi-Shi | 2016-05-05 / 20160122130 - BELT-DRIVE DEVICE AND IMAGE FORMING APPARATUS | 14 |
Isao Hayase | JP | Tsuchiura | 2013-10-31 / 20130283947 - LINEAR ACTUATOR | 10 |
Isao Hayase | JP | Tsuchiura | 2013-10-31 / 20130283947 - LINEAR ACTUATOR | 10 |
Shuzi Hayase | JP | Kitakyushu-Shi | 2014-07-03 / 20140182669 - DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 7 |
Kazuya Hayase | JP | Yokosuka-Shi | 2011-08-11 / 20110194599 - SCALABLE VIDEO ENCODING METHOD, SCALABLE VIDEO ENCODING APPARATUS, SCALABLE VIDEO ENCODING PROGRAM, AND COMPUTER READABLE RECORDING MEDIUM STORING THE PROGRAM | 3 |
Shigeaki Hayase | JP | Hyogo-Ken | 2013-03-21 / 20130069150 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 1 |
Koji Hayase | JP | Ota-Shi | / - | 2 |
Shigenori Hayase | JP | Yokohama | 2013-10-31 / 20130286955 - ACCESS POINT AND INTERFERENCE CONTROL METHOD | 5 |
Toru Hayase | JP | Toyohashi-Shi | 2016-05-05 / 20160122130 - BELT-DRIVE DEVICE AND IMAGE FORMING APPARATUS | 14 |
Yusuke Hayase | JP | Saitama | 2011-09-22 / 20110231128 - TEST APPARATUS, MEASUREMENT APPARATUS, AND ELECTRONIC DEVICE | 2 |
Yuichiro Hayase | JP | Toyota-Shi | 2015-08-06 / 20150219002 - COOLING APPARATUS FOR ENGINE SYSTEM AND CONTROL METHOD THEREFOR | 1 |
Taeko Hayase | JP | Utsunomiya-Shi | 2015-10-22 / 20150297053 - NON-WOVEN FABRIC SUBSTRATE FOR WIPING SHEET | 2 |
Hiroki Hayase | JP | Toyonaka-Shi | 2015-06-04 / 20150152095 - AROMATIC HETEROCYCLIC DERIVATIVE AND PHARMACEUTICAL | 1 |
Tetsuo Hayase | JP | Ichinomiya-City | 2014-01-02 / 20140000409 - OBJECT DETECTING ACTUATOR AND OBJECT DETECTING SWITCH | 1 |
Yuko Hayase | JP | Tokyo | 2015-01-22 / 20150024942 - SUPERCONDUCTING WIRE | 7 |
Gaku Hayase | KR | Suwon-Si | 2015-02-05 / 20150034289 - HEAT EXCHANGER AND CORRUGATED FIN THEREOF | 3 |
Shigeki Hayashi | JP | Osaka | 2015-08-20 / 20150231943 - Hydraulic Suspension System for Work Vehicle | 15 |
Shinjiro Hayashi | JP | Niigata | 2015-04-30 / 20150118406 - CATALYST SOLUTION FOR ELECTROLESS PLATING | 3 |
Yoshihiro Hayashi | JP | Ibaraki-Ken | 2009-06-11 / 20090147047 - Printer | 1 |
Kazuki Hayashi | JP | Hyogo | 2011-07-21 / 20110173948 - COMBINED CYCLE ELECTRIC POWER GENERATION PLANT AND HEAT EXCHANGER | 1 |
Daisuke Hayashi | JP | Nagoya-Shi | 2014-02-13 / 20140041410 - CONDENSING APPARATUS | 4 |
Hirotaka Hayashi | JP | Tokyo | 2016-05-12 / 20160132159 - DISPLAY DEVICE | 9 |
Mariko Hayashi | JP | Yokohama-Shi | / - | 1 |
Masahide Hayashi | JP | Mito | 2014-02-27 / 20140058617 - Acceleration Detection Apparatus | 14 |
Seishu Hayashi | JP | Kanazawa-Shi | 2013-10-31 / 20130284659 - ADSORBENT FOR THE REMOVAL OF BLOOD CELLS | 2 |
Tetsuya Hayashi | JP | Yokohama-Shi | 2016-03-10 / 20160070058 - MULTICORE OPTICAL FIBER AND OPTICAL MODULE | 23 |
Daisuke Hayashi | JP | Tokyo | 2016-04-28 / 20160117163 - APPLYING UPDATE TO SNAPSHOTS OF VIRTUAL MACHINE | 9 |
Hideaki Hayashi | JP | Susono-Shi | 2013-01-10 / 20130013184 - COLLISION POSITION PREDICTING DEVICE | 1 |
Hisahiro Hayashi | JP | Nagoya-Shi | 2010-04-15 / 20100095151 - Processor Apparatus for Executing Instructions with Local Slack Prediction of Instructions and Processing Method Therefor | 1 |
Hideki Hayashi | JP | Kawasaki | 2013-01-10 / 20130013233 - ELECTRIC-POWER-GENERATION LEVEL PREDICTING APPARATUS, METHOD AND PROGRAM | 1 |
Hiroyuki Hayashi | JP | Hamamatsu-Shi | 2014-08-28 / 20140240394 - WASHING LIQUID SUPPLY MECHANISM AND INKJET PRINTER | 1 |
Mitsuyuki Hayashi | JP | Nishio-Shi | 2011-07-21 / 20110174038 - METHOD AND APPARATUS FOR ROLLING ELECTRIC WIRE FOR STATOR COIL OF ELECTRIC ROTATING MACHINE | 1 |
Hidetsugu Hayashi | JP | Toyota-Shi | 2016-05-12 / 20160131285 - PIPING JOINT STRUCTURE | 3 |
Yasuhito Hayashi | JP | Nagoya-Shi | 2015-08-20 / 20150233434 - ELECTRIC PARKING BRAKE DRIVING DEVICE AND ELECTRIC PARKING BRAKE DEVICE | 3 |
Takuya Hayashi | JP | Hyogo | 2015-06-25 / 20150173847 - IMAGING MARKER AND UTILIZATION THEREOF | 1 |
Kazutoshi Hayashi | JP | Nagoya-Shi | 2008-09-18 / 20080223276 - Circular stitcher for sewing machine and sewing machine | 1 |
Hirotaka Hayashi | JP | Minato-Ku | 2016-05-12 / 20160131939 - REFLECTIVE TYPE DISPLAY DEVICE | 1 |
Kotaro Hayashi | JP | Shizuoka-Ken | / - | 1 |
Yuji Hayashi | JP | Nagoya-Shi | 2008-11-27 / 20080292732 - Plant and Plant Storage Organ Having Glp-1 Derivative Accumulated Therein and Method of Producing the Same | 1 |
Masateru Hayashi | JP | Isehara-Shi | 2012-03-29 / 20120075880 - LIGHT SOURCE UNIT OF SEMICONDUCTOR-TYPE LIGHT SOURCE OF VEHICLE LIGHTING DEVICE AND VEHICLE LIGHTING DEVICE | 5 |
Shigeo Hayashi | JP | Nagaokakyo-Shi | 2014-04-17 / 20140106213 - ELECTRICAL STORAGE DEVICE ELEMENT AND ELECTRICAL STORAGE DEVICE | 1 |
Hiroshi Hayashi | JP | Rifu-Cho | 2015-06-04 / 20150153498 - PHASE DIFFERENCE ELEMENT, TRANSPARENT CONDUCTIVE ELEMENT, INPUT DEVICE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 1 |
Go Hayashi | JP | Toyota-Shi | 2015-06-25 / 20150175215 - VEHICLE FRONT STRUCTURE | 1 |
Hideo Hayashi | JP | Nagoya-Shi | / - | 1 |
Eriko Hayashi | JP | Osaka-Shi | 2015-12-03 / 20150346663 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Kentaro Hayashi | JP | Nagoya-Shi | 2015-02-05 / 20150033978 - ROLLING STOCK | 6 |
Yuichiro Hayashi | JP | Osaka-Shi | 2014-04-17 / 20140105528 - ROLLING BEARING | 2 |
Takeki Hayashi | JP | Nishikasugai-Gun | 2009-12-10 / 20090302644 - Hood impact absorbing apparatus | 1 |
Chie Hayashi | JP | Kani-Shi | 2011-07-14 / 20110171554 - SOLID OXIDE FUEL CELL APPARATUS | 1 |
Toshio Hayashi | JP | Okayama | 2015-06-25 / 20150176747 - CONNECTOR COVER | 1 |
Jirou Hayashi | JP | Ama-City | 2016-03-03 / 20160065027 - ELECTRIC ROTATING MACHINE | 11 |
Mikihiro Hayashi | JP | Tokyo | 2015-07-16 / 20150197425 - CARBON DIOXIDE RECOVERY METHOD AND CARBON DIOXIDE RECOVERY DEVICE | 2 |
Tomonori Hayashi | JP | Wako-Shi | 2011-07-14 / 20110167952 - TURN SIGNAL DEVICE FOR SADDLE-RIDE TYPE VEHICLE | 1 |
Ryuji Hayashi | JP | Nagoya-Shi | 2010-06-03 / 20100133732 - SHOCK ABSORBER FOR VEHICLE SEAT | 1 |
Shigenari Hayashi | JP | Hokkaido | 2011-06-16 / 20110142711 - OXIDE-DISPERSION-STRENGTHENED ALLOY | 1 |
Kenichi Hayashi | JP | Abiko-Shi | 2013-11-21 / 20130308963 - SHEET PROCESSING APPARATUS AND IMAGE FORMING APPARATUS | 32 |
Yoshiharu Hayashi | JP | Tokyo | 2011-07-28 / 20110181142 - DYNAMOELECTRIC MACHINE AND METHOD FOR MANUFACTURING A ROTOR THEREFOR | 1 |
Hiroshi Hayashi | JP | Nishitokyo-Shi | 2013-11-07 / 20130294343 - METHOD AND APPARATUS FOR INITIAL ACQUISITION AND CELL SEARCH FOR AN OFDMA SYSTEM | 6 |
Naoki Hayashi | JP | Osaka | 2016-03-10 / 20160071656 - PHOTOELECTRIC CONVERSION ELEMENT | 5 |
Kyosuke Hayashi | JP | Miyagi | 2015-06-25 / 20150179466 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Kouichi Hayashi | JP | Osaka | 2011-05-12 / 20110110693 - CLEANING DEVICE AND IMAGE FORMING APPARATUS PROVIDED THEREWITH | 1 |
Yuuichi Hayashi | JP | Osaka | 2010-05-13 / 20100119433 - METHOD FOR PHOTOOXIDATION OF CARBON MONOXIDE IN GAS PHASE TO CARBON DIOXIDE | 1 |
Masahiro Hayashi | JP | Tsuchiura-Shi | 2010-11-11 / 20100286289 - CHITOSAN-CONTAINING COMPOSITION AND PRODUCTION PROCESS OF SAME | 1 |
Naoya Hayashi | JP | Osaka | 2010-05-06 / 20100109299 - AIR BAG COVER AND AIR BAG DEVICE | 1 |
Yuichiro Hayashi | JP | Osaka | 2015-04-23 / 20150110428 - CYLINDRICAL ROLLER BEARING | 4 |
Shizuna Hayashi | JP | Osaka | 2011-03-10 / 20110057066 - SEATBELT RETRACTOR | 1 |
Hirotake Hayashi | JP | Osaka | 2011-02-10 / 20110034545 - DOUBLE-STRANDED LIPID-MODIFIED RNA HAVING HIGH RNA INTERFERENCE EFFECT | 1 |
Mikako Hayashi | JP | Osaka | 2012-10-25 / 20120270185 - DENTAL APPARATUS | 2 |
Ryuuji Hayashi | JP | Osaka | 2010-11-11 / 20100287573 - OPTICAL DISC APPARATUS | 5 |
Yoshikazu Hayashi | JP | Osaka | 2010-08-05 / 20100199301 - DIGITAL BROADCAST TRANSMISSION DEVICE AND DIGITAL BROADCAST RECEPTION DEVICE | 2 |
Soutaro Hayashi | JP | Osaka | 2010-04-15 / 20100091635 - OPTICAL PICKUP DEVICE | 1 |
Hirohito Hayashi | JP | Aichi | 2015-11-26 / 20150340936 - VEHICLE DRIVE APPARATUS | 4 |
Kenji Hayashi | JP | Hino-Shi | 2015-06-25 / 20150180444 - HEATING BODY, VIBRATION DEVICE, ELECTRONIC APPARATUS, AND MOVING OBJECT | 2 |
Katsuaki Hayashi | JP | Osaka | 2012-06-28 / 20120161483 - CHAIR | 5 |
Motohiro Hayashi | JP | Osaka | 2010-03-04 / 20100053690 - IMAGE PROCESSING APPARATUS, IMAGE FORMING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM CONTAINING IMAGE PROCESSING PROGRAM | 1 |
Ryotaro Hayashi | JP | Hiratsuka | 2016-01-07 / 20160006563 - ENCRYPTED DATA COMPUTATION SYSTEM, DEVICE, AND PROGRAM | 5 |
Keiichi Hayashi | JP | Osaka | 2012-05-10 / 20120111655 - ELECTRIC RIDE-ON MOWER | 2 |
Mario Hayashi | JP | Osaka | 2009-12-31 / 20090320507 - AIR CONDITIONER CONTROL DEVICE | 5 |
Kyoichiro Hayashi | JP | Osaka | 2013-02-14 / 20130038906 - Image Forming Apparatus Having a File System | 4 |
Takao Hayashi | JP | Osaka | 2015-10-22 / 20150303487 - CARBON-BASED MATERIAL, ELECTRODE CATALYST, ELECTRODE, GAS DIFFUSION ELECTRODE, ELECTROCHEMICAL DEVICE, FUEL BATTERY, AND PROCESS FOR PRODUCING CARBON-BASED MATERIAL | 4 |
Akihito Hayashi | JP | Osaka | 2009-09-24 / 20090240700 - DISTRIBUTED FILE MANAGEMENT SYSTEM | 1 |
Jun Hayashi | US | Ellicott City | 2011-07-28 / 20110183984 - SMALL MOLECULE INHIBITORS OF LCK SH2 DOMAIN BINDING | 1 |
Noriki Hayashi | JP | Osaka | 2009-09-17 / 20090229870 - BLOCK COPOLYMERIZED POLYIMIDE INK COMPOSITION FOR PRINTING | 3 |
Hideo Hayashi | JP | Okayama | 2010-12-09 / 20100310921 - SEPARATOR FOR ALKALINE BATTERY, METHOD FOR PRODUCING THE SAME, AND BATTERY | 1 |
Kazumasa Hayashi | JP | Osaka | 2009-08-27 / 20090211052 - VACUUM CLEANER AND DEVICE HAVING ION GENERATOR | 1 |
Norio Hayashi | JP | Osaka | 2009-07-23 / 20090186371 - METHOD FOR DETERMINATION OF INFLAMMATORY BOWEL DISEASE | 1 |
Joji Hayashi | JP | Osaka | 2009-06-18 / 20090154615 - INTEGRATED CIRCUIT FOR PROCESSING MULTI-CHANNEL RADIO SIGNAL | 5 |
Yasutaka Hayashi | JP | Osaka | 2012-09-20 / 20120234406 - PRESSURE TYPE FLOW RATE CONTROL REFERENCE AND CORROSION RESISTANT PRESSURE TYPE FLOW RATE CONTROLLER USED FOR THE SAME | 2 |
Hideo Hayashi | JP | Osaka | 2009-03-12 / 20090068914 - NONWOVEN FABRICS AND LAMINATES MADE BY USING THE SAME | 1 |
Akira Hayashi | JP | Osaka | 2009-01-08 / 20090007820 - Processed Pigment and Use Thereof | 2 |
Kazunori Hayashi | JP | Osaka | 2008-11-13 / 20080280808 - Stain Remover Containing Fluoropolymer | 1 |
Toshiyuki Hayashi | JP | Osaka | 2008-10-30 / 20080267044 - Optical pickup device and optical disc apparatus | 1 |
Hironori Hayashi | JP | Okayama | 2012-04-12 / 20120085933 - FLUORESCENCE DETECTION DEVICE AND FLUORESCENCE DETECTION METHOD | 9 |
Akihiko Hayashi | JP | Osaka | 2011-03-17 / 20110065844 - GRANULATED COLORANT AND RELATED ART | 1 |
Miki Hayashi | JP | Osaka | 2013-05-02 / 20130108847 - DICING TAPE-INTEGRATED FILM FOR SEMICONDUCTOR BACK SURFACE | 3 |
Terumi Hayashi | JP | Osaka | 2015-03-19 / 20150077784 - IMAGE FORMING APPARATUS | 8 |
Takakazu Hayashi | JP | Osaka | 2010-10-14 / 20100258556 - ELECTROMAGNETIC INDUCTION HEATING DEVICE | 1 |
Kentaro Hayashi | JP | Osaka | 2014-10-02 / 20140291430 - REEL PART FOR FISHING REEL | 3 |
Sotaro Hayashi | JP | Osaka | 2010-08-19 / 20100208570 - Objective Lens Actuator | 4 |
Chikashi Hayashi | JP | Osaka | 2010-08-19 / 20100209079 - RECORD REPRODUCING APPARATUS | 2 |
Fumihiro Hayashi | JP | Osaka | 2015-12-10 / 20150353694 - METHOD FOR PRODUCING POROUS POLYTETRAFLUOROETHYLENE FILM AND POROUS POLYTETRAFLUOROETHYLENE FILM | 10 |
Akitoshi Hayashi | JP | Osaka | 2015-01-29 / 20150030938 - ION CONDUCTING GLASS-CERAMICS, METHOD FOR MANUFACTURING SAME AND ALL-SOLID-STATE SECONDARY BATTERY INCLUDING SAME | 3 |
Shinichiro Hayashi | JP | Osaka | 2009-12-31 / 20090321801 - CAPACITOR INSULATING FILM, METHOD FOR FABRICATING THE SAME, CAPACITOR ELEMENT, METHOD FOR FABRICATING THE SAME, SEMICONDUCTOR MEMORY DEVICE, AND METHOD FOR FABRICATING THE SAME | 3 |
Toru Hayashi | JP | Okayama | 2008-10-09 / 20080247900 - Component for Machine Structure, Method of Producing the Same and Material for Induction Hardening | 1 |
Masanori Hayashi | JP | Osaka | 2013-10-17 / 20130271187 - DRIVER FOR SEMICONDUCTOR SWITCH ELEMENT | 3 |
Yoshisada Hayashi | JP | Osaka | 2009-02-26 / 20090051282 - luminous body | 2 |
Yuichi Hayashi | JP | Osaka | 2015-09-03 / 20150247967 - DISPLAY DEVICE | 3 |
Kazuha Hayashi | JP | Minato-Ku | 2014-05-22 / 20140141890 - AMUSEMENT SYSTEM | 4 |
Akihiro Hayashi | JP | Okazaki-Shi | 2016-05-19 / 20160139531 - IMAGE FORMING APPARATUS | 9 |
Masahiro Hayashi | JP | Sakata | 2010-11-04 / 20100276762 - SEMICONDUCTOR DEVICE | 2 |
Masahiro Hayashi | JP | Kanagawa | 2010-09-23 / 20100236859 - LUBRICANT COMPOSITION, SPEED REDUCTION GEAR EMPLOYING THE COMPOSITION AND ELECTRIC POWER STEERING APPARATUS EMPLOYING THE SPEED REDUCTION GEAR | 6 |
Satoshi Hayashi | JP | Tokyo | 2016-02-11 / 20160038750 - DEFIBRILLATOR AND METHOD OF CONTROLLING DEFIBRILLATOR | 4 |
Yoshihiro Hayashi | JP | Minato-Ku | 2010-06-17 / 20100151675 - WIRING STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Toshiyuki Hayashi | JP | Shizuoka | 2015-07-02 / 20150183526 - DISPLAY DEVICE AND UNMANNED HELICOPTER | 1 |
Akihiro Hayashi | JP | Toyokawa-Shi | 2009-05-21 / 20090128778 - Ophthalmic Apparatus | 1 |
Yasuhiro Hayashi | JP | Mishima-Gun | 2011-07-07 / 20110166343 - THIENOPYRAZOLE DERIVATIVE HAVING PDE7 INHIBITORY ACTIVITY | 1 |
Akihiro Hayashi | JP | Ora-Gun | 2010-08-26 / 20100215535 - SCROLL TYPE COMPRESSOR | 1 |
Akihiro Hayashi | JP | Komaki | 2009-10-15 / 20090257094 - IMAGE PROCESSING DEVICE | 2 |
Hidetoshi Hayashi | JP | Fukuoka | 2012-02-16 / 20120040418 - METHOD FOR PRODUCING 3-MERCAPTOPROPIONIC ACID OR SALT THEREOF | 9 |
Akihiro Hayashi | JP | Moriguchi-Shi | 2014-06-12 / 20140161649 - SCROLL COMPRESSION DEVICE AND ASSEMBLING METHOD FOR SCROLL COMPRESSION DEVICE | 3 |
Shinichi Hayashi | JP | Ichinomiya-Shi | 2015-07-02 / 20150185830 - DISPLAY DEVICE AND CONTROLLING METHOD | 1 |
Ikuo Hayashi | JP | Bunkyo-Ku | 2012-05-24 / 20120128694 - THERAPEUTIC AGENTS FOR ALZHEIMER'S DISEASE AND CANCER | 2 |
Masashi Hayashi | JP | Nagasaki | 2015-07-02 / 20150187060 - WAFER DEFECT INSPECTION APPARATUS AND METHOD FOR INSPECTING A WAFER DEFECT | 1 |
Masahiro Hayashi | JP | Tokyo | 2013-06-13 / 20130149178 - VANE COMPRESSOR | 7 |
Masahiro Hayashi | JP | Miyazaki-Shi | 2015-12-10 / 20150353944 - METHOD FOR TRANSFORMING STRAMENOPILE | 7 |
Masahiro Hayashi | JP | Hamamatsu-Shi | 2009-09-24 / 20090236517 - TIME OF FLIGHT MASS SPECTROMETER AND CHARGED PARTICLE DETECTOR THEREFOR | 4 |
Masahiro Hayashi | JP | Hachioji-City | 2009-03-05 / 20090060696 - PRINTING APPARATUS | 4 |
Koutarou Hayashi | JP | Mishima-Shi | 2013-07-18 / 20130183203 - EXHAUST PURIFICATION SYSTEM OF INTERNAL COMBUSTION ENGINE | 3 |
Rumi Hayashi | JP | Tokyo | 2010-11-11 / 20100284956 - HAIR COSMETIC COMPOSITION | 1 |
Kuniya Hayashi | JP | Tokyo | 2015-01-29 / 20150028690 - POWER SUPPLY DEVICE, POWER RECEIVING DEVICE, POWER SUPPLY METHOD, POWER RECEIVING METHOD, AND PROGRAM | 13 |
Motoshi Hayashi | JP | Aichi | 2011-07-07 / 20110164840 - SLIDING BEARING AND METHOD OF MANUFACTURING THE SAME | 1 |
Takahiro Hayashi | JP | Choufu-Shi | 2011-07-07 / 20110164814 - FOREGROUND REGION EXTRACTION PROGRAM, FOREGROUND REGION EXTRACTION APPARATUS AND FOREGROUND REGION EXTRACTION METHOD | 1 |
Hisanori Hayashi | JP | Tokyo | 2011-07-07 / 20110164551 - CONTROL NETWORK MANAGEMENT SYSTEM | 1 |
Hideyuki Hayashi | JP | Tochigi | 2015-07-02 / 20150189730 - METHOD FOR GENERATING EXTREME ULTRAVIOLET LIGHT AND DEVICE FOR GENERATING EXTREME ULTRAVIOLET LIGHT | 1 |
Katsuhiko Hayashi | JP | Kariya-City | 2015-07-02 / 20150189794 - ELECTRONIC CONTROL UNIT AND ELECTRIC POWER STEERING APPARATUS HAVING THE SAME | 1 |
Shougo Hayashi | JP | Kawasaki-Shi | 2013-01-17 / 20130015451 - THIN FILM TRANSISTOR MATRIX DEVICE AND METHOD FOR FABRICATING THE SAME | 8 |
Tomonori Hayashi | JP | Kawasaki-Shi | 2015-06-04 / 20150153983 - IMAGE FORMING APPARATUS CAPABLE OF REPRODUCING USER SETTINGS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 8 |
Eiichi Hayashi | JP | Kanagawa-Ken | 2011-07-07 / 20110164295 - MOLDED PLASTIC PART, METHOD FOR MOLDING PLASTIC PART, AND OPTICAL SCANNING DEVICE USING THE MOLDED PLASTIC PART | 1 |
Toshinori Hayashi | JP | Ayase-Shi | 2011-09-22 / 20110229893 - METHOD OF MEASURING CYTOKERATIN 19 mRNA | 2 |
Katsuhiko Hayashi | GB | Cambridge | 2011-08-04 / 20110190152 - PLURIPOTENCY ASSOCIATED EPIGENETIC FACTOR | 1 |
Akinobu Hayashi | JP | Wako-Shi | 2013-12-26 / 20130345865 - BEHAVIOR CONTROL SYSTEM | 1 |
Takafumi Hayashi | JP | Anjo-Shi | 2011-08-04 / 20110190991 - AUTOMATIC TRANSMISSION CONTROL DEVICE, TRANSMISSION DEVICE, AND POWER OUTPUT DEVICE | 1 |
Akinari Hayashi | JP | Toyama-Shi | 2014-04-24 / 20140112739 - SUBSTRATE PROCESSING APPARATUS, PURGING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM | 1 |
Kenjirou Hayashi | JP | Sagamihara-Shi | 2013-03-07 / 20130055846 - Steering Lock Device | 1 |
Tomoo Hayashi | JP | Tokyo | 2009-10-01 / 20090246955 - WAFER PROCESSING METHOD AND WAFER PROCESSING APPARATUS | 1 |
Tadashi Hayashi | JP | Yokohama-Shi | 2015-11-12 / 20150326784 - IMAGE CAPTURING CONTROL METHOD AND IMAGE PICKUP APPARATUS | 7 |
Jumpei Hayashi | JP | Yokohama-Shi | 2015-12-24 / 20150368162 - PIEZOELECTRIC MATERIAL, PIEZOELECTRIC ELEMENT, AND ELECTRONIC EQUIPMENT | 25 |
Konosuke Hayashi | JP | Yokohama-Shi | 2016-03-31 / 20160093486 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 14 |
Shozo Hayashi | JP | Yokohama-Shi | 2010-10-28 / 20100273951 - ANTI-BLOCKING AGENT MASTER BATCH AND POLYOLEFIN-BASED RESIN FILM USING THE SAME | 2 |
Hideko Hayashi | JP | Yokohama-Shi | 2011-06-09 / 20110135933 - METHOD AND APPARATUS FOR CONTROLLING PARTICLE DIAMETER AND PARTICLE DIAMETER DISTRIBUTION OF EMULSION PARTICLES IN EMULSION | 3 |
Hitoshi Hayashi | JP | Yokohama-Shi | 2010-09-30 / 20100245051 - Communication Method for Noncontact RF ID System, Noncontact RF ID System, and Transmitter and Receiver | 1 |
Toshiteru Hayashi | JP | Yokohama-Shi | 2010-04-22 / 20100097285 - FOLDING DIPOLE ANTENNA | 1 |
Toyoharu Hayashi | JP | Yokohama-Shi | 2010-04-01 / 20100080752 - Ultrafine particle of rutile-type titanium oxide | 1 |
Kensuke Hayashi | JP | Yokohama-Shi | 2010-02-04 / 20100030237 - SUTURE INSTRUMENT | 8 |
Toshifumi Hayashi | JP | Yokohama-Shi | 2012-12-20 / 20120323341 - CONTROL DEVICE | 3 |
Kenichirou Hayashi | JP | Yokohama-Shi | 2008-08-21 / 20080201445 - APPARATUS FOR COMMUNICATING WITH EXTERNAL APPARATUS, CONTROLLING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Katsuhiro Hayashi | JP | Yokohama-Shi | 2015-07-09 / 20150191615 - INK JET INK AND INK JET RECORDING METHOD | 7 |
Hisataka Hayashi | JP | Yokohama-Shi | 2012-09-13 / 20120228263 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 6 |
Yoshinori Hayashi | JP | Gifu-City | 2015-07-09 / 20150191056 - WHEEL POSITION DETECTION APPARATUS AND TIRE AIR PRESSURE DETECTION APPARATUS HAVING THE SAME | 1 |
Akinari Hayashi | JP | Toyama | 2008-10-02 / 20080236487 - Semiconductor Manufacturing Apparatus And Semiconductor Device Manufacturing Method | 1 |
Toyofumi Hayashi | JP | Hamamatsu-Shi | 2013-06-20 / 20130156236 - Audio Apparatus and Method of Changing Sound Emission Mode | 5 |
Masamitsu Hayashi | JP | Tsukuba-Shi | 2013-11-14 / 20130302649 - CO2FE-BASED HEUSLER ALLOY AND SPINTRONICS DEVICES USING THE SAME | 1 |
Nobuya Hayashi | JP | Saga-City | 2009-02-26 / 20090053101 - Sterilization Method and Plasma Sterilization Apparatus | 1 |
Nobuya Hayashi | JP | Saga | 2014-11-06 / 20140328728 - PLASMA GENERATION DEVICE | 5 |
Toshihisa Hayashi | JP | Kagawa | 2012-04-19 / 20120095425 - ABSORBENT ARTICLE | 4 |
Koichi Hayashi | JP | Aichi | 2014-11-20 / 20140339029 - MAGNETIC FUNCTIONAL FLUID, DAMPER AND CLUTCH USING MAGNETIC FUNCTIONAL FLUID | 1 |
Seiya Hayashi | JP | Matsumoto-Shi | 2014-04-03 / 20140092193 - LIQUID EJECTING APPARATUS | 1 |
Teruyuki Hayashi | JP | Miyagi | 2012-04-19 / 20120094014 - VAPOR DEPOSITION APPARATUS AND VAPOR DEPOSITION METHOD | 4 |
Tatsushi Hayashi | JP | Tsukuba-Shi | 2013-05-02 / 20130108861 - PRELIMINARY-CURED MATERIAL, ROUGHENED PRELIMINARY-CURED MATERIAL, AND LAMINATED BODY | 1 |
Tomohiro Hayashi | JP | Aichi-Ken | 2011-07-07 / 20110162623 - FUEL INJECTION NOZZLE AND FUEL INJECTION VALVE, AND FUEL INJECTION CONTROL SYSTEM USING THE SAME | 1 |
Masashi Hayashi | JP | Sagamihara-Shi | 2014-05-15 / 20140132657 - INK-JET RECORDING DEVICE AND INK-JET RECORDING CONTROL METHOD | 11 |
Motoyasu Hayashi | JP | Kyoto-Shi | 2014-04-03 / 20140093337 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD FOR PERFORMING CLEANING PROCESS AND THE LIKE ON SUBSTRATE | 1 |
Yoshihiro Hayashi | JP | Narashino-Shi | 2010-07-29 / 20100191902 - STORAGE DEVICE EMPLOYING A FLASH MEMORY | 1 |
Yoshihiro Hayashi | JP | Kanagawa | 2016-01-28 / 20160027925 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 50 |
Hidenori Hayashi | JP | Minami-Ashigara-Shi | 2010-03-04 / 20100055355 - Cellulose Acylate Film, Optical Compensation Film, Polarizing Plate, and Liquid-Crystal Display Device | 1 |
Yoshihiro Hayashi | JP | Odawara | 2009-10-29 / 20090271656 - STREAM DISTRIBUTION SYSTEM AND FAILURE DETECTION METHOD | 2 |
Hidemi Hayashi | JP | Tokyo | 2015-05-28 / 20150147278 - ANTIBODY AGAINST TRANSPORTER AND USE THEREOF | 1 |
Naoyuki Hayashi | JP | Ashigarakami-Gun | 2016-01-07 / 20160005948 - THERMOELECTRIC GENERATION MODULE | 13 |
Tetsuo Hayashi | JP | Saitama | 2014-04-24 / 20140111743 - SURFACE LIGHT SOURCE DEVICE, LIQUID CRYSTAL DISPLAY DEVICE, AND MOBILE DEVICE | 1 |
Kenji Hayashi | JP | Tsukuba | 2009-03-12 / 20090069591 - Calcium Bis [ (2S) -3- [3-[ (2S) -3- (4-Chloro-2-Cyanophenoxy) -2- Fluoropropoxy]Phenyl] -2- Isopropoxypropionate] and Intermediate Thereof | 1 |
Kenji Hayashi | JP | Hachioji-Shi | 2013-05-09 / 20130115552 - LIQUID DEVELOPER | 2 |
Hiroyuki Hayashi | US | West Bloomfield | 2014-04-10 / 20140096559 - HVAC Unit-TXV Positioning | 1 |
Hiroyuki Hayashi | JP | Aichi | 2014-04-24 / 20140110988 - VEHICLE SEAT | 2 |
Hiroyuki Hayashi | JP | Sakura-Shi | 2013-12-26 / 20130342419 - ANTENNA UNIT AND PLANAR WIRELESS DEVICE | 1 |
Kenji Hayashi | JP | Tsukuba-Shi | 2011-08-11 / 20110192298 - DRIVE CONTROL METHOD AND DRIVE CONTROL APPARATUS FOR PRINTING PRESS | 2 |
Kenji Hayashi | JP | Saitama | 2009-10-01 / 20090245850 - IMAGE EXPOSURE APPARATUS, CLEANING DEVICE OF IMAGE EXPOSURE APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Kenji Hayashi | JP | Shizuoka | 2009-11-05 / 20090272005 - Thermal roll, and drying apparatus and method | 1 |
Kenji Hayashi | JP | Saitama-Ken | 2009-12-03 / 20090295501 - DIPLEXER CIRCUIT, HIGH-FREQUENCY CIRCUIT AND HIGH-FREQUENCY MODULE | 1 |
Kenji Hayashi | JP | Okaya-Shi | 2011-05-26 / 20110121276 - ORGANIC ELECTROLUMINESCENT DEVICE, METHOD FOR PRODUCING THE SAME, AND ELECTRONIC APPARATUS | 6 |
Kenji Hayashi | JP | Haibara-Gun | 2013-05-30 / 20130137040 - LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD OF PRODUCING THEREOF | 7 |
Kenji Hayashi | JP | Tochigi | 2013-11-21 / 20130310496 - INK COMPOSITION FOR INKJET PRINTING | 5 |
Katsuhiko Hayashi | JP | Nagano | 2011-08-11 / 20110192175 - ICE MAKING METHOD AND ICE MAKING DEVICE | 1 |
Akiko Hayashi | JP | Tokyo | 2011-08-11 / 20110192318 - AQUEOUS INKJET INK | 1 |
Kota Hayashi | JP | Nagoya-Shi | 2013-08-01 / 20130192548 - METHOD AND APPARATUS FOR CONTROLLING A VARIABLE VALVE SYSTEM | 3 |
Michiharu Hayashi | JP | Kodaira-Shi | 2011-08-11 / 20110192516 - TIRE | 1 |
Tomonari Hayashi | JP | Tokyo | 2011-02-24 / 20110041557 - MANUFACTURING OF GLASS | 1 |
Shinya Hayashi | JP | Chiyoda-Ku | 2014-04-24 / 20140109965 - PHOTOELECTRIC CONVERSION ELEMENT | 3 |
Kazuki Hayashi | JP | Okayama-Shi | 2009-10-29 / 20090269981 - CONNECTOR | 1 |
Teruyuki Hayashi | JP | Sendai | 2010-06-24 / 20100154712 - SOURCE GAS GENERATING DEVICE AND FILM FORMING APPARATUS | 1 |
Seitaro Hayashi | JP | Anjo-Shi | 2015-07-16 / 20150196987 - MOTOR DRIVEN APPLIANCE | 1 |
Jumpei Hayashi | JP | Chofu-Shi | 2016-04-14 / 20160104833 - MANUFACTURING METHOD FOR PIEZOELECTRIC CERAMICS | 19 |
Chihiro Hayashi | JP | Sendai | 2009-08-06 / 20090193868 - Method of producing ultra thin wall metallic tube by cold drawing process | 2 |
Yoshihiro Hayashi | JP | Kawasaki-Shi | 2015-12-24 / 20150371945 - SEMICONDUCTOR DEVICE WITH CONTACTS AND METAL INTERCONNECTS AND METHOD OF MANUFACTURING THE SEMICONDUCTOR DEVICE | 5 |
Kenji Hayashi | JP | Kumagaya-Shi | 2010-07-22 / 20100182097 - HIGH-FREQUENCY DEVICE AND HIGH-FREQUENCY CIRCUIT USED THEREIN | 1 |
Kenji Hayashi | JP | Suwa-Shi | 2015-09-03 / 20150249227 - ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS | 7 |
Kenji Hayashi | JP | Otawara-Shi | 2010-09-16 / 20100232024 - COMPOSITION FOR TRANSPARENT ELECTROCONDUCTIVE FILM FORMATION, TRANSPARENT ELECTROCONDUCTIVE FILM, AND DISPLAY | 3 |
Kosei Hayashi | JP | Saitama | 2011-06-02 / 20110127829 - BRAKE CONTROL SYSTEM FOR VEHICLE | 3 |
Chihiro Hayashi | JP | Toyama | 2011-11-24 / 20110286097 - RETROREFLECTIVE ARTICLE | 5 |
Hisato Hayashi | JP | Funabashi-Shi | 2016-03-17 / 20160074289 - THICKENING COMPOSITION | 8 |
Takato Hayashi | JP | Minowa-Machi | 2011-11-24 / 20110285785 - FLUID EJECTING APPARATUS | 9 |
Kenji Hayashi | JP | Siojiri-Shi | 2010-12-30 / 20100327737 - ELECTRO-OPTICAL DEVICE, ELECTRONIC DEVICE, AND ILLUMINATION APPARATUS | 1 |
Naoki Hayashi | JP | Fujisawa-Shi | 2015-03-05 / 20150060626 - VEHICLE SLIDE RAIL DEVICE | 5 |
Akifumi Hayashi | JP | Azumino | 2010-06-10 / 20100141524 - TERMINAL DEVICE, POSITIONING METHOD, AND RECORDING MEDIUM | 1 |
Akifumi Hayashi | JP | Mie-Ken | 2010-02-18 / 20100037959 - METHOD FOR SUPPLYING PROCESS GAS, SYSTEM FOR SUPPLYING PROCESS GAS, AND SYSTEM FOR PROCESSING OBJECT TO BE PROCESSED | 2 |
Akifumi Hayashi | JP | Misato-Mura | 2009-08-06 / 20090195452 - TERMINAL APPARATUS, POSITIONING METHOD, CONTROL PROGRAM FOR TERMINAL APPARATUS, AND COMPUTER READABLE RECORDING MEDIUM HAVING RECORDED THEREIN CONTROL PROGRAM FOR TERMINAL APPARATUS | 2 |
Akifumi Hayashi | JP | Azumino-Shi, Nagano | 2009-04-30 / 20090112471 - TIME INFORMATION MANAGEMENT METHOD AND ELECTRONIC INSTRUMENT | 1 |
Yaoki Hayashi | JP | Osaka-Shi | 2011-06-30 / 20110156439 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Arata Hayashi | JP | Tokyo | 2013-02-07 / 20130035858 - Navigation Device, Guidance Method Thereof and Route Search Method Thereof | 2 |
Yoshiki Hayashi | JP | Okayama | 2015-10-15 / 20150296658 - POWER TRANSMISSION APPARATUS | 2 |
Yasukazu Hayashi | JP | Aichi | 2013-04-18 / 20130096868 - SPEED MONITORING DEVICE | 1 |
Noriaki Hayashi | JP | Aichi | 2014-03-27 / 20140083375 - POWER TOOL | 1 |
Shuro Hayashi | JP | Hiroshima | 2011-10-20 / 20110257488 - SURGICAL LIGHTING SYSTEM | 3 |
Kazuhito Hayashi | JP | Wako-Shi | 2009-03-05 / 20090058066 - FENDER SUPPORTING STRUCTURE OF TWO-WHEELED MOTOR VEHICLE | 1 |
Kazuhito Hayashi | JP | Hiroshima | 2010-07-22 / 20100182425 - VEHICLE INTERIOR STATE RECOGNITION DEVICE | 1 |
Nobuhiro Hayashi | JP | Kanagawa | 2015-07-23 / 20150202910 - SLIDE TRAY | 8 |
Shuro Hayashi | JP | Hiroshima-Shi | 2010-09-02 / 20100218776 - UPPER LIMB RESTRAINT DEVICE | 6 |
Tadao Hayashi | JP | Tokushima-Shi | 2015-11-12 / 20150325756 - LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE | 9 |
Hideki Hayashi | JP | Chiba | 2014-12-18 / 20140369935 - LIPOSOME COMPOSITE BODY | 2 |
Hideki Hayashi | JP | Kariya-City | 2013-02-07 / 20130035192 - POWER TRANSMISSION DEVICE AND ASSEMBLING METHOD THEREOF | 4 |
Kazuhito Hayashi | JP | Hiroshima-Shi | 2009-12-10 / 20090306852 - DRIVING OPERATION SUPPORT DEVICE FOR A VEHICLE | 1 |
Teruyuki Hayashi | JP | Sendai City | 2013-05-23 / 20130126939 - SEALING FILM FORMING METHOD, SEALING FILM FORMING DEVICE, AND LIGHT-EMITTING DEVICE | 4 |
Teruyuki Hayashi | JP | Nirasaki-Shi | 2015-04-30 / 20150114562 - SUBSTRATE PROCESSING APPARATUS | 6 |
Kazuhito Hayashi | JP | Wako | 2009-10-29 / 20090266655 - DISC BRAKE COVER STRUCTURE AND VEHICLE | 1 |
Junichi Hayashi | JP | Kamakura-Shi | 2013-03-07 / 20130057880 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 13 |
Hiroyuki Hayashi | JP | Osaka | 2011-08-18 / 20110199509 - IMAGING APPARATUS AND PROGRAM | 1 |
Yusushi Hayashi | JP | Osaka | 2011-08-18 / 20110200462 - SEALED COMPRESSOR | 1 |
Katsura Hayashi | JP | Yasu-Shi | 2013-01-31 / 20130027895 - INTERPOSER AND ELECTRONIC DEVICE USING THE SAME | 5 |
Katsura Hayashi | JP | Yasu | 2011-09-29 / 20110232953 - CIRCUIT BOARD AND STRUCTURE USING THE SAME | 3 |
Katsura Hayashi | JP | Shiga | 2013-10-03 / 20130256018 - CIRCUIT SUBSTRATE, LAMINATED BOARD AND LAMINATED SHEET | 3 |
Katsura Hayashi | JP | Yasu-Shi, Shiga | 2010-03-11 / 20100061679 - OPTICAL WAVEGUIDE MEMBER, OPTICAL WIRING BOARD, OPTICAL WIRING MODULE AND METHOD FOR MANUFACTURING OPTICAL WAVEGUIDE MEMBER AND OPTICAL WIRING BOARD | 1 |
Hiroyuki Hayashi | JP | Fukushima-Shi | 2008-12-04 / 20080298869 - Ink Ribbon Cassette and Apparatus that Uses the Ink Ribbon Cassette | 1 |
Hiroyuki Hayashi | JP | Chiba | 2009-06-11 / 20090148129 - AUDIO VISUAL DEVICE | 2 |
Hiroyuki Hayashi | JP | Yokohama-Shi | 2009-11-05 / 20090272901 - Semiconductor substrate, substrate inspection method, semiconductor device manufacturing method, and inspection apparatus | 1 |
Hiroyuki Hayashi | CN | Beijing | 2010-04-29 / 20100103832 - Feedback Apparatus, Feedback Method, Scheduling Apparatus, And Scheduling Method | 5 |
Hiroyuki Hayashi | JP | Mie | 2011-12-01 / 20110293167 - DEFECT INSPECTING METHOD, DEFECT INSPECTING APPARATUS, AND RECORDING MEDIUM | 2 |
Hisataka Hayashi | JP | Yokkaichi-Shi | 2014-03-27 / 20140083977 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Kentaro Hayashi | JP | Kawasaki-Shi | 2015-07-23 / 20150205314 - Semiconductor Integrated Circuit and Method for Operating the Same | 1 |
Yutaka Hayashi | JP | Kawasaki-Shi | 2015-07-23 / 20150205314 - Semiconductor Integrated Circuit and Method for Operating the Same | 1 |
Kodai Hayashi | JP | Suntou-Gun | 2016-03-03 / 20160062265 - DEVELOPING DEVICE AND PROCESS CARTRIDGE | 15 |
Hiroyuki Hayashi | JP | Fukui | 2010-11-25 / 20100296166 - PROCESS FOR PRODUCING TRANSPARENT ELECTROCONDUCTIVE MEMBER | 1 |
Ken-Ichi Hayashi | JP | Nagoya | 2013-09-26 / 20130249198 - PILLAR GARNISH | 1 |
Katsuhiko Hayashi | JP | Saitama | 2008-10-16 / 20080251947 - COF FLEXIBLE PRINTED WIRING BOARD AND SEMICONDUCTOR DEVICE | 1 |
Hideki Hayashi | JP | Ome | 2011-08-25 / 20110204908 - SEMICONDUCTOR DEVICE | 1 |
Hironao Hayashi | JP | Motosu-Gun | 2015-12-31 / 20150381132 - COMMUNICATION SYSTEM AND ROBOT | 3 |
Seiji Hayashi | JP | Aichi-Ken | 2015-07-23 / 20150206463 - SIGN | 1 |
Kohtaro Hayashi | JP | Toyonaka-Shi | 2012-07-05 / 20120170000 - Projection Optical System and Image Projecting Device | 3 |
Tetsuya Hayashi | JP | Osaka-Shi | 2015-02-12 / 20150043322 - OPTICAL INFORMATION RECORDING MEDIUM, REPRODUCTION APPARATUS, AND REPRODUCTION METHOD | 2 |
Mitsuhisa Hayashi | JP | Okazaki-City | 2011-08-25 / 20110208422 - TRAJECTORY DISPLAY DEVICE | 1 |
Masayoshi Hayashi | JP | Kahoku-Shi | 2014-04-03 / 20140093177 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM AND COMPUTER READABLE MEDIUM | 4 |
Hideki Hayashi | JP | Aichi | 2013-06-06 / 20130143481 - CEILING-EMBEDDED VENTILATION FAN | 3 |
Ryutaro Hayashi | JP | Tokyo | 2013-10-03 / 20130262024 - ORIENTATION DETECTION DEVICE, ORIENTATION DETECTION METHOD AND PROGRAM STORAGE MEDIUM | 4 |
Masaki Hayashi | JP | Anan-Shi | 2016-02-25 / 20160056357 - LIGHT EMITTING DEVICE, RESIN PACKAGE, RESIN-MOLDED BODY, AND METHODS FOR MANUFACTURING LIGHT EMITTING DEVICE, RESIN PACKAGE AND RESIN-MOLDED BODY | 20 |
Shigeo Hayashi | JP | Kyoto | 2014-04-24 / 20140110747 - LIGHT-EMITTING DIODE ELEMENT AND LIGHT-EMITTING DIODE DEVICE | 12 |
Shigeo Hayashi | JP | Yokkaichi-Shi | 2012-09-06 / 20120224817 - OPTICAL COMMUNICATION MODULE | 4 |
Toshinori Hayashi | JP | Yonago City | 2011-06-23 / 20110151557 - COMPOSITIONS AND METHODS FOR TRANSFECTION OF RNA AND CONTROLLED STABILIZATION OF TRANSFECTED RNA | 1 |
Daisuke Hayashi | JP | Komatsu-Shi | 2014-07-17 / 20140196974 - WHEEL LOADER | 2 |
Hiroyuki Hayashi | JP | Tokyo | 2011-12-01 / 20110295217 - CATHETER AND METHOD OF MANUFACTURING CATHETER | 2 |
Hiroyuki Hayashi | JP | Obu-City | 2015-03-05 / 20150059375 - AIR CONDITIONER FOR VEHICLE WITH HEAT PUMP CYCLE | 3 |
Hiroyuki Hayashi | JP | Ome | 2011-02-17 / 20110037134 - Solid-State Image Sensor Device | 1 |
Daisuke Hayashi | JP | Saitama-Shi, Saitama | 2013-08-22 / 20130215149 - INFORMATION PRESENTATION DEVICE, DIGITAL CAMERA, HEAD MOUNT DISPLAY, PROJECTOR, INFORMATION PRESENTATION METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Genki Hayashi | JP | Kariya-Shi | 2013-10-03 / 20130259713 - SWASH PLATE TYPE COMPRESSOR | 1 |
Miki Hayashi | JP | Ibaraki-Shi | 2012-06-21 / 20120153508 - THERMOSETTING DIE-BONDING FILM | 2 |
Norio Hayashi | JP | Kanagawa | 2013-02-07 / 20130033327 - HIGH FREQUENCY CIRCUIT AND HIGH FREQUENCY MODULE | 2 |
Yujiro Hayashi | JP | Kobe-Shi | 2015-08-27 / 20150238570 - THERAPEUTIC AGENT FOR HYPERTHERMIA | 2 |
Masahiro Hayashi | JP | Miyagi | 2015-09-03 / 20150247257 - GALLIUM NITRIDE CRYSTAL, GROUP 13 NITRIDE CRYSTAL, GROUP 13 NITRIDE CRYSTAL SUBSTRATE, AND MANUFACTURING METHOD | 14 |
Momoko Hayashi | JP | Tokyo | / - | 1 |
Shuichi Hayashi | JP | Tsukuba-Shi | 2015-06-04 / 20150155496 - COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 9 |
Shuichi Hayashi | JP | Ibaraki | 2014-02-06 / 20140039221 - ARYLAMINE COMPOUND USEFUL IN AN ORGANIC ELECTROLUMINESCENT DEVICE | 12 |
Hiroyuki Hayashi | JP | Fukuoka-Shi | 2011-05-26 / 20110124916 - THERMAL RESPONSIVE MOLECULE | 2 |
Takahiro Hayashi | JP | Komaki-Shi | 2015-07-30 / 20150216059 - WIRING BOARD AND MANUFACTURING METHOD OF THE SAME | 8 |
Hiromu Hayashi | JP | Wakayama | 2009-01-22 / 20090019614 - HEAT-RESISTANT FABRIC AND GARMENT AND HEAT-RESISTANT GLOVE USING THE SAME | 1 |
Akari Hayashi | JP | Tsukuba-Shi | 2011-09-01 / 20110213154 - Bis-terpyridine monomer | 1 |
Yoshiyuki Hayashi | JP | Osaka | 2015-05-21 / 20150138106 - INFORMATION APPARATUS | 1 |
Hideki Hayashi | JP | Anan-Shi | 2014-05-01 / 20140117403 - LIGHT EMITTING DEVICE PACKAGE AND LIGHT EMITTING DEVICE | 2 |
Hideki Hayashi | JP | Takahama-City | 2014-10-23 / 20140311262 - ELECTRIC ACTUATOR | 2 |
Hideki Hayashi | US | Los Angeles | 2013-01-24 / 20130023402 - Preparation of Functionalized Zeolitic Frameworks | 1 |
Hideki Hayashi | JP | Kiyose-Shi | 2015-12-03 / 20150342458 - OPHTHALMIC APPARATUS | 4 |
Shigeo Hayashi | JP | Tokyo | 2016-03-03 / 20160062401 - ELECTRONIC APPARATUS | 6 |
Takefumi Hayashi | JP | Tokyo | 2015-10-01 / 20150272436 - OPHTHALMOLOGIC APPARATUS | 12 |
Akihiro Hayashi | JP | Yokohama-Shi | 2013-12-26 / 20130341981 - LUMBAR SUPPORT OPERATION MEMBER AND VEHICLE SEAT | 1 |
Kunihiro Hayashi | JP | Tokyo | 2012-11-29 / 20120298847 - PLANT SENSOR | 3 |
Mariko Hayashi | JP | Chiba-Ken | 2014-01-30 / 20140031236 - OXIDE SUPERCONDUCTOR, ORIENTED OXIDE THIN FILM, AND METHOD FOR MANUFACTURING OXIDE SUPERCONDUCTOR | 2 |
Masafumi Hayashi | JP | Kumamoto | 2009-12-17 / 20090311868 - Semiconductor device manufacturing method | 1 |
Noriyuki Hayashi | JP | Tokushima | 2013-01-31 / 20130026764 - Transformer for Wind Power Generation and Wind Power Generation System | 1 |
Akihiro Hayashi | JP | Kosai-Shi | 2014-03-27 / 20140086671 - METAL JOINT | 1 |
Shigeo Hayashi | JP | Nagano | 2014-10-09 / 20140299349 - HIGH-SPEED SIGNAL TRANSMISSION CABLE | 3 |
Shigeo Hayashi | JP | Mie | 2008-10-23 / 20080259946 - Vehicle network system | 1 |
Kengo Hayashi | JP | Saitama | 2013-01-31 / 20130025312 - HEAT PUMP AIR CONDITIONING SYSTEM FOR VEHICLE | 1 |
Takashi Hayashi | JP | Ichihara-Shi | 2013-07-04 / 20130171514 - CYCLIC SULFATE COMPOUND, NON-AQUEOUS ELECTROLYTE SOLUTION CONTAINING SAME, AND LITHIUM SECONDARY BATTERY | 3 |
Kazuhiko Hayashi | JP | Osaka-Shi | 2013-02-14 / 20130040821 - SUBSTRATE FOR SUPERCONDUCTING COMPOUND AND METHOD FOR MANUFACTURING THE SUBSTRATE | 1 |
Tatsuya Hayashi | JP | Kanagawa | 2015-10-08 / 20150284842 - THIN FILM FORMATION APPARATUS, SPUTTERING CATHODE, AND METHOD OF FORMING THIN FILM | 5 |
Tatsushi Hayashi | JP | Ibaraki | 2015-09-10 / 20150257277 - METHOD FOR MANUFACTURING MULTILAYER SUBSTRATE, MULTILAYER INSULATION FILM, AND MULTILAYER SUBSTRATE | 2 |
Koichi Hayashi | JP | Nagoya-Shi | 2009-05-14 / 20090122716 - Communication bandwidth measurement apparatus, recording medium on which program is recorded, and method | 4 |
Koichi Hayashi | JP | Shizuoka | 2011-05-12 / 20110108005 - FUEL RAIL FOR HIGH-PRESSURE DIRECT-INJECTION INTERNAL COMBUSTION ENGINES AND METHOD FOR MANUFACTURING THEREOF | 3 |
Koichi Hayashi | JP | Miyagi | 2010-03-25 / 20100074406 - REVERSE X-RAY PHOTOELECTRON HOLOGRAPHY DEVICE AND ITS MEASURING METHOD | 1 |
Katsuhiko Hayashi | JP | Musashino-Shi | 2009-05-21 / 20090131114 - PORTABLE TELEPHONE TERMINAL | 1 |
Masateru Hayashi | JP | Chiyoda-Ku | 2015-07-30 / 20150212214 - RADIOACTIVITY ANALYZING APPARATUS | 1 |
Koichi Hayashi | JP | Kusatsu-Shi | 2011-02-17 / 20110037351 - Multilayer Piezoelectric Actuator | 6 |
Yukie Hayashi | JP | Fukushima | 2015-05-21 / 20150139753 - CONNECTING BODY FOR NAILS | 1 |
Koichi Hayashi | JP | Kobe-Shi | 2010-11-18 / 20100292525 - Method of processing waste material | 1 |
Sachiko Hayashi | JP | Moriyama-Shi | 2010-05-13 / 20100116308 - THERMOELECTRIC CONVERSION ELEMENT, THERMOELECTRIC CONVERSION MODULE, METHOD FOR PRODUCING THERMOELECTRIC CONVERSION ELEMENT | 1 |
Hiroyuki Hayashi | JP | Kanagawa | 2015-09-03 / 20150247002 - RESIN COMPOSITION, STRETCHED FILM, CIRCULARLY POLARIZING PLATE, AND IMAGE DISPLAY DEVICE | 4 |
Takashi Hayashi | JP | Matsumoto | 2013-12-19 / 20130335855 - MAGNETIC READING AND WRITING DEVICE | 6 |
Takashi Hayashi | JP | Osaka-Shi | 2015-11-26 / 20150341910 - TERMINAL APPARATUS | 4 |
Takashi Hayashi | JP | Anjo-Shi | 2010-04-29 / 20100106401 - TRAVELING GUIDANCE SYSTEM, TRAVELING GUIDANCE METHOD, AND COMPUTER PROGRAM | 1 |
Takashi Hayashi | JP | Okazaki | 2011-10-06 / 20110246061 - ROUTE DISPLAY DEVICE, ROUTE DISPLAY METHOD, ROUTE DISPLAY PROGRAM, AND ROUTE DISPLAY SYSTEM | 4 |
Takashi Hayashi | JP | Fujisawa-Shi | 2010-07-08 / 20100170094 - SAFETY RAZOR | 1 |
George Hayashi | JP | Osaka | 2012-06-07 / 20120139633 - SEMICONDUCTOR INTEGRATED CIRCUIT AND TUNER SYSTEM INCLUDING THE SAME | 3 |
Takashi Hayashi | JP | Okazaki-Shi | 2010-03-04 / 20100057306 - Vehicle-mounted device control system | 1 |
Takashi Hayashi | JP | Chiba | 2011-06-09 / 20110136018 - NON-AQUEOUS ELECTROLYTIC SOLUTION, LITHIUM SECONDARY BATTERY AND METHOD FOR PRODUCING SAME, AND MIXED-TYPE NON-AQUEOUS ELECTROLYTIC SOLUTION | 2 |
Takashi Hayashi | JP | Hyogo | 2009-04-23 / 20090105312 - SOLID PREPARATION HAVING IMPROVED SOLUBILITY | 1 |
Takashi Hayashi | JP | Tokyo | 2008-12-18 / 20080308869 - SEMICONDUCTOR DEVICE WHICH HAS MOS STRUCTURE AND METHOD OF MANUFACTURING THE SAME | 1 |
Toshihiro Hayashi | JP | Hamamatsu-Shi | 2013-08-15 / 20130205760 - EXHAUST CONTROL DEVICE FOR ENGINE | 1 |
Toshihiro Hayashi | JP | Nirasaki-City | 2014-01-02 / 20140002960 - LITHIUM ION CAPACITOR | 1 |
Kiyoshi Hayashi | JP | Hatsukaishi-City | 2014-02-27 / 20140054928 - REAR VEHICLE-BODY STRUCTURE OF VEHICLE | 1 |
Kiyoshi Hayashi | JP | Fukuoka-Ken | 2009-04-09 / 20090092852 - CENTRIFUGALLY CAST COMPOSIT ROLL | 2 |
Kiyoshi Hayashi | JP | Toyohashi-Shi | 2009-09-17 / 20090233173 - COBALT OXYHYDROXIDE, METHOD FOR PRODUCING THE SAME AND ALKALINE STORAGE BATTERY USING THE SAME | 1 |
Kiyoshi Hayashi | JP | Osaka | 2015-08-06 / 20150221989 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR ALKALINE STORAGE BATTERIES, POSITIVE ELECTRODE FOR ALKALINE STORAGE BATTERIES AND ALKALINE STORAGE BATTERY INCLUDING THE SAME, AND NICKEL-METAL HYDRIDE STORAGE BATTERY | 4 |
Keiji Hayashi | JP | Toyota-Shi | 2013-01-10 / 20130008756 - Wet Friction Clutch - Lubricant Systems Providing High Dynamic Coefficients of Friction Through the Use of Borated Detergents | 1 |
Hiromi Hayashi | JP | Hiratsuka-Shi | 2013-01-03 / 20130004894 - UNDER COAT FILM MATERIAL AND METHOD OF FORMING MULTILAYER RESIST PATTERN | 3 |
Hiromi Hayashi | JP | Kanagawa | 2013-06-13 / 20130150627 - PURIFICATION METHOD FOR CYCLIC COMPOUND | 4 |
Hiromi Hayashi | JP | Shizuoka | 2015-08-06 / 20150217329 - COATING FILM AND METAL AUTOMOTIVE PART ON WHICH COATING FILM IS FORMED, AND CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Akihiro Hayashi | JP | Komaki-Shi | 2015-04-30 / 20150117889 - IMAGE FORMING APPARATUS, METHOD AND A NON-TRANSITORY, COMPUTER-READABLE STORAGE MEDIUM THEREFOR | 3 |
Naoki Hayashi | JP | Kawasaki-Shi | 2016-03-24 / 20160085201 - CLEANING APPARATUS, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS | 12 |
Katsuhiko Hayashi | JP | Tokyo-To | 2010-01-07 / 20100000603 - BACKSHEET FOR PHOTOVOLTAIC MODULE, BACKSIDE LAMINATE FOR PHOTOVOLTAIC MODULE, AND PHOTOVOLTAIC MODULE | 2 |
Kenichirou Hayashi | JP | Kawasaki-Shi | 2015-12-31 / 20150378331 - COMMUNICATION APPARATUS AND METHOD FOR CONTROLLING COMMUNICATION APPARATUS | 3 |
Katsuhiko Hayashi | JP | Ageo-Shi | 2010-09-30 / 20100244281 - FLEXIBLE PRINTED WIRING BOARD AND SEMICONDUCTOR DEVICE EMPLOYING THE SAME | 1 |
Masanori Hayashi | JP | Utsunomiya-Shi | 2012-06-07 / 20120141897 - COOLING METHOD FOR FUEL CELL | 3 |
Naoto Hayashi | JP | Utsunomiya-Shi | 2009-08-20 / 20090207400 - EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD | 2 |
Norihiko Hayashi | JP | Utsunomiya-Shi | 2010-12-23 / 20100320038 - OPPOSED-PISTON CALIPER BODY | 1 |
Naoki Hayashi | JP | Higashimurayama-Shi | 2015-08-06 / 20150216497 - Radiographic Imaging System | 1 |
Naoki Hayashi | JP | Inuyama | 2015-08-06 / 20150220361 - PARALLEL COMPUTER SYSTEM, CONTROL METHOD OF PARALLEL COMPUTER SYSTEM, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Nozomu Hayashi | JP | Utsunomiya-Shi | 2015-11-12 / 20150325526 - IMPRINT METHOD, IMPRINT APPARATUS, AND ARTICLE MANUFACTURING METHOD USING THE SAME | 8 |
Katsumi Hayashi | JP | Utsunomiya-Shi | 2012-11-22 / 20120296505 - FUEL CELL VEHICLE | 3 |
Sachiko Hayashi | JP | Kyoto-Fu | 2011-09-22 / 20110226304 - Thermoelectric Conversion Module | 1 |
Kenji Hayashi | JP | Hachiouji-Shi | 2014-03-27 / 20140087303 - LIQUID DEVELOPER | 3 |
Kenji Hayashi | JP | Ageo-Shi | 2013-11-14 / 20130301112 - ELECTROPHORETIC DISPLAY AND ELECTRONIC DEVICE | 2 |
Kenji Hayashi | JP | Kyoto | 2014-05-08 / 20140124915 - SEMICONDUCTOR MODULE | 1 |
Katsura Hayashi | JP | Kirishima-Shi | 2015-12-17 / 20150366077 - METHOD FOR PRODUCING MOUNTED STRUCTURE | 5 |
Katsuhiko Hayashi | JP | Nara | 2015-11-26 / 20150338670 - IMAGE DISPLAY APPARATUS | 38 |
Tomoyoshi Hayashi | JP | Tsu-Shi | 2011-08-25 / 20110204849 - CHARGING CABLE, CHARGING CABLE UNIT, AND CHARGING SYSTEM FOR ELECTRIC VEHICLE | 2 |
Kazuhiro Hayashi | JP | Kasugai-Shi | 2014-01-02 / 20140001690 - CYLINDER UNIT | 1 |
Miho Hayashi | JP | Ehime | 2012-12-20 / 20120322111 - METHOD FOR AMPLIFYING A TARGET SEQUENCE INCLUDED IN A DOUBLE-STRANDED DNA | 3 |
Katsuhiko Hayashi | JP | Narita-Shi | 2010-07-29 / 20100188010 - LIGHT SOURCE DEVICE AND PROJECTOR | 1 |
Hideaki Hayashi | JP | Toyokawa-Shi | 2014-11-20 / 20140341607 - IMAGE FORMING APPARATUS | 8 |
Masatomo Hayashi | JP | Shibukawa-Shi | 2010-07-22 / 20100183340 - RESIN COATED CARRIER, TWO-COMPONENT DEVELOPER, DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Kazushige Hayashi | JP | Toyota-City | 2013-01-31 / 20130029728 - IN-VEHICLE APPARATUS | 9 |
Eisaku Hayashi | JP | Yokohama-Shi | 2015-08-06 / 20150220493 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Yukiharu Hayashi | JP | Miyagi-Ken | 2015-12-10 / 20150357132 - INPUT APPARATUS WITH FORCE FEEDBACK | 2 |
Takashi Hayashi | JP | Nagaokakyo-Shi | 2015-08-06 / 20150221448 - POWER STORAGE DEVICE | 1 |
Hiroki Hayashi | JP | Hirakata-City | 2011-09-29 / 20110232056 - METHOD FOR MANUFACTURING A SOLID ELECTROLYTIC CAPACITOR | 1 |
Chihiro Hayashi | JP | Sendai-Shi | 2012-02-16 / 20120036911 - METHOD FOR PRODUCING ULTRATHIN-WALL SEAMLESS METAL TUBE BY COLD ROLLING METHOD | 3 |
Naoki Hayashi | JP | Kanuma-Shi | 2016-03-31 / 20160091791 - PHOTOCURABLE RESIN COMPOSITION AND PRODUCTION METHOD OF IMAGE DISPLAY DEVICE | 4 |
Iku Hayashi | SG | Singapore | 2014-08-07 / 20140216490 - APPLICATOR | 1 |
Masato Hayashi | JP | Nirasaki | 2011-09-29 / 20110233546 - WAFER-TYPE TEMPERATURE SENSOR AND MANUFACTURING METHOD THEREOF | 1 |
Shinya Hayashi | JP | Osaka | 2011-09-29 / 20110237305 - SLIDABLE PORTABLE ELECTRONIC DEVICE | 1 |
Yuusuke Hayashi | JP | Kanagawa | 2014-01-02 / 20140003062 - LIGHT SOURCE UNIT | 2 |
Naoto Hayashi | JP | Osaka | 2012-05-10 / 20120112380 - Apparatus and Method for Inflation Extrusion Molding of Pressure-Sensitive Adhesive Sheet | 2 |
Masaho Hayashi | JP | Tokyo | 2012-08-09 / 20120200310 - WATER CONTENT DETECTION SENSOR | 11 |
Yasuyuki Hayashi | JP | Kodaira-Shi | 2014-04-24 / 20140113344 - ISOPRENE SYNTHASE AND GENE ENCODING THE SAME, AND METHOD FOR PRODUCING ISOPRENE MONOMER | 2 |
Yasuyuki Hayashi | JP | Amagasaki-Shi | 2011-01-13 / 20110005684 - PLASMA PROCESSING APPARATUS | 1 |
Yasuyuki Hayashi | JP | Shinagawa-Ku | 2015-11-05 / 20150317811 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, IMAGE PROCESSING PROGRAM AND COMPUTER-READABLE RECORDING MEDIUM STORING THE PROGRAM | 4 |
Yasuyuki Hayashi | JP | Tokyo | 2015-08-13 / 20150227263 - PROCESSING A PAGE-TRANSITION ACTION USING AN ACOUSTIC SIGNAL INPUT | 3 |
Masamitsu Hayashi | JP | Ibaraki | 2015-05-14 / 20150132609 - Perpendicularly Magnetized Ultrathin Film Exhibiting High Perpendicular Magnetic Anisotropy, Method for Manufacturing Same, and Application | 1 |
Kazuhito Hayashi | JP | Saitama | 2011-06-23 / 20110149587 - FRONT PORTION STRUCTURE OF SADDLE-RIDE TYPE VEHICLE | 2 |
Tomoko Hayashi | US | La Jolla | 2015-05-14 / 20150132342 - NOVEL IMMUNE ACTIVATORS: SUBSTITUTE 4-AMINOQUINAZOLINES | 1 |
Shunichi Hayashi | JP | Tokyo | 2014-05-08 / 20140128491 - POLYURETHANE FOAM | 2 |
Junya Hayashi | JP | Aichi | 2014-11-13 / 20140334909 - Packaging Bag Feeder in Packaging Machine | 1 |
Daisuke Hayashi | JP | Aichi | 2011-07-21 / 20110174009 - COMPRESSOR AND REFRIGERATING MACHINE | 3 |
Daisuke Hayashi | JP | Matsumoto-Shi | 2014-09-25 / 20140285538 - IMAGE PROCESSING APPARATUS, PROJECTOR, AND IMAGE PROCESSING METHOD | 7 |
Daisuke Hayashi | JP | Saitama | 2011-03-31 / 20110074973 - CAMERA AND RECORDING METHOD THEREFOR | 1 |
Daisuke Hayashi | JP | Nirasaki-Shi | 2013-07-04 / 20130168369 - COOLING BLOCK FORMING ELECTRODE | 7 |
Daisuke Hayashi | JP | Nirasaki City | 2011-07-07 / 20110162799 - PLASMA PROCESSING APPARATUS AND ELECTRODE USED THEREIN | 4 |
Daisuke Hayashi | JP | Kanagawa | 2010-06-03 / 20100138596 - INFORMATION PROCESSOR AND INFORMATION PROCESSING METHOD | 1 |
Daisuke Hayashi | JP | Otsu-Shi | 2012-10-04 / 20120250014 - SPECTROPHOTOMETER AND METHOD FOR CALIBRATING THE SAME | 3 |
Daisuke Hayashi | JP | Nirasaki | 2011-09-22 / 20110226420 - ELECTRODE AND PLASMA PROCESSING APPARATUS | 5 |
Daisuke Hayashi | JP | Hitachi | 2011-06-30 / 20110154829 - BURNER, COMBUSTOR AND REMODELING METHOD FOR BURNER | 2 |
Yohei Hayashi | JP | Osaka | 2015-12-31 / 20150377459 - LIGHT EMITTING MODULE AND ILLUMINATING DEVICE USING SAME | 8 |
Yohei Hayashi | JP | Tokyo | 2014-06-05 / 20140156853 - COMPUTER AND RESOURCE RETRIEVAL METHOD | 1 |
Daisuke Hayashi | JP | Yamanashi | 2011-09-22 / 20110226421 - PLASMA PROCESSING APPARATUS | 6 |
Daisuke Hayashi | JP | Ibaraki-Shi | 2010-07-01 / 20100165264 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY | 1 |
Keiichi Hayashi | JP | Kanagawa | 2011-09-29 / 20110236694 - LAYERED STRUCTURE, FIXING MEMBER AND IMAGE FORMING APPARATUS | 1 |
Daisuke Hayashi | DE | Wuppertal | 2010-06-17 / 20100146741 - Button as Well as Button Body and Fixture for Such a Button | 1 |
Daisuke Hayashi | JP | Nara | 2012-08-16 / 20120210077 - RECORDING SYSTEM, RECORDING METHOD AND COMPUTER PROGRAM | 3 |
Daisuke Hayashi | JP | Tokyo | 2016-04-28 / 20160117163 - APPLYING UPDATE TO SNAPSHOTS OF VIRTUAL MACHINE | 9 |
Daisuke Hayashi | JP | Shiga | 2009-02-05 / 20090034989 - LIGHT SIGNAL PROCESSING DEVICE AND LIGHT SIGNAL STORING DEVICE | 1 |
Daisuke Hayashi | JP | Osaka | 2015-03-05 / 20150064348 - Method for Manufacturing Optical Film | 3 |
Kazushige Hayashi | JP | Toyota-City | 2013-01-31 / 20130029728 - IN-VEHICLE APPARATUS | 9 |
Keishi Hayashi | JP | Funabashi | 2011-09-29 / 20110237791 - 2-PYRIDONE COMPOUNDS | 1 |
Takamichi Hayashi | JP | Tokyo | 2015-05-21 / 20150143131 - INFORMATION PROCESSING DEVICE, INFORMATION STORAGE DEVICE, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 33 |
Osamu Hayashi | JP | Ibaraki-Shi | 2013-09-26 / 20130248442 - METHOD FOR PRODUCING POROUS EPOXY RESIN SHEET | 5 |
Naoto Hayashi | JP | Ibaraki-Shi | 2011-11-24 / 20110287253 - PRESSURE-SENSITIVE ADHESIVE TAPE | 8 |
Hirotaka Hayashi | JP | Tokyo | 2016-05-12 / 20160132159 - DISPLAY DEVICE | 9 |
Toshikazu Hayashi | JP | Nagasaki-Shi | 2012-08-30 / 20120216896 - SERVO VALVE | 2 |
Yoshiko Hayashi | JP | Tochigi | 2014-11-13 / 20140335262 - BACILLUS SUBTILIS SUBSP. NATTO AND NATTO PRODUCED BY USING SAME | 1 |
Jumpei Hayashi | JP | Chofu-Shi | 2016-04-14 / 20160104833 - MANUFACTURING METHOD FOR PIEZOELECTRIC CERAMICS | 19 |
Hideki Hayashi | JP | Osaka-Shi | 2016-03-24 / 20160087065 - SILICON CARBIDE SEMICONDUCTOR DEVICE | 19 |
Masaho Hayashi | JP | Tokyo | 2012-08-09 / 20120200310 - WATER CONTENT DETECTION SENSOR | 11 |
Toshikatsu Hayashi | JP | Kumagaya-Shi | 2015-08-13 / 20150226327 - PISTON RING | 1 |
Masaki Hayashi | JP | Osaka | 2013-06-20 / 20130156468 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 10 |
Teruhiko Hayashi | JP | Tokyo | 2015-08-13 / 20150226463 - MULTIPLEX PIPE AND SYSTEM FOR RECOVERING STEAM FROM GEOTHERMAL WELLS | 1 |
Maki Hayashi | JP | Tokyo | 2014-11-27 / 20140350741 - DEMAND REGULATING SYSTEM, DEMAND REGULATING APPARATUS, AND CONSUMPTION DEVICE MANAGEMENT APPARATUS | 2 |
Kenkichi Hayashi | JP | Saitama-Shi | 2016-05-19 / 20160140697 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 72 |
Shota Hayashi | JP | Aichi | 2015-08-13 / 20150227106 - BLADE MEMBER AND CLEANING BLADE | 1 |
Chisato Hayashi | JP | Gifu-Shi | 2011-10-06 / 20110242201 - Treatment Liquid for Ink-Jet Recording, Ink Set, and Ink-Jet Recording Method | 1 |
Takeshi Hayashi | JP | Nagaokakyo-Shi | 2014-06-05 / 20140154586 - LAMINATE FOR ALL-SOLID TYPE BATTERY | 11 |
Kazuhiko Hayashi | JP | Komatsu-Shi | 2015-01-15 / 20150019086 - BLADE CONTROL DEVICE, WORKING MACHINE AND BLADE CONTROL METHOD | 10 |
Hiroyuki Hayashi | JP | Nirasaki | 2015-05-14 / 20150129586 - MICROWAVE HEATING APPARATUS AND PROCESSING METHOD | 1 |
Takayuki Hayashi | JP | Toyokawa-Shi | 2015-05-14 / 20150128894 - SLIDING COMPONENT FOR INTERNAL COMBUSTION ENGINE AND METHOD OF MANUFACTURING SLIDING COMPONENT FOR INTERNAL COMBUSTION ENGINE | 1 |
Youhei Hayashi | JP | Osaka | 2015-02-26 / 20150056442 - PRESSURE-SENSITIVE ADHESIVE COMPOSITION, PRESSURE-SENSITIVE ADHESIVE SHEET, AND MOISTURE-PERMEABLE WATERPROOF PRESSURE-SENSITIVE ADHESIVE SHEET | 10 |
Takaya Hayashi | JP | Osaka | 2011-10-06 / 20110243280 - RECEIVER AND RECEIVING METHOD | 1 |
Yousuke Hayashi | JP | Nishio-Shi | 2010-08-12 / 20100200319 - CONTROL METHOD OF ELECTRIC ROTATING MACHINE IN HYBRID-TYPE POWER TRANSMISSION | 1 |
Shuhei Hayashi | JP | Iwaki | 2011-10-06 / 20110243527 - VIDEO PLAYBACK APPARATUS AND RESUME PLAYBACK METHOD | 1 |
Kimberlee Hayashi | US | San Francisco | 2011-10-06 / 20110243545 - Security Camera Assembly | 1 |
Manabu Hayashi | JP | Ube-Shi | 2014-02-20 / 20140047982 - GAS SEPARATION MEMBRANE MODULE AND METHOD OF REPLACING A HOLLOW FIBER ELEMENT | 1 |
Manabu Hayashi | JP | Kanagawa | 2015-05-07 / 20150124277 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 6 |
Manabu Hayashi | JP | Tokyo | 2012-06-07 / 20120141887 - NONAQUEOUS-ELECTROLYTE SECONDARY BATTERY | 4 |
Rena Hayashi | US | Hacienda Heights | 2015-07-09 / 20150191454 - MODULATORS OF THE PROSTACYCLIN (PGI2) RECEPTOR USEFUL FOR THE TREATMENT OF DISORDERS RELATED THERETO | 2 |
Yuki Hayashi | JP | Kanagawa | 2016-04-28 / 20160116735 - IMAGE DISPLAY DEVICE AND APPARATUS | 1 |
Jirou Hayashi | JP | Ama-City | 2016-03-03 / 20160065027 - ELECTRIC ROTATING MACHINE | 11 |
Tatsuya Hayashi | JP | Yamagata Pref | 2011-10-06 / 20110244708 - TERMINAL BLOCK AND METHOD OF ASSEMBLING THE SAME | 1 |
Tatsuya Hayashi | JP | Ube-Shi | 2013-01-24 / 20130019748 - ASYMMETRIC HOLLOW FIBER GAS SEPARATION MEMBRANE | 1 |
Shinichi Hayashi | JP | Kumamoto | 2011-06-30 / 20110159701 - CHEMICAL LIQUID SUPPLY NOZZLE AND CHEMICAL LIQUID SUPPLY METHOD | 2 |
Akito Hayashi | JP | Chiba | 2015-10-01 / 20150272858 - TREATMENT AGENT AND COSMETIC COMPOSITION COMPRISING CO-MODIFIED ORGANOPOLYSILOXANE | 3 |
Shuro Hayashi | JP | Tokyo | 2011-10-06 / 20110245853 - INTESTINAL ANASTOMOTIC SURGERY AID | 1 |
Shinichi Hayashi | TW | Taipei | 2009-07-16 / 20090178834 - ANISOTROPIC ELECTRICALLY CONDUCTIVE FILM AND CONNECTION STRUCTURE | 1 |
Toshiro Hayashi | JP | Tokyo | 2011-02-17 / 20110036529 - CASTING DIE DEVICE | 1 |
Chitoshi Hayashi | JP | Toyama-Ken | 2010-09-30 / 20100247700 - COLLAPSIBLE MOLD AND METHOD OF MANUFACTURING THE SAME | 1 |
Masatake Hayashi | JP | Fukushima | 2013-03-07 / 20130059192 - SEPARATOR AND NONAQUEOUS ELECTROLYTE BATTERY | 1 |
Makoto Hayashi | JP | Minato-Ku | 2015-08-20 / 20150234496 - DISPLAY DEVICE | 1 |
Shinya Hayashi | JP | Hitachi | 2015-10-15 / 20150295366 - CONNECTOR | 23 |
Koji Hayashi | JP | Ichihara-Shi | 2016-03-03 / 20160060384 - MODIFIED PHENOLIC RESIN, METHOD FOR PRODUCING MODIFIED PHENOLIC RESIN, MODIFIED EPOXY RESIN, METHOD FOR PRODUCING MODIFIED EPOXY RESIN, CURABLE RESIN COMPOSITION, CURED PRODUCT OF SAME, AND PRINTED WIRING BOARD | 5 |
Takayuki Hayashi | JP | Kyoto | 2015-10-01 / 20150277080 - LENS BARREL | 21 |
Kenichi Hayashi | JP | Nara | 2013-03-28 / 20130076959 - Imaging Device | 17 |
Noriko Hayashi | JP | Toyota-Shi | 2013-03-07 / 20130059212 - ENZYME ELECTRODE, AND BIO FUEL CELL EQUIPPED THEREWITH | 1 |
Shigeo Hayashi | JP | Kyoto | 2014-04-24 / 20140110747 - LIGHT-EMITTING DIODE ELEMENT AND LIGHT-EMITTING DIODE DEVICE | 12 |
Seigo Hayashi | JP | Kizugawa-Shi | 2011-10-13 / 20110249140 - ELECTRONIC CAMERA | 1 |
Takako Hayashi | JP | Yokohama-Shi | 2014-10-30 / 20140319534 - SEMICONDUCTOR LIGHT EMITTING ELEMENT | 4 |
Kazuo Hayashi | JP | Yokohama-Shi | 2013-09-05 / 20130228698 - ION SOURCE | 2 |
Yuji Hayashi | JP | Kasugai-Shi | 2015-10-22 / 20150303703 - NON-CONTACT POWER TRANSMITTING AND RECEIVING SYSTEM | 13 |
Masateru Hayashi | JP | Tokyo | 2011-06-16 / 20110144945 - RADIATION MEASURING DEVICE AND DIAGNOSTIC METHOD THEREOF | 1 |
Tomokazu Hayashi | JP | Seto-Shi | 2012-12-06 / 20120308913 - CONTROLLING FUEL CELL | 4 |
Shinichi Hayashi | JP | Tokyo | 2016-05-12 / 20160132433 - COMPUTER SYSTEM AND CONTROL METHOD | 17 |
Kyohei Hayashi | JP | Osaka | 2014-02-20 / 20140051862 - 5-MEMBERED RING HETEROAROMATIC DERIVATIVES HAVING NPY Y5 RECEPTOR ANTAGONISTIC ACTIVITY | 2 |
Ryuhei Hayashi | JP | Osaka | 2015-12-10 / 20150351380 - TISSUE-PRESERVING LIQUID AND TISSUE-PRESERVING METHOD | 3 |
Nathanael Joe Hayashi | US | Piedmond | 2011-10-13 / 20110252101 - SYSTEM AND METHOD FOR DELIVERY OF AUGMENTED MESSAGES | 1 |
Yoshiyuki Hayashi | JP | Machida-Shi | 2011-06-16 / 20110140219 - PHOTOELECTRIC CONVERSION DEVICE | 1 |
Satoshi Hayashi | JP | Gunma | 2011-06-16 / 20110139569 - ROTATING COIL TYPE ELECTROMAGNETIC CLUTCH | 1 |
Toshiharu Hayashi | JP | Ibaraki-Ken | 2011-06-16 / 20110139228 - TRANSPARENT ELECTROCONDUCTIVE FILM FOR SOLAR CELL, COMPOSITION FOR TRANSPARENT ELECTROCONDUCTIVE FILM AND MULTI-JUNCTION SOLAR CELL | 1 |
Yusuke Hayashi | JP | Kawasaki-Shi | 2015-10-01 / 20150276257 - FILTER FOR ELECTRIC DUST COLLECTOR, ELECTRIC DUST COLLECTOR, AND AIR CONDITIONER | 3 |
Shinichi Hayashi | JP | Gifu | 2015-02-26 / 20150054738 - DISPLAY APPARATUS AND CONTROL METHOD | 4 |
Haruya Hayashi | JP | Sapporo-Shi | 2008-11-13 / 20080279762 - Method of producing Magnesium-based Hydrides and apparatus for producing Magnesium-based Hydrides | 1 |
Hiroki Hayashi | JP | Kawasaki-Shi | 2015-12-03 / 20150343793 - LIQUID STORAGE UNIT, LIQUID DISCHARGE APPARATUS USING THE SAME, AND METHOD OF REMOVING BUBBLES FROM LIQUID STORAGE UNIT | 12 |
Shinichi Hayashi | JP | Fukuoka | 2012-09-20 / 20120236705 - MANAGEMENT APPARATUS, COMMUNICATION SYSTEM, AND COMMUNICATION METHOD | 2 |
Kazuhiro Hayashi | US | Cambridge | 2015-08-27 / 20150239937 - PROLINE-LOCKED STAPLED PEPTIDES AND USES THEREOF | 1 |
Tokutarou Hayashi | JP | Koshi City | 2013-08-08 / 20130204421 - SUBSTRATE TRANSFER APPARATUS, SUBSTRATE TRANSFER METHOD, AND NON-TRANSITORY STORAGE MEDIUM | 1 |
Tokutarou Hayashi | JP | Koshi-Shi | 2014-08-21 / 20140234991 - Thermal processing apparatus for thermal processing substrate and positioning method of positioning substrate transfer position | 8 |
Akihiro Hayashi | JP | Kanagawa | 2015-08-27 / 20150240800 - OIL-WELL-PUMP DRIVING HYDRAULIC SYSTEM | 1 |
Akito Hayashi | JP | Ichihara-Shi | 2014-12-18 / 20140371330 - Novel Co-Modified Organopolysiloxane, And Treatment Agent And External Use Preparation Comprising The Same | 11 |
Kazuyuki Hayashi | JP | Tokyo | 2014-11-13 / 20140335215 - BLANK FOR NANOIMPRINT MOLD, NANOIMPRINT MOLD, AND METHODS FOR PRODUCING SAID BLANK AND SAID NANOIMPRINT MOLD | 8 |
Takuji Hayashi | JP | Chiyoda-Ku | 2009-01-29 / 20090027283 - HIGH FREQUENCY WAVE ANTENNA FOR AN AUTOMOBILE | 1 |
Mariko Hayashi | JP | Tokyo | 2016-04-21 / 20160108254 - ZINC IMMERSION COATING SOLUTIONS, DOUBLE-ZINCATE METHOD, METHOD OF FORMING A METAL PLATING FILM, AND SEMICONDUCTOR DEVICE | 9 |
Mariko Hayashi | JP | Funabashi-Shi | 2010-09-23 / 20100238702 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Norimitsu Hayashi | JP | Osaka-Shi | 2015-06-11 / 20150158844 - AROMATIC HETEROCYCLIC COMPOUND | 6 |
Toshiyuki Hayashi | JP | Osaka-Shi | 2011-03-17 / 20110061833 - STATIONARY ENGINE COOLANT CIRCUIT | 2 |
Shinji Hayashi | JP | Osaka-Shi | 2015-12-31 / 20150381851 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Yoshiharu Hayashi | JP | Osaka-Shi | 2010-12-02 / 20100305139 - METHOD OF TREATING ABNORMAL LIPID METABOLISM | 1 |
Hirotake Hayashi | JP | Osaka-Shi | 2010-11-25 / 20100298411 - LIPID-MODIFIED DOUBLE-STRANDED RNA HAVING POTENT RNA INTERFERENCE EFFECT | 1 |
Chihiro Hayashi | JP | Osaka-Shi | 2009-06-11 / 20090145193 - METHOD FOR PRODUCING ULTRA THIN WALL METALLIC TUBE BY COLD ROLLING METHOD | 1 |
Nobuyuki Hayashi | JP | Osaka-Shi | 2009-02-26 / 20090052934 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Shogo Hayashi | JP | Osaka-Shi | 2016-05-05 / 20160124575 - METHOD FOR INSPECTING TOUCH-PANEL ELECTRODE SUBSTRATE | 4 |
Masashi Hayashi | JP | Okazaki-City | 2016-03-03 / 20160061063 - VALVE TIMING CONTROLLER | 19 |
Yutaka Hayashi | JP | Ishikawa | 2011-10-27 / 20110258750 - GLOVE MANUFACTURING METHOD AND MANUFACTURING APPARATUS, AND GLOVE MANUFACTURED BY THE METHOD OR THE APPARATUS | 1 |
Masato Hayashi | JP | Miyagi | 2015-12-31 / 20150377056 - GAS TURBINE AND OPERATING METHOD THEREOF | 4 |
Tomohiro Hayashi | JP | Chiyoda-Ku | 2013-12-26 / 20130345331 - HIGH-CONCENTRATION POLYMER POLYOL AND METHOD FOR ITS PRODUCTION | 3 |
Tomohiro Hayashi | JP | Kahoku-Shi | 2014-04-03 / 20140096074 - FORM INPUT/OUTPUT APPARATUS, FORM INPUT/OUTPUT METHOD, AND PROGRAM | 1 |
Daisuke Hayashi | JP | Kurokawa-Gun | 2014-12-04 / 20140352890 - SUBSTRATE PROCESSING APPARATUS | 2 |
Tomohiro Hayashi | JP | Tsukuba-Shi | 2014-02-27 / 20140058299 - GAIT TRAINING DEVICE AND GAIT TRAINING SYSTEM | 1 |
Tomohiro Hayashi | JP | Okazaki-Shi | 2012-05-10 / 20120111301 - SPARK IGNITION INTERNAL COMBUSTION ENGINE | 1 |
Tomohiro Hayashi | JP | Matsumoto-Shi | 2015-08-27 / 20150239223 - JOINING METHOD, APPARATUS OF MANUFACTURING JOINED BODY, JOINED BODY, INK JET HEAD UNIT, AND INK JET TYPE RECORDING APPARATUS | 2 |
Yuji Hayashi | JP | Kasugai-City | 2009-11-26 / 20090289587 - APPARATUS FOR ESTIMATING ROTOR POSITION OF BRUSHLESS MOTORS AND SYSTEM AND METHOD FOR CONTROLLING START-UP OF BRUSHLESS MOTORS | 2 |
Tomohiro Hayashi | JP | Kamisu-City | / - | 1 |
Tomohiro Hayashi | JP | Kobe | 2009-05-07 / 20090113758 - Shoe Sole With Reinforcing Structure and Shoe Sole With Shock-Absorbing Structure | 1 |
Tatsuya Hayashi | JP | Utsunomiya-Shi | 2015-04-23 / 20150108674 - IMPRINT APPARATUS, AND METHOD OF MANUFACTURING ARTICLE | 9 |
Noriya Hayashi | JP | Nagoya-Shi | 2011-10-27 / 20110260376 - RESIN TRANSFER MOLDING DEVICE AND RESIN TRANSFER MOLDING METHOD | 1 |
Shun Hayashi | JP | Gunma-Ken | 2010-03-04 / 20100053882 - Panel Detaching Mechanism | 2 |
Nobuhiro Hayashi | JP | Tokyo | 2014-12-11 / 20140362204 - INFORMATION PROCESSING APPARATUS, IMAGING CONTROL METHOD, PROGRAM, DIGITAL MICROSCOPE SYSTEM, DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND PROGRAM | 10 |
Daiki Hayashi | JP | Shizuoka | 2013-08-01 / 20130194907 - ROTATING DEVICE | 2 |
Koji Hayashi | JP | Kuki-Shi | 2013-10-31 / 20130287625 - ULTRA-FINE CEMENTED CARBIDE Ni BINDER PHASE AND TOOL USING THE SAME | 2 |
Seiji Hayashi | JP | Wako-Shi | 2014-03-13 / 20140070567 - POLYGONAL CROSS-SECTIONAL FRAME, AND REAR VEHICLE BODY STRUCTURE | 3 |
Masaki Hayashi | JP | Takatsu-Ku | 2011-10-27 / 20110261230 - Image-capturing device | 1 |
Kazutaka Hayashi | JP | Chiyoda-Ku | 2011-11-24 / 20110284907 - TRANSLUCENT SUBSTRATE, PROCESS FOR PRODUCING THE SAME, ORGANIC LED ELEMENT AND PROCESS FOR PRODUCING THE SAME | 5 |
Tomohide Hayashi | JP | Yokkaichi-City | 2014-03-20 / 20140082326 - VEHICLE ELECTRONIC CONTROLLER | 1 |
Kosuke Hayashi | US | Novi | 2015-09-03 / 20150247685 - INSERT FOR HEAT EXCHANGER AND HEAT EXCHANGER HAVING THE SAME | 1 |
Eriko Hayashi | JP | Osaka | 2015-09-03 / 20150248089 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Kazutaka Hayashi | JP | Tokyo | 2013-08-08 / 20130201613 - GLASS PLATE FOR DISPLAY DEVICE, PLATE GLASS FOR DISPLAY DEVICE AND PRODUCTION PROCESS THEREOF | 7 |
Isao Hayashi | JP | Tokyo | 2015-04-09 / 20150099326 - SOLAR CELL AND MANUFACTURING METHOD OF THE SAME | 7 |
Isao Hayashi | JP | Kawasaki-Shi | 2014-11-27 / 20140348524 - HEATING APPARATUS FOR HEATING A TONER IMAGE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 9 |
Isao Hayashi | JP | Yokohama-Shi | 2008-11-27 / 20080290839 - BATTERY CHARGER AND CONTROL METHOD THEREFOR | 3 |
Nobuhiro Hayashi | JP | Chigasaki-Shi | 2014-10-23 / 20140311410 - FILM-FORMING APPARATUS | 3 |
Nobuhiro Hayashi | JP | Kanagawa | 2015-07-23 / 20150202910 - SLIDE TRAY | 8 |
Nobuhiro Hayashi | JP | Tokyo | 2014-12-11 / 20140362204 - INFORMATION PROCESSING APPARATUS, IMAGING CONTROL METHOD, PROGRAM, DIGITAL MICROSCOPE SYSTEM, DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND PROGRAM | 10 |
Nobuhiro Hayashi | JP | Toyoake-Shi | 2012-02-23 / 20120046451 - ANTI-lgSF4 ANTIBODY AND UTILIZATION OF THE SAME | 2 |
Nobuhiro Hayashi | JP | Shiga | 2009-07-09 / 20090173603 - CARRIER TYPE CONVEYING APPARATUS AND CONVEYING CARRIER CONNECTION SYSTEM OF THE CONVEYING APPARATUS | 2 |
Nobuhiro Hayashi | JP | Aichi | 2009-02-26 / 20090053243 - Anti-IgSF4 Antibody and Utilization of the Same | 1 |
Naoki Hayashi | JP | Shizuoka-Shi | 2013-09-19 / 20130243933 - NUTRIENT COMPOSITION | 1 |
Naoki Hayashi | JP | Utsunomiya-Shi | 2014-03-13 / 20140069581 - METHOD OF MANUFACTURING IMAGE DISPLAY DEVICE | 2 |
Koki Hayashi | JP | Tokyo | 2014-05-08 / 20140124286 - VEHICLE | 7 |
Karin Hayashi | JP | Kyoto | 2011-10-27 / 20110263026 - Construction of Protein-Responsive shRNA/RNAi Control System Using RNP Motif | 1 |
Shozo Hayashi | US | 2011-06-09 / 20110135933 - METHOD AND APPARATUS FOR CONTROLLING PARTICLE DIAMETER AND PARTICLE DIAMETER DISTRIBUTION OF EMULSION PARTICLES IN EMULSION | 1 | |
Eiji Hayashi | JP | Tokyo | 2015-04-09 / 20150099331 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 15 |
Norihiro Hayashi | JP | Kakamigahara-Shi | 2011-06-09 / 20110132328 - PASSIVE AND SEMI-ACTIVE DIESEL AND GASOLINE FUEL MODULE | 1 |
Yasutaka Hayashi | JP | Wako | 2011-06-09 / 20110132302 - ROCKER ARM CHANGEOVER DEVICE FOR ENGINE | 1 |
Toshiyuki Hayashi | JP | Tokyo | 2014-01-30 / 20140029194 - HIGH-DENSITY SERVER WITH REDUNDANT POWER SOURCE FOR SERVER MODULES | 3 |
Takehiro Hayashi | JP | Tokyo | 2016-04-28 / 20160115967 - CENTRIFUGAL FAN, AIR-CONDITIONING APPARATUS, AND METHOD OF MANUFACTURING CENTRIFUGAL FAN | 6 |
Terukiyo Hayashi | JP | Tokyo | 2011-09-01 / 20110213586 - Device and Method for Transmitting Data Between a Position-Measuring Device and Sequential Electronics | 1 |
Kengo Hayashi | JP | Tokyo | 2011-10-27 / 20110259260 - SILICON SINGLE CRYSTAL PULL-UP APPARATUS AND METHOD OF MANUFACTURING SILICON SINGLE CRYSTAL | 1 |
Yoshiyasu Hayashi | JP | Nasushiobara | 2016-02-11 / 20160038110 - SUPPORTING DEVICE AND X-RAY DIAGNOSTIC APPARATUS | 3 |
Akiji Hayashi | JP | Aichi-Ken | 2011-06-09 / 20110131799 - METHOD FOR MANUFACTURING ELECTRODE SHEETS AND APPARATUS THEREFOR | 1 |
Naohiko Hayashi | JP | Osaka | 2015-09-10 / 20150253531 - LENS CAP | 1 |
Rie Hayashi | JP | Saitama | 2011-11-03 / 20110269886 - ALLERGEN REDUCTION-PROCESSING AGENT FOR FIBROUS PRODUCT | 1 |
Tohru Hayashi | JP | Okayama | 2008-10-30 / 20080264524 - High-Strength Steel and Metal Bolt Excellent In Character of Delayed Fracture | 1 |
Akio Hayashi | JP | Saitama-Shi | 2015-09-10 / 20150254574 - RELATED DATA GENERATING APPARATUS, RELATED DATA GENERATING METHOD, AND PROGRAM | 1 |
Toshihide Hayashi | JP | Kanagawa | 2015-11-12 / 20150326919 - TRANSMISSION DEVICE AND RECEPTION DEVICE | 14 |
Ryosuke Hayashi | JP | Fukuoka | 2010-06-03 / 20100132105 - FLUSH TOILET | 3 |
Gen Hayashi | JP | Mishima-Shi | 2010-02-04 / 20100028576 - PROCESS FOR PRODUCING POLYESTER | 1 |
Tomokazu Hayashi | JP | Aichi | 2009-05-21 / 20090126182 - Separator, process for producing separator, and apparatus for producing separator | 2 |
Keizo Hayashi | JP | Aichi | 2009-09-17 / 20090233143 - Membrane Electrode Assembly, Process for Producing Same, and Direct Methanol Fuel Cell | 1 |
Tetsuya Hayashi | JP | Osaka | 2012-05-03 / 20120105445 - THREE-DIMENSIONAL IMAGE OUTPUT DEVICE, THREE-DIMENSIONAL IMAGE OUTPUT METHOD, THREE-DIMENSIONAL IMAGE DISPLAY DEVICE, AND COMPUTER READABLE RECORDING MEDIUM | 10 |
Yoshihito Hayashi | JP | Chiba | 2015-12-03 / 20150346125 - ELECTRICAL CHARACTERISTIC MEASURING DEVICE | 12 |
Shoichiro Hayashi | JP | Chiyoda-Ku | 2013-01-31 / 20130028464 - SPEAKER APPARATUS | 1 |
Michiaki Hayashi | JP | Fujimino-Shi | 2015-09-10 / 20150257069 - MANAGEMENT APPARATUS OF CONTROLLER FOR COMMUNICATION NETWORK | 1 |
Masashi Hayashi | JP | Minato-Ku | 2013-04-04 / 20130086295 - COMMUNICATION CONTROL SYSTEM, SWITCHING NODE, COMMUNICATION CONTROL METHOD AND COMMUNICATION CONTROL PROGRAM | 2 |
Hideki Hayashi | JP | Nara | 2015-10-01 / 20150279410 - OPTICAL RECORDING AND PLAYBACK APPARATUS | 9 |
Takashi Hayashi | JP | Osaka | 2015-08-27 / 20150240275 - ENZYME ASSOCIATED WITH EQUOL SYNTHESIS | 5 |
Naoki Hayashi | JP | Tokyo | 2015-09-17 / 20150259320 - METHOD FOR PRODUCING GLYCOLIDE, WHICH IS PROVIDED WITH RECTIFICATION STEP BY MEANS OF GAS-LIQUID COUNTERCURRENT CONTACT, AND METHOD FOR PURIFYING CRUDE GLYCOLIDE | 8 |
Kazuhiro Hayashi | JP | Komaki-Shi | 2013-01-03 / 20130000820 - CAPACITOR TO BE INCORPORATED IN WIRING SUBSTRATE, METHOD FOR MANUFACTURING THE CAPACITOR, AND WIRING SUBSTRATE | 5 |
Kazuhiro Hayashi | JP | Tokyo | 2015-12-24 / 20150373271 - VARIABLE POWER RELAY OPTICAL SYSTEM AND IMAGING APPARATUS | 9 |
Nobuhiro Hayashi | JP | Osaka | 2015-09-17 / 20150264788 - Static Eliminator And Static Elimination Head | 8 |
Kazuhiro Hayashi | JP | Kadoma-Shi | 2010-09-23 / 20100242059 - OPTICAL DATA RECORDING DISC WITH PROTRUSION BETWEEN CLAMPING AREA AND CENTER HOLE | 1 |
Kazuhiro Hayashi | JP | Osaka | 2009-11-19 / 20090285084 - OPTICAL DISK WITH PLURAL SUBSTRATES | 3 |
Kazuhiro Hayashi | JP | Okayama | 2015-07-02 / 20150185399 - SURFACE LIGHT SOURCE APPARATUS | 2 |
Kazuhiro Hayashi | JP | Minamiashigara-Shi | 2009-05-14 / 20090121390 - METHOD FOR PRODUCING OPTICAL RECORDING MEDIUM | 2 |
Kazuhiro Hayashi | JP | Kawasaki-Shi | 2011-11-24 / 20110287155 - METHOD FOR PRODUCING FLAVOR MATERIAL | 3 |
Yuusuke Hayashi | JP | Tokyo | 2010-02-25 / 20100045825 - Image Apparatus and Image Processing Method | 2 |
Noriyasu Hayashi | JP | Anjyo-Shi | 2011-06-02 / 20110129364 - ELECTRIC PUMP AND ELECTRIC PUMP MOUNTING STRUCTURE | 1 |
Yoshitomo Hayashi | JP | Tokyo | 2016-05-12 / 20160134277 - DRIVE CIRCUIT FOR POWER SEMICONDUCTOR ELEMENT | 3 |
Yuki Hayashi | JP | Sagamihara-Shi | 2015-09-17 / 20150260578 - COLOR MEASUREMENT APPARATUS, METHOD OF MEASURING A COLOR AND COLOR MEASUREMENT SYSTEM | 1 |
Kakeru Hayashi | JP | Aichi | 2012-06-28 / 20120165175 - FOLDED SHEET PRESSING DEVICE, SHEET PROCESSING APPARATUS, AND IMAGE FORMING APPARATUS | 2 |
Noriya Hayashi | JP | Aichi | 2013-10-31 / 20130287877 - RESIN TRANSFER MOLDING DEVICE AND RESIN TRANSFER MOLDING METHOD | 4 |
Takao Hayashi | JP | Aichi | 2009-08-20 / 20090208313 - TRANSPORT SYSTEM AND TRANSPORT METHOD | 2 |
Michinari Hayashi | JP | Aichi | 2010-10-21 / 20100265830 - MONITOR DEVICE AND BROADCAST RADIO WAVE RETRANSMISSION SYSTEM | 1 |
Noriki Hayashi | JP | Osaka-Shi | 2011-06-02 / 20110127077 - BLOCK COPOLYMERIZED POLYIMIDE INK COMPOSITION FOR PRINTING | 1 |
Kunihiko Hayashi | JP | Toyota-Shi | 2014-11-06 / 20140326199 - ENGINE COOLING CONTROL DEVICE | 1 |
Toshiaki Hayashi | JP | Aichi | 2010-11-18 / 20100288547 - Holding Member, Mounting Structure Having The Holding Member Mounted In Electric Circuit Board, and Electronic Part Having the Holding Member | 2 |
Shinichi Hayashi | JP | Kawasaki | 2013-04-18 / 20130097378 - STORAGE SYSTEM AND CONTROL METHOD THEREOF AS WELL AS PROGRAM | 7 |
Shinichi Hayashi | JP | Kumamoto-Ken | 2010-12-30 / 20100330815 - APPARATUS AND METHOD FOR HEATING SUBSTRATE AND COATING AND DEVELOPING SYSTEM | 2 |
Mikihiro Hayashi | JP | Bunkyo-Ku | 2011-03-31 / 20110077416 - COMPOUND COMPRISING TRIARYLAMINE MOIETIES AND A CONDENSED RING MOIETY, AND THE PRODUCTION METHOD THEREOF | 1 |
Kazumasa Hayashi | JP | Haga-Gun | 2010-07-22 / 20100181139 - ELECTRIC POWER STEERING APPARATUS | 1 |
Takeki Hayashi | JP | Aichi | 2011-02-10 / 20110031056 - VEHICLE POP UP HOOD APPARATUS | 1 |
Akiji Hayashi | JP | Toyota-Shi | 2014-11-06 / 20140325833 - METHOD FOR MANUFACTURING ELECTRODE SHEETS AND APPARATUS THEREFOR | 1 |
Kouki Hayashi | JP | Aichi | 2011-05-12 / 20110108426 - METHOD FOR PRODUCING MULTILAYER COATING FILM | 2 |
Yuji Hayashi | JP | Kasugai-Shi | 2015-10-22 / 20150303703 - NON-CONTACT POWER TRANSMITTING AND RECEIVING SYSTEM | 13 |
Yuji Hayashi | JP | Fukuoka | 2009-06-11 / 20090146666 - PARTIAL DISCHARGE CHARGE QUANTITY MEASURING METHOD AND DEVICE | 1 |
Yuji Hayashi | JP | Wako-Shi | 2012-02-09 / 20120031698 - MOTORCYCLE | 1 |
Yuji Hayashi | JP | Toyohashi-City | / - | 1 |
Seita Hayashi | JP | Oyama-Shi | 2015-01-29 / 20150030471 - BENT AXIS TYPE AXIAL PISTON PUMP/MOTOR | 1 |
Noriyuki Hayashi | JP | Sagamihara-Shi | 2011-12-08 / 20110296829 - VARIABLE GEOMETRY EXHAUST TURBOCHARGER | 2 |
Toshio Hayashi | JP | Obu-Shi | 2009-02-26 / 20090050105 - SWIRL GENERATOR | 1 |
Toshio Hayashi | JP | Shizuoka-Ken | 2009-07-30 / 20090191715 - Method for etching interlayer dielectric film | 2 |
Toshio Hayashi | JP | Kanagawa | 2009-12-03 / 20090294063 - PLASMA PROCESSING APPARATUS | 1 |
Toshio Hayashi | JP | Susono-Shi | 2010-08-12 / 20100203737 - ETCHING METHOD AND SYSTEM | 1 |
Toshio Hayashi | JP | Nagoya-Shi | 2015-11-12 / 20150320713 - RAPID-ACTING, BLOOD-ARGININE-LEVEL-INCREASABLE ORAL PREPARATION COMPRISING CITRULLINE AND ARGININE | 3 |
Toshio Hayashi | JP | Shizuoka | 2010-10-28 / 20100270654 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME, DRY-ETCHING PROCESS, METHOD FOR MAKING ELECTRICAL CONNECTIONS, AND ETCHING APPARATUS | 7 |
Toshio Hayashi | JP | Kawasaki-Shi | 2011-02-10 / 20110032219 - LEVER SWITCH WITH DISPLAY DEVICE | 1 |
Yoshinori Hayashi | JP | Tokyo | 2014-03-06 / 20140064371 - IMAGE PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND RECORDING MEDIUM | 1 |
Aiko Hayashi | JP | Tokyo | 2013-01-31 / 20130028787 - STERILIZATION AUXILIARY FOR OZONE STERILIZATION AND OZONE STERILIZATION METHOD | 1 |
Yoshinori Hayashi | JP | Yokohama-Shi | 2015-04-02 / 20150093906 - SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT APPARATUS | 3 |
Toshio Hayashi | JP | Obu-City | 2012-11-22 / 20120294120 - ULTRASONIC SPEAKER, PARAMETRIC SPEAKER HAVING THE SAME AND VEHICLE PROXIMITY ANNUNCIATOR DEVICE HAVING THE SAME | 9 |
Morihiko Hayashi | JP | Kanagawa | 2012-09-20 / 20120237187 - RECORDING SYSTEM, RECEIVING APPARATUS, RECORDING APPARATUS, RECORDING CONTROL METHOD, AND PROGRAM | 10 |
Hiroki Hayashi | JP | Kariya-City | 2013-06-20 / 20130154354 - VEHICULAR POWER SOURCE SYSTEM | 1 |
Chiyoshi Hayashi | JP | Okaya | 2009-03-05 / 20090058925 - SUCTION DEVICE, SUCTION SYSTEM, AND LIQUID DROPLET EJECTION APPARATUS HAVING THE DEVICE OR THE SYSTEM, AS WELL AS ELECTRO-OPTICAL APPARATUS AND MANUFACTURING METHOD THEREOF | 2 |
Hiroki Hayashi | JP | Takamatsu-Shi | 2016-04-28 / 20160118912 - Electrostatic Induction Type Electromechanical Transducer and Nano Tweezers | 6 |
Hiroki Hayashi | JP | Nagano-Ken | 2014-01-16 / 20140015898 - Printing Apparatus | 1 |
Shinichi Hayashi | US | San Jose | 2014-05-29 / 20140149707 - METHOD AND APPARATUS TO MANAGE TIER INFORMATION | 14 |
Hiroshi Hayashi | JP | Osaka | 2013-05-02 / 20130105797 - THIN-FILM SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Hidetoshi Hayashi | JP | Fujisawa-Shi | 2014-03-20 / 20140078377 - FOCUS DETECTION APPARATUS | 8 |
Masashi Hayashi | JP | Tokyo | 2015-10-29 / 20150312147 - SWITCHING SYSTEM, SWITCHING CONTROL SYSTEM, AND STORAGE MEDIUM | 4 |
Hiroshi Hayashi | JP | Miyagi | 2011-10-06 / 20110242141 - OPTICAL SHEET LAMINATE BODY, ILLUMINATION UNIT, AND DISPLAY UNIT | 4 |
Naoto Hayashi | JP | Ibaraki-Shi | 2011-11-24 / 20110287253 - PRESSURE-SENSITIVE ADHESIVE TAPE | 8 |
Keiji Hayashi | JP | Ibaraki-Shi | 2014-05-01 / 20140120344 - PRESSURE-SENSITIVE ADHESIVE FILM | 25 |
Shigeyuki Hayashi | JP | Kyoto-Shi | 2014-10-02 / 20140290778 - FLUID CONTROL VALVE | 12 |
Shinji Hayashi | JP | Minato-Ku | 2010-01-07 / 20100001494 - AIRBAG COVER AND AIRBAG APPARATUS | 1 |
Kazunori Hayashi | JP | Fukui | 2013-01-24 / 20130023419 - COVERING MATERIAL, SUPERCONDUCTING ELECTRIC WIRE AND ELECTRICAL DEVICE | 5 |
Iori Hayashi | JP | Osaka | 2015-09-17 / 20150264326 - VIDEO DISPLAY DEVICE AND METHOD FOR ADJUSTING THE SAME | 1 |
Masanori Hayashi | JP | Wako-Shi | 2014-02-13 / 20140046595 - ROUTE PLANNING DEVICE | 3 |
Masanori Hayashi | JP | Tokyo | 2013-10-10 / 20130265660 - LENS UNIT AND IMAGING APPARATUS | 1 |
Masanori Hayashi | JP | Sagamihara | 2014-02-13 / 20140045389 - CONNECTOR FOR CARD | 1 |
Masanori Hayashi | JP | Yamato | 2012-12-27 / 20120329330 - CARD CONNECTOR | 1 |
Masanori Hayashi | JP | Kadoma-Shi | 2010-10-14 / 20100259396 - FIRE ALARM SYSTEM | 1 |
Seiichi Hayashi | JP | Kudamatsu-Shi | 2008-10-02 / 20080236440 - TRANSPORTATION DEVICE | 1 |
Seiichi Hayashi | JP | Kudamatsu | 2009-01-22 / 20090021028 - Railway Car and Obstacle Deflector | 1 |
Seiichi Hayashi | JP | Oshima-Gun | 2014-09-11 / 20140250800 - RAILROAD VEHICLE PROVIDED WITH LOW ROOF STRUCTURE | 3 |
Seiichi Hayashi | JP | Anan-Shi | 2015-02-26 / 20150053917 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Seiichi Hayashi | JP | Tokyo | 2015-08-13 / 20150229095 - LASER DEVICE | 1 |
Seiichi Hayashi | JP | Komatsu-Shi | 2015-12-31 / 20150375337 - POWER CONTROL METHOD FOR FIBER LASER PROCESSING MACHINE, AND FIBER LASER PROCESSING MACHINE | 4 |
Naohiro Hayashi | JP | Kariya-Shi | 2015-12-31 / 20150377109 - HONEYCOMB STRUCTURE BODY AND METHOD OF DESIGNING HONEYCOMB STRUCTURE BODY | 7 |
Naohiro Hayashi | JP | Tokushima-Shi | 2011-08-11 / 20110195897 - GLYCOSYLATED GLP-1 PEPTIDE | 1 |
Naohiro Hayashi | JP | Tokushima | 2011-11-17 / 20110281819 - LOW-MOLECULAR POLYSULFATED HYALURONIC ACID DERIVATIVE AND MEDICINE CONTAINING SAME | 1 |
Kazushi Hayashi | JP | Kobe-Shi | 2015-12-24 / 20150371906 - EVALUATION METHOD FOR OXIDE SEMICONDUCTOR THIN FILM, QUALITY CONTROL METHOD FOR OXIDE SEMICONDUCTOR THIN FILM, AND EVALUATION ELEMENT AND EVALUATION DEVICE USED IN THE EVALUATION METHOD | 6 |
Masanori Hayashi | JP | Nagano-Ken | 2009-04-09 / 20090091804 - Image Reading Method For Sheet Media And A Sheet Media Processing Device | 1 |
Masanori Hayashi | JP | Anjo-Shi | 2013-10-24 / 20130277081 - RECHARGEABLE ELECTRIC POWER TOOL | 3 |
Sadafuku Hayashi | JP | Minato-Ku | 2015-10-29 / 20150312728 - MOBILE COMMUNICATION SYSTEM, CORE NETWORK NODE, CONTROL STATION, BASE STATION, COMMUNICATION METHOD AND PROGRAM | 12 |
Koki Hayashi | JP | Minato-Ku | 2011-11-24 / 20110287742 - AUTHENTICATION SYSTEM, SMALL BASE STATION, AND AUTHENTICATION METHOD | 1 |
Sadayuki Hayashi | JP | Toyota-Shi | 2011-11-24 / 20110285170 - VEHICLE BODY STRUCTURE | 1 |
Akio Hayashi | JP | Ibaraki | 2012-06-07 / 20120142072 - SOLUBLE PROTEIN AND UTILIZATION OF THE SAME | 2 |
Tamon Hayashi | JP | Ibaraki | 2011-05-26 / 20110123550 - USE OF AN EFFICACY MARKER FOR OPTIMIZING THERAPEUTIC EFFICACY OF AN ANTI-HUMAN PD-1 ANTIBODY ON CANCERS | 1 |
Kazunori Hayashi | JP | Fukuoka | 2016-05-19 / 20160142620 - DIRECTIONALITY CONTROL SYSTEM, CALIBRATION METHOD, HORIZONTAL DEVIATION ANGLE COMPUTATION METHOD, AND DIRECTIONALITY CONTROL METHOD | 7 |
Masashi Hayashi | JP | Osaka | 2015-09-10 / 20150255544 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 10 |
Nakanobu Hayashi | US | 2015-09-24 / 20150267174 - REPROGRAMMING PEPTIDE AND USE THEREOF | 1 | |
Hideyuki Hayashi | JP | Oyama | 2015-11-26 / 20150342015 - EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS AND CONTROL METHOD FOR LASER APPARATUS IN EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM | 4 |
Hideyuki Hayashi | JP | Hiratsuka | 2013-03-14 / 20130062539 - APPARATUS AND METHOD FOR MEASURING AND CONTROLLING TARGET TRAJECTORY IN CHAMBER APPARATUS | 2 |
Hideyuki Hayashi | JP | Hiratsuka-Shi | 2013-11-07 / 20130294470 - TEMPERATURE CONTROLLER FOR GAS LASER | 6 |
Hideyuki Hayashi | JP | Maizuru-Shi | 2010-07-15 / 20100177947 - X-RAY EXAMINATION REGION SETTING METHOD, X-RAY EXAMINATION APPARATUS AND X-RAY EXAMINATION REGION SETTING PROGRAM | 1 |
Hiroshi Hayashi | JP | Saitama | 2014-09-25 / 20140286027 - HEADLAMP ASSEMBLY FOR A SADDLE-TYPE VEHICLE | 5 |
Nobuki Hayashi | JP | Toyota-Shi | 2013-01-03 / 20130003404 - LIGHT SOURCE UNIT FOR VEHICLE AND VEHICULAR INTERIOR PART HAVING THE SAME | 4 |
Hiroshi Hayashi | JP | Wakayama-Shi | 2015-06-11 / 20150158079 - ELECTROMAGNETIC STIRRER AND CONTINUOUS CASTING METHOD | 4 |
Tatsuya Hayashi | JP | Tokyo | 2013-07-18 / 20130180737 - FIRE PREVENTION EQUIPMENT AND SPRAYING METHOD | 8 |
Motohiko Hayashi | JP | Kanagawa | 2011-11-24 / 20110286557 - RECEIVING APPARATUS | 1 |
Koichiro Hayashi | JP | Tokyo | 2015-12-10 / 20150352716 - FORCE CONTROL ROBOT AND METHOD FOR CONTROLLING SAME | 11 |
Hideaki Hayashi | JP | Toyota-Shi | 2016-04-28 / 20160114799 - VEHICLE TRAVEL CONTROL APPARATUS | 3 |
Hiroshi Hayashi | JP | Tokyo | 2016-04-28 / 20160118244 - THIN FILM TRANSISTOR ELEMENT, PRODUCTION METHOD FOR SAME, AND DISPLAY DEVICE | 5 |
Takahiro Hayashi | JP | Susono-Shi | 2015-09-24 / 20150266004 - CATALYST SUPPORT FOR PURIFICATION OF EXHAUST GAS, CATALYST FOR PURIFICATION OF EXHAUST GAS USING THE SAME, AND METHOD FOR PRODUCING THE CATALYST SUPPORT FOR PURIFICATION OF EXHAUST GAS | 1 |
Hiroshi Hayashi | JP | Ibaraki-Ken | 2013-11-28 / 20130314482 - PSEUDO-PRESSURE-SENSITIVE ADHESIVE COMPOSITION AND PRESSURE-SENSITIVE ADHESIVE SHEET | 4 |
Hiroshi Hayashi | JP | Wakayama | 2010-02-11 / 20100032129 - METHOD OF CONTINUOUS CASTING OF SMALL CROSS SECTION BILLET | 1 |
Naoki Hayashi | JP | Shizuoka | 2011-11-24 / 20110288012 - NUTRITION COMPOSITION | 1 |
Takaomi Hayashi | JP | Ichihara-Shi | 2011-11-24 / 20110288240 - NOVEL PHOSPHAZENE-SUPPORTED CATALYST, NOVEL COMPOUND THEREOF AND USE THEREOF | 1 |
Yasutaka Hayashi | JP | Sakura-Shi | 2010-12-09 / 20100307434 - VALVE CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Junji Hayashi | JP | Tokyo | 2015-12-24 / 20150367178 - MULTI-PIECE SOLID GOLF BALL | 2 |
Junji Hayashi | JP | Miyagi | 2010-07-01 / 20100165132 - IMAGE STABILIZER FOR OPTICAL INSTRUMENT | 1 |
Junji Hayashi | JP | Saitama-Shi | 2015-12-31 / 20150381883 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, PROGRAM, AND IMAGE PROCESSING METHOD | 14 |
Hiroshi Hayashi | JP | Saitama-Shi | 2010-01-07 / 20100000924 - REDUCING WATER PURIFICATION MATERIAL, METHOD FOR PRODUCING REDUCING WATER PURIFICATION MATERIAL, METHOD FOR TREATING WASTEWATER, AND WASTEWATER TREATMENT APPARATUS | 2 |
Takahito Hayashi | JP | Tokorozawa | 2011-05-26 / 20110120161 - EXPANSION VALVE, HEAT PUMP TYPE REFRIGERATION CYCLE APPARATUS, AND AIR HANDLING UNIT | 1 |
Junji Hayashi | JP | Kurokawa-Gun | 2011-01-27 / 20110018968 - IMAGE DISPLAY DEVICE AND METHOD, AS WELL AS PROGRAM | 2 |
Junji Hayashi | JP | Chichibu-Shi | 2009-08-06 / 20090197701 - MULTI-PIECE SOLID GOLF BALL | 1 |
Kentaro Hayashi | JP | Tokyo | 2014-05-15 / 20140132003 - NATURAL-FREQUENCY ADJUSTING MECHANISM FOR WAVE-POWER GENERATOR | 5 |
Kentaro Hayashi | JP | Miyoshi-Shi | 2013-08-22 / 20130217278 - CONNECTOR | 1 |
Kentaro Hayashi | JP | Ashigarakami-Gun | 2015-03-19 / 20150080757 - GAS SUPPLY APPARATUS | 2 |
Kentaro Hayashi | JP | Nagasaki | 2011-01-27 / 20110018282 - WIND TURBINE BLADE AND WIND POWER GENERATOR USING THE SAME | 2 |
Eisaku Hayashi | JP | Tokyo | 2009-04-30 / 20090111358 - Polishing apparatus and polishing method | 1 |
Kentaro Hayashi | JP | Sakai | 2009-03-05 / 20090057461 - ROLLER CLUTCH | 1 |
Masayoshi Hayashi | JP | Ishikawa | 2015-09-24 / 20150271451 - IMAGE CAPTURING SYSTEM | 1 |
Tsuneyuki Hayashi | JP | Tokyo | / - | 1 |
Naoki Hayashi | JP | Yokohama | 2016-03-03 / 20160062835 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, CONTROL METHOD FOR INFORMATION PROCESSING SYSTEM, AND MEDIUM | 8 |
Naoto Hayashi | JP | Saitama | 2016-05-19 / 20160137027 - VEHICLE AIR-CONDITIONING APPARATUS | 3 |
Toshihiko Hayashi | JP | Kanagawa | 2015-09-24 / 20150270305 - SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 10 |
Kazunori Hayashi | JP | Settsu | 2009-10-29 / 20090270307 - STAIN REMOVER CONTAINING FLUOROPOLYMER | 1 |
Shinji Hayashi | JP | Toyota-Shi | 2011-12-01 / 20110291377 - STABILIZER BUSHING FOR VEHICLE | 1 |
Yoshito Hayashi | JP | Atsugi | 2011-12-01 / 20110292620 - CIRCUIT BOARD PACKAGING STRUCTURE | 1 |
Rie Hayashi | JP | Tokyo | 2011-12-01 / 20110292949 - Path management control method, path management control program, path management control device and path management control system | 1 |
Naoki Hayashi | JP | Chiba | 2015-07-02 / 20150187386 - EDITING APPARATUS, REPRODUCTION APPARATUS, EDITING METHOD, REPRODUCTION METHOD, AND PROGRAM | 9 |
Hiroshi Hayashi | JP | Hiki-Gun | 2009-12-10 / 20090304969 - THIN BIAXIALLY STRETCHED THIN-WALLED POLYESTER BOTTLE | 1 |
Shinichi Hayashi | JP | Koshi-Shi | 2015-08-06 / 20150219994 - COATING AND DEVELOPING APPARATUS AND METHOD | 21 |
Masanori Hayashi | JP | Kanagawa | 2011-12-01 / 20110294341 - Connector for Connecting Cable and Terminal of Same | 1 |
Sachio Hayashi | JP | Kawasaki-Shi | 2011-12-01 / 20110295536 - CLOCK JITTER ANALYZING METHOD AND APPARATUS | 1 |
Hiroshi Hayashi | JP | Kanagawa | 2014-12-11 / 20140362389 - IMAGE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM | 8 |
Naoyuki Hayashi | JP | Ashigarakami-Gun | 2016-01-07 / 20160005948 - THERMOELECTRIC GENERATION MODULE | 13 |
Toyohiko Hayashi | JP | Niigata | 2009-01-29 / 20090030346 - Device and method for measuring continuous swallowing motion | 1 |
Toshiki Hayashi | JP | Kanagawa | 2015-02-05 / 20150037050 - REMOVABLE DEVICE AND IMAGE FORMING APPARATUS | 10 |
Shinji Hayashi | JP | Tokyo | 2014-04-10 / 20140097600 - AIRBAG DEVICE | 10 |
Hiroshi Hayashi | JP | Wako-Shi | 2015-10-01 / 20150274246 - VEHICLE HANDLE SWITCH AND VEHICLE INCORPORATING SAME | 3 |
Hidekazu Hayashi | JP | Anjo-Shi | 2014-12-04 / 20140356665 - BATTERY PACK | 8 |
Yoshiharu Hayashi | JP | Osaka | 2009-04-02 / 20090088442 - PROPHYLACTIC/THERAPEUTIC AGENT FOR ABNORMALITIES OF SUGAR/LIPID METABOLISM | 1 |
Kenichiro Hayashi | JP | Kyotanabe-Shi | 2013-01-03 / 20130003819 - OFDM SIGNAL TRANSMISSION METHOD, TRANSMISSION APPARATUS, AND RECEPTION APPARATUS | 2 |
Kazuyuki Hayashi | JP | Chiyoda-Ku | 2015-10-22 / 20150301442 - REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, SUBSTRATE WITH FUNTION FILM FOR THE MASK BLANK, AND METHODS FOR THEIR PRODUCTION | 12 |
Kenichiro Hayashi | JP | Okazaki-Shi | 2009-06-04 / 20090140555 - Roof opening/closing apparatus | 2 |
Motoko Hayashi | JP | Myoko-Shi | 2015-10-01 / 20150272835 - METHOD FOR PRODUCING CAROTENOID-CONTAINING COMPOSITION, AND CAROTENOID-CONTAINING COMPOSITION | 1 |
Kenichiro Hayashi | JP | Nishikamo-Gun | 2010-07-15 / 20100175944 - CONTROL DEVICE FOR POWER TRANSMITTING DEVICE FOR FOUR-WHEEL DRIVE HYBRID-VEHICLE | 2 |
Tetsuya Hayashi | JP | Mie-Ken | 2014-10-30 / 20140319442 - RESISTANCE RANDOM ACCESS MEMORY DEVICE | 1 |
Kenichiro Hayashi | JP | Kyoto | 2011-10-13 / 20110249772 - TRANSMITTER, MULTICARRIER TRANSMITTING METHOD, AND RECEIVER | 5 |
Mariko Hayashi | JP | Tokyo | 2016-04-21 / 20160108254 - ZINC IMMERSION COATING SOLUTIONS, DOUBLE-ZINCATE METHOD, METHOD OF FORMING A METAL PLATING FILM, AND SEMICONDUCTOR DEVICE | 9 |
Takahiro Hayashi | JP | Chino-Shi | 2015-12-10 / 20150357277 - WIRING SUBSTRATE | 3 |
Jumpei Hayashi | JP | Yokohama-Shi | 2015-12-24 / 20150368162 - PIEZOELECTRIC MATERIAL, PIEZOELECTRIC ELEMENT, AND ELECTRONIC EQUIPMENT | 25 |
Takafumi Hayashi | JP | Tokyo | 2015-10-01 / 20150275321 - METHOD FOR OPERATING BLAST FURNACE AND METHOD FOR PRODUCING MOLTEN PIG IRON | 1 |
Yuji Hayashi | JP | Kyoto | 2011-04-28 / 20110099415 - CEC COMMUNICATIONS DEVICE, AUDIO AND VISUAL DEVICE USING THEREOF, AND CEC COMMUNICATIONS METHOD | 1 |
Yoshiteru Hayashi | JP | Kyoto | 2014-08-07 / 20140219577 - SYMMETRIC FILTER ARITHMETIC APPARATUS AND SYMMETRIC FILTER ARITHMETIC METHOD | 7 |
Takaya Hayashi | JP | Kyoto | 2011-11-03 / 20110268172 - RECEIVER APPARATUS AND RECEIVING METHOD | 5 |
Toyohide Hayashi | JP | Kyoto | 2016-03-31 / 20160093516 - SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS | 9 |
Shigeru Hayashi | JP | Nagoya | 2014-02-20 / 20140049973 - Headlamp control apparatus | 1 |
Morimasa Hayashi | JP | Kyoto | 2010-07-01 / 20100162801 - METHOD AND APPARATUS FOR ANALYSIS BY LIQUID CHROMATOGRAPHY | 1 |
Yoko Hayashi | JP | Kyoto | 2009-10-01 / 20090246795 - IMMUNOASSAY DEVICE AND METHOD | 2 |
Takuya Hayashi | JP | Kyoto | 2015-02-19 / 20150048256 - SAMPLE HOLDING CARRIER, AND FLUORESCENCE DETECTION SYSTEM AND FLUORESCENCE DETECTION DEVICE THAT USE SAME | 3 |
Mitsuaki Hayashi | JP | Kyoto | 2011-01-13 / 20110007595 - ELECTRONIC EQUIPMENT SYSTEM AND SEMICONDUCTOR INTEGRATED CIRCUIT CONTROLLER | 3 |
Kazunori Hayashi | JP | Kyoto | 2009-10-29 / 20090268825 - Receiver, Transmission Mehtod and Transmission System | 2 |
Nobukazu Hayashi | JP | Omuta-Shi | 2009-12-17 / 20090308740 - CoCrPt Base Sputtering Target and Production Process for the Same | 1 |
Kazunori Hayashi | JP | Yasu-Shi | 2012-06-14 / 20120145665 - METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD | 1 |
Kenta Hayashi | JP | Obu-City | 2010-04-15 / 20100089999 - VEHICLE UNIT, VEHICLE SYSTEM AND PROGRAM FOR SAME | 1 |
Masaki Hayashi | JP | Chiba-Shi | 2014-07-31 / 20140215234 - ELECTRONIC DEVICE AND COMPUTER READABLE MEDIUM | 8 |
Yumi Hayashi | JP | Kanagawa-Ken | 2009-11-26 / 20090289366 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Yumi Hayashi | JP | Ayase-Shi | 2010-08-19 / 20100207274 - SEMICONDUCTOR DEVICE AND ITS MANUFACTURING METHOD | 2 |
Atsushi Hayashi | JP | Kanagawa-Ken | 2009-01-15 / 20090016122 - DUAL WORD LINE OR FLOATING BIT LINE LOW POWER SRAM | 1 |
Atsushi Hayashi | JP | Sakai-Shi | 2015-09-03 / 20150246589 - Suspension System | 2 |
Manabu Hayashi | JP | Yokohama-Shi | 2015-10-01 / 20150281497 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM FOR CONTROLLING AN IMAGE FORMING APPARATUS | 1 |
Atsushi Hayashi | JP | Gifu | 2011-02-24 / 20110041756 - COATING TOOL | 1 |
Atsushi Hayashi | JP | Chichibu-Shi | 2010-11-25 / 20100294651 - PROCESS FOR PRODUCING GRAY TONE MASK | 1 |
Atsushi Hayashi | JP | Susono-Shi | 2010-08-26 / 20100212296 - EXHAUST GAS PURIFICATION SYSTEM OF AN INTERNAL COMBUSTION ENGINE | 4 |
Atsushi Hayashi | JP | Nara | 2013-04-11 / 20130087421 - Hydraulic Suspension System for Work Vehicle | 2 |
Atsushi Hayashi | JP | Ogaki-Shi | 2010-07-01 / 20100162953 - Coating tool | 1 |
Atsushi Hayashi | JP | Mie | 2010-07-01 / 20100166912 - CHEESE-LIKE FOOD OBTAINED FROM FERMENTED SOYMILK AND PROCESS FOR PRODUCING THE SAME | 1 |
Nobuyuki Hayashi | JP | Kawasaki | 2015-10-08 / 20150286354 - MEASURING INSTRUMENT | 8 |
Atsushi Hayashi | JP | Ikoma-Gun | 2015-08-20 / 20150231943 - Hydraulic Suspension System for Work Vehicle | 5 |
Atsushi Hayashi | JP | Kanagawa | 2010-02-04 / 20100027679 - INFORMATION PROCESSING DEVICE AND METHOD | 1 |
Atsushi Hayashi | JP | Shizuoka | 2009-10-01 / 20090241524 - Particulate Matter Pruifying Device and Manufacturing Method thereof | 1 |
Atsushi Hayashi | JP | Tokyo | 2008-10-23 / 20080261400 - POLISHING COMPOSITION, POLISHING METHOD, AND METHOD FOR FORMING COPPER WIRING FOR SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Takahiko Hayashi | JP | Osaka | 2012-05-10 / 20120111066 - WASHING AND DRYING MACHINE | 2 |
Kounosuke Hayashi | JP | Mitaka-Shi | 2015-10-08 / 20150284762 - METHOD FOR PRODUCING PROTEIN-NUCLEIC ACID CONJUGATE, AND METHOD FOR DETECTING TARGET SUBSTANCE | 1 |
Katsuhiko Hayashi | JP | Osaka | 2011-09-29 / 20110235492 - OPTICAL HEAD, DIFFRACTION DEVICE, OBJECTIVE LENS, AND OPTICAL DISC APPARATUS | 11 |
Masaho Hayashi | JP | Tokyo-To | 2011-12-15 / 20110303663 - OPENABLE AND CLOSABLE CONTAINER | 1 |
Eiichi Hayashi | US | 2011-12-15 / 20110304896 - PLASTIC OPTICAL ELEMENT, OPTICAL SCANNER INCLUDING THE PLASTIC OPTICAL ELEMENT, AND IMAGE FORMING APPARATUS INCLUDING SAME | 1 | |
Masaki Hayashi | JP | Tokyo | 2015-11-26 / 20150335494 - SHEET PASTING JIG AND SHEET PASTING METHOD | 2 |
Masaki Hayashi | JP | Anan-Shi | 2016-02-25 / 20160056357 - LIGHT EMITTING DEVICE, RESIN PACKAGE, RESIN-MOLDED BODY, AND METHODS FOR MANUFACTURING LIGHT EMITTING DEVICE, RESIN PACKAGE AND RESIN-MOLDED BODY | 20 |
Masaki Hayashi | JP | Suwa-Shi | 2010-05-27 / 20100128288 - PRINTING APPARATUS, PRINTING METHOD AND STORAGE MEDIUM | 2 |
Shuichi Hayashi | JP | Tokyo | 2016-05-05 / 20160126464 - ORGANIC ELECTROLUMINESCENT DEVICE | 12 |
Shintaro Hayashi | JP | Hyogo | 2015-12-24 / 20150372204 - ULTRAVIOLET LIGHT EMITTING DEVICE | 9 |
Masaki Hayashi | JP | Aichi | 2014-12-04 / 20140359292 - ELECTRONIC KEY REGISTRATION METHOD AND ELECTRONIC KEY REGISTRATION SYSTEM | 7 |
Masaki Hayashi | JP | Osaka | 2013-06-20 / 20130156468 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 10 |
Kenta Hayashi | JP | Shinjuku-Ku | 2010-02-18 / 20100040258 - PROJECTION HEIGHT MEASURING METHOD, PROJECTION HEIGHT MEASURING APPARATUS AND PROGRAM | 1 |
Masaki Hayashi | JP | Hyogo | 2012-03-22 / 20120070614 - ANTI-NEWTON-RING FILM AND TOUCH PANEL | 2 |
Kenta Hayashi | JP | Tokyo | 2014-10-23 / 20140312506 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Masaki Hayashi | JP | Ibaraki-Shi | 2010-07-01 / 20100165262 - OPTICAL LAMINATED FILM, METHOD FOR PRODUCING CONTINUOUS OPTICAL LAMINATED FILM, AND LIQUID CRYSTAL DISPLAY | 3 |
Masaki Hayashi | JP | Aichi-Ken | 2010-02-11 / 20100036055 - Method For Producing Core-Shell Fine Particle and Method for Producing Intermediate Which is Used for Production of the Core-Shell Fine Particle | 1 |
Masaki Hayashi | JP | Shiojiri-Shi | 2009-11-19 / 20090284797 - Image Printing System, Image Printing Method, and Computer-Readable Medium | 3 |
Masaki Hayashi | JP | Okaya-Shi | 2009-11-05 / 20090273142 - COMBINED OIL RING | 1 |
Masaki Hayashi | JP | Tokushima | 2009-10-22 / 20090261374 - HIGH OUTPUT POWER LIGHT EMITTING DEVICE AND PACKAGED USED THEREFOR | 1 |
Masaki Hayashi | JP | Chiba-Shi | 2014-07-31 / 20140215234 - ELECTRONIC DEVICE AND COMPUTER READABLE MEDIUM | 8 |
Masanao Hayashi | JP | Kitaadachi-Gun | 2014-08-07 / 20140221574 - COPOLYMER, AND LIQUID CRYSTAL ALIGNMENT LAYER INCLUDING CURED PRODUCT THEREOF | 2 |
Masaki Hayashi | JP | Himeji-Shi | 2009-06-11 / 20090147196 - FUNCTIONAL FILM AND DISPLAY APPARATUS | 1 |
Masaki Hayashi | JP | Osaka-Shi | 2013-11-28 / 20130315632 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS PROVIDED WITH SAME | 4 |
Masaki Hayashi | JP | Kawasaki-Shi | 2013-07-11 / 20130176461 - IMAGE-CAPTURING DEVICE | 3 |
Koji Hayashi | JP | Tokyo | 2016-03-10 / 20160069774 - OPTICAL PULSE TESTER | 6 |
Yusuke Hayashi | JP | Akashi-Shi | 2015-04-23 / 20150112009 - SURFACE-TREATED CALCIUM CARBONATE FILLER, AND CURABLE RESIN COMPOSITION CONTAINING THE FILLER | 1 |
Shigeo Hayashi | JP | Hamura-Shi | 2013-03-14 / 20130063890 - ELECTRONIC DEVICE | 6 |
Koji Hayashi | JP | Nishinomiya-City | 2009-01-29 / 20090030612 - GPS-based attitude determination system with self-diagnosis function | 1 |
Hideki Hayashi | JP | Hiroshima-Shi | 2009-04-02 / 20090085338 - Structure of instrument panel area of vehicle | 1 |
Eizo Hayashi | JP | Saga | 2009-04-02 / 20090085306 - Gasket | 1 |
Yoshinori Hayashi | JP | Fujisawa-Shi | 2009-03-12 / 20090066933 - SURFACE INSPECTION APPARATUS AND SURFACE INSPECTION METHOD FOR STRAINED SILICON WAFER | 1 |
Katsunobu Hayashi | JP | Tokyo | 2010-04-15 / 20100094741 - COMPENSATION CONTRACT SUPPORTING SYSTEM, METHOD FOR SUPPORTING COMPENSATION CONTRACT, AND PROGRAM THEREOF | 1 |
Yoshinori Hayashi | JP | Gifu | 2010-06-10 / 20100142852 - Image Analysis System and Image Analysis Program | 2 |
Yoshinori Hayashi | JP | Iwatashi | 2010-12-30 / 20100326260 - KEYBOARD APPARATUS | 1 |
Koji Hayashi | JP | Nagaokakyo-Shi | 2013-04-18 / 20130094163 - Surface Mount Electronic Component | 2 |
Yoshinori Hayashi | JP | Toyohashi-Shi | 2010-05-06 / 20100109466 - Rotor for electric rotary machine | 3 |
Yoshinori Hayashi | JP | Hamamatsu-Shi | 2013-08-08 / 20130199356 - KEYBOARD APPARATUS | 2 |
Keiji Hayashi | JP | Kameyama-Shi Mie | 2009-02-19 / 20090046446 - LIGHTING UNIT, BACKLIGHT UNIT, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Keiji Hayashi | JP | Kameyama-Shi | 2010-01-28 / 20100019678 - CONNECTOR, A LAMP CONNECTOR, A LAMP CONNECTION CHECKING CIRCUIT, AND AN ILLUMINATION DEVICE | 2 |
Masahide Hayashi | JP | Mito | 2014-02-27 / 20140058617 - Acceleration Detection Apparatus | 14 |
Masahide Hayashi | JP | Chiyoda-Ku | 2008-11-20 / 20080283997 - Electronic Device and Pressure Sensor | 1 |
Keiji Hayashi | JP | Tokyo | 2010-06-10 / 20100141661 - CONTENT GENERATION SYSTEM, CONTENT GENERATION DEVICE, AND CONTENT GENERATION PROGRAM | 2 |
Keiji Hayashi | JP | Ibaraki-Shi | 2014-05-01 / 20140120344 - PRESSURE-SENSITIVE ADHESIVE FILM | 25 |
Keiji Hayashi | JP | Mie | 2010-05-06 / 20100109544 - COLD CATHODE TUBE LAMP | 3 |
Keiji Hayashi | JP | Kawasaki | 2011-12-01 / 20110292097 - ILLUMINATION DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 2 |
Keiji Hayashi | JP | Nagoya-Shi | 2016-04-28 / 20160115414 - SLIDING SYSTEM | 4 |
Keiji Hayashi | JP | Osaka-Shi | 2011-11-10 / 20110273631 - LIGHTING DEVICE, DISPLAY DEVICE AND TELEVISION RECEIVER | 7 |
Hironori Hayashi | JP | Okayama | 2012-04-12 / 20120085933 - FLUORESCENCE DETECTION DEVICE AND FLUORESCENCE DETECTION METHOD | 9 |
Tatsuya Hayashi | JP | Kyoto-Shi | 2015-10-22 / 20150300545 - FLUID DEVICE | 9 |
Yoshinori Hayashi | JP | Iwata-Shi | 2011-09-29 / 20110234210 - APPARATUS FOR DETECTING DISPLACEMENT OF ELECTROMAGNETIC ACTUATOR | 3 |
Kohtaro Hayashi | JP | Kyoto | 2014-08-07 / 20140217513 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 2 |
Masaki Hayashi | JP | Shiojiri | 2014-09-18 / 20140276060 - ULTRASONIC MEASURING DEVICE, ULTRASONIC IMAGE DEVICE, AND MEASURING METHOD | 2 |
Kenichi Hayashi | JP | Ashigarakami-Gun | 2009-04-02 / 20090084965 - RADIATION IMAGE DETECTOR | 1 |
Kazunori Hayashi | JP | Sakai-Shi | 2014-09-18 / 20140264141 - INSULATING FILM | 4 |
Keishi Hayashi | JP | Funabashi-Shi | 2016-04-14 / 20160102102 - TRICYCLIC HETEROCYCLIC COMPOUNDS AND JAK INHIBITORS | 4 |
Toshihiro Hayashi | JP | Osaka | 2014-05-01 / 20140115985 - CONVENIENT AND PORTABLE SPACE PARTITIONING DEVICE | 1 |
Toshihiro Hayashi | JP | Hokuto-Shi | 2013-11-21 / 20130309577 - ELECTRODE ACTIVE MATERIAL, ELECTRODE AND ELECTRICAL STORAGE DEVICE | 2 |
Toshihiro Hayashi | JP | Matsumoto-Shi | 2012-09-13 / 20120229543 - FLUID EJECTING APPARATUS | 5 |
Toshihiro Hayashi | JP | Suwa-Shi | 2009-07-09 / 20090174743 - Ink ejecting apparatus | 1 |
Hiroo Hayashi | JP | Kagawa-Ken | 2011-12-22 / 20110309098 - OPENABLE AND CLOSABLE CONTAINER | 1 |
Koji Hayashi | JP | Tatebayashi | 2013-08-01 / 20130196268 - LITHOGRAPHIC PRINTING PLATE PRECURSOR | 8 |
Yumi Hayashi | JP | Yokohama-Shi | 2013-02-21 / 20130043604 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Hirofumi Hayashi | JP | Kanagawa | 2011-12-22 / 20110310515 - SEMICONDUCTOR INTEGRATED CIRCUIT INCLUDING INTERNAL CIRCUITS AND ELECTROSTATIC DISCHARGE PROTECTION CIRCUITS | 1 |
Tetsuya Hayashi | JP | Toyota-Shi | 2014-04-24 / 20140113480 - LEVER MECHANISM FOR CONNECTOR FITTING, AND CONNECTOR | 1 |
Koji Hayashi | JP | Kanagawa | 2015-09-24 / 20150268896 - INFORMATION PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, AND RECORDING MEDIUM STORING AN IMAGE PROCESSING PROGRAM | 7 |
Koji Hayashi | JP | Usbe-Shi | 2009-12-31 / 20090326106 - PROCESS FOR PRODUCING PIGMENT-CONTAINING CURABLE RESIN SOLUTION COMPOSITION, PIGMENT DISPERSED LIQUID, AND PIGMENT-CONTAINING CURABLE RESIN SOLUTION COMPOSITION | 1 |
Ryutaro Hayashi | JP | Osaka | 2011-12-29 / 20110315243 - CARBON DIOXIDE SUPPLY SYSTEM | 1 |
Masashi Hayashi | JP | Yokkaichi | 2015-04-23 / 20150107894 - HARNESS DEVICE | 1 |
Koichi Hayashi | JP | Hyogo | 2014-12-04 / 20140352522 - BLAST TREATMENT METHOD | 1 |
Kyoko Hayashi | JP | Toyama | 2011-12-29 / 20110318298 - VIRAL INFECTION THERAPEUTIC DRUG CONTAINING POLYALKYLENEIMINE | 1 |
Yuki Hayashi | JP | Chiba | 2016-05-05 / 20160122605 - CROSSLINKING COMPOSITION HAVING A POLYROTAXANE AND A COMPOUND HAVING TWO OR MORE OXIRANE GROUPS AND/OR OXETANE GROUPS | 6 |
Yumi Hayashi | JP | Zama-Shi | 2015-03-12 / 20150068314 - MEMS DEVICE | 3 |
Keiichi Hayashi | JP | Kitakyushu-Shi | 2013-08-15 / 20130209796 - POLYCARBONATE WITH HARD COAT LAYER | 1 |
Keiichi Hayashi | JP | Kariya-Shi | 2015-02-19 / 20150050564 - SECONDARY BATTERY | 9 |
Keiichi Hayashi | JP | Tsukuba-Shi | 2009-03-12 / 20090064771 - METHOD OF OPERATING AN ATOMIC FORCE MICROSCOPE IN TAPPING MODE WITH A REDUCED IMPACT FORCE | 1 |
Yasushi Hayashi | JP | Shiga | 2014-06-05 / 20140154111 - SEALED COMPRESSOR AND REFRIGERATION UNIT INCLUDING SEALED COMPRESSOR | 2 |
Koji Hayashi | JP | Tatebayashi-Shi | 2015-08-06 / 20150217354 - PRESS MACHINE AND PRESSING METHOD | 5 |
Katsunori Hayashi | JP | Chiba | 2008-12-25 / 20080318075 - Photocurable Resin Composition | 1 |
Tsuneo Hayashi | JP | Chiba | 2016-04-14 / 20160105659 - STEREOSCOPIC PICTURE GENERATION APPARATUS AND STEREOSCOPIC PICTURE GENERATION METHOD | 9 |
Takaomi Hayashi | JP | Chiba | 2012-02-23 / 20120046498 - METHOD FOR PRODUCING POLYHYDRIC PHENOL | 3 |
Hisanobu Hayashi | JP | Chiba | 2009-04-16 / 20090098246 - FERMENTED SOY SAUCE WITH LESS SOY SAUCE FLAVOR AND PROCESS FOR PRODUCING THE SAME | 1 |
Kentaro Hayashi | JP | Chiba | 2009-08-13 / 20090202786 - Photosensitive Resin Composition and Circuit Substrate Employing the Same | 1 |
Hirohito Hayashi | JP | Kariya-Shi | 2016-03-17 / 20160079817 - ROTOR FOR ROTATING ELECTRIC MOTOR, AND ROTOR MANUFACTURING METHOD | 4 |
Tatsuyuki Hayashi | JP | Chiba | 2011-05-12 / 20110110881 - LIQUID COMPOSITION OF CISPLATIN COORDINATION COMPOUND | 2 |
Tokumi Hayashi | JP | Osaka-Shi | 2012-06-21 / 20120155109 - LIGHT GUIDE PLATE UNIT, SURFACE LIGHT SOURCE DEVICE, AND DISPLAY DEVICE | 2 |
Daisaku Hayashi | JP | Chiba | 2014-02-06 / 20140040259 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 2 |
Tatsuro Hayashi | JP | Nara-Ken | 2010-12-16 / 20100316719 - B-1,3-GLUCAN-DERIVED POLYALDEHYDE/POLYAMINE HYDROGEL | 1 |
Shoichiro Hayashi | JP | Tokyo | 2012-01-05 / 20120002358 - INPUT/OUTPUT UNIT | 1 |
Yoshihito Hayashi | JP | Chiba | 2015-12-03 / 20150346125 - ELECTRICAL CHARACTERISTIC MEASURING DEVICE | 12 |
Hideo Hayashi | JP | Ome-Shi | 2013-04-11 / 20130086962 - PIPE BENDER AND METHOD FOR SPIRAL PIPE BENDING WITH THE PIPE BENDER | 1 |
Hideo Hayashi | JP | Okayama-Shi | 2015-10-22 / 20150299545 - DUST SCATTER PREVENTING AGENT AND DUST SCATTER PREVENTING METHOD USING SAME | 7 |
Koji Hayashi | JP | Saitama | 2014-10-30 / 20140321060 - Cu-Diamond Based Solid Phase Sintered Body Having Excellent Heat Resistance, Heat Sink Using The Same, Electronic Device Using The Heat Sink, And Method For Producing Cu-Diamond Based Solid Phase Sintered Body Having Excellent Heat Resistance | 3 |
Koji Hayashi | JP | Chuo-Ku Tokyo | 2014-07-31 / 20140212752 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Tatsuo Hayashi | JP | Saitama | 2012-01-05 / 20120004798 - DISCHARGE CONTROL APPARATUS FOR ELECTRIC VEHICLE | 1 |
Masahide Hayashi | JP | Hitachinaka | 2016-05-12 / 20160131678 - Transfer Mold Type Sensor Device | 11 |
Hiroaki Hayashi | JP | Hitachi | 2014-02-06 / 20140034352 - DIFFERENTIAL SIGNAL TRANSMISSION CABLE, MULTIWIRE DIFFERENTIAL SIGNAL TRANSMISSION CABLE, AND DIFFERENTIAL SIGNAL TRANSMISSION CABLE PRODUCING METHOD AND APPARATUS | 1 |
Kensuke Hayashi | JP | Yokohama-Shi | 2010-02-04 / 20100030237 - SUTURE INSTRUMENT | 8 |
Masahito Hayashi | JP | Kawasaki-Shi | 2013-01-31 / 20130029407 - CELL ANALYZER | 1 |
Yoshihiro Hayashi | JP | Kanagawa | 2016-01-28 / 20160027925 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 50 |
Tuyoshi Hayashi | JP | Miyoshi-Shi, Aichi-Ken | 2015-10-22 / 20150303420 - TEMPERATURE REGULATION STRUCTURE | 1 |
Hirotsugu Hayashi | JP | Tokyo | 2013-06-13 / 20130149178 - VANE COMPRESSOR | 3 |
Takeshi Hayashi | JP | Osaka | 2012-01-12 / 20120006645 - CLUTCH COVER ASSEMBLY | 1 |
Takayuki Hayashi | JP | Nagano | 2015-08-13 / 20150226641 - COMBUSTION PRESSURE SENSOR | 2 |
Hiroshi Hayashi | JP | Hirakata-City | 2012-01-12 / 20120008095 - PROJECTION DISPLAY DEVICE AND SPEAKER DEVICE | 1 |
Hidetaka Hayashi | JP | Aichi-Ken | 2008-10-09 / 20080248269 - Slide Member for Compressor | 1 |
Jiro Hayashi | JP | Aichi-Ken | 2008-12-11 / 20080306655 - CONTROL APPARATUS FOR ELECTRIC POWER STEERING SYSTEM | 1 |
Hirotake Hayashi | JP | Fukuoka | 2016-04-21 / 20160108400 - Single-Stranded Nucleic Acid Molecule for Controlling Gene Expression | 5 |
Taisuke Hayashi | JP | Toyota-Shi | 2013-03-07 / 20130060422 - SUSPENSION DEVICE | 2 |
Yukihiro Hayashi | JP | Aichi-Ken | 2009-06-18 / 20090157370 - COMPUTING METHOD OF MOTOR MODEL, MOTOR SIMULATION METHOD, MOTOR SIMULATION APPARATUS, MOTOR-MODEL COMPUTING PROGRAM, SIMULATION METHOD AND SIMULATION PROGRAM | 1 |
Ikuo Hayashi | JP | Aichi-Ken | 2010-11-25 / 20100295412 - STATOR COIL HEATING APPARATUS AND STATOR COIL HEATING METHOD | 1 |
Toshimasa Hayashi | JP | Aichi-Ken | 2009-08-20 / 20090206718 - LED lamp module | 3 |
Masanao Hayashi | JP | Kita-Adachi-Gun | 2016-05-19 / 20160137921 - POLYMERIZABLE COMPOUND, AND LIQUID CRYSTAL COMPOSITION PRODUCED USING SAME | 4 |
Shuichi Hayashi | JP | Ibaraki | 2014-02-06 / 20140039221 - ARYLAMINE COMPOUND USEFUL IN AN ORGANIC ELECTROLUMINESCENT DEVICE | 12 |
Satoshi Hayashi | JP | Yokohama-Shi | 2013-10-03 / 20130257933 - INKJET RECORDING APPARATUS | 13 |
Noriya Hayashi | JP | Aichi-Ken | 2010-03-18 / 20100065205 - Prepreg for fiber reinforced plastic and production process thereof | 1 |
Taisuke Hayashi | JP | Aichi-Ken | 2012-02-23 / 20120046829 - DAMPING FORCE CONTROL APPARATUS | 2 |
Hirokazu Hayashi | JP | Aichi-Ken | 2011-03-24 / 20110068009 - METHOD FOR FORMING COATING FILM | 1 |
Katsuhiko Hayashi | JP | Osaka-Shi | 2014-12-04 / 20140353657 - ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING ORGANIC EL DEVICE | 1 |
Makoto Hayashi | JP | Shimane | 2012-01-19 / 20120015185 - FLAMEPROOF RAYON FIBER, METHOD FOR MANUFACTURING THE SAME AND FLAMEPROOF FIBER STRUCTURE | 1 |
Shunichi Hayashi | JP | Aichi-Ken | 2010-03-18 / 20100065205 - Prepreg for fiber reinforced plastic and production process thereof | 1 |
Tomokazu Hayashi | JP | Aichi-Ken | 2010-05-13 / 20100119918 - SEALING STRUCTURE FOR FUEL CELL | 3 |
Toshinori Hayashi | JP | Kanagawa | 2010-12-02 / 20100304377 - METHOD OF DETECTING NOROVIRUS RNA | 3 |
Daisuke Hayashi | JP | Komatsu-Shi, Ishikawa | 2015-10-22 / 20150299981 - WHEEL LOADER | 1 |
Kiyoto Hayashi | JP | Oshu-Shi | 2015-10-22 / 20150300227 - EXHAUST SYSTEM | 1 |
Takashi Hayashi | JP | Komaki-Shi | 2016-02-11 / 20160040747 - VIBRATION DAMPING DEVICE | 2 |
Shigeyoshi Hayashi | JP | Kawaguchi-Shi | 2015-10-22 / 20150300518 - STRUCTURE OF CHECK VALVE | 1 |
Mitsuhisa Hayashi | JP | Chiryu-City | 2015-10-22 / 20150300826 - NAVIGATION SYSTEM | 1 |
Tetsuya Hayashi | JP | Yokosuka-Shi | 2015-10-08 / 20150287775 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 11 |
Mitsuo Hayashi | JP | Kawasaki-Shi | 2014-11-27 / 20140345135 - METHOD FOR MANUFACTURING CYLINDER BLOCK | 2 |
Toshinori Hayashi | JP | Sagamihara-Shi | 2010-11-25 / 20100297637 - PRIMER FOR AMPLIFICATION OF RRNA OR BACTERIUM BELONGING TO THE GENUS LEGIONELLA, DETECTION METHOD, AND DETECTION KIT | 2 |
Hiroaki Hayashi | JP | Tokyo | 2012-01-26 / 20120017974 - METHOD AND DEVICE FOR DYE ADSORPTION FOR PHOTOSENSITIZING DYE, METHOD AND APPARATUS FOR PRODUCING DYE-SENSITIZED SOLAR CELL, AND DYE-SENSITIZED SOLAR CELL | 1 |
Tadao Hayashi | JP | Osaka-Shi | 2014-03-13 / 20140071667 - STRAIGHT TUBE LAMP | 1 |
Naohiko Hayashi | JP | Izumi-Shi | 2010-08-19 / 20100209087 - IMAGE BLUR CORRECTION DEVICE AND IMAGING DEVICE USING SAME | 1 |
Yoko Hayashi | JP | Hyogo | 2015-04-16 / 20150104618 - CERAMIC COLOR PASTE, CERAMIC COLOR, GLASS HAVING CERAMIC COLOR, AND MANUFACTURING METHOD THEREOF | 1 |
Masayuki Hayashi | JP | Kanagawa | 2014-08-28 / 20140239156 - PHOTOELECTRIC CONVERSION ELEMENT AND SOLID-STATE IMAGE PICKUP DEVICE | 13 |
Hirotada Hayashi | JP | Fujisawa | 2015-10-22 / 20150302943 - SOLIDIFIED BODY OF RADIOACTIVE WASTE AND PRODUCTION METHOD THEREOF | 1 |
Yusuke Hayashi | JP | Kobe-Shi | 2012-12-13 / 20120316250 - HARD CAPSULE AND METHOD FOR PRODUCING SAME | 3 |
Yusuke Hayashi | JP | Fukuoka | 2012-08-09 / 20120198955 - ROBOT | 1 |
Yusuke Hayashi | JP | Kanagawa | 2014-03-13 / 20140071428 - DISTANCE MEASUREMENT APPARATUS | 2 |
Yusuke Hayashi | JP | Otake-Shi | 2013-02-21 / 20130045264 - ANTIFOULING COATING COMPOSITION AND USES OF THE SAME | 1 |
Yusuke Hayashi | JP | Tokyo-To | 2013-05-09 / 20130115469 - CURABLE RESIN COMPOSITION FOR HARD COAT LAYER, METHOD FOR PRODUCING HARD COAT FILM, HARD COAT FILM, POLARIZING PLATE AND DISPLAY PANEL | 1 |
Yoshihiro Hayashi | JP | Tokyo | 2016-02-11 / 20160043720 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 29 |
Toshinori Hayashi | JP | Fuchu-Cho | 2009-10-01 / 20090243363 - SEAT RECLINING MECHANISM | 3 |
Takahiro Hayashi | JP | Kanagawa | 2012-02-16 / 20120039306 - RADIO COMMUNICATION SYSTEM, RADIO BASE STATION, AND RADIO COMMUNICATION METHOD | 9 |
Yasushi Hayashi | JP | Osaka | 2012-11-15 / 20120288382 - SEALED COMPRESSOR | 2 |
Hidekazu Hayashi | JP | Yokkaichi-Shi | 2015-07-23 / 20150206773 - SUBSTRATE PROCESSING METHOD AND APPARATUS THEREFOR | 2 |
Hiroaki Hayashi | JP | Sakura-Shi | 2014-03-06 / 20140065255 - GUIDE DEVICE FOR PARISON | 1 |
Takefumi Hayashi | JP | Tokyo | 2015-10-01 / 20150272436 - OPHTHALMOLOGIC APPARATUS | 12 |
Norio Hayashi | JP | Kyoto | 2016-05-12 / 20160134242 - POWER AMPLIFIER | 3 |
Chisato Hayashi | JP | Marukame-Shi | 2014-02-27 / 20140055534 - Water-Based Ink for Ink-Jet Recording, Ink Cartridge, Ink-Jet Recording Apparatus and Ink-Jet Recording Method | 1 |
Yoshinori Hayashi | JP | Kanagawa | 2015-09-24 / 20150268462 - OPTICAL SCANNING UNIT AND IMAGE FORMING APPARATUS | 38 |
Yoshitaka Hayashi | JP | Tochigi | 2012-02-09 / 20120031085 - EXHAUST GAS PURIFYING CATALYST | 1 |
Rikikazu Hayashi | JP | Shizuoka | 2012-02-09 / 20120031377 - SPARK IGNITION TYPE INTERNAL COMBUSTION ENGINE | 1 |
Kazuhiko Hayashi | JP | Chiba | 2012-02-09 / 20120031455 - SOLAR CELL MODULE | 1 |
Naoki Hayashi | JP | Toyokawa-Shi, Aichi-Ken | 2015-10-29 / 20150306979 - SLIDE RAIL | 1 |
Tamio Hayashi | JP | Shiga-Ken | 2008-12-25 / 20080319238 - Process for Production of Biphenyl Derivatives | 1 |
Yasutoshi Hayashi | JP | Nagoya | 2009-01-01 / 20090001977 - BILL DISCRIMINATION DEVICE AND SENSOR FOR SAME | 1 |
Yasushi Hayashi | JP | Gifu-City | 2013-07-25 / 20130186238 - MANUFACTURING METHOD OF MAGNETIC ALLOY POWDER | 4 |
Tamio Hayashi | JP | Shiga | 2010-09-16 / 20100230634 - METHOD FOR PRODUCING BIPHENYL DERIVATIVE | 1 |
Daisuke Hayashi | JP | Ome Tokyo | 2015-04-09 / 20150101040 - ELECTRONIC APPARATUS, COMPUTER READABLE MEDIUM AND METHOD FOR RELEASING SECURITY | 1 |
Tatsuya Hayashi | JP | Higashine-Shi | 2012-09-20 / 20120238156 - TERMINAL BLOCK AND METHOD FOR ASSEMBLING THE SAME | 3 |
Koichi Hayashi | JP | Osaka | 2014-10-16 / 20140308053 - IMAGE FORMING APPARATUS | 5 |
Koji Hayashi | JP | Aichi-Gun | 2015-11-12 / 20150321661 - DRIVE CONTROL DEVICE FOR HYBRID VEHICLE | 20 |
Kunihiko Hayashi | JP | Ashigarakami-Gun | 2012-02-16 / 20120037456 - Oil pan and lubricating device | 1 |
Koji Hayashi | JP | Aichi-Ken | 2009-12-31 / 20090322126 - VEHICLE SEAT | 1 |
Mikihito Hayashi | JP | Otawara-Shi | 2014-01-23 / 20140024939 - PORTABLE ULTRASOUND DIAGNOSIS APPARATUS | 1 |
Kyohei Hayashi | JP | Osaka-Shi | 2014-09-25 / 20140288302 - ACETIC ACID AMIDE DERIVATIVE HAVING INHIBITORY ACTIVITY ON ENDOTHELIAL LIPASE | 1 |
Takashi Hayashi | JP | Sanda-Shi | 2014-02-13 / 20140046280 - LIQUID MEDICAMENT APPLICATOR | 1 |
Masato Hayashi | JP | Saitama | 2014-02-13 / 20140046043 - C-4" POSITION SUBSTITUTED MACROLIDE DERIVATIVE | 1 |
Ryuhei Hayashi | JP | Suita-Shi | 2014-02-13 / 20140045951 - SYNTHETIC VITREOUS MATERIAL | 1 |
Junji Hayashi | JP | Saitama | 2011-05-19 / 20110115893 - MULTI-EYE IMAGE PICKUP DEVICE | 1 |
Koji Hayashi | JP | Kyoto-Shi | 2010-02-25 / 20100044568 - PYROELECTRIC CERAMIC COMPOSITION, AND INFRARED ELEMENT, AND INFRARED DETECTOR | 3 |
Yuma Hayashi | JP | Hiroshima | 2012-02-16 / 20120041425 - MEDICAL CONNECTOR STRUCTURE | 1 |
Takazumi Hayashi | JP | Wako-Shi | 2014-05-01 / 20140117655 - HOUSING STRUCTURE IN SADDLE TYPE VEHICLE | 2 |
Soichiro Hayashi | US | Framingham | 2015-04-02 / 20150090521 - MODULAR ACOUSTIC HORNS AND HORN ARRAYS | 7 |
Takamichi Hayashi | JP | Tokyo | 2015-05-21 / 20150143131 - INFORMATION PROCESSING DEVICE, INFORMATION STORAGE DEVICE, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 33 |
Fumitaka Hayashi | US | North Quincy | 2012-10-25 / 20120269855 - TOLL-LIKE RECEPTOR 5 LIGANDS AND METHODS OF USE | 2 |
Steven Robert Hayashi | US | Niskayuna | 2016-04-14 / 20160101479 - METHODS FOR THE ELECTROEROSION MACHINING OF HIGH-PERFORMANCE METAL ALLOYS | 14 |
Takahiro Hayashi | JP | Komaki-Shi | 2015-07-30 / 20150216059 - WIRING BOARD AND MANUFACTURING METHOD OF THE SAME | 8 |
Nobumasa Hayashi | JP | Tokyo | 2012-02-23 / 20120043372 - Cast-coated paper | 1 |
Michael T. Hayashi | US | Aurora | 2013-04-25 / 20130104176 - Technique for Providing a Virtual Digital Video Recorder Service Through a Communications Network | 5 |
Mansuo L. Hayashi | US | Winchester | 2011-12-01 / 20110294782 - SMALL MOLECULE PAK INHIBITORS | 2 |
Michael Hayashi | US | Evergreen | 2013-11-28 / 20130318629 - PERSONAL CONTENT SERVER APPARATUS AND METHODS | 5 |
Nahoto Hayashi | US | Pasadena | 2010-01-07 / 20100003437 - METHOD OF PRODUCING A SHAPED ARTICLE HAVING EXCELLENT BARRIER PROPERTIES | 1 |
Tomohiko Hayashi | US | Mount Prospect | 2011-09-29 / 20110238335 - COMPONENT BALANCING ON A CNC MACHINING CENTER | 3 |
Hiroo Hayashi | US | Round Rock | 2009-07-02 / 20090172016 - Self-Organized Parallel Processing System | 1 |
Takayuki Hayashi | JP | Fukui | 2011-05-19 / 20110113699 - AQUEOUS CUTTING FLUID AND SLURRY | 1 |
Takahiro Hayashi | JP | Shizuoka | 2009-03-19 / 20090071598 - Method of producing resin sheet | 2 |
Takahiro Hayashi | JP | Uji-Shi | 2009-05-07 / 20090119801 - Method for preserving cut flowers, cut flower preservation kit, method for manufacturing processed cut flowers, and processed cut flowers | 1 |
Takahiro Hayashi | JP | Chichibi-Shi | 2009-05-14 / 20090124758 - GOLF BALL | 2 |
Takahiro Hayashi | JP | Chichibu-Shi | 2009-10-01 / 20090247322 - GOLF BALL | 4 |
Koichi Hayashi | JP | Shimizu-Cho | 2014-09-25 / 20140283790 - COMMON RAIL FUEL INJECTION SYSTEM | 1 |
Yoshinari Hayashi | JP | Kanagawa | 2012-02-23 / 20120043656 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND MANUFACTURING METHOD OF SEMICONDUCTOR MODULE | 1 |
Tomoya Hayashi | JP | Ibaraki-Shi | 2015-11-05 / 20150313943 - METHOD OF PREPARING PLATELET SOLUTION REPLACED WITH ARTIFICIAL PRESERVATION SOLUTION | 1 |
Yutaka Hayashi | JP | Tsukuba-Shi | 2015-05-07 / 20150123069 - STORAGE ELEMENT | 15 |
Yutaka Hayashi | JP | Tokyo | 2010-12-02 / 20100302693 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Koichi Hayashi | JP | Tsuru-Shi | 2015-11-05 / 20150314794 - SOUND ABSORBING PANEL | 1 |
Hirotaka Hayashi | JP | Saitama-Ken | 2012-02-23 / 20120044176 - DISPLAY DEVICE | 1 |
Masahiro Hayashi | JP | Shiga | 2015-11-05 / 20150315065 - GLASS AND GLASS SUBSTRATE | 1 |
Yutaka Hayashi | JP | Ibaraki | 2013-07-25 / 20130187030 - SENSE CIRCUIT AND METHOD OF OPERATION THEREOF AND PHOTOELECTRIC CONVERSION ARRAY | 6 |
Toshimasa Hayashi | JP | Kiyosu-Shi | 2015-12-17 / 20150364657 - METHOD OF MANUFACTURING LIGHT-EMITTING DEVICE | 9 |
Shinjiro Hayashi | JP | Saitama-City | 2009-02-12 / 20090038951 - Copper plating bath formulation | 2 |
Yutaka Hayashi | JP | Hakusan-Shi | 2009-03-05 / 20090061172 - Polyurethane Resin Composition for Durable Moisture-Permeable Waterproof Sheet, Moisture-Permeable Waterproof Sheet and Method of Manufacturing the Same | 1 |
Shigeya Hayashi | JP | Ube-Shi, Yamaguchi | 2015-11-05 / 20150315505 - BIOMASS SOLID FUEL | 1 |
Takahiro Hayashi | JP | Kanagawa | 2012-02-16 / 20120039306 - RADIO COMMUNICATION SYSTEM, RADIO BASE STATION, AND RADIO COMMUNICATION METHOD | 9 |
Takahiro Hayashi | JP | Yokohama | 2009-10-29 / 20090271541 - INFORMATION PROCESSING SYSTEM AND ACCESS METHOD | 1 |
Kazuyuki Hayashi | JP | Sakura-Shi | 2015-09-24 / 20150268413 - POLARIZATION-MAINTAINING OPTICAL FIBER | 4 |
Hiroyuki Hayashi | JP | Kariya-Shi | 2015-11-05 / 20150316070 - Quieter centrifugal blower with suppressed BPF tone | 1 |
Kazushi Hayashi | JP | Osaka | 2012-02-23 / 20120046931 - MULTIPLE POWER-SUPPLY SIMULATION RESULT ANALYZER AND METHOD OF ANALYZING THE SAME | 1 |
Hiromitsu Hayashi | JP | Tokyo | 2010-08-26 / 20100215225 - Image display apparatus and program | 1 |
Shigeki Hayashi | JP | Kasugai-Shi | 2015-08-27 / 20150239423 - VEHICLE SIDE-AIRBAG DEVICE | 3 |
Naoki Hayashi | JP | Yokohama-Shi Kanagawa | 2014-09-11 / 20140258279 - OPTIMAL SOLUTION SEARCH METHOD AND OPTIMAL SOLUTION SEARCH DEVICE | 1 |
Takahiro Hayashi | JP | Fujisawa-Shi | 2010-07-15 / 20100176533 - SEAL MANUFACTURING METHOD | 1 |
Takahiro Hayashi | JP | Minami-Ashigara-Shi | 2010-08-26 / 20100213632 - METHOD AND APPARATUS FOR MANUFACTURING MOLDED PLATE | 2 |
Takahiro Hayashi | JP | Tokyo | 2015-10-08 / 20150287724 - SEMICONDUCTOR DEVICE | 6 |
Akihiro Hayashi | JP | Yokkaichi | 2014-02-06 / 20140034383 - WIRE HARNESS | 1 |
Takahiro Hayashi | JP | Fujisawa | 2012-03-29 / 20120074653 - GASKET FOR SMALL SIZE ELECTRONIC APPLIANCE | 7 |
Hiroshi Hayashi | JP | Ibaraki | 2015-08-20 / 20150231878 - INKJET PRINTER | 5 |
Hiroshi Hayashi | JP | Hyogo | 2015-06-11 / 20150161968 - DISPLAY DEVICE AND METHOD FOR DRIVING SAME | 6 |
Isao Hayashi | JP | Kanagawa | 2015-11-05 / 20150318419 - CONDUCTIVE PASTE USED FOR SOLAR CELL ELECTRODES AND METHOD OF MANUFACTURING THE SOLAR CELL ELECTRODES | 1 |
Hiroshi Hayashi | JP | Nagoya-Shi | 2013-09-05 / 20130230788 - SOLID OXIDE FUEL CELL | 1 |
Takumi Hayashi | JP | Hiroshima | 2010-04-15 / 20100090419 - Gripping Method for Turbocharger Housing and Work Gripping Device | 1 |
Takumi Hayashi | JP | Mie | 2010-07-15 / 20100176640 - BEARING BUSH FOR SEAT RECLINING DEVICE AND SEAT RECLINING DEVICE | 1 |
Mikio Hayashi | JP | Kanagawa | 2014-12-11 / 20140360939 - POROUS SUPPORT-ZEOLITE MEMBRANE COMPOSITE | 4 |
Konosuke Hayashi | JP | Kanagawa-Ken | 2014-07-03 / 20140182761 - BONDING APPARATUS AND BONDING PROCESS METHOD | 1 |
Yoshiteru Hayashi | JP | Hyogo | 2014-01-09 / 20140010311 - IMAGE DECODING APPARATUS, IMAGE CODING APPARATUS, IMAGE DECODING CIRCUIT, AND IMAGE DECODING METHOD | 1 |
Nozomu Hayashi | JP | Utsunomiya-Shi | 2015-11-12 / 20150325526 - IMPRINT METHOD, IMPRINT APPARATUS, AND ARTICLE MANUFACTURING METHOD USING THE SAME | 8 |
Mikayo Hayashi | JP | Osaka-Shi | 2014-01-30 / 20140031342 - 6,7-DIHYDROIMIDAZO [2,1-b] [1,3]OXAZINE BACTERICIDES | 1 |
Naoya Hayashi | JP | Shiga | 2009-03-12 / 20090068929 - SURFACE-PROTECTION TAPE FOR SEMICONDUCTOR WAFERS FOR USE DURING BACKGRINDING PROCESS AND SUBSTRATE FILM FOR THE SURFACE-PROTECTION TAPE | 1 |
Atsunori Hayashi | JP | Nagano | 2010-12-30 / 20100328021 - RESISTOR DEVICE | 1 |
Kohei Hayashi | JP | Okazaki-Shi | 2013-03-21 / 20130071168 - IMAGE FORMING APPARATUS | 3 |
Naoya Hayashi | JP | Suita-Shi | 2011-03-17 / 20110062688 - AIRBAG COVER AND AIRBAG DEVICE | 2 |
Yukio Hayashi | JP | Tsukuba-Shi | 2015-04-02 / 20150094380 - AGENT FOR IMPROVING VESICOURETHRAL DYSSYNERGIA | 1 |
Jun-Ichiro Hayashi | JP | Fukuoka-Shi | 2015-09-03 / 20150247091 - FIXED CARBON PRODUCTION DEVICE | 3 |
Norihiro Hayashi | JP | Kakamigahara-City | 2012-03-15 / 20120060948 - FUEL SUPPLY APPARATUS | 1 |
Kenji Hayashi | JP | Shinagawa-Ku | 2014-01-30 / 20140028771 - INK-JET PRINTING APPARATUS AND METHOD OF PRINTING SEAMLESS CANS BY USING THE SAME PRINTING APPARATUS | 1 |
Shinzo Hayashi | JP | Obu-City | 2009-09-24 / 20090238911 - CLAY EXTRUDER | 1 |
Koji Hayashi | JP | Aichi-Gun | 2015-11-12 / 20150321661 - DRIVE CONTROL DEVICE FOR HYBRID VEHICLE | 20 |
Hisashi Hayashi | JP | Yokohama-Shi | 2014-07-03 / 20140184100 - Illumination Control Device and Illumination Control System | 2 |
Katsuro Hayashi | JP | Meguro-Ku | 2015-04-02 / 20150093583 - C12A7 ELECTRIDE THIN FILM FABRICATION METHOD AND C12A7 ELECTRIDE THIN FILM | 1 |
Kunio Hayashi | JP | Tokyo | 2016-05-12 / 20160130711 - HOT-ROLLED STEEL SHEET, COLD-ROLLED STEEL SHEET, GALVANIZED STEEL SHEET, AND METHODS OF MANUFACTURING THE SAME | 18 |
Haruo Hayashi | JP | Shiojiri-Shi | 2014-10-02 / 20140292296 - CONTROL CIRCUIT FOR SWITCHING REGULATOR, INTEGRATED CIRCUIT DEVICE, SWITCHING REGULATOR, AND ELECTRONIC DEVICE | 1 |
Tomohiko Hayashi | JP | Tokyo | 2009-08-13 / 20090199657 - FLUID FLOW RECTIFYING DEVICE AND FLOW METER USING THE SAME | 1 |
Yuya Hayashi | JP | Osaka-Shi | 2015-04-02 / 20150093345 - ANTI-AGING AGENT CONTAINING ARCTIGENIN DERIVATIVE | 1 |
Tsuyoshi Hayashi | JP | Toyota-Shi | 2009-02-19 / 20090047812 - ATTACHING STRUCTURE OF CONNECTOR | 1 |
Haruo Hayashi | JP | Uji-Shi | 2010-02-25 / 20100049499 - DOCUMENT ANALYZING APPARATUS AND METHOD THEREOF | 1 |
Kunitoshi Hayashi | JP | Hachioji-Shi | 2009-02-05 / 20090033730 - PRINTING METHOD, PRINTING APAPRATUS, AND PRINTED DOCUMENT | 1 |
Yoshiyasu Hayashi | JP | Nasushiobara-Shi | 2013-05-30 / 20130136332 - X-RAY IMAGE DIAGNOSIS APPARATUS | 5 |
Terutake Hayashi | JP | Suita-Shi | 2012-03-22 / 20120068066 - DISPLACEMENT MEASURING DEVICE AND DISPLACEMENT MEASURING METHOD | 1 |
Tsuyoshi Hayashi | JP | Shizuoka | 2010-01-21 / 20100016516 - Polyester fibers, woven/ knitted fabric, car seat and process for producing polyester fibers | 1 |
Takahito Hayashi | JP | Sayama | 2009-12-03 / 20090293520 - EXPANSION VALVE, HEAT PUMP TYPE REFRIGERATION CYCLE APPARATUS, AND AIR HANDLING UNIT | 1 |
Tsuyoshi Hayashi | JP | Kanagawa | 2010-12-09 / 20100307617 - FLOW CELL | 2 |
Tsuyoshi Hayashi | JP | Nishikamo-Gun | 2010-05-06 / 20100112424 - BATTERY PACK STRUCTURE | 3 |
Tsuyoshi Hayashi | JP | Atsugi-Shi | 2013-08-29 / 20130224886 - FLOW RATE MEASUREMENT APPARATUS, ANTIGEN CONCENTRATION MEASUREMENT APPARATUS, FLOW CELL, FLOW RATE MEASUREMENT METHOD, AND ANTIGEN CONCENTRATION MEASUREMENT METHOD | 3 |
Tsuyoshi Hayashi | JP | Tokyo | 2011-03-24 / 20110070655 - FLOW CELL AND LIQUID DELIVERY METHOD | 3 |
Shigeo Hayashi | JP | Yokkaichi | 2014-10-02 / 20140291494 - OPTICAL COMMUNICATION MODULE | 1 |
Koji Hayashi | JP | Kasumigaura | 2009-11-19 / 20090285687 - Blade, impeller, turbo fluid machine, method and apparatus for manufacturing blade | 2 |
Syogo Hayashi | JP | Sagamihara-Shi | 2012-12-06 / 20120305564 - CAP FOR CONTAINER | 1 |
Kenichiro Hayashi | JP | Wako-Shi | 2014-01-23 / 20140020374 - HYDRAULIC CONTROLLER OF DRIVING FORCE DISTRIBUTION DEVICE | 1 |
Isamu Hayashi | JP | Tokyo | 2015-08-13 / 20150228341 - CONTENT ADDRESSABLE MEMORY | 5 |
Eiichi Hayashi | JP | Iwate | 2014-09-11 / 20140253995 - INJECTION MOLD, OPTICAL COMPONENT, OPTICAL SCANNING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Kenji Hayashi | JP | Sagamihara | 2014-01-23 / 20140020196 - WALL SURFACE TRAVELING ROBOT | 1 |
Kanji Hayashi | JP | Hiroshima | 2009-06-04 / 20090139685 - CONTINUOUS CASTING APPARATUS AND CONTINUOUS CASTING METHOD | 1 |
Nobuhito Hayashi | JP | Kumamoto | 2015-04-02 / 20150091659 - ATOMIC OSCILLATOR, ELECTRONIC APPARATUS, MOVING OBJECT, AND MANUFACTURING METHOD OF ATOMIC OSCILLATOR | 1 |
Sachio Hayashi | JP | Kanagawa | 2014-01-09 / 20140013296 - ESD ANALYSIS APPARATUS | 1 |
Ryota Hayashi | JP | Kagoshima | 2009-11-12 / 20090281681 - REMOTE-CONTROLLED MOBILE MACHINE USING FLEXIBLE SHAFTS | 1 |
Sadafuku Hayashi | JP | Tokyo | 2016-04-07 / 20160100358 - WIRELESS COMMUNICATION SYSTEM, BASE STATION DEVICE, MOVE CON-TROL NODE, AND METHOD OF WIRELESS COMMUNICATION | 32 |
Hiroaki Hayashi | JP | Oobu-Shi | 2015-10-29 / 20150307006 - VEHICLE SEAT | 2 |
Akihiro Hayashi | JP | Gunma-Ken | 2014-03-06 / 20140064995 - SCROLL COMPRESSION DEVICE AND METHOD FOR MAGNETIZING SCROLL COMPRESSION DEVICE | 5 |
Masayuki Hayashi | JP | Hadano | 2012-12-27 / 20120331199 - COMPUTER SYSTEM, HOST-BUS-ADAPTOR CONTROL METHOD, AND PROGRAM THEREOF | 1 |
Takehiro Hayashi | JP | Kobe-Shi | 2009-03-05 / 20090062050 - BELT TRANSMISSION DEVICE | 2 |
Shiro Hayashi | JP | Kariya-Shi | 2009-09-03 / 20090220356 - Swash plate type variable displacement compressor | 3 |
Naoki Hayashi | JP | Aichi-Ken | 2015-04-02 / 20150090855 - VEHICLE SEAT | 1 |
Shiro Hayashi | JP | Yuki-Shi | 2012-03-29 / 20120073254 - FILTER ELEMENT AND DOUBLE-PLEATED AIR FILTER USING THE SAME | 2 |
Yuichiro Hayashi | JP | Shiga | 2010-08-26 / 20100212506 - FILTER ELEMENT AND FILTER UNIT | 1 |
Shinji Hayashi | JP | Saitama-Shi | 2010-07-01 / 20100165256 - Optical Member With Retardation Control Function And Liquid Crystal Display | 3 |
Kenjirou Hayashi | JP | Atsugi-Shi | 2014-01-30 / 20140026708 - STEERING LOCK DEVICE | 2 |
Daisuke Hayashi | JP | Miyagi | 2015-08-27 / 20150243541 - ELECTROSTATIC CHUCK, PLACING TABLE, PLASMA PROCESSING APPARATUS, AND METHOD OF MANUFACTURING ELECTROSTATIC CHUCK | 5 |
Syogo Hayashi | JP | Nara | 2010-05-27 / 20100128512 - SEMICONDUCTOR MEMORY DEVICE HAVING CROSS-POINT STRUCTURE | 1 |
Takehide Hayashi | JP | Tokyo | 2015-10-08 / 20150287570 - INSPECTION APPARATUS | 5 |
Yuichiro Hayashi | JP | Yao-Shi | 2011-06-23 / 20110150379 - BEARING DEVICE | 2 |
Keisaku Hayashi | JP | Anjo-City | 2012-03-29 / 20120077471 - COMMUNICATION SYSTEM, COMMUNICATION DEVICE MOUNTED IN VEHICLE, AND SWITCHING APPARATUS | 1 |
Shintarou Hayashi | JP | Kobe-Shi | 2012-05-31 / 20120133042 - MOUNTING STRUCTURE OF CHIP AND MODULE USING THE SAME | 2 |
Kunio Hayashi | JP | Kadoma-Shi | 2009-02-05 / 20090036457 - Utilities of olefin derivatives | 2 |
Tatsuro Hayashi | JP | Gifu | / - | 1 |
Shigetoshi Hayashi | JP | Fujisawa | 2013-11-28 / 20130317879 - WORK PROBLEM ANALYSIS SUPPORT SYSTEM | 2 |
Masayuki Hayashi | JP | Osaka | 2015-12-03 / 20150346626 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND RECORDING MEDIUM STORING AN IMAGE FORMING PROGRAM | 26 |
Kanji Hayashi | JP | Hiroshima-Shi | / - | 1 |
Shigeyuki Hayashi | JP | Kyoto-Shi | 2014-10-02 / 20140290778 - FLUID CONTROL VALVE | 12 |
Shigeru Hayashi | JP | Aichi | 2014-10-09 / 20140303966 - COMMUNICATION SYSTEM AND TERMINAL DEVICE | 1 |
Akiko Hayashi | JP | Amimachi | 2009-01-29 / 20090025605 - Aqueous ink for inkjet | 1 |
Akiko Hayashi | JP | Wakaguri | 2010-01-21 / 20100015413 - Inkjet aqueous ink and printed item | 1 |
Akiko Hayashi | JP | Ibaraki-Ken | 2011-03-31 / 20110074840 - PRINTING MACHINE | 1 |
Toshimasa Hayashi | JP | Kiyosu-Shi | 2015-12-17 / 20150364657 - METHOD OF MANUFACTURING LIGHT-EMITTING DEVICE | 9 |
Shigeo Hayashi | JP | Okaya-Shi | 2015-11-19 / 20150331221 - CHANGEABLE LENS BARREL | 1 |
Akio Hayashi | JP | Toyokawa-City | 2009-01-29 / 20090025355 - Walk-type lawn mower and catcher frame apparatus | 1 |
Shinji Hayashi | JP | Osaka | 2016-05-05 / 20160127612 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 5 |
Junichiro Hayashi | JP | Eniwa-Shi | 2010-02-11 / 20100031777 - ORE TREATING METHOD, ORE TREATING APPARATUS, IRON MANUFACTURING METHOD, AND IRON AND STEEL MANUFACTURING METHOD | 1 |
Masashi Hayashi | JP | Yokohama-Shi | 2016-02-25 / 20160052265 - INK JET RECORDING APPARATUS AND INK JET RECORDING METHOD | 6 |
Yuki Hayashi | JP | Chiyoda-Ku, Tokyo | 2015-11-19 / 20150332806 - CURABLE RESIN COMPOSITION, INSULATING FILM, PREPREG, CURED PRODUCT, COMPOSITE, AND SUBSTRATE FOR ELECTRONIC MATERIAL | 1 |
Hiroyuki Hayashi | JP | Kusatsu-Shi | 2013-05-16 / 20130123287 - CONTROL AGENT FOR SOFT ROT AND CONTROL METHOD FOR THE SAME | 2 |
Yoshinori Hayashi | JP | Kawasaki-Shi | 2009-03-05 / 20090060583 - Light source unit, optical scan apparatus, and image formation apparatus | 8 |
Kensaku Hayashi | JP | Iwakuni-Shi | 2014-10-09 / 20140303292 - SPUN-DYED META-TYPE WHOLLY AROMATIC POLYAMIDE FIBER | 1 |
Akio Hayashi | JP | Ishikawa-Ken | 2009-09-10 / 20090226884 - Method of Quantitative Analysis of Oxidized Protein, Labeling Reagents for Quantitative Analysis of Oxidized Protein and Labeling Reagent kit for Quantitative Analysis of Oxidized Protein | 1 |
Akio Hayashi | JP | Sodegaura-Shi | 2012-11-29 / 20120301399 - METHOD FOR PRODUCTION OF RADIATION DIAGNOSTIC IMAGING AGENT | 4 |
Akio Hayashi | JP | Tsukuba-Shi | 2010-09-23 / 20100240583 - SOLUBLE PROTEIN AND UTILIZATION OF THE SAME | 1 |
Takahiko Hayashi | JP | Tokyo | 2012-08-23 / 20120215828 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING DEVICE, AND RECORDING MEDIUM | 7 |
Hidetaka Hayashi | JP | Kariya-Shi | 2015-02-12 / 20150044477 - MEMBER FOR VEHICLE AND MANUFACTURING PROCESS FOR THE SAME | 10 |
Fumihiro Hayashi | JP | Osaka | 2015-12-10 / 20150353694 - METHOD FOR PRODUCING POROUS POLYTETRAFLUOROETHYLENE FILM AND POROUS POLYTETRAFLUOROETHYLENE FILM | 10 |
Kayoko Hayashi | JP | Kasugai-Shi | 2009-03-12 / 20090066075 - Information concealing label | 1 |
Tatsuya Hayashi | JP | Shiga | 2008-11-20 / 20080286540 - Multilayer Heat Shrinkable Polystyrene Film and Heat Shrinkable Label and Container Using the Film | 1 |
Tatsuya Hayashi | JP | Utsunomiya-Shi | 2015-04-23 / 20150108674 - IMPRINT APPARATUS, AND METHOD OF MANUFACTURING ARTICLE | 9 |
Naoki Hayashi | JP | Kanagawa | 2016-03-17 / 20160078376 - INFORMATION PROCESSING APPARATUS, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND INFORMATION PROCESSING METHOD | 26 |
Tatsuya Hayashi | JP | Kashiwa | 2013-06-06 / 20130144172 - ULTRASOUND DIAGNOSIS DEVICE | 3 |
Konosuke Hayashi | JP | Yokohama-Shi | 2016-03-31 / 20160093486 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 14 |
Shiroh Hayashi | JP | Toyama | 2012-04-19 / 20120094296 - ENZYME PREPARATION CONTAINING THERMOSTABLE DNA POLYMERASE, METHOD FOR PRODUCING SAME, AND METHOD FOR DETECTING SUBJECT ORGANISM TO BE DETECTED | 1 |
Tatsuya Hayashi | JP | Kyoto-Shi | 2015-10-22 / 20150300545 - FLUID DEVICE | 9 |
Makoto Hayashi | JP | Kanagawa | 2013-01-31 / 20130026153 - LASER DICING METHOD | 6 |
Makoto Hayashi | JP | Toyohashi-Shi | 2011-02-17 / 20110041220 - MUTANT GENE THAT REGULATES OIL-AND-FAT CONTENT IN SEED AND METHOD FOR REGULATING OIL-AND-FAT CONTENT IN SEED | 2 |
Makoto Hayashi | JP | Tokyo | 2016-05-19 / 20160139730 - DISPLAY APPARATUS, METHOD FOR DRIVING DISPLAY APPARATUS, AND POINTING DEVICE | 26 |
Akio Hayashi | JP | Tokyo | 2010-12-30 / 20100332524 - Name Searching Apparatus | 2 |
Makoto Hayashi | JP | Saitama | 2011-11-17 / 20110278053 - DRY FILM AND MULTILAYER PRINTED WIRING BOARD | 2 |
Makoto Hayashi | JP | Hiki-Gun | 2009-12-17 / 20090308642 - THERMOSETTING RESIN COMPOSITION | 1 |
Makoto Hayashi | JP | Kyoto-Shi | 2009-07-30 / 20090189367 - OUTER TUBE FOR FRONT FORK | 3 |
Makoto Hayashi | JP | Gifu-Shi | 2009-04-09 / 20090091523 - ELECTROOPTIC DEVICE AND ELECTRONIC APPARATUS | 1 |
Tatsuya Hayashi | JP | Tokyo | 2013-07-18 / 20130180737 - FIRE PREVENTION EQUIPMENT AND SPRAYING METHOD | 8 |
Yojiro Hayashi | JP | Tokyo | 2016-05-12 / 20160130155 - WATER TREATMENT SYSTEM | 1 |
Akio Hayashi | JP | Sodegaura-Shi Chiba | 2010-05-13 / 20100119448 - METHOD FOR PRODUCTION OF RADIATION DIAGNOSTIC IMAGING AGENT | 1 |
Koji Hayashi | JP | Chiba | 2012-04-19 / 20120095156 - METHOD FOR PRODUCING PHOSPHORUS-CONTAINING PHENOLIC COMPOUND, NOVEL PHOSPHORUS-CONTAINING PHENOL, CURABLE RESIN COMPOSITION, CURED PRODUCT OF THE SAME, PRINTED WIRING BOARD, AND SEMICONDUCTOR SEALING MATERIAL | 1 |
Tatsuya Hayashi | JP | Higashine-Shi, Yamagata Pref. | 2011-02-17 / 20110039455 - PUSH-TYPE CONNECTOR | 1 |
Tatsuya Hayashi | JP | Fuji-Shi | 2011-03-24 / 20110071742 - AUTOMATIC TRANSMISSION AND LEARNING METHOD THEREOF | 1 |
Shinichi Hayashi | JP | Yokohama | 2013-12-12 / 20130332652 - COMPUTER SYSTEM AND METHOD FOR CONTROLLING COMPUTER SYSTEM | 1 |
Teruhiko Hayashi | JP | Hachioji | 2009-06-25 / 20090160690 - D/A CONVERTER CIRCUIT, INTEGRATED CIRCUIT DEVICE, AND ELECTRONIC APPARATUS | 1 |
Hirofumi Hayashi | JP | Osaka | 2009-06-18 / 20090151839 - Rubber Composition For Adhering Steel Cord | 10 |
Takane Hayashi | JP | Kanagawa | 2015-03-26 / 20150088364 - THERMOSTAT FAILURE DETECTION DEVICE AND THERMOSTAT FAILURE DETECTION METHOD | 1 |
Tatsuya Hayashi | JP | Kuwana | 2015-11-26 / 20150337901 - ROLLING BEARING RETAINER AND METHOD FOR MANUFACTURING SUCH RETAINER | 1 |
Yuji Hayashi | JP | Kasugai-Shi, Aichi-Ken | 2016-02-04 / 20160036243 - CONTACTLESS POWER TRANSFER SYSTEM | 2 |
Junpei Hayashi | JP | Obu-Shi | 2015-11-26 / 20150338241 - ROTATION ANGLE DETECTION APPARATUS | 1 |
Akio Hayashi | JP | Chiba | 2016-03-24 / 20160082135 - PRECURSOR COMPOUND OF RADIOACTIVE HALOGEN-LABELED ORGANIC COMPOUND | 8 |
Yamato Hayashi | JP | Sendai-Shi | 2011-09-29 / 20110233480 - PRODUCING METHOD OF METAL FINE PARTICLES OR METAL OXIDE FINE PARTICLES, METAL FINE PARTICLES OR METAL OXIDE FINE PARTICLES, AND METAL-CONTAINING PASTE, AND METAL FILM OR METAL OXIDE FILM | 1 |
Kei Hayashi | JP | Kyoto | 2014-10-23 / 20140311249 - Semiconductor Pressure Sensor, Pressure Sensor Apparatus, Electronic Equipment, and Method of Manufacturing Semiconductor Pressure Sensor | 4 |
Taro Hayashi | JP | Osaka-Shi | 2012-08-09 / 20120199043 - BODYSHELL STRUCTURE OF RAILROAD VEHICLE | 3 |
Toshimichi Hayashi | JP | Nagano-Ken | 2009-07-09 / 20090173793 - IC MODULE, IC INLET, AND IC MOUNTED BODY | 1 |
Keita Hayashi | JP | Gifu-Ken | 2009-10-08 / 20090252377 - MOBILE OBJECT RECOGNIZING DEVICE, MOBILE OBJECT RECOGNIZING METHOD, AND COMPUTER PROGRAM THEREOF | 1 |
Tadao Hayashi | JP | Tokushima-Shi | 2015-11-12 / 20150325756 - LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE | 9 |
Takefumi Hayashi | JP | Wako-Shi | 2015-07-30 / 20150208916 - OPHTHALMOLOGIC APPARATUS | 2 |
Yujiro Hayashi | JP | Tokyo | 2014-02-20 / 20140051874 - METHOD FOR PRODUCING FIVE-MEMBERED RING-CONTAINING COMPOUND | 3 |
Yujiro Hayashi | JP | Gunma | 2011-02-17 / 20110039783 - PEPTIDE HAVING HYPOTENSIVE ACTIVITY | 2 |
Yujiro Hayashi | JP | Ohra-Gun | 2009-12-10 / 20090305969 - Skin Repair Accelerating Therapeutic Agent Containing Desacyl Ghrelin and Derivatives Thereof as Active Ingredient | 2 |
Yoshinori Hayashi | JP | Osaka | 2015-03-26 / 20150084551 - MOTOR DRIVING CIRCUIT, MOTOR DEVICE, AND ELECTRIC VEHICLE | 1 |
Jirou Hayashi | JP | Ama-Shi | 2015-03-26 / 20150084478 - MOTOR | 1 |
Torahiko Hayashi | JP | Tochigi | 2009-06-25 / 20090162512 - METHOD AND AN APPARATUS FOR ROLLING FOOD DOUGH AND DISK-SHAPED FOOD DOUGH MANUFACTURED BY THE METHOD | 1 |
Yutaka Hayashi | JP | Kanagawa | 2015-05-28 / 20150145583 - SEMICONDUCTOR DEVICE | 2 |
Akihiro Hayashi | JP | Otsu-Shi | 2015-12-03 / 20150343394 - HOLLOW FIBER MEMBRANE MODULE, METHOD FOR PRODUCING HOLLOW FIBER MEMBRANE, AND METHOD FOR PRODUCING HOLLOW FIBER MEMBRANE MODULE | 1 |
Daiyu Hayashi | DE | Aachen | 2013-05-16 / 20130119266 - DEVICE FOR SUBJECTING A FLUID TO A DISINFECTING TREATMENT BY EXPOSING THE FLUID TO ULTRAVIOLET LIGHT | 6 |
Yukio Hayashi | JP | Yotsukaido-Shi | 2011-06-23 / 20110150400 - OPTICAL CONNECTOR WITH REFLECTOR | 3 |
Yukio Hayashi | JP | Kochi | 2011-01-27 / 20110020605 - Embossed crepe paper and its manufacturing method | 1 |
Daiyu Hayashi | DE | Koln | 2011-06-23 / 20110148305 - DIELECTRIC BARRIER DISCHARGE LAMP | 1 |
Hitoshi Hayashi | JP | Kyoto | 2012-05-03 / 20120103655 - OIL RESISTANT ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Kazuhiro Hayashi | JP | Kanagawa | 2014-08-21 / 20140237427 - BROWSING DEVICE, BROWSING SYSTEM, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 14 |
Naofumi Hayashi | JP | Osaka | 2016-03-31 / 20160093754 - SOLAR CELL | 3 |
Hiroaki Hayashi | JP | Nagoya-Shi | 2016-05-19 / 20160137159 - SEATBELT DEVICE BEZEL | 1 |
Kenji Hayashi | JP | Shiojiri-Shi | 2012-08-09 / 20120200910 - ELECTROPHORETIC DISPLAY SHEET AND MANUFACTURING METHOD THEREFOR | 2 |
Atsushi Hayashi | JP | Osaka | 2015-12-03 / 20150345352 - WORK VEHICLE | 1 |
Yukio Hayashi | JP | Sakura-Shi | 2012-07-19 / 20120183269 - COVER FOR OPTICAL PATH CHANGING MEMBER | 8 |
Takahiro Hayashi | JP | Yokosuka-Shi | 2013-01-31 / 20130028187 - MOBILE COMMUNICATION SYSTEM, NETWORK DEVICE, AND MOBILE COMMUNICATION METHOD | 27 |
Yukio Hayashi | JP | Yokohama | 2012-08-30 / 20120219289 - OPTICAL TRANSPORT SYSTEM AND OPTICAL NODE | 3 |
Shin'Ichiro Hayashi | JP | Saitama | 2014-10-09 / 20140299773 - Terahertz Wave Detection Device and Method | 1 |
Yasushi Hayashi | US | San Jose | 2009-03-12 / 20090065483 - WIRE ELECTRIC DISCHARGE MACHINING METHOD | 1 |
Cheryl Y. Hayashi | US | Riverside | 2015-02-05 / 20150038680 - SPIDER SILK DRAGLINE POLYNUCLEOTIDES, POLYPEPTIDES AND METHODS OF USE THEREOF | 2 |
Steven Hayashi | US | Los Gatos | 2008-09-04 / 20080212572 - Extended Handset Functionality and Mobility | 1 |
Nathanael Joe Hayashi | US | Piedmont | 2012-08-09 / 20120203853 - SYSTEM AND METHOD FOR DELIVERY OF AUGMENTED MESSAGES | 8 |
Joe Hayashi | US | Piedmont | 2010-09-02 / 20100223161 - Reservation of Digital Media Items | 2 |
Tomoko Hayashi | US | San Diego | 2015-12-24 / 20150366962 - SYNTHETIC TLR4 AND TLR7 LIGANDS AS VACCINE ADJUVANTS | 4 |
Yuuichiro Hayashi | JP | Kyoto-Shi | 2014-10-09 / 20140299046 - METHOD FOR PRODUCING CRYSTAL | 1 |
Nobuhito Hayashi | JP | Chino | 2016-04-14 / 20160105150 - QUANTUM INTERFERENCE DEVICE, ATOMIC OSCILLATOR, ELECTRONIC DEVICE, AND MOVING OBJECT | 2 |
Reid Hayashi | US | Palo Alto | 2010-06-24 / 20100161028 - ENDOVASCULAR GRAFT DEVICE AND METHODS FOR ATTACHING COMPONENTS THEREOF | 1 |
Eric Hayashi | US | Woodside | 2010-01-28 / 20100022307 - Skill-Based Electronic Gaming Tournament Play | 1 |
Reid K. Hayashi | US | Palo Alto | 2013-05-16 / 20130123902 - MODULAR GRAFT COMPONENT JUNCTIONS | 2 |
Nathaniel Joseph Hayashi | US | Piedmont | 2011-12-08 / 20110302128 - SYSTEM AND METHOD FOR DISAMBIGUATING NON-UNIQUE IDENTIFIERS USING INFORMATION OBTAINED FROM DISPARATE COMMUNICATION CHANNELS | 2 |
Rena Hayashi | US | San Diego | 2008-10-16 / 20080255137 - N-Biaryl and N-Arylheteroaryl 2-Substituted Piperazine Derivatives as Modulators of the 5ht2c Receptor Useful for the Treatment of Disorders Related Thereto | 1 |
Akinori Hayashi | JP | Ishikawa | 2016-04-28 / 20160117999 - DISPLAY APPARATUS AND BACKLIGHT DRIVE METHOD | 2 |
Akinori Hayashi | JP | Yokohama | 2016-02-11 / 20160040883 - Gas Turbine Combustor | 6 |
Akinori Hayashi | JP | Tokyo | 2015-10-01 / 20150279028 - RADIATION IMAGE PROCESSING APPARATUS AND CONTROL METHOD FOR THE SAME | 3 |
Kenjirou Hayashi | JP | Bunkyou Sagamihara City | 2009-03-12 / 20090064739 - LOCKING DEVICE WITH ARRESTING PART | 1 |
Kenjirou Hayashi | JP | Kanagawa-Ken | 2012-10-18 / 20120260701 - STEERING LOCKING DEVICE | 2 |
Yoshio Hayashi | JP | Tokushima-Shi | 2010-08-12 / 20100203542 - METHOD FOR TREATING AUTOIMMUNE DISEASES AND SCREENING METHOD FOR PREVENTIVE OR THERAPEUTIC AGENT FOR THE SAME | 2 |
Yoshiharu Hayashi | JP | Hitachinaka | 2012-12-27 / 20120324893 - Coal-Fired Power Plant, and Method for Operating Coal-Fired Power Plant | 12 |
Yujiro Hayashi | JP | Miyagi | 2013-05-30 / 20130137880 - PROCESS FOR PRODUCING OPTICALLY ACTIVE 3-SUBSTITUTED-3-FORMYL-2-HYDROXYPROPANOIC ACID COMPOUND | 1 |
Yasukazu Hayashi | JP | Niwa-Gun | 2015-04-30 / 20150115141 - OPTICAL ENCODER | 7 |
Yoshio Hayashi | TW | Hsinchu | 2014-06-12 / 20140160448 - OPTICAL MODULE USED IN PROJECTION DEVICE | 2 |
Noriyuki Hayashi | JP | Hitachinaka | 2012-08-23 / 20120211991 - Wind Turbine Power Generating Facilities | 2 |
Keiji Hayashi | JP | Toyota-Cho | 2012-12-27 / 20120329692 - Wet Friction Clutch - Lubricant Systems Providing High Dynamic Coefficients of Friction Through the Use of Sodium Detergents | 1 |
Kunihiko Hayashi | JP | Fukushima | 2012-12-20 / 20120321911 - NON-AQUEOUS ELECTROLYTE BATTERY, NON-AQUEOUS ELECTROLYTE BATTERY POSITIVE ELECTRODE, NON-AQUEOUS ELECTROLYTE BATTERY NEGATIVE ELECTRODE, NON-AQUEOUS ELECTROLYTE BATTERY SEPARATOR, ELECTROLYTE FOR NON-AQUEOUS ELECTROLYTE, AND METHOD OF MANUFACTURING NON-AQEUOUS ELECTROLYTE BATTERY SEPARATOR | 3 |
Yoshio Hayashi | JP | Yokohama | 2012-11-01 / 20120277251 - ANALOGS OF DEHYDROPHENYLAHISTINS AND THEIR THERAPEUTIC USE | 3 |
Masayuki Hayashi | JP | Nagoya-Shi | 2013-11-21 / 20130306190 - ROTARY-TYPE FILLING MACHINE AND METHOD FOR CALCULATING FILLING QUANTITY FOR ROTARY-TYPE FILLING MACHINE | 1 |
Kenichiro Hayashi | JP | Okayama | 2015-12-10 / 20150353489 - Erythropoietin Expression Promoter | 1 |
Yoshio Hayashi | JP | Tokyo | 2014-12-11 / 20140364642 - COMPOUND HAVING READ-THROUGH ACTIVITY | 1 |
Yusuke Hayashi | JP | Suginami-Ku | 2015-03-19 / 20150077589 - IMAGING APPARATUS, PHOTOGRAPHIC LENS UNIT, AND IMAGING UNIT | 1 |
Masahide Hayashi | JP | Ibaraki | 2015-12-10 / 20150355218 - Inertial Sensor | 1 |
Kouhei Hayashi | JP | Minato-Ku | 2014-11-20 / 20140344183 - LATENT FEATURE MODELS ESTIMATION DEVICE, METHOD, AND PROGRAM | 2 |
Ryuichi Hayashi | JP | Ibaraki | 2015-09-17 / 20150258780 - LIQUID VISCOSITY DETECTING METHOD FOR LIQUID DROPLET EJECTING DEVICE, CONTROL METHOD FOR LIQUID DROPLET EJECTING DEVICE, AND LIQUID DROPLET EJECTING DEVICE | 3 |
Nagao Hayashi | JP | Ibaraki | 2011-10-20 / 20110258737 - RICE GENE CAPABLE OF IMPARTING WIDE-SPECTRUM DISEASE RESISTANCE | 1 |
Shigetoshi Hayashi | JP | Nagaokakyo-Shi | 2013-11-28 / 20130314190 - LAMINATED INDUCTOR ELEMENT AND MANUFACTURING METHOD THEREOF | 1 |
Mikiro Hayashi | JP | Ibaraki | 2012-12-27 / 20120329106 - PROCESS FOR PRODUCTION OF L-AMINO ACID | 3 |
Kiyoshi Hayashi | JP | Ibaraki | 2010-07-01 / 20100167340 - METHOD TO PRODUCE A RECEPTOR CHIP USING BIOTINYLATED PROTEIN | 1 |
Hiroyuki Hayashi | JP | Osaka-Shi | 2015-05-14 / 20150128740 - Scissors Gear Device | 2 |
Hiroyuki Hayashi | JP | Shiga | 2013-11-07 / 20130296393 - METHOD FOR CONTROLLING CLUBROOT | 1 |
Shinjiro Hayashi | JP | Niigata-Shi | 2014-07-03 / 20140183052 - COPPER ELECTROPLATING SOLUTION AND METHOD OF COPPER ELECTROPLATING | 3 |
Mitsuaki Hayashi | JP | Kawasaki | 2015-04-23 / 20150108630 - ELECTRONIC DEVICE, ELECTRONIC APPARATUS, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 7 |
Yasuhiro Hayashi | JP | Hokkaido | 2013-11-28 / 20130315925 - METHOD FOR TREATING AND PREVENTING TYPE 2 DIABETES | 1 |
Akiko Hayashi | JP | Ibaraki | 2014-12-11 / 20140360405 - WATER-IN-OIL TYPE EMULSION ADHESIVE | 1 |
Shigeru Hayashi | JP | Nagoya-Shi | 2014-12-18 / 20140369169 - ACOUSTIC WAVE COMMUNICATION SYSTEM AND RECEIVER | 2 |
Yasuhiro Hayashi | JP | Odawara-Shi | 2013-11-07 / 20130292273 - Packaged Solid Object And Method For Manufacturing Thereof | 1 |
Yasuaki Hayashi | JP | Gunma | 2009-03-05 / 20090058520 - AMPLIFIER CIRCUIT | 1 |
Hiroshi Hayashi | JP | Kanagawa | 2014-12-11 / 20140362389 - IMAGE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM | 8 |
Mitsuo Hayashi | US | 2015-12-17 / 20150364157 - VIDEO GENERATION DEVICE, VIDEO GENERATION PROGRAM, AND VIDEO GENERATION METHOD | 1 | |
Takafumi Hayashi | JP | Anjo | 2010-02-04 / 20100029439 - Transmission device, power output device, and control method of power output device | 1 |
Naohiro Hayashi | JP | Kodaira-Shi | 2011-03-03 / 20110048602 - PNEUMATIC TIRE | 1 |
Takafumi Hayashi | JP | Osaka | 2009-12-31 / 20090322944 - Electronic Device | 2 |
Takafumi Hayashi | JP | Osaka-Shi | 2009-12-17 / 20090310034 - PICTURE DISPLAY DEVICE | 4 |
Katsushige Hayashi | JP | Tokyo | 2010-06-03 / 20100136287 - POLYMER FILM | 1 |
Takahiro Hayashi | JP | Ashigarakami-Gun | 2014-06-26 / 20140178587 - METHOD FOR MANUFACTURING COATED MATERIAL CONTAINING STRING-SHAPED FILLER | 1 |
Takafumi Hayashi | JP | Osaka City | 2009-03-12 / 20090066851 - BROADCAST SIGNAL RECEIVING APPARATUS | 1 |
Takuya Hayashi | JP | Tokyo | 2008-09-04 / 20080214366 - THERAPEUTIC EXERCISE APPARATUS | 1 |
Mitsuo Hayashi | JP | Tokyo | 2015-12-17 / 20150364157 - VIDEO GENERATION DEVICE, VIDEO GENERATION PROGRAM, AND VIDEO GENERATION METHOD | 5 |
Hideho Hayashi | JP | Tokyo | 2008-10-30 / 20080264872 - Method of and Apparatus for Treating Chlorine-Containing Waste | 1 |
Sawako-Eeva Hayashi | JP | Tokyo | 2014-09-18 / 20140281953 - Method and Mobile Terminal Device for Mapping a Virtual User Input Interface to a Physical User Input Interface | 3 |
Shin Hayashi | JP | Tokyo | 2011-08-25 / 20110207626 - METHOD FOR DETECTING CHROMOSOME DEFICIENCIES FOR CONGENITAL ABNORMALITY | 2 |
Kazutoshi Hayashi | JP | Tokyo | 2012-11-08 / 20120284661 - MAP INFORMATION PROCESSING DEVICE | 2 |
Kazuhiko Hayashi | JP | Tokyo | 2013-08-22 / 20130214306 - ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING COVERED LOWER ELECTRODE | 2 |
Yoshihiko Hayashi | JP | Tokyo | 2011-07-28 / 20110182731 - WIND TURBINE BLADE AND WIND TURBINE GENERATOR HAVING THE SAME | 2 |
Kozaburo Hayashi | JP | Tokyo | 2015-06-25 / 20150179357 - AQUEOUS LIQUID COMPOSITION, AQUEOUS COATING LIQUID, FUNCTIONAL COATING FILM AND COMPOSITE MATERIAL | 4 |
Jun Hayashi | JP | Tokyo | 2014-01-02 / 20140004947 - GAME DEVICE, GAME CONTROL METHOD, RECORDING MEDIUM AND GAME MANAGEMENT DEVICE | 3 |
Kiyoshi Hayashi | JP | Tokyo | 2013-01-10 / 20130010428 - FIXING SPRING AND HEAT SINK STRUCTURE FOR ELECTRONIC COMPONENT | 4 |
Akira Hayashi | JP | Tokyo | 2015-02-05 / 20150037147 - COMPRESSOR | 2 |
Yuki Hayashi | JP | Tokyo | 2015-04-09 / 20150098797 - ROTARY SHAFT DEVICE AND VERTICAL SHAFT FLUID POWER GENERATOR | 6 |
Hiroshi Hayashi | JP | Hiratsuka-City | 2015-12-17 / 20150361035 - URETHANE (METH) ACRYLATE COMPOUND | 1 |
Hitoshi Hayashi | JP | Tokyo | 2012-10-11 / 20120256725 - AUTHENTICATION SYSTEM | 3 |
Masataka Hayashi | JP | Tokyo | 2009-07-30 / 20090188341 - DRIVING DEVICE FOR POWER STEERING | 1 |
Toshihiro Hayashi | JP | Tokyo | 2015-08-20 / 20150235380 - THREE-DIMENSIONAL OBJECT RECOGNITION DEVICE AND THREE-DIMENSIONAL OBJECT RECOGNITION METHOD | 5 |
Ikuo Hayashi | JP | Tokyo | 2009-09-03 / 20090220524 - THERAPEUTIC AGENTS FOR ALZHEIMER'S DISEASE AND CANCER | 1 |
Katsurou Hayashi | JP | Tokyo | / - | 1 |
Yoshifumi Hayashi | JP | Tokyo | 2009-10-01 / 20090247143 - MOBILE PHONE, AND METHOD OF LIMITING OPERATIONS OF MOBILE PHONE | 1 |
Hirokazu Hayashi | JP | Tokyo | 2009-10-01 / 20090242993 - ESD protection device and manufacturing method thereof | 3 |
Masaaki Hayashi | JP | Tokyo | 2016-03-10 / 20160067099 - A PORTABLE DEVICE FOR TREATING MENIERE'S DISEASE AND SIMILAR CONDITIONS | 3 |
Shunsuke Hayashi | JP | Tokyo | 2016-05-12 / 20160134269 - I/O MODULE | 5 |
Ichiro Hayashi | JP | Tokyo | 2014-06-26 / 20140177056 - LENS DRIVING APPARATUS, CAMERA MODULE, AND CAMERA-EQUIPPED MOBILE TERMINAL | 2 |
Masahiko Hayashi | JP | Tokyo | 2010-02-04 / 20100027275 - Light guide plate for liquid crystal display and process for producing same | 3 |
Katsuhige Hayashi | JP | Tokyo | 2010-02-11 / 20100033825 - RETARDATION FILM | 1 |
Markio Hayashi | JP | Tokyo | 2010-03-18 / 20100067109 - Optical layered body, polarizer and image display device | 1 |
Shinya Hayashi | JP | Tokyo | 2014-07-03 / 20140187408 - HIGH ZIRCONIA FUSED CAST REFRACTORY | 4 |
Noriyuki Hayashi | JP | Kyoto | 2015-10-29 / 20150308008 - ANODIZING APPARATUS | 4 |
Masafumi Hayashi | JP | Tokyo | 2010-04-15 / 20100089526 - IMAGE DISPLAYING MEDIUM WITH METALLIC IMAGE AND THERMAL TRANSFER SHEET | 1 |
Susumu Hayashi | JP | Tokyo | 2010-04-22 / 20100096428 - PNEUMATIC TOOL WITH AIR DUSTER | 2 |
Hideyuki Hayashi | JP | Kanagawa | 2015-12-17 / 20150362659 - VEHICLE LAMP FITTING | 1 |
Hideyuki Hayashi | JP | Tokyo | 2015-02-12 / 20150043857 - AC GENERATOR FOR VEHICLE | 4 |
Mikiro Hayashi | JP | Tokyo | 2010-07-22 / 20100184163 - METHOD FOR PRODUCTION OF L-GLUTAMINE | 2 |
Ryotaku Hayashi | JP | Tokyo | 2010-09-02 / 20100220975 - INFORMATION PRESENTATION APPARATUS AND INFORMATION PRESENTATION METHOD | 3 |
Kunito Hayashi | JP | Tokyo | 2010-09-09 / 20100227200 - ABERRATION EVALUATION PATTERN, ABERRATION EVALUATION METHOD, ABERRATION CORRECTION METHOD, ELECTRON BEAM DRAWING APPARATUS, ELECTRON MICROSCOPE, MASTER, STAMPER, RECORDING MEDIUM, AND STRUCTURE | 1 |
Yuki Hayashi | JP | Tachikawa Tokyo | 2015-12-17 / 20150362955 - OPENING-CLOSING-TYPE ELECTRONIC DEVICE | 1 |
Norio Hayashi | JP | Tokyo | 2010-11-25 / 20100297956 - RECEIVER, TRANSCEIVER, AND MOBILE TERMINAL DEVICE | 1 |
Koichiro Hayashi | JP | Tokyo | 2015-12-10 / 20150352716 - FORCE CONTROL ROBOT AND METHOD FOR CONTROLLING SAME | 11 |
Kouji Hayashi | JP | Tokyo | 2013-02-21 / 20130042575 - CONTINUOUS ROTARY TYPE FILLING AND PACKING MACHINE PROVIDED WITH PRINTING MECHANISM | 6 |
Yasuo Hayashi | JP | Tokyo | 2015-09-24 / 20150267892 - TRANSPARENT MEMBER AND LIGHT EMITTING MODULE | 7 |
Toru Hayashi | JP | Tokyo | 2015-10-01 / 20150275379 - WATER SPLITTING CATALYST, AND METHOD FOR PRODUCING OXYGEN AND HYDROGEN USING THE SAME | 5 |
Tomohiro Hayashi | JP | Tokyo | 2016-03-03 / 20160064397 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 8 |
Takeshi Hayashi | JP | Tokyo | 2011-07-07 / 20110165743 - METHOD FOR MANUFACTURING A SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 3 |
Takanori Hayashi | JP | Tokyo | 2012-08-09 / 20120201310 - VIDEO QUALITY ESTIMATION APPARATUS, VIDEO QUALITY ESTIMATION METHOD, AND PROGRAM | 6 |
Shigeru Hayashi | JP | Tokyo | 2015-12-10 / 20150353420 - METHOD FOR MANUFACTURING OPTICAL ELEMENT | 2 |
Masakazu Hayashi | JP | Tokyo | 2015-11-05 / 20150317043 - ELECTRONIC APPARATUS AND MENU DISPLAYING METHOD | 11 |
Mikine Hayashi | JP | Tokyo | 2011-02-03 / 20110023945 - LAMINATE FOR A SOLAR BATTERY BACK-SHEET AND BACK-SHEET COMPRISNG SAME | 1 |
Yuko Hayashi | JP | Tokyo | 2011-02-24 / 20110046251 - RIGID FOAMED SYNTHETIC RESIN AND PROCESS FOR ITS PRODUCTION | 1 |
Eiji Hayashi | JP | Tokyo | 2015-04-09 / 20150099331 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 15 |
Yuji Hayashi | JP | Tokyo | 2011-04-07 / 20110081495 - COMPOSITION CONTAINING CRYSTALLINE CELLULOSE COMPOSITE | 1 |
Shoji Hayashi | JP | Tokyo | 2011-04-14 / 20110083780 - RUN-FLAT TIRE | 1 |
Kazuya Hayashi | JP | Tokyo | 2011-04-21 / 20110092586 - APOPTOSIS INDUCTOR EXTRACTED FROM POTATO, POTATO FOODSTUFF CONTAINING THE INDUCTOR, AND PROCESSED PRODUCT THEREOF | 1 |
Kazuo Hayashi | JP | Tokyo | 2010-05-13 / 20100116597 - ELEVATOR | 1 |
Akihiro Hayashi | JP | Okazaki-Shi | 2016-05-19 / 20160139531 - IMAGE FORMING APPARATUS | 9 |
Syuichi Hayashi | JP | Osaka | 2015-08-20 / 20150231162 - FRUCTOSE ABSORPTION INHIBITOR | 2 |
Ryuhei Hayashi | JP | Miyagi | 2012-11-08 / 20120282318 - SHEET FOR CORNEAL TRANSPLANTS | 2 |
Kenji Hayashi | JP | Kurashiki-Shi | 2015-03-19 / 20150075682 - HIGH TENSILE STRENGTH STEEL PLATE HAVING EXCELLENT WELD HEAT-AFFECTED ZONE LOW-TEMPERATURE TOUGHNESS AND METHOD FOR PRODUCING SAME | 1 |
Makoto Hayashi | JP | Yokohama-Shi | 2015-01-22 / 20150021485 - SOLID SCINTILLATOR, RADIATION DETECTOR, AND RADIATION EXAMINATION DEVICE | 2 |
Kenji Hayashi | JP | Tottori-Shi | 2012-06-14 / 20120146865 - FREQUENCY-VARIABLE ANTENNA CIRCUIT, ANTENNA DEVICE CONSTITUTING IT, AND WIRELESS COMMUNICATIONS APPARATUS COMPRISING IT | 1 |
Takuma Hayashi | JP | Shiojiri | 2014-10-23 / 20140313251 - PRINTING DEVICE AND PRINTING METHOD | 3 |
Naoki Hayashi | JP | Kyoto | 2015-05-14 / 20150131346 - METHOD FOR DESIGNING POWER CONTROLLER, POWER CONTROLLER, AND POWER CONTROL DEVICE | 6 |
Terutake Hayashi | JP | Kanagawa | 2015-09-24 / 20150271361 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND NON-TANSITORY COMPUTER READABLE MEDIUM | 5 |
Tsuyoshi Hayashi | JP | Toyota-Shi , Aichi | 2015-12-24 / 20150367718 - VEHICLE BODY STRUCTURE | 1 |
Takuma Hayashi | JP | Matsumoto-Shi | 2010-08-12 / 20100204311 - NORMAL-TENSION GLAUCOMA MODEL AND METHOD FOR EVALUATION OF TEST SUBSTANCES BY USING SAME | 1 |
Takuma Hayashi | JP | Nagano | 2015-07-30 / 20150210100 - IMAGE PROCESSING DEVICE, DITHER MASK GENERATION METHOD, AND PROGRAM | 3 |
Masaki Hayashi | JP | Sakai-City | 2013-02-28 / 20130048445 - WORKING VEHICLE | 1 |
Yuzo Hayashi | JP | Sagamihara-Shi | 2011-01-27 / 20110020952 - METHOD FOR DETERMINING THE STAGE OF ULCERATIVE COLITIS OR INTERSTITIAL PNEUMONITIS AND REAGENT KIT THEREOF | 1 |
Tatsuyuki Hayashi | JP | Kashiwa-Shi | 2012-06-14 / 20120149649 - SHORT-CHAIN CATIONIC POLYAMINO ACID AND USE THEREOF | 1 |
Toshio Hayashi | JP | Utsunomiya | 2012-06-14 / 20120150382 - STARTER RELAY STRUCTURE FOR AUTO-STARTING | 1 |
Hirotaka Hayashi | JP | Aichi | 2011-09-22 / 20110227989 - METHOD OF ADJUSTING OPTICAL AXIS OF INK DROPLET DETECTING DEVICE, METHOD OF ASSEMBLING INK DROPLET DETECTING DEVICE, AND APPARATUS FOR ADJUSTING OPTICAL AXIS | 4 |
Tomoyuki Hayashi | JP | Shizuoka | 2015-10-01 / 20150274120 - VEHICLE | 2 |
Hirotaka Hayashi | JP | Nagoya | 2010-01-14 / 20100007686 - LIQUID-DISCHARGE-FAILURE DETECTING APPARATUS AND INKJET RECORDING APPARATUS | 5 |
Hirotaka Hayashi | JP | Fukaya-Shi | 2013-07-25 / 20130187877 - DISPLAY DEVICE | 10 |
Shinobu Hayashi | JP | Shizuoka | 2013-11-07 / 20130297995 - Data Recording Apparatus, Data Recording System, Data Recording Method, And Program | 5 |
Kotaro Hayashi | JP | Shizuoka | 2010-10-14 / 20100257847 - EXHAUST PURIFICATION SYSTEM OF INTERNAL COMBUSTION ENGINE | 2 |
Masanobu Hayashi | JP | Shizuoka | 2015-10-22 / 20150298980 - METHOD FOR FORMING OF SILICEOUS FILM AND SILICEOUS FILM FORMED USING SAME | 7 |
Shinya Hayashi | JP | Shizuoka | 2011-01-27 / 20110021763 - AZO PIGMENTS, AND PIGMENT DISPERSION, COLORING COMPOSITION AND INK FOR INKJET RECORDING CONTAINING THE AZO PIGMENT | 4 |
Keiichiro Hayashi | JP | Chiba-Shi | 2015-03-19 / 20150076645 - OPTICAL SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 6 |
Kimitaka Hayashi | JP | Tokyo | 2014-09-25 / 20140287257 - CHROMATE-FREE PRECOATED METAL SHEET HAVING METALLIC APPEARANCE AND WATER-BASED COATING COMPOSITION USED IN THE SAME | 5 |
Shuji Hayashi | JP | Kanagawa | 2016-03-17 / 20160077394 - PIXEL ARRAY SUBSTRATE STRUCTURE, METHOD OF MANUFACTURING PIXEL ARRAY SUBSTRATE STRUCTURE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 9 |
Makoto Hayashi | JP | Miyagi-Ken | 2012-06-21 / 20120155074 - STRAIGHT-TUBE LED LAMP SWITCH DEVICE AND STRAIGHT-TUBE LED LAMP USING THE SAME | 2 |
Nobuyasu Hayashi | JP | Chonan | 2010-12-30 / 20100328595 - METHOD FOR MANUFACTURING DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Tomonori Hayashi | JP | Nagareyama-Shi | 2015-12-24 / 20150373215 - IMAGE FORMING APPARATUS, AND CONTROL METHOD OF IMAGE FORMING APPARATUS | 1 |
Terumi Hayashi | JP | Osaka | 2015-03-19 / 20150077784 - IMAGE FORMING APPARATUS | 8 |
Yusuke Hayashi | JP | Tokyo | 2013-08-08 / 20130201569 - IMAGE PICKUP APPARATUS ELECTRONIC DEVICE AND IMAGE ABERRATION CONTROL METHOD | 14 |
Mitsuteru Hayashi | JP | Anjo-Shi | 2013-11-07 / 20130293164 - FLUID APPARATUS | 1 |
Yohei Hayashi | JP | Osaka | 2015-12-31 / 20150377459 - LIGHT EMITTING MODULE AND ILLUMINATING DEVICE USING SAME | 8 |
Kazuko Hayashi | JP | Tokyo | 2015-04-30 / 20150120022 - TRAINING SUPPORTING APPARATUS AND SYSTEM FOR SUPPORTING TRAINING OF WALKING AND/OR RUNNING | 2 |
Yasushi Hayashi | JP | Kawasaki | 2013-10-17 / 20130274605 - METHOD OF USING A DOPPLER BLOOD FLOW METER SYSTEM HAVING A FLAT PROBE APPARATUS FOR OBTAINING BLOOD FLOW DATA | 1 |
Nobuhiro Hayashi | JP | Niigata-Ken | 2012-12-27 / 20120326703 - CURRENT MEASUREMENT APPARATUS | 1 |
Katsuna Hayashi | JP | Aichi | 2014-06-19 / 20140165412 - WORK MACHINE HAVING OPERATION ROD | 1 |
Takayuki Hayashi | JP | Hiroshima | 2009-10-08 / 20090253464 - MOBILE TERMINAL, DISPLAY METHOD, DISPLAY MODE DETERMINING PROGRAM, AND COMPUTER-READABLE STORAGE MEDIUM | 2 |
Takayuki Hayashi | JP | Higashihiroshima-Shi | 2008-09-04 / 20080211824 - Portable communication terminal | 1 |
Takayuki Hayashi | US | Lake Forest | 2011-12-08 / 20110298542 - Amplifier with Automatic Gain Profile Control and Calibration | 5 |
Takayuki Hayashi | JP | Nagoya-Shi | 2015-03-19 / 20150075504 - EXHAUST GAS COOLING DEVICE | 2 |
Takayuki Hayashi | JP | Nagoya-City | 2015-09-24 / 20150267637 - EXHAUST GAS HEAT EXCHANGING DEVICE | 4 |
Takayuki Hayashi | US | Irvine | 2009-10-08 / 20090253240 - Thick Oxide P-Gate NMOS Capacitor for Use In A Low-Pass Filter of a Circuit and Method of Making Same | 1 |
Tsuyoshi Hayashi | JP | Shiojiri-Shi | 2014-09-25 / 20140285589 - LIQUID EJECTING APPARATUS | 2 |
Takayuki Hayashi | JP | Niigata-Ken | 2011-06-09 / 20110136583 - TWIN-AXIS AND HEAD FOR SPORTS EQUIPMENT | 2 |
Takayuki Hayashi | JP | Shimosuwa | 2008-10-02 / 20080239029 - Functional liquid supply apparatus, liquid droplet ejection apparatus, method of manufacturing electro-optical apparatus, electro-optical apparatus and electronic apparatus | 2 |
Masato Hayashi | JP | Koshi City | 2015-12-31 / 20150375170 - TREATMENT SOLUTION SUPPLY METHOD, TREATMENT SOLUTION SUPPLY APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 1 |
Yoshiyuki Hayashi | JP | Himeji-Shi, Hyogo | 2015-12-31 / 20150375205 - NOx STORAGE REDUCTION CATALYST FOR PURIFYING EXHAUST GAS AND EXHAUST GAS PURIFICATION METHOD USING SAID CATALYST | 1 |
Katsuhiko Hayashi | JP | Nara | 2015-11-26 / 20150338670 - IMAGE DISPLAY APPARATUS | 38 |
Hiromasa Hayashi | JP | Okegawa-Shi | 2011-01-27 / 20110017496 - POWER MODULE SUBSTRATE HAVING HEATSINK, METHOD FOR MANUFACTURING THE SAME, POWER MODULE HAVING HEATSINK, AND POWER MODULE SUBSTRATE | 1 |
Hiromasa Hayashi | JP | Obu-City | 2014-11-27 / 20140347838 - ELECTRONIC DEVICE AND MANUFACTURING METHOD OF ELECTRONIC DEVICE | 6 |
Kazuyuki Hayashi | JP | Kanagawa | 2015-10-29 / 20150307907 - Method for Producing L-Amino Acid | 2 |
Kazuyuki Hayashi | JP | Yokohama-Shi | 2013-08-01 / 20130196255 - REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND REFLECTIVE MASK FOR EUV LITHOGRAPHY | 1 |
Masato Hayashi | JP | Amagasaki City | 2009-06-11 / 20090145230 - Displacement Measurement apparatus for microstructure and displcement measurement method thereof | 1 |
Masato Hayashi | JP | Tochigi | 2010-05-13 / 20100116237 - INTAKE MANIFOLD FOR MULTIPLE-CYLINDER INTERNAL COMBUSTION ENGINE | 2 |
Hideaki Hayashi | JP | Nagoya-Shi | 2015-12-31 / 20150377174 - FUEL SUPPLY APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Masato Hayashi | JP | Amagasaki-Shi | 2010-12-09 / 20100307248 - MICROSTRUCTURE INSPECTING DEVICE, AND MICROSTRUCTURE INSPECTING METHOD | 1 |
Akihiro Hayashi | JP | Nagaokakyo-Shi | 2015-12-31 / 20150377833 - Method of Identifying Direction of Multilayer Ceramic Capacitor, Apparatus Identifying Direction of Multilayer Ceramic Capacitor, and Method of Manufacturing Multilayer Ceramic Capacitor | 1 |
Kimio Hayashi | JP | Tokyo | 2016-02-11 / 20160041795 - INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 4 |
Masato Hayashi | JP | Hyogo | 2010-09-09 / 20100225342 - PROBE CARD AND MICROSTRUCTURE INSPECTING APPARATUS | 4 |
Hidekazu Hayashi | JP | Mie-Ken | 2014-06-19 / 20140170307 - METHOD FOR CLEANING IMPRINTING MASK | 1 |
Masato Hayashi | JP | Toshima-Ku | 2012-05-10 / 20120116095 - GLYCINE TRANSPORTER INHIBITORS | 3 |
Tomohiro Hayashi | JP | Kanagawa | 2015-12-31 / 20150380425 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Masato Hayashi | JP | Tokyo | 2016-03-17 / 20160077877 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING METHOD | 10 |
Masato Hayashi | JP | Kawasaki | 2009-03-26 / 20090080393 - WIRELESS DATA COMMUNICATION SYSTEM | 1 |
Narutoshi Hayashi | JP | Ehime | 2012-07-05 / 20120169973 - POLARIZER, PROCESS FOR PREPARING THE SAME, OPTICAL MEMBER AND LIQUID CRYSTAL DISPLAYING APPARATUS | 1 |
Yoshiyuki Hayashi | JP | Nagasaki | 2012-02-09 / 20120035865 - LOAD MEASURING APPARATUS, METHOD, AND PROGRAM | 6 |
Yoshiyuki Hayashi | JP | Nagasaki-Shi | 2013-09-05 / 20130230396 - WIND TURBINE GENERATOR, ACTIVE DAMPING METHOD THEREOF, AND WINDMILL TOWER | 5 |
Yoshiyuki Hayashi | JP | Tokyo | 2015-11-19 / 20150330362 - METHOD AND DEVICE FOR CONTROLLING FLOATING BODY WIND TURBINE POWER GENERATING APPARATUS, AND FLOATING BODY WIND TURBINE POWER GENERATING APPARATUS | 6 |
Seiichiro Hayashi | JP | Nagoya-City | 2016-05-19 / 20160136633 - HONEYCOMB FORMED BODY EXTRUDING DIE | 10 |
Yutaka Hayashi | JP | Tsukuba | 2014-06-12 / 20140158555 - SENSOR, SENSOR SYSTEM, PORTABLE SENSOR SYSTEM, METHOD OF ANALYZING METAL IONS, MOUNTING SUBSTRATE, METHOD OF ANALYZING PLATING PREVENTING CHEMICAL SPECIES, METHOD OF ANALYZING PRODUCED COMPOUND, AND METHOD OF ANALYZING MONOVALENT COPPER CHEMICAL SPECIES | 1 |
Yoshiyuki Hayashi | JP | Shiga | 2010-08-26 / 20100216686 - CLEANING AGENT | 1 |
Yoshiyuki Hayashi | US | Knoxville | 2009-09-24 / 20090236173 - METHOD FOR REDUCING NOISE IN A VEHICLE CABIN | 1 |
Yoshiyuki Hayashi | JP | Mie | 2009-08-20 / 20090208025 - ACTIVE NOISE REDUCTION SYSTEM | 1 |
Masato Hayashi | JP | Kobe-Shi | 2013-10-03 / 20130257371 - BATTERY MODULE CHARGING SYSTEM | 1 |
Shigeki Hayashi | JP | Tosu-Shi | 2014-06-12 / 20140161865 - PATCH AND METHOD FOR PRODUCING THE SAME | 1 |
Shinichi Hayashi | JP | Kyoto-Shi | 2012-07-12 / 20120176212 - METHOD AND SYSTEM FOR PRODUCING SINTERED NdFeB MAGNET, AND SINTERED NdFeB MAGNET PRODUCED BY THE PRODUCTION METHOD | 2 |
Takashi Hayashi | JP | Mastumoto | 2012-07-12 / 20120176699 - MAGNETIC READING AND WRITING DEVICE | 1 |
Masahide Hayashi | JP | Hitachinaka | 2016-05-12 / 20160131678 - Transfer Mold Type Sensor Device | 11 |
Kazuya Hayashi | JP | Hitachinaka | 2008-11-20 / 20080285841 - IMAGE PROCESSING UNIT FOR WAFER INSPECTION TOOL | 1 |
Akinori Hayashi | JP | Hitachinaka | 2015-06-11 / 20150159562 - Method and Apparatus for Controlling Gas Turbine Combustor | 7 |
Tomoo Hayashi | JP | Hitachinaka | 2010-05-20 / 20100124012 - BLADE SERVER | 5 |
Mirian Hayashi | BR | Sao Paulo | 2009-01-15 / 20090017042 - PROCESS FOR THE DETERMINATION OF THE PRIMARY STRUCTURE OF THE MESSENGER RNA CODING FOR THE HUMAN RECOMBINANT ENDOOLIGOPEPTIDASE A (HEOPA) [AF217798] | 2 |
Yasuhiro Hayashi | JP | Haga-Gun | / - | 1 |
Koichi Hayashi | JP | Kurashiki-Shi | 2016-01-07 / 20160002835 - HARD SHEET AND METHOD FOR PRODUCING THE SAME | 1 |
Naoki Hayashi | JP | Toyokawa-Shi | 2015-10-29 / 20150306981 - VEHICLE SEAT | 3 |
Yasuhiro Hayashi | JP | Moriya-Shi | 2009-11-19 / 20090285609 - IMAGE HEATING APPARATUS | 2 |
Kenichi Hayashi | JP | Hanishina-Gun | 2016-01-07 / 20160003226 - ELECTRIC PUMP APPARATUS | 1 |
Keiichi Hayashi | JP | Kariya-Shi | 2015-02-19 / 20150050564 - SECONDARY BATTERY | 9 |
Yasuhiro Hayashi | JP | Yokohama-Shi | 2010-06-24 / 20100157780 - OPTICAL DISK DRIVE DEVICE AND METHOD | 4 |
Yasuhiro Hayashi | JP | Osaka | 2014-03-13 / 20140073799 - THIENOPYRAZOLE DERIVATIVE HAVING PDE7 INHIBITORY ACTIVITY | 3 |
Masahiro Hayashi | JP | Hanamaki-Shi | 2012-07-12 / 20120177781 - METHOD FOR SOFTENING BEANS | 1 |
Yasuhiro Hayashi | JP | Hyogo | 2010-09-16 / 20100231908 - METHOD AND APPARATUS FOR DETECTING SIZE OF PARTICLES IN LIQUID | 1 |
Kazunori Hayashi | JP | Aichi | 2014-05-29 / 20140144669 - VEHICLE GROUNDING DEVICE | 1 |
Akari Hayashi | JP | Tokyo | 2010-04-15 / 20100092830 - ELECTRODE CATALYST FOR A FUEL CELL, AND FUEL CELL USING THE SAME | 2 |
Keiji Hayashi | JP | Osaka | 2015-12-17 / 20150362652 - LIGHTING DEVICE, DISPLAY DEVICE, AND TELEVISION RECEIVING DEVICE | 13 |
Youhei Hayashi | JP | Osaka | 2015-02-26 / 20150056442 - PRESSURE-SENSITIVE ADHESIVE COMPOSITION, PRESSURE-SENSITIVE ADHESIVE SHEET, AND MOISTURE-PERMEABLE WATERPROOF PRESSURE-SENSITIVE ADHESIVE SHEET | 10 |
Akari Hayashi | JP | Ibaraki | 2009-10-29 / 20090270589 - Bis-Terpyridine Monomer, Production Process Thereof, Polymer Material Derived from the Monomer, and Electrochromic Device | 1 |
Yasuhiro Hayashi | JP | Kawasaki | 2010-10-14 / 20100261294 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
David Hayashi | US | Chicago | 2015-08-27 / 20150237900 - COMPOUNDS, COMPOSITIONS, AND METHODS FOR REDUCING OR ELIMINATING BITTER TASTE | 4 |
Toshiteru Hayashi | JP | Chiba | 2012-07-12 / 20120178361 - FADING SIGNAL FORMING DEVICE, CHANNEL SIGNAL TRANSMISSION DEVICE, AND FADING SIGNAL FORMING METHOD | 1 |
Koji Hayashi | JP | Yokohama-Shi | 2014-05-22 / 20140141648 - RECEPTACLE CONNECTOR | 1 |
Yukio Hayashi | JP | Sakura-Shi | 2012-07-19 / 20120183269 - COVER FOR OPTICAL PATH CHANGING MEMBER | 8 |
Ryotaro Hayashi | JP | Kanagawa | 2016-01-28 / 20160028718 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 8 |
Katsuhito Hayashi | JP | Shijonawate-Shi | 2016-04-28 / 20160119466 - Electronic Device | 1 |
Daiki Hayashi | JP | Fujieda-Shi | 2012-07-19 / 20120182645 - ROTATING MACHINE COMPRISING INSULATION SHEET FOR INSULATING COIL AND BASE, AND METHOD OF PRODUCING THE ROTATING MACHINE | 1 |
Nobuhiro Hayashi | JP | Osaka-Shi | 2012-07-19 / 20120183676 - VAPOR DEPOSITION METHOD AND VAPOR DEPOSITION APPARATUS | 1 |
Takefumi Hayashi | JP | Kanagawa | 2013-09-26 / 20130252225 - STUDY SYSTEM AND DISPLAY METHOD | 1 |
Makoto Hayashi | JP | Tokyo | 2016-05-19 / 20160139730 - DISPLAY APPARATUS, METHOD FOR DRIVING DISPLAY APPARATUS, AND POINTING DEVICE | 26 |
Hideki Hayashi | JP | Yokohama-Shi | 2016-01-28 / 20160023233 - Liquid Material Discharge Device | 1 |
Takeki Hayashi | JP | Aichi-Ken | 2010-10-07 / 20100252350 - Air bag system | 9 |
Nobuhiko Hayashi | JP | Osaka | 2015-07-16 / 20150200622 - CONCENTRATING PHOTOELECTRIC CONVERSION DEVICE | 6 |
Nobuhiko Hayashi | JP | Moriguchi-Shi | 2009-05-07 / 20090114941 - Semiconductor device and method of fabricating the same and method of forming nitride based semiconductor layer | 1 |
Shuro Hayashi | JP | Hatsukaichi-Shi | 2012-07-19 / 20120184829 - APPARATUS FOR EXERCISE THERAPY AND DIAGNOSIS APPARATUS FOR LOWER EXTREMITY LIMB ARTERIAL OCCLUSIVE DISEASE | 1 |
Nobuhiko Hayashi | JP | Osaka-City | 2010-09-30 / 20100246100 - SOLID ELECTROLYTIC CAPACITOR | 3 |
Kotaro Hayashi | JP | Mishima-Shi | 2013-01-24 / 20130022511 - EXHAUST PURIFYING CATALYST | 15 |
Hidekazu Hayashi | JP | Yokohama-Shi | 2015-05-21 / 20150135549 - SUPERCRITICAL DRYING METHOD FOR SEMICONDUCTOR SUBSTRATE | 18 |
Seiji Hayashi | JP | Yokohama-Shi | 2015-10-22 / 20150305149 - PRINTED CIRCUIT BOARD | 9 |
Hisataka Hayashi | JP | Yokkaichi | 2016-01-28 / 20160027619 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Rumiko Hayashi | JP | Kawasaki | 2009-04-09 / 20090093253 - Hand-Off Control Method in Radio Communication System | 1 |
Etsuko Hayashi | JP | Kawasaki | 2010-06-24 / 20100157416 - Optical amplifier provided with control function of pumping light, and optical transmission system using the same | 6 |
Hiroyuki Hayashi | JP | Yokkaichi-Shi | 2014-03-06 / 20140061461 - DEFECT INSPECTION APPARATUS, DEFECT INSPECTION METHOD AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM | 2 |
Syogo Hayashi | JP | Kawasaki | 2011-09-22 / 20110231855 - APPARATUS AND METHOD FOR CONTROLLING PRIORITY | 2 |
Ritsuo Hayashi | JP | Kawasaki | 2010-05-27 / 20100130208 - Method For Handover In Wireless Communication System, Wireless Base Station, And Wireless Terminal | 3 |
Junji Hayashi | JP | Saitama-Shi | 2015-12-31 / 20150381883 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, PROGRAM, AND IMAGE PROCESSING METHOD | 14 |
Hiroya Hayashi | JP | Kawasaki | 2008-12-25 / 20080319985 - STORAGE MEDIUM, DATA EXTRACTION APPARATUS AND METHOD | 1 |
Akiko Hayashi | JP | Kawasaki | 2013-09-12 / 20130238615 - INFORMATION MANAGEMENT APPARATUS AND INFORMATION MANAGEMENT METHOD | 2 |
Hirooki Hayashi | JP | Kawasaki | 2014-03-27 / 20140088931 - COMPUTER PRODUCT, VERIFICATION SUPPORT METHOD, AND VERIFICATION SUPPORT APPARATUS | 5 |
Nobuyuki Hayashi | JP | Kawasaki | 2015-10-08 / 20150286354 - MEASURING INSTRUMENT | 8 |
Toshikatsu Hayashi | JP | Niigata | 2014-02-20 / 20140047974 - PISTON RING | 2 |
Toshio Hayashi | JP | Obu-City | 2012-11-22 / 20120294120 - ULTRASONIC SPEAKER, PARAMETRIC SPEAKER HAVING THE SAME AND VEHICLE PROXIMITY ANNUNCIATOR DEVICE HAVING THE SAME | 9 |
Takashi Hayashi | JP | Kanagawa | 2012-08-02 / 20120193726 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Harumi Hayashi | JP | Kyoto-Shi | 2015-01-29 / 20150028721 - VIBRATION DEVICE AND PORTABLE TERMINAL EMPLOYING THE SAME | 5 |
Yoshimitsu Hayashi | JP | Shimosuwa-Machi | 2014-10-02 / 20140292968 - IMAGE RECORDING APPARATUS | 4 |
Yoshimitsu Hayashi | JP | Nagano-Ken | 2012-08-02 / 20120194623 - ELECTROMAGNETIC IRRADIATION DEVICE AND IMAGE FORMING APPARATUS | 1 |
Satoshi Hayashi | JP | Kyoto | 2012-08-02 / 20120194894 - ELECTROCHROMIC COMPOUND, ELECTROCHROMIC COMPOSITION, AND DISPLAY ELEMENT | 1 |
Mikihito Hayashi | JP | Otawara | 2016-02-25 / 20160054454 - X-RAY CT APPARATUS AND X-RAY DETECTOR | 2 |
Nobuhiko Hayashi | JP | Osaka-Shi | 2012-11-29 / 20120299052 - SEMICONDUCTOR LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND OPTICAL DEVICE | 7 |
Nobuyuki Hayashi | JP | Osaka | 2015-10-29 / 20150309450 - IMAGE FORMING APPARATUS | 4 |
Shinnosuke Hayashi | JP | Kamakura | 2015-03-05 / 20150065717 - NIPECOTIC ACID DERIVATIVE AND USE THEREOF FOR MEDICAL PURPOSES | 1 |
Keisuke Hayashi | JP | Kanagawa | 2016-01-28 / 20160023465 - LIQUID DROPLET DISCHARGE HEAD, IMAGE FORMING APPARATUS INCLUDING SAME, AND METHOD OF INSPECTING LIQUID DROPLET DISCHARGE HEAD | 14 |
Nobuyuki Hayashi | JP | Hadano-Shi | 2014-05-15 / 20140137121 - JOB MANAGEMENT SYSTEM AND JOB CONTROL METHOD | 1 |
Nobuyuki Hayashi | JP | Yokohama | 2016-01-07 / 20160007502 - HEAT EXCHANGER, COOLING SYSTEM, AND ELECTRONIC DEVICE | 4 |
Nathanael Joe Hayashi | US | Piedmont | 2012-08-09 / 20120203853 - SYSTEM AND METHOD FOR DELIVERY OF AUGMENTED MESSAGES | 8 |
Chikashi Hayashi | JP | Takatsuki-Shi | 2011-03-17 / 20110064379 - INFORMATION RECORDING/REPRODUCING APPARATUS | 1 |
Nobuyuki Hayashi | JP | Tajimi-Shi | 2008-12-18 / 20080310253 - Multi-component mixing apparatus | 1 |
Nobuyuki Hayashi | JP | Tokyo-To | 2010-08-26 / 20100216127 - PRIMER SET FOR USE IN DETECTION OF YEAST OF GENUS SACCHAROMYCES | 2 |
Kenji Hayashi | JP | Nagoya-Shi | 2015-10-29 / 20150308511 - TORQUE LIMITER, VARIABLE TRANSMISSION RATIO DEVICE, AND TOLERANCE RING | 3 |
Tuyoshi Hayashi | JP | Toyota-Shi | 2015-03-05 / 20150064521 - BATTERY, ASSEMBLED BATTERY, AND VEHICLE | 1 |
Masato Hayashi | JP | Hachioji | 2013-09-12 / 20130239112 - INFORMATION PROCESSING SYSTEM | 1 |
Yuji Hayashi | JP | Nishio-City | 2016-04-28 / 20160118904 - POWER CONVERSION APPARATUS | 1 |
Ruri Hayashi | JP | Yokohama-Shi | 2016-02-04 / 20160034264 - INFORMATION PROCESSING APPARATUS, PROGRAM MANAGEMENT METHOD FOR INFORMATION PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 1 |
Isao Hayashi | JP | Kawasaki-Shi | 2014-11-27 / 20140348524 - HEATING APPARATUS FOR HEATING A TONER IMAGE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 9 |
Hiroo Hayashi | JP | Kanagawa-Ken | 2011-03-24 / 20110072170 - Systems and Methods for Transferring Data to Maintain Preferred Slot Positions in a Bi-endian Processor | 1 |
Masaki Hayashi | JP | Matsumoto | 2015-03-12 / 20150073277 - ULTRASONIC MEASUREMENT APPARATUS, ULTRASONIC IMAGE APPARATUS, AND ULTRASONIC MEASUREMENT METHOD | 4 |
Michael T. Hayashi | US | Evergreen | 2013-04-25 / 20130104162 - TECHNIQUE FOR SECURELY COMMUNICATING PROGRAMMING CONTENT | 2 |
Jun Hayashi | US | Columbia | 2012-08-16 / 20120207773 - MATERIALS AND METHODS FOR THE DEVELOPMENT OF AN ANTIGEN-SPECIFIC IMMUNE NON-RESPONSIVENESS STATE | 1 |
Ko Hayashi | US | Las Vegas | 2012-08-16 / 20120205920 - AUTONOMOUS DOOR DEFENSE SYSTEM AND METHOD | 1 |
Shogo Hayashi | JP | Kagawa | 2011-03-24 / 20110071769 - METHOD AND APPARATUS FOR DETERMINING STRUCTURAL DAMAGE DEPTH, AND METHOD AND APPARATUS FOR DETERMINING STRUCTURAL DAMAGE TREATMENT | 3 |
Kouki Hayashi | JP | Tokyo | 2016-05-19 / 20160142870 - RADIO COMMUNICATION SYSTEM AND INFORMATION IDENTIFICATION METHOD | 4 |
Hiroyuki Hayashi | JP | Yamanashi | 2014-10-02 / 20140291318 - MICROWAVE HEATING APPARATUS | 2 |
Katsuhiko Hayashi | JP | Shiojiri-Shi | 2012-12-27 / 20120325550 - CONNECTION STRUCTURE AND CONNECTION METHOD | 1 |
Akihiro Hayashi | JP | Obu-Shi | 2012-08-16 / 20120208939 - Oil Type Release Agent for Die Casting Method for Getting Solvent Mixing Ratio, Casting Method, and Spray Unit | 1 |
Tooru Hayashi | JP | Kodaira-Shi | 2011-01-27 / 20110017372 - STUDLESS TIRE | 1 |
Masaaki Hayashi | US | 2012-12-27 / 20120325311 - GLASS COMPOSITION FOR DYE-SENSITIZED SOLAR CELL AND MATERIAL FOR DYE-SENSITIZED SOLAR CELL | 1 | |
Yuki Hayashi | JP | Tachikawa-Shi | 2013-09-05 / 20130229533 - ELECTRONIC DEVICE AND RECEPTION CONTROL METHOD | 1 |
Takahiro Hayashi | JP | Hamamatsu-Shi | 2013-08-22 / 20130213447 - THERMOELECTRIC CONVERSION MODULE AND METHOD FOR MANUFACTURING THERMOELECTRIC CONVERSION MODULE | 1 |
Seiji Hayashi | JP | Yokohama-Shi | 2015-10-22 / 20150305149 - PRINTED CIRCUIT BOARD | 9 |
Kenichi Hayashi | JP | Kawasaki-Shi | 2013-08-22 / 20130214137 - PHOTOELECTRIC ENCODER | 1 |
Kenichi Hayashi | JP | Osaka | 2015-01-29 / 20150030352 - IMAGE FORMING APPARATUS, SUPPORTING MEMBER AND CLEANING DEVICE | 3 |
Kenichi Hayashi | JP | Kamakura | 2014-05-08 / 20140128606 - ANTIPRURITIC AGENT | 1 |
Yosuke Hayashi | JP | Aichi | 2015-09-03 / 20150248101 - ELECTROPHOTOGRAPHIC DEVICE MEMBER | 3 |
Takayoshi Hayashi | JP | Fuchu-Cho | 2009-06-25 / 20090159045 - SPARK-IGNITION GASOLINE ENGINE | 1 |
Seiji Hayashi | JP | Nagoya | 2010-01-07 / 20100000075 - STATOR MANUFACTURING APPARATUS AND METHOD FOR ROTARY ELECTRIC MACHINES | 1 |
Tsuneyuki Hayashi | JP | Tsukuba-Shi | 2016-02-11 / 20160042988 - SEMICONDUCTOR PROCESS CARRIER | 1 |
Seiji Hayashi | JP | Okazaki | 2009-07-02 / 20090167565 - Navigation apparatus and computer program | 1 |
Seiji Hayashi | JP | Anjo | 2009-07-02 / 20090171567 - Navigation devices, methods and programs | 3 |
Seiji Hayashi | JP | Aisai-Shi | 2008-11-13 / 20080277823 - Manufacturing method of film insert molded article | 1 |
Kazunori Hayashi | JP | Tokyo | 2016-05-19 / 20160140768 - INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 55 |
Takafumi Hayashi | JP | Nisshin-Shi | 2013-08-15 / 20130206952 - VEHICLE SEAT SLIDING APPARATUS | 1 |
Kenkichi Hayashi | JP | Kurokawa-Gun | 2011-09-29 / 20110234845 - AUTO WHITE BALANCE CORRECTION VALUE CALCULATION DEVICE, METHOD, PROGRAM, AND IMAGE PICKUP DEVICE | 5 |
Yutaka Hayashi | JP | Nomi-City | 2014-10-09 / 20140302979 - Porous Ceramic And Method For Producing Same | 2 |
Norihiro Hayashi | JP | Hiroshima | 2009-06-11 / 20090149440 - NOVEL PYRIDONECARBOXYLIC ACID DERIVATIVES OR SALTS THEREOF | 1 |
Norihiro Hayashi | JP | Okazaki-Shi | 2009-10-01 / 20090241912 - Fuel supply system | 1 |
Hiroyuki Hayashi | JP | Konan-Shi | 2014-08-28 / 20140238973 - HEATER CONTROL METHOD AND HEATER CONTROL APPARATUS FOR GAS SENSOR | 2 |
Norihiro Hayashi | CA | Vancouver | 2013-01-31 / 20130028896 - Semaphorin 3C (Sema3C) Inhibitor Therapeutics, Methods, and Uses | 2 |
Kanako Hayashi | JP | Shiga | 2013-08-29 / 20130224412 - HEAT-SHRINKABLE LAMINATED FILM, MOLDED PRODUCT AND HEAT-SHRINKABLE LABEL COMPRISING THE FILM, AND CONTAINER | 1 |
Tomohiro Hayashi | JP | Tokyo | 2016-03-03 / 20160064397 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 8 |
Yasuyoshi Hayashi | JP | Kuwana-Shi | 2013-08-29 / 20130223779 - ROLLER BEARING | 1 |
Yoshikatsu Hayashi | JP | Tokyo | 2013-10-10 / 20130264149 - ELEVATOR APPARATUS | 2 |
Junichi Hayashi | JP | Nanto | 2014-04-10 / 20140100094 - TOOL MAGAZINE AND MACHINING CENTER | 2 |
Yuuichirou Hayashi | JP | Gifu-Shi | 2010-05-27 / 20100127060 - FOLDING BOX MADE OF SYNTHETIC RESIN | 1 |
Masamichi Hayashi | JP | Tokyo | 2009-03-05 / 20090059760 - RECORDING-MEDIUM DRIVING DEVICE | 1 |
Kenichi Hayashi | JP | Tokyo | 2016-03-03 / 20160063361 - IMAGE DETECTION APPARATUS AND IMAGE FORMING APPARATUS | 10 |
Renji Hayashi | JP | Matsudo-Shi | 2014-01-23 / 20140023986 - MOTORIZED SYRINGE FOR USE WITH TWO TYPES OF DENTAL ANESTHETIC SOLUTION-CONTAINING CARTRIDGES | 3 |
Akio Hayashi | JP | Chiba | 2016-03-24 / 20160082135 - PRECURSOR COMPOUND OF RADIOACTIVE HALOGEN-LABELED ORGANIC COMPOUND | 8 |
Akinari Hayashi | JP | Saitama | 2014-12-11 / 20140364016 - CONNECTION UNIT | 1 |
Noriyuki Hayashi | JP | Tokyo | 2015-12-10 / 20150354444 - VARIABLE-GEOMETRY EXHAUST TURBINE | 20 |
Mana Hayashi | JP | Wako-Shi | 2014-08-28 / 20140244109 - TURN SIGNAL CANCELLING DEVICE FOR VEHICLE | 1 |
Kenji Hayashi | JP | Tokyo | 2015-07-23 / 20150203945 - THICK-WALLED, HIGH TENSILE STRENGTH STEEL WITH EXCELLENT CTOD CHARACTERISTICS OF THE WELD HEAT-AFFECTED ZONE, AND MANUFACTURING METHOD THEREOF | 37 |
Kazuyuki Hayashi | JP | Hiroshima-Ken | 2012-02-23 / 20120042750 - PROCESS FOR PRODUCING MAGNETIC METAL PARTICLES FOR MAGNETIC RECORDING, AND MAGNETIC RECORDING MEDIUM | 3 |
Kazuyuki Hayashi | JP | Tokyo | 2014-11-13 / 20140335215 - BLANK FOR NANOIMPRINT MOLD, NANOIMPRINT MOLD, AND METHODS FOR PRODUCING SAID BLANK AND SAID NANOIMPRINT MOLD | 8 |
Kazuyuki Hayashi | JP | Chiyoda-Ku | 2015-10-22 / 20150301442 - REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, SUBSTRATE WITH FUNTION FILM FOR THE MASK BLANK, AND METHODS FOR THEIR PRODUCTION | 12 |
Kazuyuki Hayashi | JP | Yotsukaido-Shi | 2009-10-01 / 20090245742 - SILICA-BASED SINGLE CORE OPTICAL FIBER, SILICA-BASED MULTI CORE OPTICAL FIBER, AND FABRICATION METHOD FOR THE SAME | 2 |
Kazuyuki Hayashi | JP | Hiroshima | 2010-10-07 / 20100255188 - SOFT MAGNETIC MATERIAL, POWDER MAGNETIC CORE AND METHOD OF MANUFACTURING SOFT MAGNETIC MATERIAL | 3 |
Kazuyuki Hayashi | JP | Otake-Shi | 2015-03-05 / 20150064553 - NEGATIVE ELECTRODE ACTIVE SUBSTANCE PARTICLES FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERIES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 8 |
Kazuyuki Hayashi | JP | Kawasaki-Shi | 2012-02-16 / 20120040415 - METHOD FOR PRODUCING AN L-AMINO ACID | 2 |
Kazuyuki Hayashi | JP | Osaka | 2009-01-22 / 20090022915 - PARTICLE AND PREPARATION CONTAINING THE PARTICLE | 1 |
Kazuyuki Hayashi | JP | Hiroshima-Shi | 2009-01-08 / 20090007821 - Functional material, dispersion containing the functional material, and process for producing the functional material | 1 |
Hirokatsu Hayashi | JP | Kodaira | 2013-07-25 / 20130187610 - CHARGING/DISCHARGING MONITORING DEVICE AND BATTERY PACK | 1 |
Mami Hayashi | JP | Iyo-Gun | 2013-08-22 / 20130217805 - EPOXY RESIN COMPOSITION, PREPREG AND FIBER-REINFORCED COMPOSITE MATERIALS | 1 |
Yosuke Hayashi | JP | Chiyoda-Ku | 2010-06-17 / 20100151896 - PoC Server, PoC Terminal, Floor Control Method, and PoC Terminal Control Method | 1 |
Takehiro Hayashi | JP | Chiyoda-Ku | 2012-09-13 / 20120227438 - PLATE HEAT EXCHANGER AND HEAT PUMP APPARATUS | 1 |
Tadashi Hayashi | JP | Chiyoda-Ku | 2012-09-13 / 20120232139 - COMPOSITION FOR OCULAR TOPICAL ADMINISTRATION TREATMENT OCULAR HYPERTENSION AND GLAUCOMA | 2 |
Koji Hayashi | JP | Chuo-Ku | 2013-08-22 / 20130216920 - NONAQUEOUS ELECTROLYTE BATTERY | 3 |
Kensuke Hayashi | JP | Chiyoda-Ku | 2014-12-25 / 20140379191 - VEHICLE POWER-GENERATOR DEVICE AND VEHICLE POWER-GENERATION CONTROL METHOD | 6 |
Hideyuki Hayashi | JP | Chiyoda-Ku | 2015-06-25 / 20150180315 - ROTARY ELECTRIC MACHINE FOR VEHICLE | 7 |
Yugo Hayashi | JP | Kyoto-Shi | 2013-09-26 / 20130252735 - GAME CONTROLLER, STORAGE MEDIUM STORING GAME PROGRAM, AND GAME APPARATUS | 12 |
Takaya Hayashi | JP | Nagaokakyo-Shi | 2009-02-26 / 20090052564 - RECEIVING APPARATUS AND RECEIVING METHOD | 1 |
Hiroaki Hayashi | JP | Kokubunji | 2010-12-30 / 20100328753 - Optical module, integrated semiconductor optical device and manufacturing method thereof | 1 |
Hiroaki Hayashi | JP | Kanagawa-Ken | 2010-09-09 / 20100227258 - FUEL CARTRIDGE FOR FUEL CELL AND FUEL CELL USING THE SAME | 2 |
Hiroaki Hayashi | JP | Kanagawa | 2012-02-02 / 20120024814 - TWIST-CUT UNSEALING MECHANISM FOR CONTAINER | 4 |
Hiroaki Hayashi | JP | Hiroshima | 2011-05-05 / 20110104511 - METHOD OF BONDING METALLIC MEMBERS, AND METALLIC BONDED BODY | 1 |
Hiroaki Hayashi | JP | Kyoto | 2009-04-16 / 20090096402 - MOTOR DRIVE DEVICE AND COOLING DEVICE USING THE SAME | 4 |
Hiroaki Hayashi | JP | Hikari-Shi | 2009-01-08 / 20090008366 - ETCHING COMPOSITION AND METHOD FOR ETCHING A SUBSTRATE | 1 |
Masato Hayashi | JP | Tokyo | 2016-03-17 / 20160077877 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING METHOD | 10 |
Tsuneo Hayashi | JP | Chiba | 2016-04-14 / 20160105659 - STEREOSCOPIC PICTURE GENERATION APPARATUS AND STEREOSCOPIC PICTURE GENERATION METHOD | 9 |
Hiroki Hayashi | JP | Ichihara-Shi | 2012-09-13 / 20120227786 - CONDUCTIVE ADHESIVE, SOLAR CELL, METHOD FOR MANUFACTURING SOLAR CELL, AND SOLAR CELL MODULE | 1 |
Kazuyuki Hayashi | JP | Otake-Shi | 2015-03-05 / 20150064553 - NEGATIVE ELECTRODE ACTIVE SUBSTANCE PARTICLES FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERIES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 8 |
Hisataka Hayashi | JP | Yokohoma-Shi | 2009-08-06 / 20090194508 - SUBSTRATE PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Naoki Hayashi | JP | Yokohama | 2016-03-03 / 20160062835 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, CONTROL METHOD FOR INFORMATION PROCESSING SYSTEM, AND MEDIUM | 8 |
Yugo Hayashi | JP | Kyoto | 2014-08-28 / 20140244722 - INFORMATION SHARING SYSTEM | 5 |
Tokutarou Hayashi | JP | Koshi-Shi | 2014-08-21 / 20140234991 - Thermal processing apparatus for thermal processing substrate and positioning method of positioning substrate transfer position | 8 |
Hisato Hayashi | JP | Funabashi-Shi | 2016-03-17 / 20160074289 - THICKENING COMPOSITION | 8 |
Tetsuro Hayashi | JP | Hagagun | 2010-07-01 / 20100163532 - METHOD OF DETECTING DUST AND METHOD OF PREVENTING ERRONEOUS DETERMINATION OF DUST DETECTION | 1 |
Shusaku Hayashi | JP | Tokyo | 2012-09-13 / 20120230679 - OPTICAL TRANSMITTER | 1 |
Michiya Hayashi | JP | Shizuoka | 2014-05-29 / 20140144271 - SPEED CHANGE CONTROLLER FOR SADDLE-RIDE TYPE VEHICLES | 2 |
Shinya Hayashi | JP | Yokohama-Shi | 2012-09-27 / 20120244059 - METHOD FOR MANUFACTURING SILICON TETRACHLORIDE AND METHOD FOR MANUFACTURING SILICON FOR USE IN A SOLAR CELL | 2 |
Katsuyoshi Hayashi | JP | Kanagawa-Ken | 2010-09-16 / 20100233033 - FLOW CELL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Toshiharu Hayashi | JP | Naka-Gun | 2015-09-17 / 20150259803 - DISPERSION OF METAL NANOPARTICLES, METHOD FOR PRODUCING THE SAME, AND METHOD FOR SYNTHESIZING METAL NANOPARTICLES | 10 |
Ken-Ichi Hayashi | JP | Aichi | 2012-09-13 / 20120231215 - VEHICLE DOOR TRIM PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yujiro Hayashi | JP | Sendai-Shi | 2013-08-22 / 20130217901 - PROCESS FOR PRODUCING OPTICALLY ACTIVE 4-CHLORO-3-HYDROXYBUTANAL COMPOUND | 2 |
Hideki Hayashi | JP | Ibaraki | 2014-02-13 / 20140044975 - RUBBER METAL LAMINATE | 2 |
Hideaki Hayashi | JP | Toyokawa-Shi | 2014-11-20 / 20140341607 - IMAGE FORMING APPARATUS | 8 |
Hiroki Hayashi | JP | Tsukuba-Shi | 2016-03-31 / 20160093584 - ADHESIVE COMPOSITION, ELECTRONIC-COMPONENT-MOUNTED SUBSTRATE AND | 8 |
Koji Hayashi | JP | Kanagawa-Ken | 2012-09-13 / 20120231673 - RECEPTACLE CONNECTOR AND PLUG CONNECTOR TO BE FITTED TO THE RECEPTACLE CONNECTOR | 1 |
Yuki Hayashi | JP | Kamakura-Shi | 2012-09-20 / 20120237575 - FILM COATING AGENT FOR SOLID PREPARATION, AND SOLID PREPARATION USING SAME | 2 |
Teruyuki Hayashi | JP | Nirasaki | 2013-12-12 / 20130330928 - FILM FORMING DEVICE, SUBSTRATE PROCESSING SYSTEM AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 2 |
Yuki Hayashi | JP | Kashiwa | 2014-10-02 / 20140296450 - SOLVENT-FREE CROSSLINKED POLYROTAXANE MATERIAL AND PROCESS FOR PRODUCTION OF SAME | 3 |
Yuki Hayashi | JP | Kamakura | 2013-07-25 / 20130189325 - METHODS OF APPLYING COATING MATERIALS FOR SOLID MEDICINES | 2 |
Yuki Hayashi | JP | Ome-Shi | 2012-08-09 / 20120202552 - WIRELESS UNIT AND MOBILE COMMUNICATION TERMINAL WITH THE WIRELESS UNIT | 3 |
Kimio Hayashi | JP | Tokushima-Shi | 2009-09-24 / 20090237405 - DATA PROCESSING APPARATUS AND DATA PROCESSING METHOD | 1 |
Kohji Hayashi | JP | Osaka-Shi | 2013-08-15 / 20130208216 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Shinichi Hayashi | JP | Koshi City | 2013-05-09 / 20130112224 - SUBSTRATE TREATMENT SYSTEM, SUBSTRATE TRANSFER METHOD AND COMPUTER STORAGE MEDIUM | 3 |
Katsumoto Hayashi | JP | Osaka-Shi | 2013-01-31 / 20130031394 - IMAGE FORMING APPARATUS FOR FORMING IMAGE ON SHEET | 1 |
Masahiro Hayashi | JP | Asaka | 2013-08-15 / 20130206287 - CO-BASED ALLOY | 1 |
Akito Hayashi | JP | Ichihara-Shi | 2014-12-18 / 20140371330 - Novel Co-Modified Organopolysiloxane, And Treatment Agent And External Use Preparation Comprising The Same | 11 |
Noriyuki Hayashi | JP | Nagasaki-Ken | 2008-09-18 / 20080223956 - Mounting structure for variable nozzle mechanism in variable-throat exhaust turbocharger | 1 |
Noriyuki Hayashi | JP | Nagasaki | 2009-06-18 / 20090151348 - Exhaust Turbo Supercharger | 1 |
Noriyuki Hayashi | JP | Imizu-Shi | 2009-09-24 / 20090239828 - Adhesive preparation | 1 |
Noriyuki Hayashi | JP | Okazaki-Shi | 2010-03-04 / 20100052465 - STATOR OF ELECTRIC ROTATING MACHINE | 1 |
Noriyuki Hayashi | JP | Tokyo | 2015-12-10 / 20150354444 - VARIABLE-GEOMETRY EXHAUST TURBINE | 20 |
Noriyuki Hayashi | JP | Nagasaki-Shi | 2010-08-12 / 20100202874 - VARIABLE NOZZLE MECHANISM | 2 |
Hideaki Hayashi | JP | Tokyo | 2014-10-16 / 20140305502 - GLASS SUBSTRATE AND METHOD FOR PRODUCING GLASS SUBSTRATE | 3 |
Shigeki Hayashi | JP | Kanagawa Prefecture | 2010-07-01 / 20100163156 - Can Cap Sealing Composition And Use Thereof | 1 |
Shigeki Hayashi | JP | Koto-Ku | 2010-04-15 / 20100093424 - GAMING MACHINE WITH A PREDETERMINED NUMBER OF SYMBOLS SCROLLED FOR DISPLAY PRIOR TO WILD SYMBOL AND HAVING A PREDETERMINED BACKGROUND COLOR AND CONTROL METHOD THEREOF | 2 |
Shigeki Hayashi | JP | Osaka | 2015-08-20 / 20150231943 - Hydraulic Suspension System for Work Vehicle | 15 |
Kunihiko Hayashi | JP | Yokohama-Shi | 2016-02-18 / 20160048530 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Shigeki Hayashi | JP | Sakai-Shi | 2016-02-18 / 20160046278 - Vehicle | 6 |
Shigeki Hayashi | JP | Kyoto | 2010-08-05 / 20100195799 - X-RAY TUBE APPARATUS | 1 |
Shigeki Hayashi | JP | Osaka-Shi | 2012-08-23 / 20120213554 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Hirotaka Hayashi | JP | Fukaya-Shi | 2013-07-25 / 20130187877 - DISPLAY DEVICE | 10 |
Shigeki Hayashi | JP | Nagoya-City | 2010-12-09 / 20100307245 - SUPPORT MEMBER OF VIBRATOR | 2 |
Shigeki Hayashi | JP | Ikoma-Gun | 2009-10-15 / 20090257763 - DEVELOPMENT DEVICE | 2 |
Naoki Hayashi | JP | Tochigi | 2011-08-11 / 20110192639 - NOVEL SULFONIUM BORATE COMPLEX | 2 |
Naoki Hayashi | JP | Mishima-Shi | 2011-05-05 / 20110103835 - DEVELOPING CARTRIDGE | 2 |
Naoki Hayashi | JP | Chiba | 2015-07-02 / 20150187386 - EDITING APPARATUS, REPRODUCTION APPARATUS, EDITING METHOD, REPRODUCTION METHOD, AND PROGRAM | 9 |
Hidekazu Hayashi | JP | Osaka-Shi | 2015-11-12 / 20150321386 - MOLD RELEASE TREATMENT METHOD AND METHOD FOR PRODUCING ANTI-REFLECTIVE FILM | 13 |
Naoki Hayashi | JP | Ashigarakami-Gun | 2010-12-30 / 20100332606 - ELECTRONIC MAIL SENDING SYSTEM, ELECTRONIC MAIL SENDING METHOD, AND COMPUTER READABLE MEDIUM | 3 |
Naoki Hayashi | JP | Matsumoto-Shi | 2011-05-12 / 20110109542 - IMAGE DISPLAY DEVICE AND METHOD OF CONTROLLING THE SAME | 5 |
Naoki Hayashi | JP | Kawasaki | 2013-10-03 / 20130262683 - PARALLEL COMPUTER SYSTEM AND CONTROL METHOD | 2 |
Naoki Hayashi | JP | Tokyo | 2015-09-17 / 20150259320 - METHOD FOR PRODUCING GLYCOLIDE, WHICH IS PROVIDED WITH RECTIFICATION STEP BY MEANS OF GAS-LIQUID COUNTERCURRENT CONTACT, AND METHOD FOR PURIFYING CRUDE GLYCOLIDE | 8 |
Hideaki Hayashi | JP | Tokyokawa-Shi | 2009-07-09 / 20090175636 - IMAGE FORMING APPARATUS | 1 |
Hiroshi Hayashi | JP | Iwaki-Shi | 2016-02-18 / 20160049699 - METHOD FOR PROCESSING FLUORINE-CONTAINING ELECTROLYTE SOLUTION | 1 |
Hidetoshi Hayashi | JP | Omuta-Shi, Fukuoka | 2010-03-11 / 20100063246 - POLYMERIZABLE COMPOSITION, RESIN USING THE SAME, OPTICAL COMPONENT AND LENS | 1 |
Yasuhiro Hayashi | JP | Anjo-Shi | 2012-09-27 / 20120241049 - ELECTRIC POWER TOOL | 1 |
Takaki Hayashi | JP | Kodaira-Shi | 2012-09-27 / 20120241060 - PNEUMATIC TIRE FOR MOTORCYCLE | 1 |
Akitoshi Hayashi | JP | Sakai-Shi | 2015-09-03 / 20150249266 - SULFIDE SOLID ELECTROLYTE MATERIAL | 7 |
Hidetoshi Hayashi | JP | Yamato-Shi | 2010-06-10 / 20100141790 - IMAGING APPARATUS FOR PROCESSING NOISE SIGNAL AND PHOTOELECTRIC CONVERSION SIGNAL | 1 |
Hidetoshi Hayashi | JP | Fukuoka | 2012-02-16 / 20120040418 - METHOD FOR PRODUCING 3-MERCAPTOPROPIONIC ACID OR SALT THEREOF | 9 |
Hidetoshi Hayashi | JP | Fujisawa-Shi | 2014-03-20 / 20140078377 - FOCUS DETECTION APPARATUS | 8 |
Hidetoshi Hayashi | JP | Omuta-Shi | 2013-01-17 / 20130018169 - Metal Thietane Compound, Polymerizable Composition Containing the Compound, Resin and Use of the Resin | 4 |
Naoki Hayashi | JP | Kawasaki-Shi | 2016-03-24 / 20160085201 - CLEANING APPARATUS, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS | 12 |
Kazuhiro Hayashi | JP | Fukushima | 2016-02-18 / 20160050780 - ELECTRONIC APPARATUS AND METHOD OF MANUFACTURING ELECTRONIC APPARATUS | 1 |
Yoko Hayashi | JP | Tsukuba-Shi | 2010-09-30 / 20100249119 - HETEROCYCLIC COMPOUND AND USE THEREOF | 1 |
Yoko Hayashi | JP | Kakogawa-Shi | 2014-01-23 / 20140023872 - METHOD FOR PRODUCING CATIONIC SURFACE SIZING AGENT, AND SIZING AGENT OBTAINED BY METHOD | 3 |
Miki Hayashi | JP | Tokyo | 2010-09-30 / 20100248072 - FUEL CELL | 1 |
Junichi Hayashi | JP | Chuo-Ku | 2009-10-08 / 20090251183 - DELAY LOCK LOOP CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Junichi Hayashi | JP | Kamakura-Shi | 2013-03-07 / 20130057880 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 13 |
Junichi Hayashi | JP | Tokyo | 2015-08-27 / 20150243347 - SEMICONDUCTOR DEVICE PREVENTING MULTIWORD STATE | 11 |
Junichi Hayashi | JP | Hachinohe | 2013-10-03 / 20130256957 - TRANSLUCENT ALUMINA AND METHOD FOR PRODUCING TRANSLUCENT ALUMINA | 4 |
Junichi Hayashi | JP | Okaya | 2009-01-29 / 20090029321 - METHOD FOR MAUFACTURING DENTAL IMPLANT AND DENTAL IMPLANT | 4 |
Junichi Hayashi | JP | Kanagawa-Ken | 2008-11-13 / 20080279380 - Information Processing Method, Information Processing Device, Computer Program For Achieving the Information Processing Method, and Computer-Readable Storage Medium of Storing the Computer Program | 1 |
Harumi Hayashi | JP | Kirishima-Shi | 2015-02-26 / 20150054382 - PIEZOELECTRIC VIBRATION COMPONENT AND PORTABLE TERMINAL | 1 |
Yosuhiro Hayashi | JP | Yokohama-Shi | 2012-09-27 / 20120242389 - SENSOR CONTROL CIRCUIT AND SENSOR SYSTEM | 1 |
Hiroki Hayashi | JP | Kobe-Shi | 2013-08-08 / 20130202964 - HYDROGEN ABSORBING ALLOY, NEGATIVE POLE, AND NICKEL-HYDROGEN SECONDARY BATTERY | 1 |
Noriyuki Hayashi | JP | Kanagawa | 2011-01-13 / 20110005222 - EXHAUST-BYPASS VALVE OF TURBOCHARGER | 1 |
Seiichiro Hayashi | JP | Nagoya-City | 2016-05-19 / 20160136633 - HONEYCOMB FORMED BODY EXTRUDING DIE | 10 |
Katsuhiko Hayashi | JP | Shinjuku-Ku | 2012-09-27 / 20120244421 - BATTERY CASE FORMING SHEET AND BATTERY PACKET | 1 |
Masanori Hayashi | JP | Sakura-Shi | 2016-02-25 / 20160053110 - POLYESTER RESIN COMPOSITION, ADHESIVE AND FILM | 1 |
Hisahiro Hayashi | JP | Fujisawa | 2013-08-29 / 20130227217 - ARCHIVE SYSTEM AND PROCESSING METHOD | 2 |
Shigetoshi Hayashi | JP | Kyoto | 2015-07-23 / 20150206643 - LAMINATED-TYPE INDUCTANCE DEVICE | 2 |
Takuro Hayashi | JP | Chigasaki-Shi | 2013-08-08 / 20130199572 - FILM-FORMING APPARATUS, AND METHOD FOR MAINTAINING FILM-FORMING APPARATUS | 1 |
Kenji Hayashi | JP | Okazaki-Shi | 2014-01-16 / 20140014065 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENIGNE AND VEHICLE INCORPORATING CONTROL DEVICE | 2 |
Koji Hayashi | JP | Kobe-Shi | 2013-07-04 / 20130167997 - PNEUMATIC TIRE | 2 |
Yoshihiro Hayashi | JP | Tachikawa | 2012-10-04 / 20120249227 - VOLTAGE LEVEL GENERATOR CIRCUIT | 1 |
Shinzou Hayashi | JP | Nagoya-City | 2009-12-31 / 20090320315 - METHOD OF DRYING HONEYCOMB ARTICLE, AND DRYING APPARATUS THEREFOR | 3 |
Masami Hayashi | JP | Ishikawa-Shi | 2013-07-18 / 20130182363 - METHOD OF ELIMINATING STATIC CHARGE FROM RESIN VESSEL, METHOD OF STERILIZING AND FILLING RESIN VESSEL, METHOD OF FILLING AND CAPPING RESIN VESSEL, APPARATUS FOR ELIMINATING STATIC CHARGE FROM RESIN VESSEL, AND RESIN VESSEL STERILIZING AND FILLING SYSTEM | 1 |
Masami Hayashi | JP | Kanazawa-Shi | 2013-08-08 / 20130202481 - APPARATUS AND METHOD FOR STERILIZING VESSEL WITH ELECTRON BEAM | 3 |
Masami Hayashi | JP | Ishikawa | 2010-08-12 / 20100202918 - Apparatus and method for sterilizing vessel with electron beam | 1 |
Masami Hayashi | JP | Kumamoto | 2016-03-24 / 20160084992 - DISPLAY | 12 |
Hisahiro Hayashi | JP | Yamato | 2012-10-04 / 20120250476 - RECORDING/REPRODUCING DEVICE | 1 |
Hidekazu Hayashi | JP | Kanagawa-Ken | 2011-03-17 / 20110061684 - CLEANING METHOD FOR SEMICONDUCTOR WAFER | 1 |
Kyohei Hayashi | JP | Hiroshima-Shi | 2012-10-04 / 20120251752 - POROUS MEMBER, POROUS-MAKING METHOD, AND METHOD OF PRODUCING POROUS MEMBER | 1 |
Ryuzo Hayashi | JP | Minato-Ku | 2012-10-04 / 20120252318 - TOOTH-PROFILE MANAGEMENT SYSTEM FOR SHAVING-CUTTER GRINDING MACHINE | 1 |
Chihiro Hayashi | JP | Kariya-Shi | 2012-10-04 / 20120252588 - TORQUE FLUCTUATION ABSORBER | 1 |
Masayuki Hayashi | JP | Setouchi-Shi | 2012-10-04 / 20120252941 - BISBENZOXAZINONE COMPOUND | 1 |
Hidekazu Hayashi | JP | Yokohama-Shi | 2015-05-21 / 20150135549 - SUPERCRITICAL DRYING METHOD FOR SEMICONDUCTOR SUBSTRATE | 18 |
Akihisa Hayashi | JP | Ageo | 2008-10-02 / 20080242505 - Apparatus for and method of controlling automatic transmission | 1 |
Hiroki Hayashi | JP | Osaka | 2015-06-11 / 20150159757 - GLAND PACKING | 4 |
Daisuke Hayashi | JP | Kakogawa-Shi | 2016-02-25 / 20160056479 - TITANIUM SHEET MATERIAL FOR FUEL CELL SEPARATORS AND METHOD FOR PRODUCING SAME | 1 |
Masakazu Hayashi | JP | Chiba | 2013-04-11 / 20130091470 - SYSTEM FOR MANAGING DATA OBJECTS | 1 |
Seigo Hayashi | JP | Nagoya-Shi, Aichi-Ken | 2013-08-01 / 20130195476 - IMAGE FORMING APPARATUS | 1 |
Keiichiro Hayashi | JP | Chiba | 2013-05-30 / 20130134300 - OPTICAL SENSOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Yutaka Hayashi | JP | Yokohama-Shi | 2016-03-03 / 20160059201 - BIOCHIP FIXING METHOD, BIOCHIP FIXING DEVICE, AND SCREENING METHOD FOR BIOMOLECULE ARRAY | 1 |
Shinzo Hayashi | JP | Nagoya-City | 2010-09-30 / 20100242426 - CERAMIC HONEYCOMB STRUCTURE | 1 |
Takeshi Hayashi | JP | Nagoya-Shi | 2016-03-03 / 20160061064 - VALVE TIMING CONTROL APPARATUS | 1 |
Aya Hayashi | JP | Sendai-Shi | 2014-05-15 / 20140132657 - INK-JET RECORDING DEVICE AND INK-JET RECORDING CONTROL METHOD | 4 |
Kenshi Hayashi | JP | Fukuoka | 2010-01-14 / 20100007460 - BIOMETRICS SENSOR | 1 |
Shigeki Hayashi | JP | Yokohama-Shi | 2016-03-03 / 20160062303 - CLEANING DEVICE AND ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS HAVING THE SAME | 1 |
Noaki Hayashi | JP | Chiba | 2012-10-11 / 20120257083 - Information Processing Apparatus and Information Processing Method | 1 |
Yuzuru Hayashi | JP | Chiba | 2016-03-03 / 20160062952 - MEASUREMENT PRECISION EVAULATION DEVICE, METHOD, AND COMPUTABLE READABLE MEDIUM | 1 |
Hidekazu Hayashi | JP | Osaka | 2012-12-06 / 20120308678 - MOLD RELEASE TREATMENT METHOD, MOLD, METHOD FOR PRODUCING ANTI-REFLECTIVE FILM, MOLD RELEASE TREATMENT DEVICE, AND WASHING/DRYING DEVICE FOR MOLD | 7 |
Hidekazu Hayashi | JP | Anjo-Shi | 2014-12-04 / 20140356665 - BATTERY PACK | 8 |
Hidekazu Hayashi | JP | Osaka-Shi | 2015-11-12 / 20150321386 - MOLD RELEASE TREATMENT METHOD AND METHOD FOR PRODUCING ANTI-REFLECTIVE FILM | 13 |
Koji Hayashi | JP | Tatebayashi | 2013-08-01 / 20130196268 - LITHOGRAPHIC PRINTING PLATE PRECURSOR | 8 |
Tatsuya Hayashi | JP | Chiyoda-Ku | 2012-10-18 / 20120261385 - GAS CIRCUIT BREAKER | 1 |
Hiroshi Hayashi | JP | Ukyo-Ku | 2016-04-28 / 20160118900 - POWER SUPPLY ADAPTOR | 4 |
Hiroyuki Hayashi | JP | Nagaokakyo-Shi | 2016-03-03 / 20160064646 - PIEZOELECTRIC CERAMIC, METHOD FOR PRODUCING PIEZOELECTRIC CERAMIC, AND PIEZOELECTRIC CERAMIC ELECTRONIC COMPONENT | 1 |
Katsuhiko Hayashi | JP | Suwa-Gun | 2016-03-03 / 20160065033 - GEARED MOTOR AND DAMPER DEVICE | 1 |
Hidekazu Hayashi | JP | Kanagawa | 2011-09-15 / 20110220152 - SUPERCRITICAL DRYING METHOD AND SUPERCRITICAL DRYING APPARATUS | 2 |
Toshiaki Hayashi | JP | Kanagawa | 2016-05-12 / 20160132183 - LAYERED BODY FOR TOUCH PANEL, AND TOUCH PANEL | 2 |
Rika Hayashi | JP | Osaka | 2012-10-25 / 20120268349 - MULTIDISPLAY DEVICE | 1 |
Masato Hayashi | JP | Nirasaki City | 2012-11-01 / 20120275484 - TEMPERATURE MEASURING DEVICE, TEMPERATURE CALIBRATING DEVICE AND TEMPERATURE CALIBRATING METHOD | 2 |
Yusuke Hayashi | JP | Shinjuku-Ku | 2013-05-16 / 20130122253 - CURABLE RESIN COMPOSITION FOR HARD COAT LAYER AND HARD COAT FILM | 1 |
Konosuke Hayashi | JP | Fujisawa-Shi | 2010-05-20 / 20100122772 - SUBSTRATE TREATMENT APPARATUS AND SUBSTRATE TREATMENT METHOD | 1 |
Yuki Hayashi | JP | Kashiwa-Shi | 2015-04-02 / 20150094463 - HYDROXYALKYLATED POLYROTAXANE PRODUCTION METHOD | 2 |
Atsuhiro Hayashi | JP | Akishima | 2009-09-10 / 20090224809 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD FOR EVALUATING AN EYE-OPENING MARGIN | 1 |
Atsuhiro Hayashi | JP | Akisima | 2008-09-04 / 20080211548 - Semiconductor integrated circuit controlling output impedance and slew rate | 1 |
Hiroki Hayashi | JP | Tsukuba-Shi | 2016-03-31 / 20160093584 - ADHESIVE COMPOSITION, ELECTRONIC-COMPONENT-MOUNTED SUBSTRATE AND | 8 |
Kenzo Hayashi | JP | Tokyo | 2016-03-24 / 20160082707 - PROTECTIVE LAYER TRANSFER SHEET, AND THE INTERMEDIATE TRANSFER MEDIUM | 2 |
Hiroaki Hayashi | JP | Minato-Ku | 2013-02-07 / 20130034930 - APPARATUS FOR MANUFACTURING DYE-SENSITIZED SOLAR CELL, AND METHOD OF MANUFACTURING DYE-SENSITIZED SOLAR CELL | 1 |
Shintaro Hayashi | JP | Chiyoda-Ku | 2013-07-18 / 20130183503 - CERAMIC MEMBER | 1 |
Mitsuo Hayashi | JP | Yokohama-Shi | 2014-08-21 / 20140232751 - INFORMATION DISPLAY DEVICE, METHOD OF DISPLAYING INFORMATION, AND COMPUTER PROGRAM PRODUCT | 1 |
Fumitaka Hayashi | JP | Tokyo | 2015-08-27 / 20150239747 - METHOD FOR PRODUCING CONDUCTIVE MAYENITE COMPOUND POWDER | 3 |
Masashi Hayashi | JP | Kawasaki-Shi | 2011-05-05 / 20110102816 - MOVEMENT DETECTION APPARATUS AND RECORDING APPARATUS | 2 |
Masashi Hayashi | JP | Hyogo | 2010-09-30 / 20100244048 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Masashi Hayashi | JP | Sagamihara-Shi | 2014-05-15 / 20140132657 - INK-JET RECORDING DEVICE AND INK-JET RECORDING CONTROL METHOD | 11 |
Masashi Hayashi | JP | Osaka | 2015-09-10 / 20150255544 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 10 |
Masashi Hayashi | JP | Okazaki-City | 2016-03-03 / 20160061063 - VALVE TIMING CONTROLLER | 19 |
Shinichi Hayashi | US | Santa Clara | 2016-03-10 / 20160070497 - METHOD AND APPARATUS TO CHANGE TIERS | 1 |
Kenji Hayashi | JP | Tokyo-To | 2012-11-01 / 20120275013 - DISPLAY SHEET, METHOD OF MANUFACTURING DISPLAY SHEET, DISPLAY DEVICE AND ELECTRONIC APPARATUS | 1 |
Tetsuya Hayashi | JP | Kasugai-Shi | 2014-03-06 / 20140060379 - VEHICLE-BODY INCLINATION DEVICE AND DOUBLE-LAYER THREE-WAY VALVE USED IN VEHICLE-BODY INCLINATION DEVICE | 3 |
Tetsuya Hayashi | JP | Yokohama-Shi | 2016-03-10 / 20160070058 - MULTICORE OPTICAL FIBER AND OPTICAL MODULE | 23 |
Tetsuya Hayashi | JP | Osaka | 2012-05-03 / 20120105445 - THREE-DIMENSIONAL IMAGE OUTPUT DEVICE, THREE-DIMENSIONAL IMAGE OUTPUT METHOD, THREE-DIMENSIONAL IMAGE DISPLAY DEVICE, AND COMPUTER READABLE RECORDING MEDIUM | 10 |
Tetsuya Hayashi | JP | Kanagawa-Ken | 2013-09-26 / 20130248803 - MOLECULAR MEMORY AND METHOD OF MANUFACTURING THE SAME | 4 |
Tetsuya Hayashi | JP | Kashiwa | 2009-07-09 / 20090177091 - VELOCITY MEASURING METHOD AND VELOCITY MEASURING DEVICE USING THE SAME | 1 |
Tetsuya Hayashi | JP | Tokorozawa-Shi | 2010-02-04 / 20100026778 - DRAWING DEVICE AND METHOD, AND COMPUTER PROGRAM | 2 |
Tetsuya Hayashi | JP | Yokosuka-Shi | 2015-10-08 / 20150287775 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 11 |
Tetsuya Hayashi | JP | Kadoma-Shi | 2008-11-06 / 20080274399 - Secondary battery | 3 |
Tetsuya Hayashi | JP | Kanagawa | 2015-04-23 / 20150108421 - ORGANIC MOLECULAR MEMORY AND METHOD OF MANUFACTURING THE SAME | 7 |
Tetsuya Hayashi | JP | Kawasaki | 2010-09-23 / 20100237906 - RECEIVING CIRCUIT | 3 |
Tetsuya Hayashi | JP | Koutoh | 2010-04-01 / 20100079571 - DRAWING APPARATUS AND METHOD, AND COMPUTER PROGRAM | 1 |
Tetsuya Hayashi | JP | Saitama | 2009-12-24 / 20090315970 - DRAWING APPARATUS AND METHOD, AND COMPUTER PROGRAM | 1 |
Tetsuya Hayashi | JP | Tokyo | 2015-04-09 / 20150099460 - COMMUNICATION APPARATUS | 4 |
Tetsuya Hayashi | JP | Kashiwa-Shi | 2009-06-11 / 20090149752 - Ultrasound Probe And Ultrasound Elasticity Imaging Apparatus | 2 |
Kazuhito Hayashi | JP | Inazawa-Shi | 2015-09-17 / 20150263624 - VOLTAGE CONVERSION DEVICE | 13 |
Tetsuya Hayashi | JP | Hanno-Shi | 2016-05-19 / 20160142667 - IMAGE ACQUISITION DEVICE, IMAGE ACQUISITION METHOD AND RECORDING MEDIUM | 6 |
Tetsuya Hayashi | JP | Chiba | 2008-10-23 / 20080260227 - Ultrasonic Imaging Apparatus and Projection Image Generating Method | 1 |
Hideki Hayashi | JP | Kawasaki-Shi | 2013-11-14 / 20130304550 - ELECTRICITY CONTROL SYSTEM | 4 |
Shigeru Hayashi | JP | Gifu | 2013-07-11 / 20130179035 - VEHICLE | 1 |
Toshio Hayashi | JP | Tokyo | 2013-07-11 / 20130177062 - TRANSMITTER, CONTROL METHOD, COMPUTER PROGRAM AND DELTA-SIGMA MODULATOR | 1 |
Kenji Hayashi | JP | Yokohama-Shi | 2013-07-11 / 20130176358 - PRINTED SEAMLESS CAN AND METHOD OF PRODUCING THE SAME | 1 |
Kouki Hayashi | JP | Chiyoda-Ku | 2015-12-10 / 20150355308 - RFID TAG SEARCH METHOD, NON-TRANSITORY STORAGE MEDIUM STORING RFID TAG SEARCH PROGRAM, AND RFID TAG SEARCH DEVICE | 5 |
Toshiaki Hayashi | JP | Ashigarakami-Gun | 2015-04-23 / 20150109231 - CONDUCTIVE FILM FOR TOUCH PANEL AND TOUCH PANEL | 1 |
Kunihiro Hayashi | JP | Itabashi-Ku | 2016-03-31 / 20160091297 - OPERATING DEVICE, OPERATING METHOD, AND PROGRAM THEREFOR | 9 |
Masaaki Hayashi | JP | Saitama | 2012-11-08 / 20120281440 - ISOLATED SWITCH-MODE POWER SUPPLY DEVICE | 1 |
Masayuki Hayashi | JP | Toyonaka | 2016-04-21 / 20160109822 - OPTICAL WRITING CONTROL DEVICE, IMAGE FORMING APPARATUS, AND METHOD OF CONTROLLING OPTICAL WRITING DEVICE | 2 |
Katsuhito Hayashi | JP | Kyoto | 2013-03-28 / 20130076483 - ELECTRONIC DEVICE | 1 |
Noriya Hayashi | JP | Minato-Ku | 2014-05-29 / 20140147676 - COMPOSITE MATERIAL INCLUDING FIBER REINFORCED RESIN AND LIGHTWEIGHT CORE AND PRODUCTION METHOD AND DEVICE THEREFOR | 3 |
Kenji Hayashi | JP | Kurashiki | 2014-09-04 / 20140246131 - HIGH-TENSILE STEEL PLATE GIVING WELDING HEAT-AFFECTED ZONE WITH EXCELLENT LOW-TEMPERATURE TOUGHNESS, AND PROCESS FOR PRODUCING SAME | 1 |
Hiroyuki Hayashi | JP | Kawasaki-Shi | 2014-08-21 / 20140235655 - CRYSTAL OF THIENOPYRIMIDINE DERIVATIVE | 1 |
Steven Robert Hayashi | US | Niskayuna | 2016-04-14 / 20160101479 - METHODS FOR THE ELECTROEROSION MACHINING OF HIGH-PERFORMANCE METAL ALLOYS | 14 |
Rika Hayashi | JP | Nara-Shi | 2009-05-28 / 20090136261 - CHARGING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Masaki Hayashi | JP | Miyagi | 2013-07-04 / 20130172543 - METHOD FOR OXIDIZING ALCOHOLS | 1 |
Masaki Hayashi | JP | Shibuya-Ku | 2013-07-04 / 20130171438 - COMPOSITION FOR COLLOIDAL CRYSTAL | 1 |
Masahiro Hayashi | JP | Fukuoka | 2014-09-18 / 20140269344 - NODE DEVICE, COMMUNICATION METHOD, STORAGE MEDIUM, AND NETWORK SYSTEM | 1 |
Eiji Hayashi | JP | Kawasaki-Shi | 2013-02-14 / 20130038889 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER-READABLE MEMORY MEDIUM STORING PROGRAM THEREIN | 1 |
Kenta Hayashi | JP | Nagoya-City | 2010-06-10 / 20100145776 - IN-VEHICLE APPARATUS AND SEMICONDUCTOR DEVICE | 1 |
Noriya Hayashi | JP | Tokyo | 2015-12-24 / 20150367559 - METHOD OF PRODUCING COMPOSITE MATERIAL | 3 |
Katsuyoshi Hayashi | JP | Atsugi-Shi | 2012-11-15 / 20120288421 - FLOW CELL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Satoshi Hayashi | JP | Osaka | 2013-10-31 / 20130289156 - PRODUCTION METHOD FOR POLYAMIDE ACID PARTICLES, PRODUCTION METHOD FOR POLYIMIDE PARTICLES, POLYIMIDE PARTICLES AND BONDING MATERIAL FOR ELECTRONIC COMPONENT | 2 |
Hironao Hayashi | JP | Toyota-Shi | 2009-03-12 / 20090068865 - Case with Connector and Manufacturing Method Thereof | 1 |
Satoshi Hayashi | JP | Saitama | 2009-04-23 / 20090106836 - Equipment Monitoring Device | 1 |
Satoshi Hayashi | JP | Hyogo | 2012-03-15 / 20120060994 - PNEUMATIC TIRE | 2 |
Akinari Hayashi | JP | Toyamashi | 2012-11-15 / 20120289058 - SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Satoshi Hayashi | JP | Kawasaki | 2010-11-25 / 20100299558 - DATA STORAGE DEVICE AND METHOD | 1 |
Satoshi Hayashi | JP | Yokohama-Shi | 2013-10-03 / 20130257933 - INKJET RECORDING APPARATUS | 13 |
Ryotaku Hayashi | JP | Saitama | 2009-12-17 / 20090310021 - INFORMATION PRESENTING DEVICE AND INFORMATION PRESENTING METHOD | 1 |
Takefumi Hayashi | JP | Saitama | 2010-02-11 / 20100033676 - APPARATUS AND METHOD FOR OBSERVING AN EYE, AS WELL AS OCT-MODULE | 1 |
Akihiro Hayashi | JP | Shiga | 2016-03-24 / 20160084250 - SCROLL COMPRESSOR | 1 |
Shinichi Hayashi | JP | Tokyo | 2016-05-12 / 20160132433 - COMPUTER SYSTEM AND CONTROL METHOD | 17 |
Hiroyoshi Hayashi | JP | Saitama | 2008-09-11 / 20080218637 - RECEIVING APPARATUS, METHOD OF CONTROLLING APPARATUS, AND PROGRAM FOR IMPLEMENTING THE METHOD | 1 |
Seigo Hayashi | JP | Kanazawa-Shi | 2008-11-27 / 20080290758 - ULTRASONIC SENSOR AND METHOD FOR MANUFACTURING THE SAME | 2 |
Noboru Hayashi | JP | Saitama | 2012-11-22 / 20120291924 - ALUMINUM ALLOY SHEET AND METHOD FOR MANUFACTURING THE SAME | 4 |
Kunihiko Hayashi | JP | Kanagawa | 2015-11-19 / 20150330776 - IMAGE OBTAINING APPARATUS, AND METHOD OF MEASURING AN INCLINATION OF A SLIDE | 14 |
Takato Hayashi | JP | Minowa-Machi | 2011-11-24 / 20110285785 - FLUID EJECTING APPARATUS | 9 |
Takato Hayashi | JP | Kamiina-Gun | 2011-05-19 / 20110115847 - FLUID EJECTING APPARATUS | 4 |
Takato Hayashi | JP | Suwa-Shi | 2010-08-19 / 20100207994 - FLUID EJECTION APPARATUS | 1 |
Hideki Hayashi | JP | Kanagawa-Ken | 2014-07-10 / 20140191721 - ELECTRIC AUTOMOBILE CHARGING SYSTEM AND ELECTRIC AUTOMOBILE CHARGING DEVICE | 3 |
Hideki Hayashi | JP | Kodaira | 2013-12-19 / 20130339371 - SPATIO-TEMPORAL DATA MANAGEMENT SYSTEM, SPATIO-TEMPORAL DATA MANAGEMENT METHOD, AND PROGRAM THEREOF | 4 |
Hideki Hayashi | JP | Nagasaki | 2010-02-04 / 20100028892 - YEAST BASED EXPRESSION OF PROTEASES AND METHODS OF USE | 1 |
Michiaki Hayashi | JP | Saitama | 2012-10-04 / 20120254295 - SERVICE REQUEST RECEPTION CONTROL METHOD, APPARATUS, AND SYSTEM | 3 |
Hideki Hayashi | JP | Tokyo | 2012-05-24 / 20120125806 - PRESS-THROUGH PACK PACKAGE | 5 |
Yutaka Hayashi | JP | Tsukuba-Shi | 2015-05-07 / 20150123069 - STORAGE ELEMENT | 15 |
Shinichi Hayashi | JP | Tochigi | 2013-06-06 / 20130140085 - ANISOTROPIC CONDUCTIVE MATERIAL AND PROCESS FOR PRODUCTION THEREOF, AND MOUNTING BODY AND PROCESS FOR PRODUCTION THEREOF | 1 |
Hideki Hayashi | JP | Nagoya-Shi | 2015-04-02 / 20150091991 - LIQUID EJECTION DEVICE | 7 |
Hideki Hayashi | JP | Nagasaki City | 2011-01-13 / 20110008319 - CARD DOMAIN CONTAINING POLYPEPTIDES, ENCODING NUCLEIC ACIDS, AND METHODS OF USE | 1 |
Takahiro Hayashi | JP | Toyohashi-Shi | 2015-02-12 / 20150044470 - CARBON FIBER THERMOPLASTIC RESIN PREPREG, CARBON FIBER COMPOSITE MATERIAL AND PRODUCING METHOD | 1 |
Hideki Hayashi | JP | Kumamoto | 2015-05-07 / 20150126753 - METHOD FOR MANUFACTRURING BETA-(METH)ACRYLOYLOXY-GAMMA-BUTYROLACTONES | 2 |
Hideki Hayashi | JP | Nara | 2015-10-01 / 20150279410 - OPTICAL RECORDING AND PLAYBACK APPARATUS | 9 |
Hideki Hayashi | JP | Osaka | 2016-02-25 / 20160051911 - RETAINER FOR FILTER, AND FILTER USING SAME | 2 |
Hideki Hayashi | JP | Yokkaichi-Shi | 2009-06-25 / 20090162157 - PROCESSING MACHINE | 1 |
Hitoshi Hayashi | JP | Toyota-Shi | 2010-08-05 / 20100192457 - METHOD FOR PRODUCING LIQUEFIED FUEL OIL USING BIOMASS AS FEEDSTOCK | 2 |
Yousuke Hayashi | JP | Toyota-Shi | 2010-10-14 / 20100262324 - VEHICULAR POWER TRANSMISSION CONTROL APPARATUS | 6 |
Yayoi Hayashi | JP | Akishima | 2008-10-30 / 20080266937 - SEMICONDUCTOR DEVICE | 1 |
Hideki Hayashi | JP | Hachioji | 2009-01-15 / 20090019057 - Terminal and data distribution system | 1 |
Nobuyuki Hayashi | JP | Kawasaki-Shi | 2013-03-07 / 20130055579 - MEASURING INSTRUMENT | 3 |
Tomohiko Hayashi | JP | Kawasaki-Shi | 2009-10-29 / 20090269706 - METHOD FOR FORMING RESIST PATTERN | 1 |
Ryotaro Hayashi | JP | Kawasaki-Shi | 2014-07-24 / 20140208117 - SERVER APPARATUS AND PROGRAM | 4 |
Masakazu Hayashi | JP | Kawasaki-Shi | 2009-08-13 / 20090204252 - SUBSTRATE PROCESSING METHOD AND APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND STORAGE MEDIUM | 1 |
Naohiro Hayashi | JP | Kawasaki-Shi | 2011-05-05 / 20110102469 - INFORMATION STORAGE MEDIUM, IMAGE CONTROL DEVICE, AND IMAGE CONTROL METHOD | 1 |
Shuji Hayashi | JP | Aichi | 2011-09-01 / 20110210927 - DISPLAY DEVICE WITH TOUCH SENSOR, TOUCH PANEL, METHOD OF DRIVING TOUCH PANEL, AND ELECTRONIC DEVICE | 3 |
Shougo Hayashi | JP | Kawasaki-Shi | 2013-01-17 / 20130015451 - THIN FILM TRANSISTOR MATRIX DEVICE AND METHOD FOR FABRICATING THE SAME | 8 |
Hideji Hayashi | JP | Okazaki-Shi | 2015-04-30 / 20150117894 - EXHAUST DEVICE AND IMAGE FORMING DEVICE INCLUDING SAME | 7 |
Eiichi Hayashi | JP | Kawasaki-Shi | 2015-09-03 / 20150250052 - METHOD FOR PRODUCING PRINTED WIRING BOARD | 4 |
Shigenori Hayashi | JP | Nara | 2012-02-09 / 20120034750 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE AND PLASMA DOPING APPARATUS | 5 |
Shintarou Hayashi | JP | Hyogo | 2012-11-22 / 20120292652 - SURFACE LIGHT EMITTING DEVICE | 1 |
Eiichi Hayashi | JP | Kanagawa | 2012-09-20 / 20120236380 - OPTICAL SCANNING DEVICE, IMAGE FORMING APPARATUS, SCANNING LENS, AND MOLDING METHOD OF THE SCANNING LENS | 5 |
Eiichi Hayashi | JP | Atsugi-Shi | 2015-08-13 / 20150226938 - PLASTIC OPTICAL ELEMENT AND OPTICAL SCANNER AND IMAGING FORMING DEVICE INCLUDING THE SAME | 7 |
Toru Hayashi | JP | Kawasaki-Shi | 2015-02-12 / 20150043298 - ELECTRONIC DEVICE | 1 |
Toshihide Hayashi | JP | Kanagawa | 2015-11-12 / 20150326919 - TRANSMISSION DEVICE AND RECEPTION DEVICE | 14 |
Hiroo Hayashi | JP | Kanagawa | 2014-06-12 / 20140164702 - VIRTUAL ADDRESS CACHE MEMORY, PROCESSOR AND MULTIPROCESSOR | 5 |
Kiyoshi Hayashi | JP | Kanagawa | 2016-02-18 / 20160049470 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Yuuichiroh Hayashi | JP | Saitama | 2009-09-17 / 20090235344 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM PRODUCT | 1 |
Fumihiko Hayashi | JP | Kanagawa | 2012-03-08 / 20120058618 - Nonvolatile semiconductor storage device with charge storage layer and its manufacturing method | 4 |
Toshiteru Hayashi | JP | Kanagawa | 2011-08-18 / 20110199267 - ANTENNA DEVICE | 7 |
Shuji Hayashi | JP | Kanagawa | 2016-03-17 / 20160077394 - PIXEL ARRAY SUBSTRATE STRUCTURE, METHOD OF MANUFACTURING PIXEL ARRAY SUBSTRATE STRUCTURE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 9 |
Shogo Hayashi | JP | Kanagawa | 2011-01-06 / 20110003118 - MEMBER FOR IMAGE FORMING APPARATUS, IMAGE FORMING APPARATUS, AND UNIT FOR IMAGE FORMING APPARATUS | 2 |
Kenkichi Hayashi | JP | Saitama-Shi | 2016-05-19 / 20160140697 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 72 |
Yoshitake Hayashi | JP | Osaka | 2012-11-22 / 20120293965 - COMPONENT BUILT-IN MODULE, AND MANUFACTURING METHOD FOR COMPONENT BUILT-IN MODULE | 1 |
Tsukasa Hayashi | JP | Kanagawa | 2011-01-06 / 20110004381 - DEVICE AND METHOD FOR CONTROLLING AUTOMATIC TRANSMISSION | 2 |
Hiroshi Hayashi | JP | Kyoto | 2013-05-23 / 20130126869 - THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING THIN-FILM TRANSISTOR DEVICE | 4 |
Yousuke Hayashi | JP | Kasugai-Shi | 2012-11-22 / 20120294656 - DEVELOPMENT ROLL FOR ELECTROPHOTOGRAPHIC EQUIPMENT | 1 |
Alan Kenji Hayashi | US | Avalon | 2015-02-12 / 20150042311 - METHOD AND APPARATUS TO DIAGNOSE CURRENT SENSOR POLARITIES AND PHASE ASSOCIATIONS FOR A THREE-PHASE ELECTRIC POWER SYSTEM | 1 |
Yoshihito Hayashi | JP | Nishinomiya-City | 2011-04-14 / 20110084870 - NAVIGATION ASSISTING DEVICE | 1 |
Nobuhiro Hayashi | JP | Osaka | 2015-09-17 / 20150264788 - Static Eliminator And Static Elimination Head | 8 |
Morihiko Hayashi | JP | Kanagawa | 2012-09-20 / 20120237187 - RECORDING SYSTEM, RECEIVING APPARATUS, RECORDING APPARATUS, RECORDING CONTROL METHOD, AND PROGRAM | 10 |
Shingo Hayashi | JP | Kanagawa | 2013-12-26 / 20130341854 - LOADING DEVICE FOR RECORDING MEDIA, CONTROL METHOD THEREOF, AND RECORDING MEDIUM | 4 |
Koh-Ichi Hayashi | JP | Kanagawa | 2010-12-09 / 20100308128 - DETONATION FLAME SPRAY APPARATUS | 1 |
Takuya Hayashi | JP | Kanagawa | 2010-11-04 / 20100277970 - Static random accee memory device | 2 |
Kenichi Hayashi | JP | Kawasaki | 2016-03-17 / 20160079393 - DISPLAY APPARATUS AND MANUFACTURING METHOD OF THE SAME | 2 |
Yoshiharu Hayashi | JP | Chiyoda-Ku | 2010-04-29 / 20100102683 - CONTROLLER-INTEGRATED ROTATING ELECTRIC MACHINE | 1 |
Naohiro Hayashi | JP | Kariya-Shi, Aichi-Ken | 2016-04-14 / 20160102591 - CATALYTIC CONVERTER | 1 |
Tomokazu Hayashi | JP | Toyota-Shi | 2010-11-11 / 20100285395 - FUEL CELL | 1 |
Kazushige Hayashi | JP | Toyota-Shi | 2015-06-11 / 20150163660 - IN-VEHICLE INFORMATION PROCESSING APPARATUS AND IN-VEHICLE INFORMATION PROCESSING METHOD | 4 |
Shuji Hayashi | JP | Kanagawa-Ken | 2009-03-05 / 20090058516 - SENSOR APPARATUS HAVING SENSOR ELEMENT | 1 |
Toshiaki Hayashi | JP | Shiga | 2009-01-29 / 20090029853 - Adsorbent and Process for Producing the Same | 1 |
Hisao Hayashi | JP | Kanagawa | 2014-02-20 / 20140048814 - FILM SEMICONDUCTOR DEVICE, DISPLAY DEVICE USING SUCH THIN FILM SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 4 |
Toshihide Hayashi | JP | Saitama | 2012-11-22 / 20120293879 - INTERNAL FOCUS LENS | 3 |
Tatsuya Hayashi | JP | Setagaya-Ku | 2014-11-13 / 20140332333 - DEVICE FOR CONTROLLING AUTOMATIC TRANSMISSION | 2 |
Shinya Hayashi | JP | Kanagawa | 2011-10-06 / 20110245475 - AZO PIGMENT, PROCESS FOR PRODUCING AZO PIGMENT, DISPERSION CONTAINING AZO PIGMENT, AND COLORING COMPOSITION | 4 |
Katsumasa Hayashi | JP | Yokkaichi-Shi | 2013-05-30 / 20130137237 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Toshiaki Hayashi | JP | Haibara-Gun | 2012-07-19 / 20120183776 - CURABLE COMPOSITION, CURABLE FILM, CURABLE LAMINATE, METHOD FOR FORMING A PERMANENT PATTERN, AND PRINTED SUBSTRATE | 1 |
Takeo Hayashi | JP | Kanagawa | 2010-09-16 / 20100234509 - VIBRATION DAMPING MATERIAL | 3 |
Yumi Hayashi | JP | Kanagawa | 2013-04-18 / 20130093090 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 4 |
Miwa Hayashi | JP | Tokyo | 2010-04-01 / 20100080424 - FINGERPRINT AUTHENTICATION SYSTEM AND OPERATION METHOD | 1 |
Ken Hayashi | JP | Kanagawa | 2010-07-15 / 20100175957 - Rotary Damper | 1 |
Takayuki Hayashi | JP | Gifu | 2015-02-12 / 20150040686 - TORQUE SENSOR | 1 |
Chisato Hayashi | JP | Marugame-Shi | 2014-05-15 / 20140132680 - Water-Based Ink for Ink-Jet Recording, Ink Cartridge, Ink-Jet Recording Apparatus, and Ink-Jet Recording Method | 2 |
Ryoji Hayashi | JP | Kanagawa | 2010-04-22 / 20100099769 - BENZYLAMINE DERIVATIVE OR PHARMACEUTICALLY ACCEPTABLE ACID ADDITION SALT THEREOF, AND USE THEREOF FOR MEDICAL PURPOSES | 1 |
Satoshi Hayashi | JP | Inagi | 2015-08-27 / 20150243119 - PAPER MONEY PROCESSING DEVICE, PAPER MONEY PROCESSING SYSTEM, AND PAPER MONEY CONVEYANCE DEVICE | 2 |
Takeki Hayashi | JP | Kiyosu-Shi | 2012-11-29 / 20120299276 - HEAD-PROTECTING AIRBAG APPARATUS | 1 |
Kenjirou Hayashi | JP | Kanagawa | 2016-01-07 / 20160001808 - STEERING LOCK DEVICE FOR A MOTOR VEHICLE | 3 |
Fumiaki Hayashi | JP | Kanagawa | 2009-12-31 / 20090325104 - PROCESS FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Eisaku Hayashi | JP | Kanagawa | 2015-09-10 / 20150254884 - INFORMATION PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 7 |
Eiji Hayashi | US | Pittsburgh | 2013-06-20 / 20130160110 - Device Locking with Hierarchical Activity Preservation | 1 |
Ryotaro Hayashi | JP | Kanagawa | 2016-01-28 / 20160028718 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 8 |
Masaki Hayashi | JP | Amagasaki-Shi | 2015-12-24 / 20150370390 - ANTI-NEWTON-RING FILM AND TOUCH PANEL | 3 |
Tadashi Hayashi | JP | Kanagawa | 2009-10-15 / 20090259245 - VIBRATING KNIFE AND EXCISION APPARATUS | 1 |
Takeshi Hayashi | JP | Kanagawa | 2009-09-24 / 20090240738 - MOBILE DEVICE, PATTERN FILE UPDATE METHOD, AND COMMUNICATION SYSTEM | 1 |
Kazuyoshi Hayashi | JP | Kanagawa | 2013-03-21 / 20130071045 - IMAGE TRANSMITTING APPARATUS, IMAGE RECEIVING APPARATUS, IMAGE TRANSMITTING AND RECEIVING SYSTEM, RECORDING MEDIUM RECORDING IMAGE TRANSMITTING PROGRAM, AND RECORDING MEDIUM RECORDING IMAGE RECEIVING PROGRAM | 3 |
Hisataka Hayashi | JP | Kanagawa | 2013-12-26 / 20130344698 - PATTERN FORMATION METHOD | 2 |
Shigeru Hayashi | JP | Fukuroi-Shi | 2008-12-11 / 20080304694 - Speaker | 1 |
Shigeru Hayashi | JP | Yamanashi | 2010-07-29 / 20100187050 - Disk brake | 1 |
Shigeru Hayashi | JP | Minami-Alps | 2010-12-30 / 20100326777 - Disk brake | 1 |
Shigeru Hayashi | JP | Minami Alps-Shi | 2015-09-03 / 20150247542 - DISC BRAKE | 4 |
Shigeru Hayashi | JP | Chofu-Shi | 2012-12-06 / 20120305673 - FUEL INJECTOR | 2 |
Hisashi Hayashi | JP | Kanagawa | 2009-03-12 / 20090070358 - APPARATUS, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PROCESSING INFORMATION | 1 |
Masahiko Hayashi | JP | Kanagawa | 2009-02-26 / 20090050435 - Parking Lock Device for Transmission | 1 |
Nobutake Hayashi | JP | Kanagawa | 2014-02-13 / 20140044257 - CONFERENCE DEVICE | 2 |
Kengo Hayashi | JP | Kanagawa | 2008-12-18 / 20080311021 - Apparatus for pulling single crystal by CZ method | 2 |
Kyoichiro Hayashi | JP | Kanagawa | 2008-11-27 / 20080292327 - IMAGE FORMING DEVICE | 1 |
Toshiharu Hayashi | JP | Naka-Gun | 2015-09-17 / 20150259803 - DISPERSION OF METAL NANOPARTICLES, METHOD FOR PRODUCING THE SAME, AND METHOD FOR SYNTHESIZING METAL NANOPARTICLES | 10 |
Yoichi Hayashi | JP | Kanagawa | 2008-10-30 / 20080265829 - Inverter apparatus and a semiconductor device used for the same | 1 |
Toshiki Hayashi | JP | Kanagawa | 2015-02-05 / 20150037050 - REMOVABLE DEVICE AND IMAGE FORMING APPARATUS | 10 |
Toshihiko Hayashi | JP | Kanagawa | 2015-09-24 / 20150270305 - SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 10 |
Motoko Hayashi | JP | Ibaraki | 2009-08-20 / 20090209012 - Method for producing l-amino acids | 1 |
Atsuhiro Hayashi | JP | Aichi | 2015-04-09 / 20150099085 - PIEZOELECTRIC CERAMIC COMPOSITION | 2 |
Shinichiro Hayashi | JP | Kanagawa | 2012-03-29 / 20120074280 - ASSEMBLING CONSTRUCTION OF CLIP TO MOUNTABLE MEMBER | 2 |
Saori Hayashi | JP | Kanagawa | 2014-04-10 / 20140099541 - SOLID ELECTROLYTE BATTERY AND POSITIVE ELECTRODE ACTIVE MATERIAL | 4 |
Yasuyuki Hayashi | JP | Hyogo | 2012-12-06 / 20120305194 - Etching Device, Plasma Processing Device | 1 |
Masatake Hayashi | JP | Kanagawa | 2012-01-19 / 20120015232 - SEPARATOR AND NONAQUEOUS ELECTROLYTE BATTERY | 4 |
Akimori Hayashi | JP | Kanagawa | 2012-10-18 / 20120261840 - SEMICONDUCTOR DEVICE | 2 |
Sachiko Hayashi | JP | Nagaokakyo-Shi | 2014-01-23 / 20140020729 - THERMOELECTRIC CONVERSION ELEMENT, METHOD FOR MANUFACTURING SAME, AND COMMUNICATION DEVICE | 2 |
Nobuhiko Hayashi | JP | Yamagata-Shi | 2014-10-02 / 20140291978 - JOINT AND METHOD FOR MANUFACTURING JOINT | 3 |
Kouki Hayashi | JP | Yokohama-Shi | 2013-06-20 / 20130152678 - SENSOR MODULE | 1 |
Keisuke Hayashi | JP | Kanagawa | 2016-01-28 / 20160023465 - LIQUID DROPLET DISCHARGE HEAD, IMAGE FORMING APPARATUS INCLUDING SAME, AND METHOD OF INSPECTING LIQUID DROPLET DISCHARGE HEAD | 14 |
Yuzo Hayashi | JP | Kanagawa | 2009-05-21 / 20090130775 - METHOD FOR CLINICAL STAGING OF ULCERATIVE COLITIS OR INTERSTITIAL PNEUMONIA AND REAGENT KIT FOR THE SAME | 1 |
Tomoaki Hayashi | JP | Kanagawa | 2009-02-05 / 20090033899 - EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR MANUFACTURING DISPLAY PANEL SUBSTRATE | 1 |
Toshiya Hayashi | JP | Kanagawa | 2008-12-11 / 20080305628 - SEMICONDUCTOR DEVICE WITH CONNECTING VIA AND DUMMY VIA AND METHOD OF MANUFACTURING THE SAME | 1 |
Kentaro Hayashi | JP | Kanagawa | 2015-09-10 / 20150250958 - INSUFFLATION SYSTEM | 5 |
Hisayoshi Hayashi | JP | Kawaguchi-Shi | 2008-09-04 / 20080212210 - Optical element and optical element holder | 1 |
Yasuko Hayashi | JP | Kanagawa | 2008-09-25 / 20080232136 - Area light source and lightguide used therefore | 1 |
Masahiro Hayashi | JP | Miyagi | 2015-09-03 / 20150247257 - GALLIUM NITRIDE CRYSTAL, GROUP 13 NITRIDE CRYSTAL, GROUP 13 NITRIDE CRYSTAL SUBSTRATE, AND MANUFACTURING METHOD | 14 |
Takashi Hayashi | JP | Ichihara-Shi, Chiba | 2016-04-21 / 20160108070 - NON-AQUEOUS ELECTROLYTE SOLUTION FOR BATTERY, NOVEL COMPOUND, POLYELECTROLYTE, AND LITHIUM SECONDARY BATTERY | 1 |
Katsunori Hayashi | JP | Kawasaki | 2014-12-25 / 20140380298 - WIRELESS COMMUNICATION TERMINAL, SOFTWARE UPDATE SYSTEM, AND SOFTWARE UPDATE METHOD | 2 |
Sadafuku Hayashi | JP | Minato-Ku | 2015-10-29 / 20150312728 - MOBILE COMMUNICATION SYSTEM, CORE NETWORK NODE, CONTROL STATION, BASE STATION, COMMUNICATION METHOD AND PROGRAM | 12 |
Shinichi Hayashi | JP | Utsunomiya-Shi | 2016-04-28 / 20160115354 - RADICAL POLYMERIZABLE ADHESIVE COMPOSITION AND METHOD OF PRODUCING ELECTRICAL CONNECTION BODY | 1 |
Hiroki Hayashi | JP | Kitakyushu-Shi | 2010-11-11 / 20100284101 - LIGHT-ABSORBING MEMBER AND METHOD OF PRODUCING THE SAME | 1 |
Hiroki Hayashi | JP | Tochigi | 2013-11-21 / 20130310496 - INK COMPOSITION FOR INKJET PRINTING | 3 |
Hiroki Hayashi | JP | Aichi | 2011-05-12 / 20110111427 - BIOMARKER FOR THE ESTIMATION OF ACUTE RENAL DISORDER AND PROGNOSIS OF THE DISORDER, AND USE OF THE BIOMARKER | 1 |
Hiroki Hayashi | JP | Kawasaki | 2010-05-27 / 20100130242 - COMMUNICATION SYSTEM, BASE STATION APPARATUS AND COMMUNICATION METHOD | 5 |
Hiroki Hayashi | JP | Kawasaki-Shi | 2015-12-03 / 20150343793 - LIQUID STORAGE UNIT, LIQUID DISCHARGE APPARATUS USING THE SAME, AND METHOD OF REMOVING BUBBLES FROM LIQUID STORAGE UNIT | 12 |
Hiroki Hayashi | JP | Ibaraki | 2011-05-05 / 20110101543 - CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE | 3 |
Hiroki Hayashi | JP | Toyama | 2011-03-24 / 20110070752 - ELECTRICAL CONNECTOR FOR CIRCUIT BOARD | 1 |
Hiroki Hayashi | JP | Tokyo | 2014-01-02 / 20140000308 - REFRIGERANT CIRCUIT | 2 |
Mikine Hayashi | JP | Kiyosu-Shi | 2016-03-31 / 20160090116 - STEERING WHEEL | 10 |
Hiroki Hayashi | JP | Kyoto | 2009-05-28 / 20090133863 - HEAT TRANSFER FIN AND FIN-TUBE HEAT EXCHANGER | 2 |
Hiroki Hayashi | JP | Yokohama-Shi | 2011-12-29 / 20110316945 - INK TANK | 2 |
Yugo Hayashi | JP | Tokyo | 2011-05-05 / 20110101498 - SEMICONDUCTOR DEVICE AND ARRANGEMENT METHOD THEREOF | 1 |
Yugo Hayashi | JP | Kyoto-Shi | 2013-09-26 / 20130252735 - GAME CONTROLLER, STORAGE MEDIUM STORING GAME PROGRAM, AND GAME APPARATUS | 12 |
Hiroaki Hayashi | JP | Kudamatsu | 2013-08-15 / 20130209021 - OPTICAL SEMICONDUCTOR MODULATOR DEVICE AND OPTICAL MODULE | 2 |
Hiroki Hayashi | JP | Yokohama | 2014-07-24 / 20140204798 - METHOD FOR SETTING NETWORK INFORMATION IN COMMUNICATION DEVICE, COMMUNICATION SYSTEM, AND COMMUNICATION DEVICE | 4 |
Hisahiro Hayashi | JP | Chigasaki | 2011-01-27 / 20110022741 - RECORDING AND/OR REPRODUCING APPARATUS | 1 |
Hirotaka Hayashi | JP | Kanagawa | 2016-02-11 / 20160041516 - BINDING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 2 |
Shinichi Hayashi | US | San Jose | 2014-05-29 / 20140149707 - METHOD AND APPARATUS TO MANAGE TIER INFORMATION | 14 |
Kunihiro Hayashi | JP | Awara-Shi | 2008-11-27 / 20080292228 - Shaft Member for Fluid Lubrication Bearing Apparatuses and a Method for Producing the Same | 1 |
Kunihiro Hayashi | JP | Tokyo-To | 2012-01-19 / 20120012350 - Surveying System And Laser Reference Plane Smoothing Method In Surveying System | 2 |
Ryo Hayashi | JP | Yokohama-Shi | 2015-12-24 / 20150372069 - LIGHT EMITTING DISPLAY APPARATUS | 54 |
Tatsuya Hayashi | JP | Kuwana-Shi | 2013-08-15 / 20130207849 - CHIP ANTENNA AND MANUFACTURING METHOD THEREOF | 2 |
Nobuya Hayashi | JP | Saga-Shi | 2012-12-13 / 20120315684 - Plasma Oxidation-Reduction Method, Method for Promoting Plant/Animal Growth Using the Same, and Plasma-Generating Device for Use in Method for Promoting Plant/Animal Growth | 1 |
Kuniko Hayashi | JP | Kanagawa | 2015-02-12 / 20150040592 - OUTDOOR UNIT OF AIR CONDITIONER AND AIR CONDITIONER | 2 |
Jirou Hayashi | JP | Ama-Gun | 2013-02-07 / 20130033210 - THREE-PHASE ROTARY MACHINE CONTROL APPARATUS | 1 |
Miyo Hayashi | JP | Osaka City | 2012-08-23 / 20120212144 - ILLUMINATION DEVICE | 1 |
Arata Hayashi | JP | Kanagawa | 2014-11-27 / 20140350845 - Navigation Device, Route Guidance Method, and Program | 2 |
Shigenobu Hayashi | JP | Ibaraki | 2010-08-19 / 20100210786 - THERMOPLASTIC LAYERED ALKYLSILOXANE AND PRODUCTION METHOD THEREFOR | 1 |
Yoshitaka Hayashi | JP | Tokyo | 2010-12-23 / 20100319162 - Hinge device and apparatus using the same | 2 |
Nahoto Hayashi | JP | Kurashiki | 2015-02-12 / 20150041462 - BLOW MOLDED CONTAINER, FUEL CONTAINER, AND METHOD FOR PRODUCING BLOW MOLDED CONTAINER | 1 |
Shuichi Hayashi | JP | Tsukuba-Shi | 2015-06-04 / 20150155496 - COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 9 |
Kazuichi Hayashi | JP | Nirasaki-Shi | 2011-11-03 / 20110265950 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND TARGET SUBSTRATE PROCESSING SYSTEM | 3 |
Kazuichi Hayashi | JP | Yamanashi | 2010-05-06 / 20100108108 - SUBSTRATE MOUNTING TABLE, SUBSTRATE PROCESSING APPARATUS AND METHOD FOR TREATING SURFACE OF SUBSTRATE MOUNTING TABLE | 1 |
Nahoto Hayashi | JP | Kurashiki-Shi | 2014-05-08 / 20140124114 - INNER LINER FOR PNEUMATIC TIRE, METHOD FOR PRODUCING THE SAME, AND PNEUMATIC TIRE | 4 |
Nahoto Hayashi | JP | Kurashiki-City | 2010-01-07 / 20100003419 - METHOD OF PRODUCING A SHAPED ARTICLE HAVING EXCELLENT BARRIER PROPERTIES | 1 |
Yoshitaka Hayashi | JP | Kanagawa | 2011-09-15 / 20110222807 - TANDEM ANGULAR BALL BEARING | 7 |
Junichi Hayashi | JP | Nagano-Ken | 2013-07-11 / 20130177468 - Method for Manufacturing Dental Implant and Dental Implant | 4 |
Yosuke Hayashi | JP | Toyota-Shi | 2012-12-20 / 20120318099 - DRIVE UNIT FOR HYBRID VEHICLE | 1 |
Kinji Hayashi | JP | Kiyosu-Shi | 2013-03-28 / 20130077295 - LINEAR LIGHT SOURCE APPARATUS | 1 |
Kunihiko Hayashi | JP | Odawara-Shi | 2012-12-20 / 20120318213 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 5 |
Yoshitaka Hayashi | JP | Yokohama-Shi | 2011-06-16 / 20110143075 - SPUTTERING TARGET AND MANUFACTURING METHOD THEREFOR, AND RECORDABLE OPTICAL RECORDING MEDIUM | 2 |
Toshiaki Hayashi | JP | Anjo-Shi | 2016-05-05 / 20160123462 - VEHICLE, AND CONTROL METHOD FOR VEHICLE | 3 |
Kenichi Hayashi | JP | Hino-Shi | 2013-03-21 / 20130071134 - POWER CONTROL METHOD, POWER CONTROL DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Kunihiko Hayashi | JP | Osaka-Shi | 2009-11-12 / 20090282407 - TASK SWITCHING APPARATUS, METHOD AND PROGRAM | 1 |
Kunihiko Hayashi | JP | Kanagawa-Ken | 2009-05-07 / 20090114171 - ENGINE COOLING MEDIUM CIRCULATION DEVICE | 6 |
Kunihiko Hayashi | JP | Osaka | 2014-07-10 / 20140196045 - PROCESSOR AND PROGRAM EXECUTION METHOD CAPABLE OF EFFICIENT PROGRAM EXECUTION | 6 |
Kunihiko Hayashi | JP | Kanagaw | 2008-10-02 / 20080239922 - OPTICAL DISC APPARATUS, INFORMATION RECORDING METHOD, AND INFORMATION REPRODUCTION METHOD | 1 |
Kunihiko Hayashi | JP | Takatsuki-Shi | 2011-11-17 / 20110283288 - PROCESSOR AND PROGRAM EXECUTION METHOD CAPABLE OF EFFICIENT PROGRAM EXECUTION | 4 |
Kenichi Hayashi | JP | Kamagura-Shi | 2009-11-05 / 20090275599 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR MULTIPLE SCLEROSIS | 1 |
Shigehiro Hayashi | JP | Osaka | 2014-12-25 / 20140379314 - ANALYZER, ANALYSIS METHOD, AND ANALYSIS PROGRAM | 1 |
Kazuhiro Hayashi | JP | Tokyo | 2015-12-24 / 20150373271 - VARIABLE POWER RELAY OPTICAL SYSTEM AND IMAGING APPARATUS | 9 |
Kenichi Hayashi | JP | Joetsu-Shi | 2015-10-08 / 20150287503 - PRODUCTION METHOD FOR PARTICLES OF ELEMENT HAVING STANDARD ELECTRODE POTENTIAL GREATER THAN 0V | 3 |
Kenichi Hayashi | JP | Nagano | 2010-02-25 / 20100045982 - PARTICLE COUNTER AND PARTICLE COUNTING DEVICE HAVING PARTICLE COUNTER, AND PARTICLE COUNTING SYSTEM AND ITS USE METHOD | 5 |
Kenichi Hayashi | JP | Kanagawa-Ken | 2009-12-03 / 20090294705 - Radiation detector | 1 |
Kenichi Hayashi | JP | Nagoya-Shi | 2009-06-11 / 20090149742 - APPARATUS AND METHOD FOR IMAGING ANTERIOR EYE PART BY OPTICAL COHERENCE TOMOGRAPHY | 1 |
Katsuhiko Hayashi | JP | Kyoto | 2013-06-06 / 20130143321 - METHOD OF INDUCING DIFFERENTIATION FROM PLURIPOTENT STEM CELLS TO GERM CELLS | 1 |
Shoji Hayashi | JP | Nagoya-Shi | 2015-09-24 / 20150270191 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Masami Hayashi | JP | Tokyo | 2015-01-22 / 20150021613 - PHOTOSENSOR | 12 |
Michihiko Hayashi | JP | Fukui | 2010-05-13 / 20100117493 - PIEZOELECTRIC DEVICE AND ITS MANUFACTURING METHOD | 3 |
Kenichi Hayashi | JP | Tokyo | 2016-03-03 / 20160063361 - IMAGE DETECTION APPARATUS AND IMAGE FORMING APPARATUS | 10 |
Ryosuke Hayashi | JP | Yokohama-Shi | 2013-03-21 / 20130069415 - VEHICLE SEAT | 1 |
Yoshitaka Hayashi | JP | Obu-City | 2016-01-07 / 20160006387 - ROTARY MACHINE CONTROL APPARATUS | 4 |
Yasue Hayashi | JP | Tokyo | 2011-08-04 / 20110185789 - CALORIFIC VALUE CALCULATION FORMULA GENERATING SYSTEM, CALORIFIC VALUE CALCULATION FORMULA GENERATING METHOD, CALORIFIC VALUE CALCULATING SYSTEM, AND CALORIFIC VALUE CALCULATING METHOD | 2 |
Toyohide Hayashi | JP | Kyoto | 2016-03-31 / 20160093516 - SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS | 9 |
Naoki Hayashi | JP | Kamiina-Gun | 2014-12-25 / 20140376078 - SCANNING OPTICAL MICROSCOPE | 1 |
Takayuki Hayashi | JP | Kitasaku-Gun | 2013-01-17 / 20130015393 - HIGHLY INSULATIVE AND HIGHLY STABLE PIEZOELECTRIC SINGLE LTGA CRYSTAL, METHOD FOR PRODUCING THE SAME, PIEZOELECTRIC ELEMENT USING SAID SINGLE LTGA CRYSTAL, AND COMBUSTION PRESSURE SENSORAANM Hayashi; TakayukiAACI Kitasaku-gunAACO JPAAGP Hayashi; Takayuki Kitasaku-gun JPAANM Aruga; ToshimitsuAACI Kitasaku-gunAACO JPAAGP Aruga; Toshimitsu Kitasaku-gun JPAANM Matsukura; MakotoAACI Hokuto-shiAACO JPAAGP Matsukura; Makoto Hokuto-shi JPAANM Anzai; YutakaAACI Hokuto-shiAACO JPAAGP Anzai; Yutaka Hokuto-shi JPAANM Miyamoto; AkioAACI Hokuto-shiAACO JPAAGP Miyamoto; Akio Hokuto-shi JPAANM Matsumura; SadaoAACI Hokuto-shiAACO JPAAGP Matsumura; Sadao Hokuto-shi JPAANM Furukawa; YasunoriAACI Hokuto-shiAACO JPAAGP Furukawa; Yasunori Hokuto-shi JP | 1 |
Tomonori Hayashi | JP | Saitama | 2011-03-03 / 20110051440 - MOTORCYCLE LAMP FOR VEHICLE | 1 |
Tomonori Hayashi | JP | Tokyo | 2013-12-12 / 20130328689 - MEDIUM PROCESSOR NOTIFYING WHEN SERVICING IS REQUIRED | 6 |
Tomonori Hayashi | JP | Kawasaki-Shi | 2015-06-04 / 20150153983 - IMAGE FORMING APPARATUS CAPABLE OF REPRODUCING USER SETTINGS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 8 |
Shinnosuke Hayashi | JP | Tokyo | 2010-01-21 / 20100016319 - ARYLMETHYLENE UREA DERIVATIVE AND USE THEREOF | 1 |
Hideaki Hayashi | US | Foster City | 2013-03-28 / 20130080510 - SYSTEM AND METHOD FOR PROVIDING ACTIVE-PASSIVE ROUTING IN A TRAFFIC DIRECTOR ENVIRONMENT | 1 |
Masayuki Hayashi | JP | Ichihara-Shi | 2013-01-03 / 20130004780 - Surface Treatment Composition, Process For Producing The Same, And Surface-Treated Article | 4 |
Masayoshi Hayashi | JP | Kurashiki-Shi | 2014-07-17 / 20140200364 - METHOD FOR PRODUCING A PURIFIED NAPHTHALENE DICARBOXYLIC ACID | 1 |
Takahito Hayashi | JP | Saitama | 2009-12-03 / 20090293519 - Expansion Valve, Heat Pump Type Refrigeration Cycle Apparatus, and Air Handling Unit | 1 |
Nobuki Hayashi | JP | Aichi-Ken | 2014-12-25 / 20140376241 - BASE, ILLUMINATION APPARATUS USING THE SAME, AND INTERIOR MATERIAL | 2 |
Mario Hayashi | JP | Osaka-Shi | 2015-01-15 / 20150013362 - AIR CONDITIONER | 1 |
Kazuhiro Hayashi | JP | Kobe-Shi | 2015-11-05 / 20150314174 - GOLF CLUB HEAD | 3 |
Atsutaka Hayashi | JP | Hikari-Shi | 2014-12-25 / 20140373980 - FERRITE-BASED STAINLESS STEEL PLATE HAVING EXCELLENT RESISTANCE AGAINST SCALE PEELING, AND METHOD FOR MANUFACTURING SAME | 1 |
Hiroyuki Hayashi | JP | Nirasaki City | 2015-02-05 / 20150037970 - Silicon Film Forming Method, Thin Film Forming Method and Cross-Sectional Shape Control Method | 1 |
Toshihiro Hayashi | JP | Koto-Ku | 2015-01-15 / 20150015873 - Inner Diameter Measuring Device | 1 |
Katsura Hayashi | JP | Kyoto-Shi | 2015-10-22 / 20150305154 - WIRING BOARD, MOUNTING STRUCTURE EQUIPPED WITH THE WIRING BOARD, AND METHOD FOR MANUFACTURING WIRING BOARD | 3 |
Hisamitsu Hayashi | JP | Tokyo | 2013-08-01 / 20130197085 - AGENT AND METHOD FOR STABILIZING MEMBRANE PROTEIN | 2 |
Shintaro Hayashi | JP | Hyogo | 2015-12-24 / 20150372204 - ULTRAVIOLET LIGHT EMITTING DEVICE | 9 |
Hatsuo Hayashi | JP | Kitakyushu-Shi | 2010-08-19 / 20100209001 - Operation detecting apparatus and operation detecting method | 1 |
Masayuki Hayashi | JP | Ashigarakami-Gun | 2012-05-31 / 20120135239 - DEPOSITION MATERIAL FOR ORGANIC DEVICE, AND METHOD FOR PRODUCING ORGANIC DEVICE | 4 |
Masayuki Hayashi | JP | Osaka | 2015-12-03 / 20150346626 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND RECORDING MEDIUM STORING AN IMAGE FORMING PROGRAM | 26 |
Masayuki Hayashi | JP | Ibi-Gun | 2009-08-20 / 20090205857 - PRINTED WIRING BOARD AND METHOD FOR PRODUCING THE SAME | 7 |
Daisuke Hayashi | JP | Kobe-Shi | 2015-02-05 / 20150037163 - BLADES FOR AXIAL FLOW COMPRESSOR AND METHOD FOR MANUFACTURING SAME | 1 |
Daisuke Hayashi | JP | Saitama-Shi | 2016-04-14 / 20160103209 - IMAGING DEVICE AND THREE-DIMENSIONAL-MEASUREMENT DEVICE | 2 |
Masayuki Hayashi | JP | Gifu | 2008-11-20 / 20080286524 - Method of manufacturing porous product, porous product and honeycomb structure | 1 |
Hiroo Hayashi | JP | Kagawa | 2013-03-21 / 20130068388 - METHOD AND APPARATUS FOR MANUFACTURING A ROLL OF SHEET | 1 |
Kengo Hayashi | JP | Inazawa-City | 2008-10-02 / 20080243369 - Navigation apparatus and method for street search | 1 |
Tsuyoshi Hayashi | JP | Miyoshi-Shi | 2015-12-24 / 20150367718 - VEHICLE BODY STRUCTURE | 7 |
Ryoji Hayashi | JP | Tokyo | 2012-12-27 / 20120326781 - TRANSMISSION MODULE AND PHASED ARRAY ANTENNA APPARATUS | 7 |
Mitsuhiko Hayashi | JP | Kani-Shi | 2011-05-12 / 20110108642 - PROCESS FOR PRODUCING SULFIDE-BASED SOLID ELECTROLYTE | 2 |
Masahiro Hayashi | JP | Takasaki-Shi | 2015-02-05 / 20150035635 - ELECTRONIC COMPONENT | 1 |
Naoki Hayashi | JP | Minato-Ku | 2016-04-14 / 20160102213 - SURFACE TREATMENT AGENT FOR SURFACE CONFIGURED FROM INORGANIC MATERIAL, TOOL AND DEVICE HAVING MODIFIED SURFACE, AND METHOD FOR MANUFACTURING TOOL AND DEVICE | 3 |
Katsuhiko Hayashi | JP | Kusatsu-Shi | 2015-08-13 / 20150228926 - ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Hidetaka Hayashi | JP | Kariya-Shi | 2015-02-12 / 20150044477 - MEMBER FOR VEHICLE AND MANUFACTURING PROCESS FOR THE SAME | 10 |
Masayoshi Hayashi | JP | Hyogo | 2009-05-07 / 20090114250 - Pipetter cleaning device and cleaning method | 4 |
Masayoshi Hayashi | JP | Hyogo-Ken | 2009-12-31 / 20090321263 - CLINICAL ANALYSIS APPARATUS | 1 |
Satoshi Hayashi | JP | Kobe-Shi | 2014-07-10 / 20140190610 - PNEUMATIC TIRE | 1 |
Masayoshi Hayashi | JP | Nagoya-Shi | 2013-10-31 / 20130286433 - Image Forming Apparatus | 5 |
Masayoshi Hayashi | JP | Amagasaki-Shi | 2010-09-16 / 20100233035 - REAGENT CONTAINER | 2 |
Yuukichi Hayashi | JP | Kawasaki-Shi | 2014-07-10 / 20140190161 - Supercharger Control Device for Internal Combustion Engine | 1 |
Masakazu Hayashi | JP | Tokyo | 2015-11-05 / 20150317043 - ELECTRONIC APPARATUS AND MENU DISPLAYING METHOD | 11 |
Akihiro Hayashi | JP | Tokyo | 2015-12-17 / 20150363230 - PARALLELISM EXTRACTION METHOD AND METHOD FOR MAKING PROGRAM | 2 |
Daisuke Hayashi | JP | Mishima-Shi | 2015-01-22 / 20150025836 - ROUGH ROAD DETERMINATION DEVICE | 1 |
Yuichiro Hayashi | JP | Kyoto | 2015-01-22 / 20150020730 - SEED CRYSTAL HOLDER, CRYSTAL GROWING DEVICE, AND CRYSTAL GROWING METHOD | 1 |
Teruyuki Hayashi | JP | Yamanashi | 2010-09-30 / 20100245812 - DEFECT INSPECTING METHOD AND DEFECT INSPECTING APPARATUS | 10 |
Akio Hayashi | JP | Toyama | 2015-04-23 / 20150107062 - Slide Fastener | 2 |
Tetsutaro Hayashi | JP | Niihama-Shi | 2016-01-07 / 20160006030 - POSITIVE ELECTRODE ACTIVE MATERIAL, AND LITHIUM SECONDARY BATTERY USING SAME | 2 |
Hiroyuki Hayashi | JP | Ichinomiya-Shi | 2014-07-03 / 20140185087 - PRINTING APPARATUS | 1 |
Takafumi Hayashi | JP | Moriguchi-Shi | 2014-11-27 / 20140347559 - VIDEO DISPLAY APPARATUS | 2 |
Naoyuki Hayashi | JP | Kanagawa | 2013-10-17 / 20130270529 - ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL, ORGANIC ELECTROLUMINESCENT ELEMENT AND PRODUCTION METHOD FOR ORGANIC ELECTROLUMINESCENT ELEMENT | 14 |
Ryotaro Hayashi | JP | Hiratsuka-Shi | 2015-01-22 / 20150023496 - PAIRING COMPUTATION APPARATUS, PAIRING COMPUTATION METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Eizo Hayashi | JP | Aso-Shi | 2014-06-26 / 20140175759 - GASKET AND SEALING STRUCTURE | 1 |
Masami Hayashi | JP | Kumamoto | 2016-03-24 / 20160084992 - DISPLAY | 12 |
Junichi Hayashi | JP | Tokyo | 2015-08-27 / 20150243347 - SEMICONDUCTOR DEVICE PREVENTING MULTIWORD STATE | 11 |
Masao Hayashi | JP | Hyogo | / - | 1 |
Akiyoshi Hayashi | JP | Osaka-Shi | 2015-04-02 / 20150094934 - Engine Rotational Speed Control Device | 2 |
Yoshinari Hayashi | JP | Tokyo | 2010-04-15 / 20100090333 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND MANUFACTURING METHOD OF SEMICONDUCTOR MODULE | 1 |
Keiichi Hayashi | JP | Hyogo | 2009-12-31 / 20090325073 - MATERIAL FOR CONTACT COMPONENTS OR BATTERY COMPONENTS, AND BATTERY USING THE SAME | 1 |
Masahito Hayashi | JP | Saitama | 2009-12-24 / 20090316901 - SECRET COMMUNICATION METHOD AND SECRET COMMUNICATION DEVICE THEREOF | 1 |
Mikine Hayashi | JP | Kiyosu-Shi | 2016-03-31 / 20160090116 - STEERING WHEEL | 10 |
Hisashi Hayashi | JP | Kiyosu-Shi | 2015-03-05 / 20150059982 - SINGLE FACER | 3 |
Ryuzo Hayashi | JP | Hyogo | 2008-09-18 / 20080223733 - Analysis Apparatus and Analysis Method for Glycosylated Hemoglobin | 1 |
Toshiaki Hayashi | JP | Kawasaki | 2011-05-19 / 20110119664 - FIRMWARE DISTRIBUTING DEVICE, PROGRAM, AND METHOD | 9 |
Chieko Hayashi | JP | Hyogo | 2009-06-04 / 20090141774 - SPREAD SPECTRUM CLOCK GENERATOR CAPABLE OF FREQUENCY MODULATION WITH HIGH ACCURACY | 1 |
Motoko Hayashi | JP | Hyogo | 2009-08-13 / 20090203096 - Process for Production of Optically Active Alcohol | 1 |
Kazumasa Hayashi | JP | Hyogo | 2009-09-17 / 20090232524 - DEVELOPER SUPPLY DEVICE, DEVELOPER SUPPLY CONTROL METHOD, AND DEVELOPER SUPPLY CONTROL PROGRAM | 1 |
Keizo Hayashi | JP | Hyogo | 2009-11-05 / 20090275676 - Process for Producing Particulate Resin | 1 |
Kazushi Hayashi | JP | Hyogo | 2010-09-02 / 20100219350 - Beam Detector and Beam Monitor Using The Same | 1 |
Yuji Hayashi | JP | Saitama | 2010-03-18 / 20100066204 - PIEZOELECTRIC TRANSFORMER DRIVING CIRCUIT | 1 |
Kenichi Hayashi | JP | Tamano-Shi | 2014-06-19 / 20140167418 - DYNAMO | 1 |
Hiromasa Hayashi | JP | Aichi | 2015-01-29 / 20150027589 - Solder Paste | 1 |
Hideki Hayashi | JP | Osaka-Shi | 2016-03-24 / 20160087065 - SILICON CARBIDE SEMICONDUCTOR DEVICE | 19 |
Hisataka Hayashi | JP | Kanagawa-Ken | 2015-06-11 / 20150162223 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 4 |
Yukio Hayashi | JP | Tokyo | 2014-12-25 / 20140380298 - WIRELESS COMMUNICATION TERMINAL, SOFTWARE UPDATE SYSTEM, AND SOFTWARE UPDATE METHOD | 4 |
Tomoaki Hayashi | JP | Saitama | 2010-04-29 / 20100103397 - EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DISPLAY PANEL SUBSTRATE | 2 |
Kanji Hayashi | JP | Saitama | 2011-06-09 / 20110133511 - REAR STRUCTURE OF STRADDLE-RIDE TYPE VEHICLE | 3 |
Miwa Hayashi | JP | Saitama | 2010-09-09 / 20100223916 - EXHAUST GAS PURIFICATION DEVICE | 3 |
Koji Hayashi | JP | Nagakute-Shi | 2015-10-29 / 20150307085 - CONTROL APPARATUS FOR A VEHICLE | 3 |
Hideki Hayashi | JP | Chiba-Shi | 2014-08-07 / 20140219924 - MEDICAL TISSUE-MARKER AND MANUFACTURING METHOD FOR THE SAME | 1 |
Kengo Hayashi | JP | Hiratsuka-Shi | 2009-07-09 / 20090173272 - Apparatus for pulling single crystal by CZ method | 1 |
Yoshihiro Hayashi | JP | Akishima | 2011-10-06 / 20110241611 - BATTERY CHARGER, BATTERY CHARGING CIRCUITS, AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICES | 3 |
Masatoshi Hayashibara | JP | Tokyo | 2010-12-09 / 20100311187 - ANTIBODY RECOGNIZING C-DOMAIN OF MIDKINE | 1 |
Mitsuo Hayashibara | JP | Hitachinaka | 2011-01-27 / 20110021337 - DISPLAY APPARATUS | 8 |
Kathleen C. Hayashibara | US | Cupertino | 2010-05-06 / 20100113285 - Normalization of Data Using Controls | 1 |
Tatsuhiko Hayashibara | JP | Okayama | 2012-03-22 / 20120071667 - ACRYLATE DERIVATIVES, ALCOHOL DERIVATIVES, AND METHOD FOR PRODUCING THEM | 4 |
Hiroshi Hayashibara | JP | Hiroshima-Shi | 2011-12-29 / 20110320108 - METHOD OF CONTROLLING AUTOMOBILE-MOUNT DIESEL ENGINE AND THE AUTOMOBILE-MOUNT DIESEL ENGINE | 1 |
Kazuya Hayashibe | JP | Miyagi | 2014-10-02 / 20140293390 - OPTICAL DEVICE, IMAGE DISPLAY APPARATUS AND HEAD-MOUNTED DISPLAY UNIT | 26 |
Kazuya Hayashibe | JP | Saitama | 2012-02-02 / 20120026591 - OPTICAL ELEMENT, DISPLAY DEVICE, AND ANTI-REFLECTIVE OPTICAL COMPONENT, AND MASTER | 11 |
Kazuya Hayashibe | JP | Kanagawa | 2015-03-26 / 20150085369 - LAMINATED BODY, IMAGING ELEMENT PACKAGE, IMAGING APPARATUS, AND ELECTRONIC APPARATUS | 7 |
Satoshi Hayashibe | JP | Tokyo | 2010-10-21 / 20100267695 - FUSED INDANE COMPOUND | 2 |
Kazuya Hayashibe | JP | Tokyo | 2010-06-03 / 20100134892 - OPTICAL DEVICE, METHOD FOR PRODUCING MASTER FOR USE IN PRODUCING OPTICAL DEVICE, AND PHOTOELECTRIC CONVERSION APPARATUS | 1 |
Yuta Hayashibe | JP | Shinagawa-Ku | 2015-10-29 / 20150309991 - INPUT SUPPORT DEVICE, INPUT SUPPORT METHOD, AND INPUT SUPPORT PROGRAM | 1 |
Tomohiro Hayashida | JP | Osaka | 2016-05-05 / 20160120813 - FUNCTIONAL POLYMER FILM-COATED PARTICLE HAVING HIGH DRUG CONTENT, TABLET CONTAINING SAME, AND METHODS FOR PRODUCTION THEREOF | 1 |
Seiji Hayashida | JP | Kanagawa | 2011-07-07 / 20110167415 - LANGUAGE PROCESSING APPARATUS, LANGUAGE PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Hiroyuki Hayashida | JP | Osaka-Shi, Osaka | 2016-05-05 / 20160121300 - CATALYST COMPOSITION AND EXHAUST GAS PURIFYING METHOD | 1 |
Toshiharu Hayashida | JP | Kanagawa | 2013-09-12 / 20130235417 - INFORMATION PROCESSING DEVICE, IMAGE FORMING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Clifton Isamu Hayashida | US | Suffield | 2014-10-09 / 20140303466 - Computer-Based Monitoring of Blood Glucose Levels | 1 |
Tetsuo Hayashida | JP | Aichi-Ken | 2013-11-14 / 20130300178 - VEHICLE SEAT | 1 |
Yumiko Hayashida | JP | Kanagawa | 2014-06-26 / 20140177223 - LIGHT EMITTING MODULE AND LIGHT SOURCE DEVICE | 2 |
Hirotaka Hayashida | JP | Kashiwazaki | 2015-12-31 / 20150380787 - BATTERY SYSTEM | 1 |
Yasunobo Hayashida | JP | Fukuoka | 2012-11-22 / 20120293453 - TOUCH SCREEN DEVICE | 1 |
Mitsumori Hayashida | JP | Tokyo | 2010-05-27 / 20100128962 - VIRTUAL-SLIDE SPECIMEN IMAGE ACQUISITION APPARATUS | 1 |
Atsushi Hayashida | JP | Aichi-Gun | 2013-05-16 / 20130118430 - VALVE TIMING CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE AND CONTROL METHOD THEREOF | 1 |
Takumi Hayashida | JP | Kobe-Shi | 2015-12-10 / 20150356735 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 3 |
Katsuhiko Hayashida | JP | Chiyoda-Ku, Tokyo | 2015-11-26 / 20150338120 - AIR-CONDITIONING APPARATUS | 1 |
Jeff Hayashida | US | San Jose | / - | 1 |
Ichiro Hayashida | JP | Saitama | 2012-01-05 / 20120000485 - CLEANING AGENT FOR SUBSTRATE AND CLEANING METHOD | 1 |
Atsushi Hayashida | JP | Wako-Shi | 2015-05-28 / 20150147613 - POWER STORAGE MODULE | 3 |
Russel Hayashida | US | San Diego | 2014-10-23 / 20140314155 - System and Method for Transferring Data | 1 |
Tomoko Hayashida | JP | Ube-Shi | 2012-06-21 / 20120157574 - PROCESS FOR PRODUCING POLYIMIDE SILOXANE SOLUTION COMPOSITION, AND POLYIMIDE SILOXANE SOLUTION COMPOSITION | 1 |
Shuji Hayashida | JP | Kawasaki-Shi | 2014-04-17 / 20140102192 - DUST-PROOF STRUCTURE FOR MEASURING TOOL | 14 |
Tsuguhisa Hayashida | JP | Miyagi-Ken | 2011-09-22 / 20110229666 - INJECTION-MOLDED BODY | 2 |
Robert Donald Hayashida | US | Stateline | 2013-03-07 / 20130061066 - COMMUNICATION SYSTEM FOR USE IN HAZARDOUS ENVIRONMENTS | 2 |
Masakazu Hayashida | JP | Tokyo | 2010-09-23 / 20100240552 - METHOD FOR EVALUATION OF DRUG SENSITIVITY BY ANALYSIS OF POMC GENE | 2 |
Futoshi Hayashida | US | San Jose | 2012-08-30 / 20120218313 - BACKLIGHT DIMMING RATIO BASED DYNAMIC KNEE POINT DETERMINATION OF SOFT CLIPPING | 2 |
Akira Hayashida | JP | Toyama | 2011-08-25 / 20110207339 - HEAT TREATMENT APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 6 |
Atsushi Hayashida | JP | Chiba | 2016-01-28 / 20160024301 - GLASS-FIBER-REINFORCED POLYCARBONATE RESIN COMPOSITION | 1 |
Atsushi Hayashida | JP | Nagakute-Shi | 2016-01-28 / 20160025017 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Teruhide Hayashida | JP | Tokyo | 2016-02-18 / 20160046237 - TRAVEL ENVIRONMENT EVALUATION SYSTEM, TRAVEL ENVIRONMENT EVALUATION METHOD, DRIVE ASSIST DEVICE, AND TRAVEL ENVIRONMENT DISPLAY DEVICE | 3 |
Katsuhiko Hayashida | JP | Tokyo | 2015-11-26 / 20150338120 - AIR-CONDITIONING APPARATUS | 5 |
Yumiko Hayashida | JP | Kanagawa-Ken | 2014-08-07 / 20140218908 - Light-Emitting Device and Luminaire | 2 |
Naoya Hayashida | JP | Wako-Shi | 2013-04-25 / 20130099727 - CHARGE CAPACITY PARAMETER ESTIMATION SYSTEM OF ELECTRIC STORAGE DEVICE | 1 |
Hirohisa Hayashida | JP | Chiyoda-Ku | 2014-07-17 / 20140199262 - METHOD FOR MANUFACTURING PROTEIN DRUG | 1 |
Atsushi Hayashida | JP | Toyota-Shi | 2013-02-21 / 20130042829 - VARIABLE VALVE TIMING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Hiroyuki Hayashida | US | 2016-05-05 / 20160121300 - CATALYST COMPOSITION AND EXHAUST GAS PURIFYING METHOD | 1 | |
Takaaki Hayashida | JP | Nagoya-Shi | 2011-09-22 / 20110226085 - CONTROL CABLE | 2 |
Yasuo Hayashida | JP | Kumamoto-Shi | 2013-10-03 / 20130257568 - CONTACT SWITCHING DEVICE | 10 |
Atsushi Hayashida | JP | Tokyo | 2014-05-29 / 20140146569 - POLYCARBONATE RESIN COMPOSITION FOR LIGHT GUIDES, AND LIGHT GUIDE AND SURFACE LIGHT SOURCE BODY COMPRISING THE SAME | 2 |
Tsuyoshi Hayashida | US | Maple Grove | 2015-05-14 / 20150133923 - ELECTROSURGICAL COLPOTOMY DEVICE | 9 |
Norimasa Hayashida | JP | Tokyo | 2009-05-28 / 20090138276 - PRIVACY MANAGEMENT SYSTEM USING USER'S POLICY AND PREFERENCE MATCHING | 1 |
Kengo Hayashida | JP | Ehime | 2015-12-17 / 20150361591 - SIZING AGENT-COATED CARBON FIBER BUNDLE, CARBON FIBER BUNDLE PRODUCTION METHOD, AND PREPREG | 1 |
Tsuyoshi Hayashida | JP | Hachioji-Shi | 2016-03-17 / 20160074093 - TREATMENT SYSTEM | 1 |
Yukinobu Hayashida | JP | Hyogo | 2012-03-08 / 20120058520 - METHOD FOR SYNTHESIS OF DOUBLE-STRANDED DNA CORRESPONDING TO RNA, AND METHOD FOR AMPLIFICATION OF THE DNA | 1 |
Yukimasa Hayashida | JP | Fukuoka | 2016-03-17 / 20160079142 - SEMICONDUCTOR DEVICE | 5 |
Ichiro Hayashida | JP | Tokyo | 2013-10-24 / 20130280916 - ETCHING AGENT, ETCHING METHOD AND LIQUID FOR PREPARING ETCHING AGENT | 3 |
Hisashi Hayashida | JP | Tokyo | 2010-01-14 / 20100009991 - POLYCYCLIC ACID COMPOUNDS USEFUL AS CRTH2 ANTAGONISTS AND ANTIALLERGIC AGENTS | 1 |
Takaichi Hayashida | JP | Fussa-Shi | 2013-02-14 / 20130037976 - Lens Array Production Method and Laminated Lens Array Production Method | 1 |
Teruhide Hayashida | JP | Shinagawa | 2011-11-10 / 20110276257 - NAVIGATION DEVICE, PROBE INFORMATION TRANSMISSION METHOD, COMPUTER-READABLE STORAGE MEDIUM THAT STORING PROBE INFORMATION TRANSMISSION PROGRAM, AND TRAFFIC INFORMATION GENERATION DEVICE | 1 |
Shinichi Hayashida | JP | Osaka | 2011-11-10 / 20110271593 - VEHICLE PASSAGE CONTROL DEVICE | 1 |
Yumiko Hayashida | JP | Yokosuka-Shi | 2014-09-25 / 20140286040 - Lamp and Luminaire | 8 |
Yoko Hayashida | JP | Tokyo | 2010-05-06 / 20100109063 - Semiconductor device having MOS gate capacitor | 1 |
Tetsuro Hayashida | JP | Tokyo | 2015-07-30 / 20150214393 - SOLAR CELL AND MANUFACTURING METHOD THEREFOR | 1 |
Masamichi Hayashida | JP | Tokyo | 2010-04-15 / 20100090022 - Fragrance device | 1 |
Jeffrey Hayashida | US | San Francisco | 2016-02-25 / 20160054760 - POWER SYSTEM INCLUDING A COUPLING MECHANISM | 9 |
Yasuhiro Hayashida | JP | Hyogo | 2011-12-29 / 20110314991 - SHEARING DIE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroyuki Hayashida | JP | Kitakyushu-Shi | 2016-02-25 / 20160056390 - AROMATIC HETEROCYCLIC COMPOUND, MANUFACTURING METHOD THEREOF, ORGANIC SEMICONDUCTOR MATERIAL, AND ORGANIC SEMICONDUCTOR DEVICE | 7 |
Eiji Hayashida | JP | Tokyo | 2013-03-14 / 20130064928 - NOVEL LACTOBACILLUS CLASSIFIED AS LACTOBACILLUS PLANTARUM, AND USE THEREOF | 1 |
Atsushi Hayashida | JP | Kanagawa | 2011-10-13 / 20110247661 - METHOD FOR CLEANING OBJECT AND SYSTEM FOR CLEANING OBJECT | 1 |
Akira Hayashida | JP | Kawasaki | 2012-01-12 / 20120011353 - INFORMATION PROCESSING APPARATUS HAVING VERIFICATION CAPABILITY OF CONFIGURATION CHANGE | 1 |
Jeff Hayashida | US | San Francisco | 2012-10-18 / 20120264329 - DOCK WITH MOVEABLE CONNECTOR FOR DISPLAY DEVICE | 4 |
Osamu Hayashida | JP | Tokyo | 2015-07-02 / 20150182580 - Collagen Peptide Composition Production Method, DPP-4 Inhibitor, and Antihyperglycemic Agent | 2 |
Yoshihide Hayashida | JP | Fukuoka-Ken | 2013-08-08 / 20130201597 - ELECTROSTATIC CHUCK | 1 |
Koichiro Hayashida | JP | Nagasaki | 2012-01-19 / 20120015454 - METHOD OF MANUFACTURING EPITAXIAL SILICON WAFER AND APPARATUS THEREFOR | 1 |
Kenta Hayashida | JP | Inazawa-Shi | 2016-02-25 / 20160052288 - LIQUID CONSUMING APPARATUS | 12 |
Shoichi Hayashida | JP | Hitachinaka-Shi | 2010-11-25 / 20100298727 - LIVING BODY INFORMATION DETECTION APPARATUS AND BLOOD-PRESSURE METER | 7 |
Toru Hayashida | JP | Tokyo | 2014-05-22 / 20140141273 - Lead-Free Solder Alloy | 1 |
Atsushi Hayashida | JP | Kasai-Shi | 2012-01-05 / 20120004875 - METHOD OF DETECTING BATTERY INTERNAL RESISTANCE | 2 |
Atsushi Hayashida | JP | Aichi-Ken | 2014-03-13 / 20140069359 - VARIABLE VALVE TIMING DEVICE | 1 |
Shoichi Hayashida | JP | Kanagawa | 2008-12-25 / 20080319325 - Biologic Information Detecting Apparatus | 1 |
Hiroyuki Hayashida | JP | Fukuoka | 2012-01-05 / 20120001158 - ORGANIC ELECTROLUMINESCENT DEVICE | 2 |
Tsuyoshi Hayashida | US | Maple Grove | 2015-05-14 / 20150133923 - ELECTROSURGICAL COLPOTOMY DEVICE | 9 |
Gaku Hayashida | JP | Osaka | 2013-10-31 / 20130284818 - HEATING SYSTEM CONTROL METHOD AND HEATING SYSTEM | 8 |
Norimasa Hayashida | JP | Kanagawa | 2011-06-16 / 20110145236 - APPARATUS AND METHOD FOR MANAGING MESSAGES | 1 |
Atsushi Hayashida | JP | Hyogo | 2014-05-22 / 20140139149 - POWER SUPPLY DEVICE AND VEHICLE INCLUDING THE SAME | 1 |
Daisuke Hayashida | JP | Kitakyushu-Shi | 2015-09-10 / 20150252557 - Remote Control Device | 1 |
Koichi Hayashida | JP | Kyoto | 2015-08-13 / 20150224409 - INFORMATION SHARING SYSTEM, INFORMATION-PROCESSING DEVICE, STORAGE MEDIUM, AND INFORMATION SHARING METHOD | 8 |
Osamu Hayashida | JP | Annaka-Shi | 2016-03-17 / 20160075853 - Fluorosilicone Rubber Compound and Rubber Part for Use Near Cargo Plane Engines | 8 |
Kazumi Hayashida | JP | Kawasaki | 2015-09-10 / 20150256164 - TIMING MEASURING CIRCUIT | 1 |
Gaku Hayashida | JP | Osaka | 2013-10-31 / 20130284818 - HEATING SYSTEM CONTROL METHOD AND HEATING SYSTEM | 8 |
Masahiro Hayashida | JP | Hiroshima | 2013-02-14 / 20130041117 - APPARATUS FOR PRODUCING METHACRYLIC POLYMER AND PRODUCTION METHOD THEREOF | 1 |
Masami Hayashida | JP | Kobe-Shi | 2012-08-09 / 20120199043 - BODYSHELL STRUCTURE OF RAILROAD VEHICLE | 1 |
Yukimasa Hayashida | US | 2015-12-03 / 20150351276 - SEMICONDUCTOR DEVICE | 1 | |
Atsushi Hayashida | JP | Wako | 2015-12-03 / 20150349394 - COOLING STRUCTURE OF POWER STORAGE DEVICE | 1 |
Yukinobu Hayashida | JP | Amagasaki-Shi, Hyogo | 2015-10-29 / 20150307930 - DETECTION METHOD FOR HYDROXYMETHYLATED CYTOSINE IN DNA AND REAGENT KIT FOR DETECTION | 1 |
Yasutaka Hayashida | JP | Suita-Shi | 2014-02-13 / 20140045951 - SYNTHETIC VITREOUS MATERIAL | 1 |
Yoshiki Hayashida | JP | Tokyo | 2016-05-12 / 20160133867 - ORGANIC EL ELEMENT AND ORGANIC EL DISPLAY PANEL | 1 |
Tetsuo Hayashida | JP | Toyota-Shi | 2013-10-10 / 20130264742 - METHOD FOR MANUFACTURING VEHICLE SEAT COMPONENT | 3 |
Nozomu Hayashida | JP | Shiga | 2015-06-11 / 20150159025 - Conductive Paste for Forming Conductive Film for Semiconductor Devices, Semiconductor Device, and Method for Producing Semiconductor Device | 1 |
Shigeru Hayashida | JP | Tokyo | 2013-12-12 / 20130327632 - PHOTOCHEMICAL REACTION DEVICE AND ISOTOPE ENRICHMENT METHOD USING THE DEVICE | 1 |
Yasushi Hayashida | JP | Koshi-Shi | 2011-09-01 / 20110211825 - COATING AND DEVELOPING APPARATUS, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM | 10 |
Yoshihisa Hayashida | JP | Ichihara-Shi | 2014-09-25 / 20140287219 - OPTICAL ELEMENT MATERIAL AND METHOD FOR PRODUCING SAME | 2 |
Yukimasa Hayashida | JP | Fukuoka-Shi | 2015-04-30 / 20150116956 - SEMICONDUCTOR DEVICE | 1 |
Teruhide Hayashida | JP | Shinagawa-Ku | 2013-11-28 / 20130317706 - PARKING ASSIST SYSTEM AND PARKING ASSIST METHOD | 4 |
Takaaki Hayashida | JP | Nagoya | 2013-06-27 / 20130160599 - CONTROL CABLE | 1 |
Naoki Hayashida | JP | Tokyo | 2013-02-07 / 20130034805 - HOLOGRAM RECORDING MATERIAL AND HOLOGRAM RECORDING MEDIUM | 20 |
Satoshi Hayashida | JP | Minamata-Shi | 2008-09-25 / 20080233036 - PRODUCTION PROCESS FOR HIGH PURITY SILICON | 1 |
Shigeru Hayashida | JP | Toyota-Shi | 2009-03-12 / 20090068865 - Case with Connector and Manufacturing Method Thereof | 1 |
Ken Hayashida | JP | Kawasaki | 2009-04-09 / 20090093244 - COMMUNICATION SERVER, MOBILE COMMUNICATION TERMINAL, COMMUNICATION METHOD, AND COMPUTER PRODUCT | 2 |
Tatsuya Hayashida | JP | Kanagawa | 2009-07-09 / 20090174126 - VIBRATION DAMPING SUPPORT APPARATUS | 1 |
Hirotaka Hayashida | JP | Fujisawa-Shi | / - | 1 |
Masatsugu Hayashida | JP | Saitama | 2009-07-23 / 20090185923 - FUEL SUPPLY PUMP | 1 |
Atsushi Hayashida | JP | Kariya-City | 2009-08-06 / 20090195411 - Speed limit informing device installed in on-board navigation system | 1 |
Tadashi Hayashida | JP | Tochigi | 2009-08-20 / 20090205715 - Chalcopyrite Solar Cell and Manufacturing Method Thereof | 2 |
Satoshi Hayashida | JP | Kumamoto | 2011-07-07 / 20110165032 - PRODUCTION PROCESS FOR HIGH PURITY POLYCRYSTAL SILICON AND PRODUCTION APPARATUS FOR THE SAME | 6 |
Koji Hayashida | JP | Fukuoka | 2009-11-26 / 20090291644 - WIRELESS COMMUNICATION APPARATUS | 1 |
Hidetoshi Hayashida | JP | Chiba | 2009-12-03 / 20090297268 - Method for Removing Asphalt Pavement, System for Removing Asphalt Pavement, Electromagnetic Induction Coil Unit, Apparatus for Removing Asphalt Pavement, and Method for Peeling off Asphalt Pavement | 1 |
Takahiro Hayashida | JP | Chiba | 2009-12-10 / 20090304565 - METHOD FOR REMOVING LEAD FROM CEMENT BURNING FURNACE | 1 |
Chie Hayashida | JP | Funabashi-Shi | 2009-12-24 / 20090314643 - Electrophoresis Cassette and Method for Producing the Same | 1 |
Shoichi Hayashida | JP | Musashino-Shi | 2011-12-01 / 20110295133 - Biologic Information Detecting Apparatus | 5 |
Yasushi Hayashida | JP | Kumamoto-Ken | 2010-01-28 / 20100021621 - COATING AND DEVELOPING SYSTEM CONTROL METHOD OF CONTROLLING COATING AND DEVELOPING SYSTEM | 1 |
Kazuki Hayashida | JP | Nagasaki | 2010-04-01 / 20100080702 - WIND TURBINE GENERATOR AND ITS CONTROL METHOD | 1 |
Takayuki Hayashida | JP | Osaka | 2015-03-26 / 20150083033 - Cloth Edge Curl Correction Device | 2 |
Kikuji Hayashida | JP | Aichi | 2012-03-29 / 20120076682 - VANE PUMP | 2 |
Naoko Hayashida | JP | Yokohama | 2015-10-01 / 20150277556 - INFORMATION PROCESSING TECHNIQUE FOR EYE GAZE MOVEMENTS | 4 |
Osamu Hayashida | JP | Annaka-Shi | 2016-03-17 / 20160075853 - Fluorosilicone Rubber Compound and Rubber Part for Use Near Cargo Plane Engines | 8 |
Yasushi Hayashida | JP | Aichi-Ken | 2009-04-02 / 20090084211 - HYPOID GEAR DEVICE AND FINAL REDUCTION GEAR FOR VEHICLE | 1 |
Shinsuke Hayashida | JP | Yokohama-Shi | 2014-05-22 / 20140140634 - RADIATION IMAGING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND PROGRAM | 6 |
Tetsuya Hayashida | JP | Tokyo | 2014-02-20 / 20140048504 - MOVABLE RACK UNIT | 1 |
Koichi Hayashida | JP | Kyoto | 2015-08-13 / 20150224409 - INFORMATION SHARING SYSTEM, INFORMATION-PROCESSING DEVICE, STORAGE MEDIUM, AND INFORMATION SHARING METHOD | 8 |
Kenta Hayashida | JP | Inazawa-Shi | 2016-02-25 / 20160052288 - LIQUID CONSUMING APPARATUS | 12 |
Toshio Hayashida | JP | Nagasaki | 2011-05-19 / 20110114010 - METHOD FOR MEASURING LIQUID LEVEL IN SINGLE CRYSTAL PULLING APPARATUS EMPLOYING CZ METHOD | 2 |
Yumiko Hayashida | JP | Yokosuka-Shi | 2014-09-25 / 20140286040 - Lamp and Luminaire | 8 |
Naoko Hayashida | JP | Kawasaki | 2012-05-31 / 20120136982 - INFORMATION MANAGEMENT APPARATUS, INFORMATION MANAGEMENT METHOD, AND INFORMATION MANAGEMENT PROGRAM | 6 |
Takeo Hayashida | JP | Kikuchi-Gun | 2010-11-04 / 20100275436 - SPLIT STATOR MANUFACTURING METHOD | 1 |
Yasuhiro Hayashida | JP | Hyogo-Pref. | 2011-01-06 / 20110003113 - PROCESS FOR PRODUCING SHAPED COMPONENTS FROM HIGH-STRENGTH AND ULTRA HIGH-STRENGTH STEELS | 1 |
Jeffrey Y. Hayashida | US | San Francisco | 2016-04-28 / 20160117882 - GAMING SYSTEM AND METHOD FOR PROVIDING AND REDEEMING PARTIAL WAGERING GAME OUTCOMES | 48 |
Yoshihisa Hayashida | JP | Chiba | 2012-09-06 / 20120223461 - IMPRINTING DEVICE AND IMPRINTING METHOD | 4 |
Toshikazu Hayashida | JP | Kanagawa | 2012-05-10 / 20120111735 - METHOD FOR A METAL ELECTROWINNING | 2 |
Syuuhei Hayashida | JP | Yokkaichi-Shi | 2014-01-02 / 20140000318 - METHOD OF GENERATING CRACKS IN POLYCRYSTALLINE SILICON ROD AND CRACK GENERATING APPARATUS | 2 |
Kikuji Hayashida | JP | Toyota-Shi | 2012-06-21 / 20120156076 - VANE PUMP | 2 |
Shusuke Hayashida | JP | Yokohama-Shi | 2011-03-31 / 20110074567 - PASSENGER DETECTION SYSTEM | 1 |
Shuji Hayashida | JP | Kawasaki-Shi | 2014-04-17 / 20140102192 - DUST-PROOF STRUCTURE FOR MEASURING TOOL | 14 |
Shigeru Hayashida | JP | Kofu-Shi | 2015-08-06 / 20150217231 - METHOD FOR ENRICHING OXYGEN ISOTOPE | 7 |
Koichi Hayashida | JP | Kyoto-Shi | 2013-03-28 / 20130076618 - COMPUTER-READABLE STORAGE MEDIUM HAVING STORED THEREIN DISPLAY CONTROL PROGRAM, DISPLAY CONTROL SYSTEM, DISPLAY CONTROL APPARATUS, AND DISPLAY CONTROL METHOD | 4 |
Kenichi Hayashida | JP | Aichi-Gun | 2013-08-08 / 20130200311 - RESIN COMPOSITE MATERIAL | 1 |
Tsuyoshi Hayashida | JP | Tokyo | 2015-05-14 / 20150133947 - VAGINAL CUFF CLOSURE TOOL AND METHOD | 2 |
Masahiro Hayashida | JP | Otake-Shi | 2014-10-16 / 20140309383 - METHOD FOR PRODUCING METHACRYLIC-BASED POLYMER | 2 |
Hiroko Hayashida | JP | Tokyo | / - | 1 |
Masayoshi Hayashida | JP | Hokuto-Shi | 2010-05-13 / 20100115994 - ADSORBENT FOR CARBON MONOXIDE, GAS PURIFICATION METHOD, AND GAS PURIFICATION APPARATUS | 1 |
Yasuo Hayashida | JP | Kumamoto-Shi | 2013-10-03 / 20130257568 - CONTACT SWITCHING DEVICE | 10 |
Jeffrey Hayashida | US | San Francisco | 2016-02-25 / 20160054760 - POWER SYSTEM INCLUDING A COUPLING MECHANISM | 9 |
Makoto Hayashida | JP | Susono-Shi | 2014-05-22 / 20140140723 - DEVELOPING DEVICE FRAME UNIT, DEVELOPING DEVICE, PROCESS CARTRIDGE, AND MANUFACTURING METHOD OF THE DEVELOPING DEVICE FRAME UNIT | 5 |
Katsuhiko Hayashida | JP | Chiyoda-Ku | 2012-07-19 / 20120180515 - HEAT MEDIUM RELAY UNIT AND AIR-CONDITIONING APPARATUS | 1 |
Teruhide Hayashida | JP | Minato-Ku | 2014-10-30 / 20140324334 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING DEVICE AND CENTER SERVER | 2 |
Yoshiki Hayashida | JP | Osaka | 2014-06-05 / 20140154818 - DEFECT DETECTION METHOD, METHOD FOR REPAIRING ORGANIC EL ELEMENT, AND ORGANIC EL DISPLAY PANEL | 2 |
Yukinobu Hayashida | JP | Amagasaki-Shi | 2015-06-25 / 20150176069 - METHOD FOR DETECTING METHYLATED CYTOSINE BY USING BISULFITE REACTION | 4 |
Osamu Hayashida | JP | Gunma | 2010-08-26 / 20100216920 - ADHESIVE SILICONE RUBBER COMPOSITION AND SEPARATOR SEAL MATERIAL FOR FUEL CELLS | 1 |
Ryota Hayashida | JP | Kyoto | 2016-04-07 / 20160097402 - AXIAL FLOW FAN | 6 |
Makoto Hayashida | JP | Numazu-Shi | 2015-08-20 / 20150234325 - DEVELOPER ACCOMMODATING CONTAINER AND PROCESS CARTRIDGE | 4 |
Tsuyoshi Hayashida | JP | Fukuoka | 2013-11-07 / 20130295706 - Organic Electroluminescent Element and Manufacturing Method Thereof, and Phosphorus-Containing Organic Compound and Manufacturing Method Thereof | 1 |
Toshiharu Hayashida | JP | Ebina-Shi | 2010-11-18 / 20100293400 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 2 |
Akira Hayashida | JP | Toyama-Shi | 2009-08-06 / 20090197352 - Substrate processing method and film forming method | 3 |
Robert Hayashida | US | 2013-12-05 / 20130320997 - SENSOR SYSTEM AND ANTENNA FOR USE IN A SENSOR SYSTEM | 1 | |
Aileen Hayashida Efigenio | US | 2013-12-05 / 20130320997 - SENSOR SYSTEM AND ANTENNA FOR USE IN A SENSOR SYSTEM | 1 | |
Tadao Hayashide | JP | Utsunomiya-Shi | 2014-12-11 / 20140362423 - IMAGE READING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 9 |
Tadao Hayashide | JP | Tochigi-Ken | 2008-10-02 / 20080239440 - IMAGING OPTICAL SYSTEM AND IMAGE READING APPARATUS USING THE SAME | 1 |
Tadao Hayashide | JP | Utsunomiya-Shi | 2014-12-11 / 20140362423 - IMAGE READING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 9 |
Tomo Hayashigaito | JP | Kyoto | 2009-02-12 / 20090040714 - FAN APPARATUS | 2 |
Arata Hayashigaki | JP | Tokyo | 2014-10-23 / 20140316102 - METHOD OF PREDICTING VISCOSITY BEHAVIOR OF THERMOSETTING RESIN, SIMULATION SOFTWARE, METHOD OF PRODUCING THERMOSETTING RESIN, AND UNDERFILL PRODUCED IN THE PRODUCTION METHOD | 1 |
Larry Hayashigawa | US | Downey | 2016-02-04 / 20160031335 - PORTABLE CHARGING CABLE WITH IN-LINE CONTROLLER | 4 |
Larry Hayashigawa | US | Orange | 2015-04-30 / 20150115890 - INTEGRATED BATTERY UNIT WITH COOLING AND PROTECTION EXPEDIENTS FOR ELECTRIC VEHICLES | 5 |
Tomonari Hayashige | JP | Kanagawa | 2013-01-10 / 20130011359 - SOLID OR POWDER HAIR STYLING COMPOSITION | 1 |
Masashi Hayashiguchi | JP | Kyoto | 2014-05-08 / 20140124915 - SEMICONDUCTOR MODULE | 1 |
Norio Hayashiguchi | JP | Tsu | 2012-12-27 / 20120325416 - ROLL SCREEN DEVICE | 1 |
Masashi Hayashiguchi | JP | Kyoto-Shi, Kyoto | 2016-04-21 / 20160112043 - ELECTRONIC CIRCUIT | 2 |
Mikio Hayashihara | JP | Kawasaki | 2015-03-05 / 20150065061 - RADIO COMMUNICATION CIRCUIT AND RADIO COMMUNICATION DEVICE | 2 |
Hiromichi Hayashihara | JP | Saitama | 2015-07-09 / 20150192258 - LED LIGHTING DEVICE | 1 |
Shirou Hayashihara | JP | Osaka | 2009-10-01 / 20090244713 - MICRO LENS ARRAY SHEET FOR USE IN BACKLIGHT DEVICE AND MOLDING ROLL FOR MANUFACTURING SUCH MIRCO LENS ARRAY SHEET | 1 |
Takashi Hayashihara | JP | Toyota-Shi | 2015-08-27 / 20150241904 - PEDAL UNIT FOR VEHICLE | 1 |
Hiromichi Hayashihara | JP | Yokohama-Shi | 2011-08-04 / 20110187809 - IMAGE FORMING APPARATUS FOR FORMING IMAGE ON RECORD MEDIUM | 5 |
Hiromichi Hayashihara | JP | Kanagawa | 2012-10-11 / 20120257259 - RECORDING MEDIA DECIDING APPARATUS, IMAGE FORMING APPARATUS AND RECORDING MEDIA DECIDING METHOD | 1 |
Hiromichi Hayashihara | JP | Saitama-Ken | 2014-10-02 / 20140293645 - ILLUMINATION DEVICE AND LIGHT-GUIDING MEMBER | 1 |
Jin Hayashihara | JP | Yokohama | 2014-10-16 / 20140306508 - SEATBELT DEVICE | 2 |
Hiromichi Hayashihara | JP | Kawasaki-Shi | 2012-02-02 / 20120027483 - APPARATUS AND METHOD OF DETERMINING THE TYPE OF PAPER SHEET, AND IMAGE FORMATION APPARATUS | 1 |
Kazufumi Hayashikawa | JP | Nagoya-Shi | 2016-02-25 / 20160052495 - BRAKING APPARATUS OF VEHICLE | 4 |
Etsukazu Hayashimoto | JP | Shizuoka-Ken | 2012-01-05 / 20120004541 - SURGERY ASSISTANCE SYSTEM | 1 |
Etsukazu Hayashimoto | JP | Shizuoka | 2011-05-26 / 20110125006 - SURGERY ASSISTANCE SYSTEM | 1 |
Mizuki Hayashimoto | JP | Kanagawa-Ken | 2011-10-27 / 20110263608 - 16-KETO ASPERGILLIMIDES AND HARMFUL ORGANISM CONTROL AGENT COMPRISING THE SAME AS ACTIVE INGREDIENT | 2 |
Shigeo Hayashimoto | JP | Tokyo | 2011-09-29 / 20110237829 - Method For Manufacturing Catalyst For Use In Production Of Methacrylic Acid | 3 |
Kazutomo Hayashimoto | JP | Oyama-Shi | 2013-05-09 / 20130115058 - Stator Seal Structure In Uniaxial Screw Pump | 1 |
Kazutomo Hayashimoto | JP | Tochigi | 2012-01-05 / 20120003112 - UNIAXIAL ECCENTRIC SCREW PUMP | 1 |
Kazuhiro Hayashimoto | JP | Shizuoka-Ken | 2012-08-23 / 20120211474 - LASER LAP WELDING METHOD | 1 |
Tokuhiro Hayashimoto | JP | Kanagawa | 2015-02-19 / 20150050840 - Card Connector and Connector | 1 |
Shigeo Hayashimoto | JP | Kita-Ku | 2010-09-16 / 20100233476 - Copper foil with primer resin layer and laminated sheet using the same | 2 |
Kazuhiro Hayashimoto | JP | Hamamatsu-Shi | 2012-06-28 / 20120160815 - LASER LAP WELDING METHOD | 1 |
Hiroshi Hayashino | JP | Hyogo | 2016-04-28 / 20160119800 - WIRELESS COMMUNICATION DEVICE AND METHOD FOR CONTROLLING WIRELESS COMMUNICATION DEVICE | 17 |
Tatsunori Hayashishita | JP | Osaka | 2014-07-31 / 20140209348 - MULTI-CORE CABLE | 1 |
Tatsunori Hayashishita | JP | Hachinohe | 2013-12-26 / 20130343713 - MULTI-CORE CABLE AND METHOD OF MANUFACTURING THE SAME | 3 |
Tatsunori Hayashishita | JP | Aomori | 2011-12-22 / 20110311191 - OPTO-ELECTRO HYBRID CABLE | 2 |
Tatsunori Hayashishita | JP | Hachinohe-Shi | 2016-02-04 / 20160035459 - MULTI-CORE CABLE AND METHOD OF MANUFACTURING THE SAME | 9 |
Tatsunori Hayashishita | JP | Hachinohe-Shi | 2016-02-04 / 20160035459 - MULTI-CORE CABLE AND METHOD OF MANUFACTURING THE SAME | 9 |
Hiroyuki Hayashita | JP | Hyogo | 2010-10-28 / 20100271522 - SOLID-STATE IMAGING DEVICE | 1 |
Go Hayashita | JP | Chigasaki-Shi | 2016-05-12 / 20160131064 - ABNORMALITY DIAGNOSIS SYSTEM OF AIR-FUEL RATIO SENSOR | 1 |
Hiroyuki Hayashita | JP | Kyoto | 2011-04-07 / 20110082957 - SLAVE DEVICE FOR AN IIC BUS COMMUNICATION SYSTEM CAPABLE OF SUPRESSING FREEZE OF IIC BUS COMMUNICATION | 3 |
Go Hayashita | JP | Ebina-Shi, Kanagawa-Ken | 2015-11-26 / 20150337752 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Go Hayashita | JP | Ebina-Shi, Kanagawa | 2015-12-24 / 20150369156 - CONTROL SYSTEM OF INTERNAL COMBUSTION ENGINE | 2 |
Go Hayashita | JP | Ebina-Shi | 2015-09-03 / 20150247434 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 2 |
Go Hayashita | JP | Susono-Shi | 2015-06-04 / 20150152769 - CATALYST DETERIORATION DETERMINATION SYSTEM | 6 |
Go Hayashita | JP | Aichi | 2015-12-10 / 20150354487 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Go Hayashita | US | 2016-01-21 / 20160017828 - CONTROL SYSTEM OF INTERNAL COMBUSTION ENGINE | 3 | |
Go Hayashita | JP | Toyota-Shi, Aichi | 2016-01-21 / 20160017829 - CONTROL SYSTEM OF INTERNAL COMBUSTION ENGINE | 1 |
Rie Hayashiuchi | JP | Ibaraki-Shi | 2014-06-26 / 20140178620 - FILM FOR PRESSURE-SENSITIVE ADHESIVE TAPE AND PRESSURE-SENSITIVE ADHESIVE TAPE | 3 |
Shinya Hayashiyama | JP | Tokyo | 2014-04-24 / 20140111704 - TELEVISION RECEIVER, ELECTRONIC APPARATUS, AND SUBSTRATE ASSEMBLY | 2 |
Shinya Hayashiyama | JP | Tachikawa Tokyo | 2015-12-17 / 20150364428 - ELECTRONIC DEVICE | 1 |
Shinya Hayashiyama | JP | Tachikawa-Shi | 2014-11-27 / 20140347828 - ELECTRONIC APPARATUS | 6 |
Hiroshige Hayashizaki | JP | Kanagawa-Ken | 2013-02-28 / 20130055226 - INTEGRATION OF TRACE SELECTION AND TRACE PROFILING IN DYNAMIC OPTIMIZERS | 1 |
Hiroshige Hayashizaki | JP | Kawasaki-Shi | 2015-06-25 / 20150178338 - METHOD, DEVICE, AND COMPUTER PROGRAM FOR MERGE-SORTING RECORD GROUPS HAVING TREE STRUCTURE EFFICIENTLY | 2 |
Takayuki Hayashizaki | JP | Tokyo | 2014-01-09 / 20140009182 - Electrical Contactor and Contact Method for the Same | 1 |
Takayuki Hayashizaki | JP | Hirakawa-Shi | 2009-11-05 / 20090273357 - CONTACT FOR ELECTRICAL TEST OF ELECTRONIC DEVICES, METHOD FOR MANUFACTURING THE SAME, AND PROBE ASSEMBLY | 2 |
Keiichi Hayashizaki | JP | Tokyo | 2015-12-10 / 20150352496 - EXHAUST GAS PURIFIER | 2 |
Yoshihide Hayashizaki | JP | Tsukuba-Shi | 2015-09-10 / 20150252070 - COMPOUND, NUCLEIC ACID, LABELING SUBSTANCE, AND DETECTION METHOD | 3 |
Yoshihide Hayashizaki | JP | Wako-Shi | 2014-10-02 / 20140295447 - PRIMER SET, METHOD FOR AMPLIFYING TARGET NUCLEIC ACID SEQUENCE USING SAME, AND METHOD FOR DETECTING MUTATED NUCLEIC ACID USING SAME | 1 |
Keiichi Hayashizaki | JP | Hino-Shi | 2014-09-18 / 20140260208 - EXHAUST GAS PURIFIER | 1 |
Toshihiko Hayashizaki | JP | Ibaraki-Ken | 2009-02-05 / 20090031875 - Miter Saw | 1 |
Hiroaki Hayashizaki | JP | Tsukuba-Shi | 2011-06-09 / 20110135409 - INSERT CLAMPING WEDGE AND INSERT-DETACHABLE TYPE CUTTER | 2 |
Hiroaki Hayashizaki | JP | Joso-Shi | 2013-12-12 / 20130330138 - CUTTING INSERT | 1 |
Hiroshige Hayashizaki | JP | Kawasaki | 2013-04-04 / 20130086567 - Execution trace trunction | 1 |
Yoshihide Hayashizaki | JP | Ibaraki-Ken | 2011-03-10 / 20110059868 - PROCESS FOR AMPLIFYING NUCLEIC ACIDS | 1 |
Keiichi Hayashizaki | JP | Shiga-Ken | 2013-09-12 / 20130236731 - POLYESTER FILM WITH COATING LAYER | 2 |
Takayuki Hayashizaki | JP | Aomori | 2012-03-22 / 20120068726 - ELECTRICAL TEST PROBE AND PROBE ASSEMBLY | 5 |
Kengo Hayashizaki | JP | Okazaki-Shi | 2011-06-02 / 20110128855 - DATA COMMUNICATION NETWORK SYSTEM | 1 |
Yoshihide Hayashizaki | JP | Yokohama-Shi | 2013-11-14 / 20130302783 - PRETREATMENT METHOD OF BIOLOGICAL SAMPLE, DETECTION METHOD OF RNA, AND PRETREATMENT KIT | 7 |
Yoshihide Hayashizaki | JP | Ibaraki | 2009-02-12 / 20090042197 - METHOD FOR DETECTING AND AMPLIFYING NUCLEIC ACID | 2 |
Noriyosu Hayashizaki | JP | Tokyo | 2013-12-05 / 20130322587 - TRITIUM REMOVAL DEVICE FOR LITHIUM LOOP | 2 |
Yoshihide Hayashizaki | JP | Saitama | 2010-02-25 / 20100047862 - Novel DNA Polymerase | 1 |
Koji Hayashizaki | JP | Chiba | 2016-04-14 / 20160102139 - Inflammatory Disease Treatment Composition Including Anti-Myosin Regulatory Light-Chain Polypeptide Antibody | 1 |
Hiroshige Hayashizaki | JP | Kanagawa | 2012-05-31 / 20120137111 - LOOP DETECTION APPARATUS, LOOP DETECTION METHOD, AND LOOP DETECTION PROGRAM | 1 |
Yoshishide Hayashizaki | JP | Saitama | 2008-12-18 / 20080311185 - Deregulated Genes and/or Processes in Inflammatory Arthritis | 1 |
Yoshihide Hayashizaki | JP | Tokyo | 2015-07-23 / 20150203902 - NUCLEIC ACID PROBE, METHOD FOR DESIGNING NUCLEIC ACID PROBE, AND METHOD FOR DETECTING TARGET SEQUENCE | 2 |
Toshihiko Hayashizaki | JP | Hitachinaka-Shi | 2011-02-24 / 20110041665 - Miter Saw | 1 |
Teruyuki Hayashl | JP | Yamanashi | 2011-03-10 / 20110058157 - METHOD OF HELPING PARTICLE DETECTION, METHOD OF PARTICLE DETECTION,APPARATUS FOR HELPING PARTICLE DETECTION,AND SYSTEM FOR PARTICLE DETECTION | 1 |
Kyou Hayasi | JP | Gifu | 2012-05-31 / 20120131743 - BATHROOM SAUNA DEVICE | 2 |
Sintarou Hayasi | JP | Kobe-Shi | 2011-03-24 / 20110069495 - LIGHT MODULE | 1 |
Masanori Hayasi | JP | Osaka | 2011-03-24 / 20110069738 - RECEPTION DEVICE | 1 |
Syouichi Hayasibara | JP | Saitama | 2008-11-06 / 20080274305 - Liquid Crystal Sealant and Liquid Crystal Display Cell Utilizing the Same | 1 |
Teruhide Hayasida | JP | Shinagawa-Ku | 2014-11-27 / 20140350854 - INFORMATION PROVIDING SYSTEM AND INFORMATION PROVIDING METHOD | 1 |
Abraham Hayat | IL | Ganey Tikva | 2013-10-03 / 20130255527 - PROJECTILE | 2 |
Jeffery Hayat | US | West Columbia | 2015-05-07 / 20150126691 - Multiple Copolymer Systems as Templates for Block Copolymer Nanolithography | 1 |
Alex Hayat | IL | Karmiel | 2012-09-06 / 20120223354 - SEMICONDUCTOR TWO-PHOTO DEVICE | 2 |
Alex Hayat | IL | Carmiel | 2012-06-28 / 20120160993 - SYSTEM AND METHOD FOR ANALYZING LIGHT BY THREE-PHOTON COUNTING | 2 |
Nasir Hayat | DE | Bielefeld | 2009-08-27 / 20090216002 - SYNTHESIS OF HYDROQUINONE DERIVATIVES | 1 |
Michael Hayat | US | Foster City | 2009-10-29 / 20090271227 - METHODS AND SYSTEMS FOR MONETIZING EMPTY SPACE INVENTORY AS EMPTY ADJACENT SPACE | 1 |
Majeed Hayat | US | Albuquerque | 2009-07-09 / 20090175557 - SYSTEM AND METHOD FOR REDUCTION OF SPECKLE NOISE IN AN IMAGE | 1 |
Zia Hayat | GB | Surrey | 2015-05-14 / 20150135279 - PERSONAL IDENTITY CONTROL | 3 |
Majeed M. Hayat | US | Albuquerque | 2015-07-02 / 20150187068 - METHOD AND SYSTEM FOR FEATURE EXTRACTION AND DECISION MAKING FROM SERIES OF IMAGES | 2 |
Koji Hayata | JP | Yokohama | 2009-05-21 / 20090131043 - WIRELESS COMMUNICATION SYSTEM AND TEST METHOD THEREOF, AND ACCESS TERMINAL FOR TESTING WIRELESS COMMUNICATION SYSTEM | 1 |
Shigeru Hayata | JP | Musashimurayama-Shi | 2010-03-18 / 20100067123 - Imaging device for a bonding apparatus | 1 |
Yuuichi Hayata | JP | Kanagawa | 2016-05-19 / 20160137855 - ELECTROCONDUCTIVE-FILM-FORMING COMPOSITION AND METHOD FOR PRODUCING ELECTROCONDUCTIVE FILM | 8 |
Kanji Hayata | JP | Tokyo | 2010-09-09 / 20100225401 - SEMICONDUCTOR DEVICE | 1 |
Maiko Hayata | US | 2011-09-01 / 20110209994 - PROTECTIVE SHEET AND USE THEREOF | 1 | |
Yuuichi Hayata | JP | Kanagawa | 2016-05-19 / 20160137855 - ELECTROCONDUCTIVE-FILM-FORMING COMPOSITION AND METHOD FOR PRODUCING ELECTROCONDUCTIVE FILM | 8 |
Hiroyuki Hayata | JP | Yokohama | 2015-12-31 / 20150377950 - PARTIAL DISCHARGE MONITORING SYSTEM AND PARTIAL DISCHARGE MONITORING METHOD | 1 |
Keisuke Hayata | JP | Kanagawa | 2014-12-04 / 20140355882 - IMAGE REGION EXTRACTION DEVICE, IMAGE REGION EXTRACTION METHOD, AND IMAGE REGION EXTRACTION PROGRAM | 4 |
Maiko Hayata | JP | Ibaraki-Shi | 2011-09-22 / 20110229703 - PROTECTIVE SHEET AND USE THEREOF | 1 |
Yoshihiro Hayata | JP | Ina-Shi | 2013-05-23 / 20130131322 - ANTIBODY BEING CAPABLE OF BINDING TO TRANSFORMING GROWTH FACTOR ALPHA AND HAVING GROWTH-SUPPRESSING ACTIVITY ON CANCERS HAVING RAS GENE MUTATION | 1 |
Maiko Hayata | JP | Osaka | 2014-06-12 / 20140158300 - PROTECTIVE SHEET FOR GLASS ETCHING | 2 |
Yasuyoshi Hayata | JP | Kanagawa | 2010-08-12 / 20100203206 - METHOD FOR TREATING FOOD PRODUCTS AND FOOD PRODUCT TREATMENT APPARATUS | 1 |
Shigeru Hayata | JP | Tokyo | 2010-12-02 / 20100301101 - BONDING APPARATUS AND BONDING STAGE HEIGHT ADJUSTMENT METHOD FOR THE BONDING APPARATUS | 2 |
Kazuki Hayata | JP | Kyoto | 2010-07-29 / 20100188281 - Direct-Current Blocking Circuit, Hybrid Circuit Device, Transmitter, Receiver, Transmitter-Receiver, and Radar Device | 1 |
Hirofumi Hayata | JP | Tokyo | 2015-09-17 / 20150261107 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR | 14 |
Yusuke Hayata | JP | Ichihara | 2009-07-30 / 20090192257 - AROMATIC POLYCARBONATE RESIN COMPOSITION | 1 |
Shuhei Hayata | JP | Kitakyushu-Shi | 2015-10-01 / 20150273488 - SHOWER APPARATUS | 3 |
Kazuki Hayata | JP | Soraku-Gun | 2012-01-19 / 20120013499 - Circuit Board, High Frequency Module, and Radar Apparatus | 6 |
Hiroshi Hayata | JP | Osaka | 2013-11-21 / 20130306464 - SPUTTERING TARGET AND SPUTTERING APPARATUS AND SPUTTERING METHOD USING THE SAME | 7 |
Sadao Hayata | JP | Yamaguchi | 2008-09-04 / 20080210637 - Adsorbent,Method for Producing Same, and Method for Processing Oil-Containing Waste Water | 1 |
Yuuichi Hayata | JP | Ashigarakami-Gun | 2012-03-22 / 20120069082 - INKJET RECORDING METHOD | 7 |
Tomohiko Hayata | JP | Fukuoka | 2015-11-12 / 20150325366 - METHOD AND APPARATUS OF MANUFACTURING LAMINATED IRON CORE | 1 |
Shuhei Hayata | JP | Fukuoka | 2015-01-22 / 20150020303 - WATER DISCHARGE DEVICE | 1 |
Hiroko Hayata | JP | Mobara | 2014-02-06 / 20140036216 - LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Keith T. Hayata | US | Mckinney | 2013-02-21 / 20130046463 - POSITIONING MODULE | 1 |
Shigeki Hayata | JP | Nara | 2009-06-18 / 20090155431 - Stick-Shaped Snack and Method for Producing the Same | 1 |
Yuuichi Hayata | JP | Tokyo | 2010-06-03 / 20100137509 - PIGMENT DISPERSION AND INK COMPOSITION USING THE SAME | 2 |
Daichika Hayata | JP | Toyonaka-Shi | 2011-11-03 / 20110269944 - HGF PRECURSOR PROTEIN VARIANT AND ACTIVE PROTEIN THEREOF | 2 |
Masayuki Hayata | JP | Kyoto | 2013-05-30 / 20130136656 - EXHAUST GAS MEASUREMENT DEVICE AND RECORDING MEDIUM HAVING PROGRAM FOR EXHAUST GAS MEASUREMENT DEVICE RECORDED THEREON | 4 |
Yuuichi Hayata | JP | Minato-Ku | 2009-06-18 / 20090155484 - Inkjet recording ink composition and inkjet recording method | 1 |
Keigo Hayata | JP | Tokyo | 2009-06-11 / 20090146405 - KNEE PROTECTOR STRUCTURE FOR VEHICLE | 1 |
Kazunori Hayata | JP | Minami-Alps City | 2016-04-07 / 20160099226 - CIRCUIT SUBSTRATE INTERCONNECT | 2 |
Hiroyuki Hayata | JP | Kanagawa | 2010-04-29 / 20100102036 - GAS INSULATED CIRCUIT BREAKER SYSTEM AND GAS INSULATED CIRCUIT BREAKER MONITORING METHOD | 1 |
Yusuke Hayata | JP | Chiba | 2011-03-10 / 20110060089 - THERMOPLASTIC RESIN COMPOSITION, AND MOLDED ARTICLE | 11 |
Yuuichi Hayata | GB | Broadstairs | 2012-08-16 / 20120208915 - PRINTING INK | 1 |
Kazunori Hayata | JP | Beppu-Oita | 2014-02-06 / 20140038358 - METHOD FOR CONTACTING AGGLOMERATE TERMINALS OF SEMICONDUCTOR PACKAGES | 2 |
Daisuke Hayata | JP | Chiyoda-Ku | 2014-12-18 / 20140371383 - Method for Producing Modified Conjugated Diene Polymer, Modified Conjugated Diene Polymer, Modified Conjugated Diene Polymer Composition, Rubber Composition and Tire | 1 |
Shigeru Hayata | JP | Tachikawa-Shi | 2009-05-14 / 20090124028 - Imaging device and method for a bonding apparatus | 1 |
Junji Hayata | JP | Atsugi-Shi | 2014-10-16 / 20140309762 - MANUFACTURING METHOD AND MANUFACTURING DEVICE FOR MANUFACTURING A JOINED PIECE | 1 |
Kazunori Hayata | JP | Hayami-Gun | 2014-09-25 / 20140284779 - SEMICONDUCTOR DEVICE HAVING REINFORCED WIRE BONDS TO METAL TERMINALS | 2 |
Hirokazu Hayata | JP | Fukuoka | 2011-06-16 / 20110145426 - NETWORKING METHOD OF COMMUNICATION APPARATUS, COMMUNICATION APPARATUS AND STORAGE MEDIUM | 2 |
Yusuke Hayata | JP | Ichihara-Shi | 2011-03-17 / 20110065835 - AROMATIC POLYCARBONATE RESIN COMPOSITION AND MOLDED BODY THEREOF | 1 |
Masahiko Hayatani | JP | Hitachinaka | 2015-06-11 / 20150159611 - High-Pressure Fuel Supply Pump Having Electromagnetically-Driven Intake Valve | 12 |
Masahiko Hayatani | JP | Munchen | 2008-11-13 / 20080276907 - Electromagnetic Fuel Injection Valve Device | 1 |
Masahiko Hayatani | DE | Munich | 2009-03-12 / 20090064972 - FUEL INJECTION NOZZLE AND METHOD OF HOLDING THE SAME | 1 |
Masahiko Hayatani | JP | Hitachinaka | 2015-06-11 / 20150159611 - High-Pressure Fuel Supply Pump Having Electromagnetically-Driven Intake Valve | 12 |
Masahiko Hayatani | DE | Munchen | 2008-12-04 / 20080296414 - Fuel Injector and Its Stroke Adjustment Method | 1 |
Kiriyama Hayato | JP | Kawasaki | 2014-11-20 / 20140344948 - Automated Management of Private Information | 1 |
Kiriyama Hayato | JP | Kawasaki-Shi | 2013-05-23 / 20130132414 - IMAGE INFORMATION SEARCH | 1 |
Kiriyama Hayato | JP | Kanagawa-Ken | 2014-05-08 / 20140130183 - Managing Confidential Information | 1 |
Kiriyama Hayato | JP | Kanagawa | 2012-03-22 / 20120069406 - DETERMINING SCAN PRIORITY OF DOCUMENTS | 1 |
Takeo Hayatoma | JP | Kota-Cho | 2013-06-27 / 20130162559 - INPUT SYSTEM | 2 |
Hideki Hayatsu | JP | Yokohama-Shi | 2012-09-20 / 20120239881 - INFORMATION DISPLAY DEVICE, METHOD OF DISPLAYING INFORMATION, AND COMPUTER PROGRAM | 1 |
Kenzo Hayatsu | JP | Hamamatsu-Shi | 2016-03-24 / 20160086989 - ULTRAVIOLET SENSOR AND ULTRAVIOLET DETECTING DEVICE | 1 |
Yasuto Hayatsu | JP | Otawara | 2016-03-17 / 20160078621 - IMAGE PROCESSING DEVICE AND X-RAY DIAGNOSTIC APPARATUS | 4 |
Kenji Hayatsu | JP | Shinagawa-Ku | 2012-12-06 / 20120310789 - ELECTRONIC COMMERCE SYSTEM, ELECTRONIC COMMERCE METHOD, AND ELECTRONIC COMMERCE PROGRAM | 1 |
Kenzo Hayatsu | JP | Shizuoka | 2012-04-26 / 20120097857 - RADIATION DETECTING UNIT | 1 |
Yutaka Hayauchi | DE | Leverkusen | 2014-07-03 / 20140186444 - DRUG FORMULATIONS HAVING IMPROVED PHARMACOKINETIC PROPERTIES | 4 |
Takayuki Hayauchi | JP | Kanagawa | 2014-08-28 / 20140242827 - Electrical Connector | 2 |
Takayuki Hayauchi | JP | Sagamihara-Shi | 2012-05-31 / 20120135621 - FLOATING CONNECTOR | 2 |
Takayuki Hayauchi | JP | Tokyo | 2010-07-01 / 20100167579 - INSULATION DISPLACEMENT CONNECTOR | 1 |
Fumihiko Hayayumi | JP | Abiko-Shi | 2015-11-19 / 20150329300 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 6 |
Hueseyin Haybat | DE | Mannheim | 2012-07-05 / 20120173384 - PROVIDING SUPPLIER RELATIONSHIP MANAGEMENT SOFTWARE APPLICATION AS ENTERPRISE SERVICES | 1 |
Hueseyin Haybat | DE | Ilvesheim | 2010-03-18 / 20100070946 - Providing Supplier Relationship Management Software Application as Enterprise Services | 1 |
Kenneth David Hayber | US | Fountain Valley | 2011-12-01 / 20110292415 - METHOD AND APPARATUS FOR DYNAMIC PRINTER PERFORMANCE TUNING USING BAYESIAN ANALYSIS | 4 |
Shlomi Haybi | IL | Ornit | 2011-03-10 / 20110060788 - SYSTEM AND METHOD FOR AUTOMATICALLY PROVIDING METADATA ELEMENTS ON A WEB PAGE OR WEB SITE | 1 |
Richard Glen Haycock | CA | West Vancouver | 2011-10-27 / 20110264261 - System and Method for Independent Verification of Circulating Bank Notes | 2 |
David Haycock | US | Alameda | 2012-10-18 / 20120262360 - INTELLIGENT VIDEO GRAPHICS SWITCHER | 3 |
Mark Joseph Haycock | NZ | Te Awamuto | 2014-08-21 / 20140230813 - BREATHING ASSISTANCE APPARATUS | 1 |
John Haycock | GB | Sheffield | 2011-10-27 / 20110262897 - IMAGING TECHNIQUES USING A TRIDENTATE LIGAND | 1 |
Matthew B. Haycock | US | Beaverton | 2012-11-08 / 20120281323 - INTEGRATED CIRCUIT PASSIVE SIGNAL DISTRIBUTION | 1 |
Mark Joseph Haycock | GB | Oxford | 2015-12-17 / 20150359985 - MOUTHPIECE | 2 |
Matthew Haycock | US | Beaverton | 2012-08-30 / 20120221884 - ERROR MANAGEMENT ACROSS HARDWARE AND SOFTWARE LAYERS | 1 |
Mark Joseph Haycock | NZ | Te Awamutu | / - | 1 |
Todd Haycock | US | Buford | 2009-10-01 / 20090244600 - BILLING AND REMITTANCE PAYMENT SYSTEM | 1 |
Simon Nicolas Haydar | US | Newtown | 2010-05-13 / 20100120779 - 1-(ARYLSULFONYL)-4-(PIPERAZIN-1-YL)-1H-BENZIMIDAZOLES AS 5-HYDROXYTRYPTAMINE-6 LIGANDS | 1 |
Simon N. Haydar | US | Newtown | 2010-05-27 / 20100130474 - ALPHA7 NICOTINIC ACETYLCHOLINE RECEPTOR INHIBITORS | 6 |
Simon N. Haydar | US | Research Triangle Park | 2014-11-20 / 20140343092 - Pyrrolopyridinone Compounds And Methods For Treating HIV | 1 |
Simon Nicolas Haydar | US | Newton | 2008-11-27 / 20080293688 - AMINOAZACYCLYL-3-SULFONYLINDAZOLES AS 5-HYDROXYTRYPTAMINE-6 LIGANDS | 1 |
Rashed Haydar | CA | Calgary | 2014-07-10 / 20140192709 - METHODS OF WIRELESS DATA COLLECTION | 4 |
Simon Haydar | US | Durham | 2013-10-31 / 20130289027 - Isoquinoline Compounds And Methods For Treating HIV | 1 |
Imad Haydar | SY | Damascus | 2014-05-08 / 20140127644 - OVAL SECTION DENTAL IMPLANT | 1 |
Simon N. Haydar | US | Newton | 2009-10-22 / 20090264384 - INDOLE, BENZIMIDAZOLE, AND BENZOLACTAM BORONIC ACID COMPOUNDS, ANALOGS THEREOF AND METHODS OF USE THEREOF | 3 |
Payam Haydari | US | Irvine | 2010-08-05 / 20100195669 - MULTI-CHANNEL CODE-DIVISION MULTIPLEXING IN FRONT-END INTEGRATED CIRCUITS | 1 |
Orhan Haydaroglu | TR | Sehitkamil - Gaziantep | 2014-10-30 / 20140324063 - INTRAOCULAR LENS CARTRIDGE | 1 |
Adrian C. Hayday | GB | Kent | 2009-12-24 / 20090317457 - ANTI-INFLAMMATORY AND WOUND HEALING EFFECTS OF LYMPHOID THYMOSIN B-4 | 1 |
Shelley Haydel | US | Mesa | 2014-09-11 / 20140256929 - EXTRACTION BUFFER AND METHOD FOR ISOLATING HIGH-QUALITY RNA FROM CELLS EXPOSED TO METAL CHLORIDE SOLUTIONS AND CLAY MINERAL SUSPENSIONS | 1 |
John L. Hayden | US | Emlenton | 2014-04-24 / 20140110287 - Moveable Storage Container for Storing Vehicles | 1 |
Paul Jacob Hayden | US | 2011-11-03 / 20110265364 - Firearm apparatus to be worn on the user's forearm | 1 | |
Paul Hayden | US | New Orleans | 2015-07-16 / 20150198141 - WIND TURBINE BLADE | 2 |
Joseph Hayden | US | Clarks Summit | 2014-09-04 / 20140247848 - BROADENING THE RARE EARTH ION EMISSION BANDWIDTH, INCREASING EMISSION CROSS SECTION, AND OR SHIFTING PEAK EMISSION WAVELENGTH IN ND DOPED ALUMINATE OR SILICATE GLASSES | 4 |
Celine Hayden | US | San Luis Obispo | 2014-07-24 / 20140205625 - METHODS TO INCREASE ANTIGENICITY OF MEMBRANE-BOUND POLYPEPTIDES PRODUCED IN PLANTS | 4 |
Leo Hayden | US | Fox River Grove | 2014-07-10 / 20140190235 - Draw and Iron Apparatus | 1 |
Bruce J. Hayden | US | Endicott | 2012-08-09 / 20120204177 - METHOD, SYSTEM AND PROGRAM PRODUCT FOR CAPTURING CENTRAL PROCESSING UNIT (CPU) UTILIZATION FOR A VIRTUAL MACHINE | 1 |
Douglas T. Hayden | US | Boise | 2012-01-19 / 20120016950 - METHOD AND APPARATUS FOR DYNAMICALLY MANAGING BANDWIDTH FOR CLIENTS IN A STORAGE AREA NETWORK | 1 |
Christopher Mark Hayden | US | Shelton | 2015-06-11 / 20150158196 - Non-Linear Slotting Profiles for Pipe and Pipe Liners | 1 |
John R. Hayden | US | Ringwood | 2011-06-16 / 20110142121 - REUSABLE AND EXTENSIBLE FRAMEWORK FOR MULTIMEDIA APPLICATION DEVELOPMENT | 2 |
Mark A. Hayden | US | Ingleside | 2015-05-14 / 20150133310 - NUCLEIC ACID SEQUENCING SYSTEMS AND METHODS | 8 |
David Wayne Hayden | US | Newburg | 2012-08-16 / 20120205886 - Sulky Force Equalizer and Analyzer | 1 |
Brian Elliot Hayden | GB | Lyndhurst | 2012-09-20 / 20120237835 - SOLID ELECTROLYTE MATERIAL, LITHIUM BATTERY, AND METHOD OF PRODUCING SOLID ELECTROLYTE MATERIAL | 1 |
Andrew Lynch Hayden | US | Seattle | 2014-05-15 / 20140136942 - DELIVERY AND DISPLAY OF PAGE PREVIEWS DURING PAGE RETRIEVAL EVENTS | 1 |
Charles Cruse Hayden | US | Fair Haven | 2008-09-25 / 20080232358 - Data Distribution in a Distributed Telecommunications Network | 1 |
Herbert T. Hayden | US | Tempe | 2008-10-02 / 20080236567 - SYSTEM FOR SUPPORTING ENERGY CONVERSION MODULES | 1 |
Neil Hayden | US | Braidwood | 2008-10-23 / 20080260885 - APPARATUS FOR PROPORTIONING MEAT PRODUCT FOR PACKAGING | 1 |
Charles H. Hayden | US | Knoxville | 2008-10-30 / 20080269594 - APPARATUS AND METHOD FOR IMAGE ALIGNMENT FOR COMBINED POSITRON EMISSION TOMOGRAPHY (PET) AND MAGNETIC RESONANCE IMAGING (MRI) SCANNER | 1 |
Brian E. Hayden | GB | Hampshire | 2009-05-07 / 20090117447 - PALLADIUM ALLOY CATALYSTS FOR FUEL CELL CATHODES | 1 |
Brian Elliot Hayden | GB | Southampton | 2013-05-23 / 20130130151 - METAL ALLOY CATALYSTS FOR FUEL CELL CATHODES | 2 |
James Hayden | GB | Derbyshire | 2011-03-03 / 20110051598 - Loss Link Forwarding | 1 |
James Hayden | GB | Swadlincote | 2011-04-14 / 20110085446 - IMPROVEMENTS IN OR RELATING TO NETWORKS | 1 |
Andrew Hayden | US | St. Albans | 2012-01-26 / 20120017377 - Combination Measuring and Pipe Cutting Device | 1 |
Brian Elliott Hayden | GB | Southampton | 2014-01-02 / 20140004444 - FUEL CELL ELECTROCATALYST | 4 |
Mark X. Hayden | US | Denver | 2011-06-09 / 20110136599 - LACROSSE STICK | 1 |
Paul Trevor Hayden | GB | Isle Of Wight | 2011-05-05 / 20110103962 - WIND TURBINE BLADE | 2 |
Scott W. Hayden | US | Maple Grove | 2013-07-18 / 20130184654 - MEDICAL DELIVERY SYSTEMS AND APPARATUS | 9 |
Richard A. Hayden | US | Pittsburgh | 2016-04-14 / 20160102019 - INHERENTLY CONCRETE-COMPATIBLE CARBON SORBENTS FOR MERCURY REMOVAL FROM FLUE GAS | 7 |
Adam Hayden | US | Fort Wayne | 2011-03-03 / 20110054479 - METHOD FOR ARTHROSCOPIC BONE PREPARATION | 1 |
Paul Trevor Hayden | GB | Cowes | 2014-08-21 / 20140234109 - METHOD OF MAKING A ROOT END JOINT OF A WIND TURBINE BLADE AND A ROOT SEGMENT FOR SUCH A JOINT | 9 |
John Michael Hayden | US | Allen | 2011-02-24 / 20110043700 - Method and Apparatus for Analog Graphics Sample Clock Frequency Offset Detection and Verification | 3 |
John Hayden | US | Aurora | 2013-12-12 / 20130328388 - Power Apparatus for a Vehicle Turret | 6 |
Mark A. Hayden | US | Ingleside | 2015-05-14 / 20150133310 - NUCLEIC ACID SEQUENCING SYSTEMS AND METHODS | 8 |
Peter J. Hayden | US | Rye | 2010-12-30 / 20100328885 - Rotatable Cooling Module | 1 |
Stephan R. Hayden | US | Sun Prairie | 2012-10-25 / 20120266710 - UTILITY VEHICLE TRANSMISSION CONTROLS WITH COMMON PIVOT SHAFT | 3 |
Charles Hayden | US | Nashua | 2010-07-01 / 20100162657 - METHOD OF INSTALLING A ROOFING MEMBRANE | 1 |
Brian Elliott Hayden | GB | Hampshire | 2013-03-21 / 20130071757 - SOLID ELECTROLYTE MATERIAL, LITHIUM BATTERY, AND METHOD OF PRODUCING SOLID ELECTROLYTE MATERIAL | 5 |
Douglas Todd Hayden | US | Boise | 2010-10-21 / 20100265121 - Short Distance Range Resolution in Pulsed Radar | 1 |
Eric C. Hayden | US | Cicero | 2008-11-06 / 20080272633 - Apparatus for measuring child seat anchor tension | 1 |
Leonard Hayden | US | Beaverton | 2010-10-07 / 20100251545 - WAFER PROBE | 1 |
Stuart Hayden | US | Point Pleasant | 2008-12-04 / 20080300264 - SUBSTITUTED THIATRIAZAACENAPHTHYLENE-6-CARBONITRILE KINASE INHIBITORS | 1 |
Leo F. Hayden | US | Fox River Grove | 2008-12-04 / 20080295558 - Flexible necking station arrangement for larger beverage cans | 1 |
Michael Patrick Hayden | US | Mason | 2015-04-23 / 20150112291 - DISPOSABLE ABSORBENT ARTICLE HAVING BREATHABLE SIDE FLAPS | 4 |
Scott W. Hayden | US | Maple Grove | 2013-07-18 / 20130184654 - MEDICAL DELIVERY SYSTEMS AND APPARATUS | 9 |
Cindy J. Hayden | US | Rochester | 2009-02-05 / 20090035586 - CLEANING METHOD FOR DUV OPTICAL ELEMENTS TO EXTEND THEIR LIFETIME | 1 |
Frank E. Hayden | US | Aptos | 2009-02-19 / 20090045861 - System and method for effectively implementing an IQ generator | 2 |
Russell Hayden | US | Stratford | 2009-03-19 / 20090075362 - Disposable Bioreactor Comprising a Sensor Arrangement | 1 |
Joe Hayden | US | Clarks Summit | 2012-03-15 / 20120063479 - ALUMINOPHOSPHATE GLASS COMPOSITION | 2 |
Robert L. Hayden | US | Fresno | 2009-04-23 / 20090102644 - Alert notification system and method for neighborhood and like groups | 1 |
Stuart Hayden | US | Manalapan | 2015-02-19 / 20150050410 - COMPOUNDS, COMPOSITIONS, AND METHODS FOR MODULATING SWEET TASTE | 7 |
Charles Hayden | US | Knoxville | 2009-05-21 / 20090127451 - Devices and Methods for Calibrating Nuclear Medical and Radiological Images | 2 |
H. Wayne Hayden | US | Knoxville | 2010-06-03 / 20100132747 - Thermal De-Scaling Surfaces With Cryogenic Liquids And Gases | 1 |
Mark G Hayden | US | Fairfield | 2009-07-23 / 20090187645 - SYSTEM FOR PROVIDING MULTI-PATH INPUT/OUTPUT IN A CLUSTERED DATA STORAGE NETWORK | 1 |
Matthew James Hayden | AU | Victoria | 2015-07-02 / 20150181822 - SELECTION BASED ON OPTIMAL HAPLOID VALUE TO CREATE ELITE LINES | 2 |
Oliver Hayden | DE | Herzogenaurach | 2015-12-10 / 20150355072 - Method for Enriching and Isolating Cells Having Concentrations Over Several Logarithmic Steps | 35 |
Michael R. Hayden | CA | Vancouver | 2015-01-29 / 20150030581 - LPL VARIANT THERAPEUTICS | 11 |
Geoffrey P. Hayden | US | New Milford | 2016-04-21 / 20160110818 - SYSTEM FOR DYNAMIC FRAUD DETECTION | 1 |
Oliver Hayden | DE | Herzogenaurach | 2015-12-10 / 20150355072 - Method for Enriching and Isolating Cells Having Concentrations Over Several Logarithmic Steps | 35 |
Kevin Hayden | IE | Donegal | 2010-03-18 / 20100064570 - NETTING ARRANGEMENT | 1 |
Hugh Hayden | IE | County Louth | 2009-02-12 / 20090039308 - Nanocomposite polymers | 1 |
Hugh Hayden | IE | Louth | 2010-12-30 / 20100330380 - Organosilane Coating Compositions and Use Thereof | 1 |
Michael Hayden | CA | Vancouver | 2015-10-15 / 20150292015 - SELECTIVE REDUCTION OF ALLELIC VARIANTS | 9 |
Patrick Hayden | IE | Carlow | 2009-06-18 / 20090153975 - High Power EUV Lamp System | 1 |
Katherine Marie Hayden | US | Sunnyvale | 2015-07-23 / 20150206053 - COMPUTING SYSTEM WITH COMPREHENSIVE SENSOR MECHANISM AND METHOD OF OPERATION THEREOF | 2 |
John A. Hayden | US | Sharon | 2015-12-10 / 20150355989 - SAFETY NODE IN INTERCONNECT DATA BUSES | 3 |
Harold Stephen Hayden | US | New Hill | 2009-10-15 / 20090259674 - AGGREGATING INFORMATION SOURCES TO DYNAMICALLY UPDATE A CALENDAR AND TO NOTIFY USERS OF CHANGES | 1 |
Michael Louis Hayden | US | Plano | 2015-08-27 / 20150243494 - MECHANICALLY ROBUST SILICON SUBSTRATE HAVING GROUP IIIA-N EPITAXIAL LAYER THEREON | 3 |
Brian Elliott Hayden | GB | Chilworth Southampton | 2014-09-25 / 20140287160 - VAPOUR DEPOSITION PROCESS FOR THE PREPARATION OF A PHOSPHATE COMPOUND | 2 |
James Hayden | GB | Cononley | 2015-03-12 / 20150071096 - LOSS MEASUREMENT IN A LINK AGGREGATION GROUP | 1 |
Peter C. Hayden | US | Mount Vernon | 2013-09-26 / 20130254400 - CLIENT LOAD DISTRIBUTION | 5 |
Thomas E. Hayden | US | Wappingers Falls | 2014-11-20 / 20140338253 - Fuel additive composition | 2 |
Charlene A. Hayden | US | Bloomfield Hills | 2010-04-29 / 20100105850 - METHOD FOR MAKING NITROGEN AROMATIC OLIGOMERS AND POLYMERS | 1 |
Kevin Hayden | US | Newton | 2015-02-19 / 20150048245 - Ion Optical System For MALDI-TOF Mass Spectrometer | 2 |
John C. Hayden | US | Bloomfield Village | 2010-04-15 / 20100090362 - Molding Apparatus and Method for Making a Cutting Tool | 1 |
Joseph C. Hayden | US | Tucson | 2013-06-06 / 20130141710 - OPTICAL SURVEILLANCE SYSTEMS AND METHODS | 3 |
Christopher G. Hayden | US | Alexandria | 2012-07-19 / 20120183594 - Method of increasing photosynthesis and reducing ozone | 2 |
Karen Hayden | US | Nashua | 2009-12-17 / 20090311449 - METHOD OF MANUFACTURING AN INSULATED, IMPACT RESISTANT WINDOW | 1 |
Scott William Hayden | US | Maple Grove | 2015-06-25 / 20150174394 - LEAD DELIVERY DEVICE AND METHOD | 4 |
David Hayden | GB | Coventry | 2015-01-29 / 20150032356 - CONTROLLING INTERNAL COMBUSTION ENGINE EMISSIONS | 1 |
Joseph S. Hayden | US | Clarks Summit | 2015-08-13 / 20150228681 - Method for producing optoelectronic components, and products produced thereby | 4 |
Shauna L. Hayden | US | Houston | 2014-11-27 / 20140349897 - Treatment Fluids with Non-Oxidizer Class Inorganic Peroxide Polymer Breakers and Methods of Use Thereof | 7 |
Sarah Jean Hayden | US | Backus | 2010-03-04 / 20100051019 - SOLAR POWERED FURNACE AND FURNACE ARRAY | 1 |
Stephen Hayden | US | Delaware | 2010-04-01 / 20100078005 - SYSTEM AND METHOD FOR CUTTING GRANITE OR SIMILAR MATERIALS | 2 |
Jeffrey A. Hayden | US | Whitehall | 2012-05-03 / 20120108994 - MORPHOLOGY CHANGE DETECTION FOR CARDIAC SIGNAL ANALYSIS | 2 |
Matthew W. Hayden | US | Royal Oak | 2010-04-15 / 20100090362 - Molding Apparatus and Method for Making a Cutting Tool | 1 |
Michael Hayden | CA | Vancouver | 2015-10-15 / 20150292015 - SELECTIVE REDUCTION OF ALLELIC VARIANTS | 9 |
Robert Hayden | AU | Wahroonga | 2014-02-27 / 20140058814 - SYSTEM AND METHOD FOR DISTRIBUTION AND SALE OF DIGITAL CONTENT | 1 |
Noel Thomas John Hayden | GB | Kingston | 2015-02-12 / 20150045114 - SYSTEMS AND METHODS FOR REFERRAL BENEFITS | 2 |
Cynthia Hayden | US | Marietta | 2015-10-29 / 20150310501 - System and Method for Managing a Telemarketing Campaign | 1 |
Brian Elliott Hayden | GB | Lyndhurst Hampshire | 2014-07-10 / 20140193746 - COST-EFFECTIVE CORE-SHELL CATALYST WITH HIGH ELECTROCHEMICAL STABILITY | 1 |
Mark A. Hayden | US | Ingelside | 2014-12-11 / 20140364322 - ISOTHERMAL AMPLIFICATION SYSTEMS AND METHODS | 1 |
Devon Hayden | US | St. Louis | 2013-05-23 / 20130131576 - CATHETER ASSEMBLY FOR USE WITH SHUNT SYSTEMS AND METHOD OF USING SAME | 1 |
Andrew Hayden | US | Seattle | 2015-11-12 / 20150324377 - NARRATION OF NETWORK CONTENT | 6 |
Thomas Hayden | US | Wappingers Falls | 2015-04-30 / 20150113867 - USE OF AN ALKOXYLATED POLYTETRAHYDROFURAN TO REDUCE FUEL CONSUMPTION | 3 |
Thomas Hayden | US | Wappingers | 2014-02-06 / 20140034004 - PROCESS FOR IMPROVING THERMOSTABILITY OF LUBRICANT OILS IN INTERNAL COMBUSTION ENGINES | 1 |
Christopher Scott Hayden | US | Winchester | 2016-05-05 / 20160120587 - TRANSVASCULAR METHODS OF TREATING EXTRAVASCULAR TISSUE | 7 |
Matthew Hayden | AU | Templestowe | 2015-03-05 / 20150067921 - FAD3 PERFORMANCE LOCI AND CORRESPONDING TARGET SITE SPECIFIC BINDING PROTEINS CAPABLE OF INDUCING TARGETED BREAKS | 4 |
Mark Hayden | US | Ingleside | 2014-08-28 / 20140238859 - NUCLEIC ACID SEQUENCING BY ELECTROCHEMICAL DETECTION | 1 |
Brian E. Hayden | GB | Lyndhurst | 2013-04-04 / 20130084406 - METHOD FOR PRODUCING ELECTRODE COMPOSITE MATERIAL | 1 |
Chris Hayden | US | Shelton | 2016-04-07 / 20160097562 - NEXT GENERATION BARE WIRE WATER HEATER | 5 |
Charles Hayden | US | Seattle | 2015-11-26 / 20150339477 - RISK ASSESSMENT MODELING | 1 |
Michael Hayden | US | 2015-07-30 / 20150209346 - COMBINATION OF LAQUINIMOD AND PRIDOPIDINE FOR TREATING NEURODEGENERATIVE DISORDERS, IN PARTICULAR HUNTINGTON'S DISEASE | 1 | |
Herbert T. Hayden | US | Phoenix | 2015-08-13 / 20150229266 - CPV SYSTEM AND METHOD THEREFOR | 2 |
Brian Elliott Hayden | GB | Chilworth, Southampton Hampshire | 2016-04-07 / 20160099472 - Metal Alloy Catalysts for Fuel Cell Anodes | 4 |
Joseph Ethan Hayden | US | Ellicott City | 2015-04-02 / 20150092177 - LASER RADAR THROUGH THE WINDOW (LRTW) COORDINATE CORRECTION METHOD | 1 |
Steven E. Hayden | US | Williston | 2015-04-02 / 20150090645 - Fluid Metering System | 1 |
Charles C. Hayden | US | Issaquah | 2011-07-28 / 20110184913 - DISTRIBUTED DATA BACKUP | 1 |
Ty V. Hayden | US | Grand Junction | 2012-04-05 / 20120084708 - PRESENTATION OF HIERARCHICAL DATA IN MULTIPLE CONSISTENT VIEWS | 1 |
Ben Hayden | GB | Herfordshire | 2013-12-26 / 20130346255 - Garment Box and System | 1 |
Ronald S. Hayden | US | Katy | 2013-03-28 / 20130080059 - INTEGRATED DIP FROM 3D RESISTIVITY TOOL AND BOREHOLE IMAGING TOOL | 1 |
Neil S. Hayden | US | Braidwood | 2014-10-16 / 20140308420 - SYSTEMS, APPARATUSES, AND METHODS FOR FORMING AND TEXTURING COMESTIBLE PRODUCT | 1 |
Oliver Hayden | DE | Herzogenaurch | 2014-10-09 / 20140299776 - WEAK LIGHT DETECTION USING AN ORGANIC, PHOTOSENSITIVE COMPONENT | 1 |
Steven M. Hayden | US | Wetumpka | 2015-05-21 / 20150142480 - SYSTEM AND METHOD FOR PRESCRIPTION MANAGEMENT | 1 |
Todd Hayden | US | Boise | 2013-12-19 / 20130334885 - POWER SOURCE AND POWER SOURCE CONTROL CIRCUIT | 3 |
Robert Elliotte Hayden | US | Mineral | 2014-02-06 / 20140034744 - TAPERED RAILWAY APPARATUS | 1 |
Aaron J. Hayden | US | Alto | 2011-08-25 / 20110203231 - GOODS-TO-PERSON PICKING STATION AND PICKING METHOD | 1 |
Steven Hayden | US | Wetumpka | 2012-07-26 / 20120186220 - SYSTEM FOR CONVERTING SLOW BURNING FUEL THAT CONTAIN ASH INTO ENERGY | 1 |
John E. Hayden | US | Aurora | 2012-07-26 / 20120191304 - Apparatus and Method for Controlling Rotational Movement of a Vehicle Turret | 3 |
Brian Elliot Hayden | GB | Hampshire | 2013-01-24 / 20130022878 - SOLID ELECTROLYTE MATERIAL, LITHIUM BATTERY, AND METHOD OF PRODUCING SOLID ELECTROLYTE MATERIAL | 1 |
Richard Hayden | US | Sudbury | 2012-07-26 / 20120191273 - AIRCRAFT FLIGHT DATA DELIVERY AND MANAGEMENT SYSTEM WITH EMERGENCY MODE | 1 |
Calvin Hayden | US | Sherwood | 2011-11-10 / 20110272111 - PAPERMAKING PICKUP FABRIC | 1 |
Leonard Hayden | US | Portland | 2011-07-21 / 20110178752 - LINE-REFLECT-REFLECT MATCH CALIBRATION | 1 |
Ty Vernon Hayden | US | Grand Junction | 2012-11-01 / 20120278212 - ENTERPRISE COMPENSATION APPLICATION SUPPORTING A LARGE NUMBER OF EMPLOYEES | 1 |
Robert Hayden | US | Dallas | 2012-11-01 / 20120278294 - SELECTIVE LOCKING OF OBJECT DATA ELEMENTS | 1 |
Gary James Hayden | AU | Hornsby | 2016-03-24 / 20160082588 - DEVICE FOR TRAVERSING AN OBJECT | 2 |
Chanel Moira Pia Hayden | IE | Dublin | 2013-09-26 / 20130247658 - OPTOACOUSTIC INSPECTION DEVICE FOR INSPECTION OF SEMICONDUCTOR WAFERS | 1 |
Brad Hayden | US | Albuquerque | 2015-03-12 / 20150074424 - AVIONICS GATEWAY INTERFACE, SYSTEMS AND METHODS | 2 |
G. Douglas Hayden | US | Richmond | 2015-01-15 / 20150017252 - SUPPLEMENT AND MEDICATION CULTIVATED PLANT DELIVERY SYSTEM | 1 |
Kirk Hayden | US | El Dorado Hills | 2013-09-19 / 20130246648 - AUTOMATIC PROTOCOL SELECTION MECHANISM | 1 |
Curtis D. Hayden | CA | Winnipeg | 2014-03-06 / 20140065289 - PH ADJUSTED SOY PROTEIN ISOLATE AND USES | 3 |
Scott Randall Hayden | US | Woodinville | 2015-02-19 / 20150052025 - FULFILLMENT OF ORDERS FOR ITEMS USING 3D MANUFACTURING ON DEMAND | 5 |
Matthew James Hayden | AU | Templestowe | 2015-09-10 / 20150252361 - Method of Producing a Normalised Nucleic Acid Library Using Solid State Capture Material | 2 |
Dylan C. Hayden | US | Edelstein | 2013-07-25 / 20130187353 - MULTI-BRAKE SYSTEM HAVING INDEPENDENT CONTROL | 1 |
Brian Elliott Hayden | GB | Lyndhurst | 2013-07-25 / 20130189588 - METHOD FOR PRODUCING SOLID ELECTROLYTE MEMBRANE | 1 |
Carl F. Hayden | US | Yorktown | 2016-03-31 / 20160090952 - SOLENOID FLUID INJECTOR WITH CORROSION PREVENTION STRUCTURE | 1 |
Brian John Hayden | US | Royal Oak | 2015-07-02 / 20150185388 - BACKLIGHT ASSEMBLY | 1 |
Michael R. Hayden | CA | Vancouver | 2015-01-29 / 20150030581 - LPL VARIANT THERAPEUTICS | 11 |
Steve W. Hayden | US | Philpot | 2013-01-17 / 20130014852 - Wire tie system for twisting drop wires for suspended grid ceilingsAANM Hayden; Steve W.AACI PhilpotAAST KYAACO USAAGP Hayden; Steve W. Philpot KY USAANM VanNuland; Greg M.AACI OwensboroAAST KYAACO USAAGP VanNuland; Greg M. Owensboro KY US | 1 |
Joseph Jolly Hayden | US | Dallas | 2013-10-10 / 20130268311 - System and Method for Identifying and Upgrading a Transmission Grid | 1 |
Michael Hayden | IL | Petach-Tikva | 2016-03-17 / 20160074380 - Treatment Of Neurodegenerative Diseases With Combination Of Laquinimod And Fingolimod | 6 |
Ty Hayden | US | Grand Junction | 2016-03-31 / 20160092487 - CONCURRENT MULTIPLE HIERARCHICAL DATA STRUCTURES WITH CONSISTENT DATA | 15 |
Mark G. Hayden | US | Gardnerville | 2012-02-23 / 20120047111 - METHOD AND SYSTEM FOR PARITY-PAGE DISTRIBUTION AMONG NODES OF A MULTI-NODE DATA-STORAGE SYSTEM | 2 |
Rose M. Hayden | US | King George | 2014-11-13 / 20140333930 - Surface Plasmon Resonance Biosensor | 1 |
Chris H. Hayden | US | Flower Mound | 2014-08-07 / 20140223312 - ELECTRICITY PROVIDER CONTENT PLATFORM | 1 |
Mark William Hayden | US | Monroe | 2015-06-11 / 20150158196 - Non-Linear Slotting Profiles for Pipe and Pipe Liners | 2 |
Timothy John Hayden | US | Sault Ste. Marie | 2015-03-05 / 20150065299 - ALL-WHEEL DRIVE TORQUE VECTORING BY ELECTRONIC BRAKE SYSTEM CONTROL | 1 |
Lauren E. Hayden | US | Atlanta | 2011-08-11 / 20110196104 - Norbornene-based copolymers with iridium complexes and exiton transport groups in their side-chains and use thereof | 1 |
Paul Trevor Hayden | GB | Cowes | 2014-08-21 / 20140234109 - METHOD OF MAKING A ROOT END JOINT OF A WIND TURBINE BLADE AND A ROOT SEGMENT FOR SUCH A JOINT | 9 |
Paul Hayden | GB | Cowes | 2015-07-16 / 20150198051 - METHOD OF FORMING A STRUCTURAL CONNECTION BETWEEN A SPAR CAP AND A FAIRING FOR A WIND TURBINE BLADE | 1 |
Roger Hayden | US | Plymouth | 2014-09-18 / 20140277934 - Method and Apparatus for Ambient Lighting Incoming Message Alert | 1 |
Mary Ellen Hayden-Irving | US | Dallas | 2014-03-20 / 20140081658 - Computer-Based Analysis and Storage System for a Brain Rehabilitation Procedure | 1 |
Charles H. Hayden, Jr. | US | Knoxville | 2011-06-02 / 20110127413 - Systems and Methods for Calibrating Time Alignment For A Positron Emission Tomography (PET) System | 2 |
Martha Susan Hayden-Ledbetter | US | Shoreline | 2014-06-05 / 20140154252 - SINGLE-CHAIN MULTIVALENT BINDING PROTEINS WITH EFFECTOR FUNCTION | 14 |
Martha Hayden-Ledbetter | US | Shoreline | 2016-02-04 / 20160033512 - DIAGNOSIS OF CARCINOMAS | 9 |
Martha S. Hayden-Ledbetter | US | Seattle | 2013-06-06 / 20130142793 - BINDING DOMAIN-IMMUNOGLOBULIN FUSION PROTEINS | 1 |
Martha S. Hayden-Ledbetter | US | Shoreline | 2011-09-15 / 20110223164 - BINDING DOMAIN-IMMUNOGLOBULIN FUSION PROTEINS | 8 |
Martha Hayden-Ledbetter | US | Seattle | 2015-06-04 / 20150152399 - Therapeutic Nuclease Compositions and Methods | 9 |
Martha S. Hayden-Ledbetter | US | Shoreline | 2011-09-15 / 20110223164 - BINDING DOMAIN-IMMUNOGLOBULIN FUSION PROTEINS | 8 |
Martha Hayden-Ledbetter | US | Shoreline | 2016-02-04 / 20160033512 - DIAGNOSIS OF CARCINOMAS | 9 |
Martha Hayden-Ledbetter | US | Seattle | 2015-06-04 / 20150152399 - Therapeutic Nuclease Compositions and Methods | 9 |
Martha Susan Hayden-Ledbetter | US | Shoreline | 2014-06-05 / 20140154252 - SINGLE-CHAIN MULTIVALENT BINDING PROTEINS WITH EFFECTOR FUNCTION | 14 |
Perry L. Hayden, Sr. | US | Salinas | 2014-02-06 / 20140036478 - VIEWING ANGLE SYSTEM FOR BACKLIGHTED DISPLAYS | 5 |
Vedat Haydin | US | Canton | 2016-02-04 / 20160035467 - THERMISTOR ASSEMBLY INCLUDING ELASTOMERIC BODY | 1 |
Vedat Haydin | US | Westland | 2010-01-07 / 20100001554 - VEHICLE BODY PASS-THROUGH STRUCTURE | 1 |
Michael Haydn | DE | Rellingen | 2015-11-26 / 20150339004 - DYNAMIC INTERFACE GENERATION USING COMMAND AND USER LEVELS | 1 |
Andreas Haydn | DE | Passau | 2010-09-02 / 20100222962 - Method for Checking the Suitability of a Mobile Telephone | 1 |
Michael Christoph Haydn | DE | Pinneberg | 2011-01-20 / 20110016425 - DISPLAYING RECENTLY USED FUNCTIONS IN CONTEXT SENSITIVE MENU | 1 |
Markus Haydn | AT | Reutte | 2016-04-28 / 20160118680 - MULTI-LAYERED LAYER ARRANGEMENT FOR A SOLID ELECTROLYTE | 2 |
Martin Haydn | GB | Huddersfield | 2015-11-26 / 20150338202 - INTERFEROMETRIC APPARATUS AND SAMPLE CHARACTERISTIC DETERMINING APPARATUS USING SUCH APPARATUS | 1 |
Martin Haydn | US | 2015-11-26 / 20150338202 - INTERFEROMETRIC APPARATUS AND SAMPLE CHARACTERISTIC DETERMINING APPARATUS USING SUCH APPARATUS | 1 | |
Steven Haydock | GB | Bristol | 2010-10-07 / 20100254405 - DATA INJECTION | 1 |
Paul V. Haydock | US | Shoreline | 2013-11-14 / 20130302814 - PROCESS FOR ISOLATING MICROORGANISMS | 5 |
Frederick J. Haydock | US | Murray | 2014-08-28 / 20140238941 - Methods, Devices, and Systems for Creating Highly Adsorptive Precipitates | 2 |
Paul M. Haydock | US | Zionsville | 2014-07-24 / 20140202442 - CONDENSING HEAT EXCHANGER FINS WITH ENHANCED AIRFLOW | 7 |
Elizabeth Haydock | CA | Montreal | 2012-03-22 / 20120072405 - SIMULATION-ASSISTED SEARCH | 2 |
Lawrence Haydock | CA | Town Mount Royal | 2014-06-19 / 20140173612 - Energy Conservation and Hardware Usage Management for Data Centers | 1 |
Frederick Haydock | US | Murray | 2010-06-24 / 20100158789 - REMOVAL OF CONTAMINANTS FROM BY-PRODUCT ACIDS | 2 |
Robert Jenkin Haydock | US | Portland | 2014-08-07 / 20140222552 - CREATION AND DISTRIBUTION OF REVEAL-BASED MODULAR ADVERTISING UNITS | 1 |
Cheryl Ann Haydock | US | Edina | 2011-12-15 / 20110307518 - Medical Record Management Using Fingerprint ID | 1 |
Lawrence Haydock | CA | Sainte-Adele | 2016-03-10 / 20160072667 - METHOD, NODE AND DISTRIBUTED SYSTEM FOR CONFIGURING A NETWORK OF CDN CACHING NODES | 2 |
Colette Margeurite Louise Haydon | GB | London | 2012-10-11 / 20120258152 - COSMETIC PREPARATIONS | 1 |
Devon Haydon | US | St. Louis | 2016-03-31 / 20160089520 - METHOD OF USING A CATHETER ASSEMBLY | 1 |
Philip G. Haydon | US | Narberth | 2012-07-12 / 20120178167 - Methods for Transfecting Nucleic Acid Into Live Cells | 2 |
Philip Haydon | US | Narberth | 2010-08-26 / 20100216652 - Low Level Fluorescence Detection at the Light Microscopic Level | 1 |
David John Haydon | GB | Yarnton | 2015-07-09 / 20150191420 - ANTIBACTERIAL AGENTS | 4 |
Philip Haydon | US | Boston | 2014-03-06 / 20140066613 - URIDINE DIPHOSPHATE DERIVATIVES, COMPOSITIONS AND METHODS FOR TREATING NEURODEGENERATIVE DISORDERS | 2 |
David Haydon | GB | Yarnton | 2012-04-12 / 20120088750 - ANTIBACTERIAL COMPOUNDS | 1 |
Mary Elizabeth Haydon | US | Upland | 2010-09-23 / 20100235963 - DRAIN COLLECTION & MEDICAL DEVICE SUPPORT GARMENT a.k.a PRACTICAL POCKETS | 1 |
David Haydon | GB | Yarnton, Oxon | 2013-03-21 / 20130072520 - ANTIBACTERIAL AGENTS | 1 |
David John Haydon | GB | Oxfordshire | 2014-05-15 / 20140135332 - AROMATIC AMIDES AND USES THEREOF | 6 |
Philip G. Haydon | US | Boston | 2016-03-17 / 20160075731 - URIDINE NUCLEOSIDE DERIVATIVES, COMPOSITIONS AND METHODS OF USE | 7 |
Daniel Haydon | US | Louisville | 2011-07-21 / 20110177898 - SPROCKET AND ROTOR COVERS | 1 |
David John Haydon | GB | Oxon | 2009-08-06 / 20090197877 - Antibacterial Compositions | 1 |
Leo A. Haydt, Iii | US | Palmerton | 2013-12-19 / 20130334763 - Sheet Feed Apparatus and Method | 1 |
Leo A. Haydt, Iii | US | Sebastian | 2015-09-24 / 20150266256 - FOLDING MACHINE AS COMBINED KNIFE AND BUCKLE FOLDERS | 2 |
George L. Hayduchock | US | Mount Holly | 2012-08-30 / 20120217188 - METHOD AND APPARATUS FOR SORTING AND ACQUIRING IMAGE DATA FOR DOCUMENTS | 1 |
George L. Hayduchok | US | Mount Holly | 2012-08-09 / 20120201416 - Method and Apparatus for Processing Mail to Obtain Image Data of Contents | 4 |
George L. Hayduchok | US | Westampton | 2016-03-10 / 20160071070 - METHOD AND APPARATUS FOR TRACKING DOCUMENTS | 3 |
William Andrew Haydu, Jr. | US | Akron | 2011-02-10 / 20110031770 - SAFETY SLING FOR A TIRE CURING MACHINE | 1 |
Claudius Hayduk | DE | Hannover | 2014-10-02 / 20140296012 - CONVEYOR BELT OR DRIVE BELT | 2 |
Matthew Hayduk | US | Glen Cove | 2015-06-04 / 20150152625 - LOCKING FIRE HYDRANT | 4 |
Matthew A. Hayduk | CA | Calgary | 2010-04-15 / 20100091751 - COMMUNICATION SUBSYSTEM FOR WIRELESS DEVICES OR THE LIKE | 2 |
Darren J. Hayduk | US | Wilmington | / - | 1 |
Mark Alan Haye | US | Tucson | 2009-03-05 / 20090063430 - ARTICLE OF MANUFACTURE AND SYSTEM FOR MERGING METADATA ON FILES IN A BACKUP STORAGE | 2 |
Mark A. Haye | US | Tucson | 2013-02-28 / 20130054524 - REPLICATION OF DATA OBJECTS FROM A SOURCE SERVER TO A TARGET SERVER | 2 |
Donnie D. Haye | US | Chapel Hill | 2011-02-24 / 20110047021 - Minimum and maximum recommended supply lines for determining price-protection rebate | 1 |
Jovan Pierre Haye | US | Brentwood | 2013-12-26 / 20130340174 - Child Sleeping Restraint | 2 |
Marianne Hayek | CH | Meisterschwarden | 2011-12-08 / 20110297459 - SOLAR POWERED ELECTRIC MOTOR VEHICLE | 1 |
Nayla Hayek | CH | Hergiswil | 2011-12-08 / 20110297459 - SOLAR POWERED ELECTRIC MOTOR VEHICLE | 1 |
George Hayek | US | El Dorado Hills | 2014-01-16 / 20140015816 - DRIVING MULTIPLE DISPLAYS USING A SINGLE DISPLAY ENGINE | 1 |
Daniel Hayek | CA | Toronto | 2016-02-11 / 20160040878 - COMBUSTOR HEAT SHIELD SEALING | 1 |
Carol Hayek | US | Potomac | 2015-09-03 / 20150247322 - ANCHOR ARRANGEMENT | 1 |
Sami Hayek | US | Hollywood | 2013-05-09 / 20130111662 - ADJUSTABLE BED | 1 |
Michael Griffin Hayek | US | Dayton | 2015-02-12 / 20150044174 - COMPOSITION FOR IMPROVING EYE HEALTH | 18 |
Jan Hayek | DE | Muenchen | 2016-05-19 / 20160140078 - Control device for I2C slave device | 9 |
Jan Hayek | DE | Muenchen | 2016-05-19 / 20160140078 - Control device for I2C slave device | 9 |
Michael G. Hayek | US | Dayton | 2008-09-04 / 20080214479 - Methods of mimicking the metabolic effects of caloric restriction by administration of mannoheptulose | 1 |
Claude Hayek | US | Huntington Beach | 2011-07-28 / 20110182444 - Method and System for Handling the Processing of Bluetooth Data During Multi-Path Multi-Rate Audio Processing | 2 |
Nicolas Georges Hayek | CH | Meisterschwanden | 2011-12-08 / 20110297459 - SOLAR POWERED ELECTRIC MOTOR VEHICLE | 1 |
Charles F. Hayek | US | Seminole | 2009-03-12 / 20090067094 - SYSTEM AND METHOD FOR MEASURING AIR BEARING GAP DISTANCE | 1 |
Brian Hayek | US | San Diego | 2014-01-23 / 20140025407 - CUSTOMER RESERVATION AUCTION SYSTEM | 1 |
Ali Hayek | CA | Burnaby | 2016-03-24 / 20160083398 - DIARYLETHENE COMPOUNDS AND USES THEREOF | 6 |
Neil Hayek | US | Pacifica | 2014-04-17 / 20140105491 - SYSTEM AND METHOD FOR WEB PAGE RENDERING TEST AUTOMATION SUITE | 1 |
Charbel Hayek | US | Plantation | 2016-03-10 / 20160071405 - DEVICE INTERFACE FOR ALARM MONITORING SYSTEMS | 1 |
Zamir Hayek | GB | London | 2010-02-18 / 20100041980 - MRI Method | 1 |
Claude G. Hayek | US | Huntington Beach | 2013-04-25 / 20130102360 - VOICE/DATA/RF INTEGRATED CIRCUIT | 20 |
Rami El Hayek | US | Needham | 2012-03-22 / 20120071865 - FLOWABLE HYDROGELS FOR CONTROL OF CELL IN-MIGRATION | 1 |
Matt Hayek | US | Libertyville | 2011-07-07 / 20110165919 - RADIOTELEPHONE MODULE AND DEVICES CONTAINING SAME | 1 |
Alberto Hayek | US | La Jolla | 2009-06-18 / 20090155218 - COMPOSITIONS AND METHODS FOR GROWTH OF EMBRYONIC STEM CELLS | 1 |
George R. Hayek | US | El Dorado Hills | 2015-12-31 / 20150382035 - METHOD AND APPARATUS FOR LOW JITTER CLOCK RECOVERY IN WIRELESS DISPLAY EXTENSIONS | 7 |
Shahar Hayek | GB | London | 2012-08-02 / 20120192869 - Respiratory Apparatus | 1 |
Laurent Hayem | FR | Paris | 2015-07-02 / 20150188976 - IDENTIFYING AND TRANSITIONING TO AN IMPROVED VOIP SESSION | 2 |
Ferderic Christian Marc Hayem | US | San Diego | 2012-04-26 / 20120102340 - POWER MANAGEMENT FOR A MOBILE COMMUNICATION DEVICE AND METHOD FOR USE THEREWITH | 2 |
Frederic Christian Marc Hayem | US | San Diego | 2013-04-25 / 20130102360 - VOICE/DATA/RF INTEGRATED CIRCUIT | 10 |
Frederic Christian Hayem | US | San Diego | 2012-07-19 / 20120184331 - Voice/data/RF integrated circuit | 2 |
Frederic Hayem | US | San Diego | 2013-11-28 / 20130316759 - Multi-Processor Platform for Wireless Communication Terminal Having a Partitioned Protocol Stack | 3 |
Frederic Christian Marc Hayem | US | San Diego | 2013-04-25 / 20130102360 - VOICE/DATA/RF INTEGRATED CIRCUIT | 10 |
John W. Hayenga | US | Redmond | 2010-12-23 / 20100321786 - DEPTH OF FIELD EXTENSION FOR OPTICAL TOMOGRAPHY | 1 |
Jon W. Hayenga | US | Redmond | 2010-09-16 / 20100232664 - PATTERN NOISE CORRECTION FOR PSEUDO PROJECTIONS | 5 |
Kirk J. Hayenga | US | San Mateo | 2013-12-05 / 20130324965 - Preservative-Free Follicle Stimulating Hormone Solution Delivery Device | 2 |
Jon Hayenga | US | Redmond | 2010-02-11 / 20100035200 - GAS-ASSISTED SYSTEM FOR FLUID DROPLET GENERATION IN AN ORAL CARE SYSTEM | 1 |
Jon W. Hayenga | US | Kent | 2008-08-28 / 20080205739 - FLUID FOCUSING FOR POSITIONAL CONTROL OF A SPECIMEN FOR 3-D IMAGING | 1 |
Amy Hayenhjelm | US | Murray Hill | 2008-09-25 / 20080235174 - System and method for searching and matching databases | 1 |
Sascha Hayer | DE | Biblis | 2012-06-14 / 20120147174 - ANALYSIS DEVICE AND METHOD FOR ANALYZING A THERMOFORMING PROCESS IN A THERMOFORMING LAMINATING DEVICE | 1 |
Anna Hayer | DE | Mainz | 2015-11-12 / 20150322198 - POLYMERS CONTAINING 2,7-PYRENE STRUCTURAL UNITS | 10 |
Thorsten Hayer | US | Burlingame | 2014-11-27 / 20140347018 - Location Based Charging Control System | 1 |
Roman Hayer | DE | Walldorf | 2014-01-16 / 20140019523 - SESSION-RETURN ENABLING STATEFUL WEB APPLICATIONS | 1 |
Anna Hayer | DE | Mainz | 2015-11-12 / 20150322198 - POLYMERS CONTAINING 2,7-PYRENE STRUCTURAL UNITS | 10 |
Michael Hayer | DE | Frankenthal | 2015-12-31 / 20150376111 - METHOD FOR PRODUCING NITROALKANES IN A MICROSTRUCTURED REACTOR | 1 |
Roman Hayer | DE | Saarbruecken | 2009-06-25 / 20090164548 - Message transport manager and methods for using the same | 1 |
John Hayes | GB | Cheshire | 2016-04-28 / 20160115105 - Process for Purifying (Hydro)Fluoroalkenes | 4 |
Robert Hayes | US | Radnor | 2015-11-05 / 20150315284 - OPTIMIZED Fc VARIANTS | 4 |
Michael Joseph Hayes | US | Kalamazoo | 2016-02-11 / 20160038361 - PATIENT SUPPORT APPARATUSES WITH WIRELESS HEADWALL COMMUNICATION | 17 |
Clayton J. Hayes | US | Romeo | 2010-12-16 / 20100314918 - ACTIVE HEAD RESTRAINT UTILIZING SOLENOID ACTIVATION AND BAR LINKAGE TRANSMISSION | 2 |
David Hayes | AU | Rostrevor | 2016-02-04 / 20160030582 - ITRACONAZOLE COMPOSITIONS AND DOSAGE FORMS, AND METHODS OF USING THE SAME | 4 |
Allison Dorothy Hayes | AU | South Australia | 2009-01-08 / 20090009714 - Apparatus and Method For Assement and Rehabilitation After Acquired Brain Injury | 1 |
Eric Hayes | AU | Mcmahons Point | 2009-07-02 / 20090169648 - COMPOSITIONS TO REDUCE BLOOD GLUCOSE LEVELS AND TREAT DIABETES | 1 |
Richard Paul Hayes | AU | Malabar | 2012-08-16 / 20120208635 - METHOD AND GAMING DEVICE FOR CONTROLLING USE OF ONE OR MORE PERIPHERAL DEVICES | 2 |
Brenda Hayes | US | Madison | 2015-07-23 / 20150206094 - ASSET TRACKING SYSTEM AND METHOD INCORPORATING SWITCHABLE ANTENNA | 1 |
Julie Hayes | AU | South Australia | 2010-11-04 / 20100281583 - BORON TRANSPORTER | 1 |
Mark Hayes | AU | Queensland | 2009-05-21 / 20090130070 - METHOD OF TREATMENT | 1 |
John William Hayes | US | Chaska | 2014-05-15 / 20140135303 - Hazardous Agent Injection System | 4 |
Gregory A. Hayes | US | Coldwater | 2009-05-21 / 20090129591 - Techniques for Securing Document Content in Print and Electronic Form | 1 |
Jason Hayes | AU | Heathmont | 2015-10-08 / 20150284775 - MICROFLUIDIC CARTRIDGE | 3 |
Nicholas Hayes | AU | Port Fairy | 2011-04-28 / 20110098474 - PROCESS FOR THE SYNTHESIS OF MORPHINANE COMPOUNDS AND INTERMEDIATES THEREOF | 1 |
Michael A. Hayes | AU | West Geelong | 2010-12-02 / 20100305520 - Biodegradable Back and Body Strap | 1 |
Scott Hayes | US | Cedar Falls | 2011-12-22 / 20110308484 - METHOD AND APPARATUS TO REGULATE COOLANT PUMP INLET PRESSURE | 1 |
John B. Hayes | US | Atlanta | 2009-08-13 / 20090201294 - Systems and Processes for Transforming and Displaying Accounting and Financial Information | 1 |
Marc Hayes | US | Plymouth | 2010-11-25 / 20100296304 - COMBINATION FOR A VEHICLE INCLUDING A SELF-CONTAINED LIGHT | 1 |
Marc A. Hayes | US | Plymouth | 2015-03-05 / 20150064395 - Formed Articles Comprising Carbon And Natural Fibers, Methods Of Manufacture And Use Thereof | 8 |
Earl J. Hayes | US | South Lyon | 2012-09-13 / 20120230031 - LIGHTING ASSEMBLIES AND METHODS OF MAKING SAME | 3 |
Kim F. Hayes | US | Ann Arbor | 2011-01-27 / 20110017663 - SYSTEM AND METHOD FOR SIMULTANEOUS BIOLOGICALLY MEDIATED REMOVAL OF CONTAMINANTS FROM CONTAMINATED WATER | 2 |
Michael W. Hayes | US | N Canton | 2010-12-09 / 20100311899 - FUNCTIONALIZED POLYMER AND METHODS FOR MAKING AND USING | 1 |
Michael J. Hayes | US | Kalamazoo | 2014-03-06 / 20140059768 - HOSPITAL BED | 3 |
David A. Hayes | US | Midland | 2015-11-12 / 20150320740 - ENHANCED DELIVERY OF DRUG COMPOSITIONS TO TREAT LIFE THREATENING INFECTIONS | 4 |
Michael W. Hayes | US | Canton | 2013-12-12 / 20130327254 - Amino Alkoxy-Modified Silsesquioxanes And Method Of Preparation | 4 |
Alec A. Hayes | US | Chesterfield | 2011-06-16 / 20110145953 - MARKER MAPPING AND RESISTANCE GENE ASSOCIATIONS IN SOYBEAN | 2 |
Robert A. Hayes | US | Palo Alto | 2014-06-26 / 20140180953 - METHODS AND SYSTEMS FOR CONTROLLED DISTRIBUTION OF PERISHABLE GOODS | 1 |
Phillip Hayes | US | Torrance | 2014-05-15 / 20140131096 - HYBRID CARBON NANOTUBE SHIELDING FOR LIGHTWEIGHT ELECTRICAL CABLES | 1 |
Robert B. Hayes | US | North Ridgeville | 2011-04-14 / 20110087683 - IMPLEMENTATION OF A SOFTWARE FRAMEWORK/DATA ARK SYSTEM | 1 |
Philip M. Hayes | US | Hinckley | 2011-04-14 / 20110087683 - IMPLEMENTATION OF A SOFTWARE FRAMEWORK/DATA ARK SYSTEM | 1 |
Aaron R. Hayes | US | Emden | 2009-04-02 / 20090085377 - Structure and a system for connecting a machine cab to a supporting frame | 1 |
L. Thomas Hayes | US | Appleton | 2014-03-20 / 20140076862 - WELD BANK DATA STRUCTURES FOR WELDING APPLICATIONS | 4 |
Charles Hayes | US | Northfield | 2011-01-06 / 20110001717 - Narrow Border for Capacitive Touch Panels | 1 |
Kenneth N. Hayes | US | Blaine | 2014-01-16 / 20140018875 - METHOD AND APPARATUS FOR PACING SAFETY MARGIN | 7 |
Bobby Hayes | US | Louisville | 2009-01-01 / 20090000461 - APPLIANCE AUDIO NOTIFICATION DEVICE | 1 |
Emanuel Hayes | US | Omaha | 2014-10-09 / 20140304170 - REMOTE CREDIT ISSUANCE SYSTEM | 1 |
Ronald Lawrence Hayes | US | Alachua | 2014-10-09 / 20140303041 - IN VITRO DIAGNOSTIC DEVICES FOR NERVOUS SYSTEM INJURY AND OTHER NEURAL DISORDERS | 1 |
Daniel Hayes | US | Franklin | 2010-08-12 / 20100205640 - DRIVE THRU VISION | 1 |
Paul A. Hayes | US | Columbus | 2013-11-14 / 20130299012 - OIL PRESSURE REGULATOR | 2 |
Graham Matthew Hayes | US | Winston-Salem | 2015-07-16 / 20150200512 - Electric Cable Swivel And Related Fabrication Methods | 1 |
Steven Hayes | US | Madison | 2014-12-11 / 20140363883 - Device for cell culture and direct imaging | 3 |
Steve Hayes | US | North Granby | 2010-07-22 / 20100185676 - SYSTEM AND METHOD FOR THE CENTRALIZED MANAGEMENT OF A DOCUMENT ORDERING AND DELIVERY PROGRAM | 1 |
John Hayes | US | Alendale | 2014-07-10 / 20140195314 - SYSTEM AND METHOD FOR NETWORKED LOYALTY PROGRAM | 2 |
Robert Hayes | US | Paoli | 2015-03-12 / 20150071948 - NOVEL IMMUNOGLOBULIN VARIANTS | 11 |
Gerard James Hayes | US | Wake Forest | 2016-01-28 / 20160029370 - POSITION INFORMATION ASSISTED NETWORK CONTROL | 11 |
David J. Hayes | US | West Bloomfield | 2013-11-14 / 20130302584 - Foam Assembly And Method Of Making The Same | 2 |
Daniel L. Hayes | US | Kendallville | 2011-05-05 / 20110100702 - SWITCHABLE ELECTRICAL POWER SYSTEM | 1 |
Michael Hayes | US | Atlanta | 2010-12-09 / 20100307175 - ENERGY RECOVERY ENHANCED CONDENSER REACTIVATED DESICCANT REFRIGERANT DEHUMIDIFIER | 1 |
Rob Hayes | US | Cedar Park | 2014-04-03 / 20140096221 - System and Method for Implicitly Resolving Query Scope in a Multi-Client and Multi-Tenant Datastore | 1 |
Michael Hayes | US | Houston | 2015-03-05 / 20150060045 - PIPE HANDLING SYSTEM | 8 |
Michael Hayes | US | Boxborough | 2016-03-24 / 20160082090 - Use of Polysaccharides for Promotion of Enzymatic Activity | 2 |
Michael Hayes | US | Canton | 2015-06-04 / 20150152249 - AMINO ALKOXY-MODIFIED SILSESQUIOXANE ADHESIVES FOR IMPROVED METAL ADHESION AND METAL ADHESION RETENTION TO CURED RUBBER | 4 |
Teresa Hayes | US | Amarillot | 2015-03-12 / 20150070348 - Graphical System and Method of Use | 1 |
L. Thomas Hayes | US | Oshkosh | 2011-08-18 / 20110202168 - Welding-Type System With Embedded Database | 2 |
Timothy Reed Hayes | US | Mckinney | 2015-03-12 / 20150073854 - System and Method Providing Levelness of a Production Schedule | 1 |
Henry David Hayes | US | Eureka | 2014-10-30 / 20140318309 - Universal Bicycle Pedal | 1 |
Tommy Dee Hayes | US | Piedmont | 2009-02-05 / 20090035145 - Airfoil shape for a turbine bucket and turbine incorporating same | 1 |
Alan E. Hayes | US | Bend | 2011-10-20 / 20110256491 - STEAM REFORMING FUEL PROCESSOR, BURNER ASSEMBLY, AND METHODS OF OPERATING THE SAME | 3 |
Ronald L. Hayes | US | Alachua | 2014-11-20 / 20140342381 - DEVICES AND METHODS FOR BIOMARKER DETECTION PROCESS AND ASSAY OF NEUROLOGICAL CONDITION | 10 |
Colleen E. Hayes | US | Madison | 2009-09-03 / 20090221538 - METHODS OF TREATING MULTIPLE SCLEROSIS BY ADMINISTERING PULSE DOSE CALCITRIOL | 1 |
Ronald Hayes | US | Gainesville | 2009-04-02 / 20090087868 - Neural Proteins as Biomarkers for Nervous System Injury and Other Neural Disorders | 1 |
Kevin R. Hayes | US | Johnston | 2016-01-07 / 20160002648 - GENES FOR IMPROVING NUTRIENT UPTAKE AND ABIOTIC STRESS TOLERANCE IN PLANTS | 1 |
Steven J. Hayes | US | Addison | 2015-10-01 / 20150273616 - Method and End Assembly for Welding Device | 2 |
Michael G. Hayes | IE | Galway | 2015-10-01 / 20150273156 - DEVICES FOR SYSTEMIC DRUG DELIVERY AND RELATED METHODS OF USE | 2 |
Robert Hayes | US | Cedar Park | 2009-09-24 / 20090240713 - System and Method for Validating Enterprise Information Handling System Network Solutions | 2 |
Robert Hayes | CN | Hong Kong | 2015-03-26 / 20150085343 - ELECTROWETTING ELEMENT WITH CONTROLLED FLUID MOTION | 3 |
John W. Hayes | US | St. Augustine | 2014-05-15 / 20140132017 - Cargo Strap with Handle | 1 |
Robert Hayes | CN | N.t. Hong Kong | 2010-09-16 / 20100231566 - DRIVING OF ELECTROWETTING DISPLAY DEVICE | 1 |
Robert Hayes | US | Paoli | 2015-03-12 / 20150071948 - NOVEL IMMUNOGLOBULIN VARIANTS | 11 |
Chris Hayes | US | Cincinnati | 2016-01-07 / 20160001170 - Intelligent Markers and Card Distribution Error Reduction System | 1 |
Heather J. Hayes | US | Chesnee | 2012-07-12 / 20120177861 - Energy absorbing panel | 4 |
John A. Hayes | US | Gainesville | 2016-04-21 / 20160108721 - METHOD AND APPARATUS FOR INVESTIGATING A BOREHOLE WITH A CALIPER | 9 |
Scott Hayes | US | Arnold | 2009-04-30 / 20090110811 - Method of improving the thermal stability of electrically conductive polymer films | 1 |
Andrea Hayes | US | Gainesville | 2009-08-13 / 20090204222 - KNEE PROSTHESIS SYSTEM WITH AT LEAST A FIRST TIBIAL PORTION ELEMENT (A TIBIAL INSERT OR TIBIAL TRIAL) AND A SECOND TIBIAL PORTION ELEMENT (A TIBIAL INSERT OR TIBIAL TRIAL), WHEREIN EACH OF THE FIRST TIBIAL PORTION ELEMENT AND THE SECOND TIBIAL PORTION ELEMENT HAS A DIFFERENT SLOPE | 1 |
Edwin M. Hayes | US | Greenehaven | 2011-08-18 / 20110198275 - Manual foot actuated/solar power - air compressor air pressure driven, emergency reverse osmosis water purification system | 1 |
Heidi C. Hayes | US | Folsom | 2011-08-18 / 20110197686 - Air sampling system | 1 |
Jeffrey Richard Hayes | AU | Coombabah | 2013-06-27 / 20130160352 - Collapsible Crustacean Trap | 1 |
Jeffrey P. Hayes | US | Camby | 2009-08-13 / 20090200433 - MOUNTING FLANGE CONFIGURATION FOR EXHAUST COMPONENT | 1 |
Jennifer Hayes | US | Denton | 2014-05-29 / 20140149871 - SERVICE ADDRESS VALIDATION TOOL FOR A SERVICE PROVIDER NETWORK | 1 |
Timothy K. Hayes | US | N. Potomac | 2009-10-15 / 20090258834 - Plasma protein-binding ligands | 1 |
Steve Hayes | US | Madison | 2014-07-10 / 20140193900 - DEVICE FOR WASHING SUSPENDED CELLS OR PARTICLES | 1 |
Jordan David Hayes | US | Great Falls | 2014-07-10 / 20140190329 - Adjustable Chin Support for Musical Instrument | 1 |
Raymond Hayes | US | Los Gatos | 2014-12-25 / 20140378141 - Cross Radio Access Technology: Handoff Using Caching | 12 |
Philip Martin Hayes | IE | Clare | 2008-10-30 / 20080265016 - System and a Method for Facilitating Paying for a Parking Permit in a Selected One of One or More Pay-for-Parking Zones | 1 |
Peter C. Hayes | US | Charlotte | 2014-06-26 / 20140178707 - PAPER COATING OR BINDING FORMULATIONS AND METHODS OF MAKING AND USING SAME | 8 |
Jon Hayes | US | Powell | 2011-12-01 / 20110290567 - Method And System To Determine Need For Dimensional Weighing | 1 |
Martin Hayes | US | New York | 2012-08-23 / 20120215585 - COMPUTER METRICS SYSTEM AND PROCESS FOR IMPLEMENTING SAME | 3 |
Robert F. Hayes | US | Ballston Lake | 2008-09-11 / 20080217577 - Flexible thermal cure silicone hardcoats | 1 |
James Hayes | US | Homer | 2008-11-13 / 20080277626 - QUANTUM DOT FLUORESCENT INKS | 2 |
Brian William Hayes | US | Long Beach | 2009-06-04 / 20090139098 - Double Handle Kitchen Knife | 2 |
Peter R. Hayes | US | Delmar | 2009-12-03 / 20090300379 - SENSOR SYSTEM | 1 |
James C.m. Hayes | US | Homer | 2010-11-04 / 20100275807 - PHOTOLUMINESCENT NANOCRYSTAL BASED TAGGANTS | 2 |
Robert D. Hayes | US | Poughkeepsie | 2010-05-27 / 20100131341 - GATHERING AND DISSEMINATING QUALITY PERFORMANCE AND AUDIT ACTIVITY DATA IN AN EXTENDED ENTERPRISE ENVIRONMENT | 1 |
James T. Hayes | US | Glens Falls | 2009-10-01 / 20090247680 - ADHESION PROMOTING ADDITIVE | 1 |
Alan V. Hayes | US | Great Neck | 2013-08-15 / 20130206583 - Method and Apparatus for Surface Processing of a Substrate Using an Energetic Particle Beam | 4 |
David V. Hayes | US | Corning | 2009-01-01 / 20090000378 - Methods and apparatus for measuring elastic modulus of non-solid ceramic materials by resonance | 1 |
Mark A. Hayes | US | Gilbert | 2014-12-18 / 20140371102 - SYSTEM AND METHOD FOR AUTOMATED BIOPARTICLE RECOGNITION | 13 |
Daniel C. Hayes | US | Valparaiso | 2014-10-30 / 20140324467 - INFORMATION MANAGEMENT AND COMMUNICATIONS SYSTEM AND METHOD FOR COMMUNICATION WITH PATIENTS | 1 |
Michael G. Hayes | IE | Salthill, Galway | 2015-09-24 / 20150265799 - DEVICES AND METHODS FOR TREATING A LUNG | 1 |
David J. Hayes | US | Lake Worth | 2012-07-19 / 20120183029 - CELLULAR MODEM PROCESSING | 1 |
Robert J. Hayes | US | Portland | 2015-09-24 / 20150269108 - METHOD, APPARATUS AND SYSTEM FOR CONFIGURING A PROTOCOL STACK OF AN INTEGRATED CIRCUIT CHIP | 1 |
Daniel J. Hayes | US | Edgewater | 2011-11-24 / 20110284094 - Liquid leak control appliance pedestal | 1 |
Sophia E. Hayes | US | St. Louis | 2011-05-26 / 20110124205 - TUNING OF PHOTO-ABSORPTION MATERIALS THROUGH USE OF MAGNETIC FIELDS | 1 |
Ian Hayes | IE | Cork | 2008-12-04 / 20080300263 - Pyrazolo [1,5-a] pyrimidine compounds and pharmaceutical compositions containing them | 1 |
Anna S. Hayes | US | Newton Centre | 2015-11-19 / 20150327991 - HAPTIC DEVICES FOR INTRAOCULAR LENS | 9 |
Gayle Lynne Hayes | US | Cupertino | 2011-05-26 / 20110125470 - Generalizing an Optimized Supplier Allocation Plan | 1 |
Kenneth Hayes | US | Blaine | 2011-11-17 / 20110282407 - IMPLANTABLE CARDIOVERTER DEFIBRILLATOR WITH PROGRAMMABLE CAPACITOR CHARGING LEVEL | 1 |
Andrew M. Hayes | US | Chesterfield | 2015-11-19 / 20150327957 - Telescopic Orthodontic Appliance | 2 |
John Shane Hayes | IE | Dublin | 2011-11-10 / 20110276590 - METHOD AND SYSTEM FOR PROCESSING DATA | 1 |
Victor M. Hayes | US | Odessa | 2015-05-28 / 20150149202 - Medical Advice Via The Internet | 2 |
Thomas David Hayes | US | Schaumburg | 2011-06-02 / 20110126644 - SEDIMENT SAMPLER FOR IN-SITU MEASUREMENT OF SOLUBLE CONTAMINANT FLUX RATES | 1 |
Daniel T. Hayes | US | Red Lion | 2012-11-08 / 20120283076 - WHEELCHAIR GYM | 2 |
David Scott Hayes | US | Celina | 2010-02-04 / 20100031024 - METHOD FOR REAL-TIME DATA AUTHENTICATION | 1 |
Elaine E. Hayes | US | St. Louis | 2008-09-04 / 20080210891 - Radiation-Shielding Assemblies and Methods | 1 |
Alec J. Hayes | US | Chesterfield | 2016-01-07 / 20160002649 - TOBACCO HAVING ALTERED LEAF PROPERTIES AND METHODS OF MAKING AND USING | 6 |
Christopher M. Hayes | US | Wethersfield | 2016-03-17 / 20160078554 - SYSTEMS AND METHODS FOR SURFACE SEGMENT DATA | 4 |
Robert J. Hayes | US | Radnor | 2015-03-19 / 20150079082 - OPTIMIZED Fc VARIANTS AND METHODS FOR THEIR GENERATION | 14 |
Gregory B. Hayes | US | Bowling Green | 2012-03-08 / 20120058354 - Polyester Coating Composition | 3 |
George Hayes | US | West Columbia | 2010-05-27 / 20100129505 - DYED AND FLAVORED GELS FOR CO-EXTRUSION | 1 |
Karin Chun Hayes | US | Mesa | 2016-04-14 / 20160103976 - COMPUTER-IMPLEMENTED SYSTEM AND METHOD FOR ASSOCIATING PRESCRIPTION DATA AND DE-DUPLICATION | 2 |
Robert J. Hayes | US | Lewis Center | 2011-04-21 / 20110090677 - COLLAPSIBLE LIGHTING DEVICE | 3 |
James R. Hayes | US | Beaumont | 2010-02-04 / 20100029448 - Dual variable resistance control apparatus and system for exercise equipment | 1 |
Glenn Hayes | US | Hayward | 2011-07-14 / 20110168455 - WEIGHING APPARATUS AND METHOD | 1 |
Cara Hayes | US | Sterling | 2013-04-25 / 20130103573 - METHOD AND APPARATUS FOR EDUCATIONAL FINANCIAL PLANNING | 2 |
Roger Dale Hayes | US | Amarillo | 2015-10-15 / 20150290988 - Tow Hitch with Brake Sensor System and Method of Use | 3 |
Daniel Hayes | US | Placerville | 2012-07-26 / 20120191204 - ARTHROPLASTY SYSTEMS AND METHODS | 1 |
Kyle Hayes | US | Carlsbad | 2013-09-19 / 20130245692 - SPONDYLOLISTHESIS REDUCTION SYSTEM | 1 |
Jason W. Hayes | US | Universal City | 2011-11-03 / 20110270770 - CUSTOMER PROBLEM ESCALATION PREDICTOR | 1 |
James Donald Hayes | US | Tampa | 2015-02-05 / 20150038439 - METHOD FOR PREPARING SUSPENSIONS OF LOW-SOLUBILITY MATERIALS | 2 |
Andrew Royds Hayes | US | White Salmon | 2016-04-14 / 20160101872 - SYSTEMS AND METHODS FOR FUEL MONITORING | 3 |
Jonathan A. Hayes | US | Seattle | 2011-08-11 / 20110194244 - REMOVABLE MODULE FOR A CONSOLE | 2 |
Derek George Hayes | GB | Berkshire | 2010-11-25 / 20100299068 - APPARATUS FOR DETERMINING THE CONCENTRATION OF A CONDUCTIVE FLUID PRESENT IN A FLUID FILLED BOREHOLE | 1 |
Ann Gail Hayes | GB | Cambridge | 2011-05-05 / 20110105551 - Analgesics for nasal administration | 2 |
Geoffrey Gerard Hayes | GB | Cambridge | 2016-05-19 / 20160136156 - IMMEDIATE RELEASE PHARMACEUTICAL COMPOSITIONS COMPRISING OXYCODONE AND NALOXONE | 6 |
Geoffrey Gerard Hayes | GB | Saffron Walden | 2015-05-28 / 20150148366 - Particulates | 4 |
Karen Hayes | GB | Oxford Oxfordshire | 2008-08-28 / 20080204025 - Tool and Method for Shimming a Magnet | 1 |
Ann Gail Hayes | GB | Hertfordshire | 2010-02-18 / 20100040691 - PHARMACEUTICAL COMPOSITIONS COMPRISING METHOTREXATE | 1 |
Howard Richard Hayes | GB | Chester Cheshire | 2011-03-03 / 20110047965 - USE OF A LUBRICANT IN AN INTERNAL COMBUSTION ENGINE | 1 |
Simon A. Hayes | GB | Sheffield | 2009-01-15 / 20090015272 - Self-healing composite material | 2 |
Matthew J. Hayes | GB | Great Shelford | 2014-06-26 / 20140178977 - SYSTEMS AND METHODS FOR DETECTING MULTIPLE OPTICAL SIGNALS | 2 |
Jonathan Hayes | US | Boston | 2014-01-16 / 20140014487 - BUTTON MATRIX AND METHOD OF MOLDING THEREOF | 2 |
Jerome Francis Hayes | GB | Kent | 2010-06-24 / 20100160644 - NOVEL PROCESSES OF MAKING HYDROXY-1-AZO-DERIVATIVES AS TPO MIMETICS | 1 |
Matthew Hayes | GB | Cambridge | 2014-11-06 / 20140326080 - Ultrasonically Cleaned Low-Pressure Filter | 3 |
David Hayes | GB | Hampshire | 2010-04-01 / 20100079347 - Selectable beam antenna | 2 |
Andrew John Hayes | GB | London | 2010-03-11 / 20100062404 - EDUCATIONAL DEVICE | 1 |
Stephen Hayes | GB | West Midlands | 2010-02-25 / 20100045474 - PATIENT MONITORING SYSTEM | 6 |
John Hayes | GB | Dundee | 2012-12-06 / 20120309087 - CANCER THERAPY | 2 |
Thomas Rupert Hayes | GB | Devon | 2010-01-28 / 20100021552 - POLYSACCHARIDE NANOFIBERS HAVING ANTIMICROBIAL PROPERTIES | 1 |
Chris Hayes | GB | Surrey | 2009-12-31 / 20090322776 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR ENHANCED RADAR VIDEO PROCESSING | 1 |
Simon Hayes | GB | Sheffield | 2009-12-03 / 20090294022 - ELECTRICAL DAMAGE DETECTION SYSTEM FOR A SELF-HEALING POLYMERIC COMPOSITE | 1 |
Richard T. Hayes | GB | Motherwell | 2009-10-15 / 20090256365 - Circuit insulation methods and systems for vehicle door latches | 1 |
Howard Richard Hayes | GB | Chester | 2009-06-25 / 20090158641 - FUEL COMPOSITIONS | 1 |
Stephen Bryce Hayes | GB | Cambridge | 2008-09-18 / 20080224635 - Lighting Apparatus and Method | 1 |
Wayne Hayes | GB | Caversham | 2008-08-21 / 20080200718 - Novel material forming supramolecular structures, process and uses | 1 |
Matthew T. Hayes | US | Milpitas | 2015-02-05 / 20150039667 - INCREMENTAL PROCESSING ON DATA INTENSIVE DISTRIBUTED APPLICATIONS | 5 |
Dennis M. Hayes | US | Ballwin | 2015-09-10 / 20150253160 - FLEXIBLE CHASSIS INTERFACE DEVICE | 1 |
Matthews T. Hayes | US | Milpitas | 2012-08-02 / 20120197993 - SKILL RANKING SYSTEM | 1 |
Ronald L. Hayes | US | Alachua | 2014-11-20 / 20140342381 - DEVICES AND METHODS FOR BIOMARKER DETECTION PROCESS AND ASSAY OF NEUROLOGICAL CONDITION | 10 |
Martin Hayes | US | Jersey City | 2014-05-29 / 20140149283 - ACCOUNT OPENING COMPUTER SYSTEM ARCHITECTURE AND PROCESS FOR IMPLEMENTING SAME | 1 |
Anthony T. Hayes | US | Round Rock | 2008-08-28 / 20080204711 - Spectrometer with moveable detector element | 3 |
Keith Quentin Hayes | US | Florence | 2009-01-29 / 20090030222 - SYSTEMS AND METHODS FOR FUNCTIONALIZING PARTICULATES WITH SILANE-CONTAINING MATERIALS | 1 |
Michael Joseph Hayes | US | Kalamazoo | 2016-02-11 / 20160038361 - PATIENT SUPPORT APPARATUSES WITH WIRELESS HEADWALL COMMUNICATION | 17 |
Joseph F. Hayes | AU | Frenchs Forest | 2014-06-19 / 20140173056 - System and method for distribution of data packets utilizing an intelligent distribution network | 1 |
Paul Hayes | US | Columbus | 2011-11-03 / 20110265755 - CRANKSHAFT DAMPER AND TONE WHEEL ASSEMBLY HAVING NOISE REDUCING CONFIGURATION | 1 |
Kevin N. Hayes | US | Mountainview | 2014-09-11 / 20140254552 - LOW LATENCY 802.11 MEDIA ACCESS | 1 |
Jerry Hayes | US | Kokomo | 2011-06-09 / 20110132469 - SNAP ASSEMBLY DECOUPLED FLOAT VAPOR VENT APPARATUS | 1 |
Michael W. Hayes | US | Belleville | 2015-10-29 / 20150308935 - Z-AXIS TEST COUPON STRUCTURE AND METHOD FOR ADDITIVE MANUFACTURING PROCESS | 3 |
David Jeffrey Hayes | US | Lake Worth | 2013-09-12 / 20130235245 - MANAGING TWO OR MORE DISPLAYS ON DEVICE WITH CAMERA | 1 |
Norris O. Hayes | US | Stafford | 2015-10-22 / 20150300021 - POCKETFORMER WITH RELEASABLE GROUT RING AND TENDON, TAIL GAUGE AND METHOD FOR USING | 3 |
Jerry D. Hayes | US | Georgetwon | 2009-10-08 / 20090251167 - Array-Based Early Threshold Voltage Recovery Characterization Measurement | 1 |
Daniel Martin Hayes | GB | Long Marston | 2011-07-21 / 20110176587 - METHOD OF REDUCING EMISSION OF ELECTROMAGNETIC RADIATION ON HIGH SPEED COMMUNICATION BACKPLANE | 1 |
Robert Hayes | US | Palo Alto | 2012-12-06 / 20120309215 - Apparatus for Powering an Accessory Device in a Refrigerated Container | 1 |
Phillip Wayne Hayes | US | Maurice | 2015-10-22 / 20150297708 - MULTIVALENT PCV2 IMMUNOGENIC COMPOSITIONS AND METHODS OF PRODUCING SUCH COMPOSITIONS | 7 |
Timothy Hayes | US | Santa Barbara | 2015-09-17 / 20150261635 - NETWORK ACTIVATION TESTING | 4 |
Mark J. Hayes | US | Maple Lake | 2012-08-23 / 20120211905 - Dissolved Air Flotation System with Improved White Water Injection System | 2 |
Seamus Hayes | IE | County Longford | 2015-07-30 / 20150215464 - ENHANCING CONTACT CENTER CALCULATIONS BASED ON REAL-TIME SPEECH ANALYTICS | 3 |
Dann Hayes | US | Reisterstown | 2015-09-10 / 20150253292 - DIRECT FIELD ACOUSTIC TESTING IN A SEMI-REVERBERANT ENCLOSURE | 1 |
Dann Hayes | US | Resiterstown | 2015-05-21 / 20150138916 - STANDING WAVE REDUCTION IN DIRECT FIELD ACOUSTIC TESTING | 1 |
Frank C. Hayes | US | Palos Verdes Estates | 2012-11-01 / 20120278288 - HIGH THROUGHPUT, RELIABLE REPLICATION OF TRANSFORMED DATA IN INFORMATION SYSTEMS | 2 |
Sean Hayes | GB | Lampeter | 2011-12-08 / 20110300516 - Tactile Tile Vocalization | 2 |
Cindy Hayes | US | Midlothian | 2013-06-13 / 20130149254 - CHEWABLE POUCH FOR FLAVORED PRODUCT DELIVERY | 3 |
Scott M. Hayes | US | Chandler | 2016-05-12 / 20160133608 - DEVICES AND STACKED MICROELECTRONIC PACKAGES WITH PACKAGE SURFACE CONDUCTORS AND METHODS OF THEIR FABRICATION | 32 |
Timothy A. Hayes | US | Fort Worth | 2015-06-25 / 20150173674 - DETECTING AND COMMUNICATING HEALTH CONDITIONS | 1 |
Michael W. Hayes | US | Buena Vista | 2012-11-01 / 20120273986 - PROCESS FOR PRODUCING NONWOVENS AND ARTICLES CONTAINING SUBMICRON FIBERS | 3 |
Timothy G. Hayes | US | Kernerville | 2011-07-21 / 20110174590 - ARRANGEMENT FOR INHIBITING RANGE SHIFTING IN A TRANSMISSION | 1 |
Robin D. Hayes | US | Castro Valley | 2014-09-18 / 20140282932 - COMPUTING SYSTEM WITH IDENTIFICATION MECHANISM AND METHOD OF OPERATION THEREOF | 5 |
Richard C. Hayes | US | Raleigh | 2015-07-30 / 20150215367 - MULTI-INTERFACE ADAPTIVE BIT RATE SESSION MANAGEMENT | 3 |
Robert F. Hayes | US | Mechanicville | 2015-06-11 / 20150159036 - STABLE PRIMER FORMULATIONS AND COATINGS WITH NANO DISPERSION OF MODIFIED METAL OXIDES | 2 |
Timothy R. Hayes | US | Mckinney | 2010-04-01 / 20100083160 - System and Method for a Demand Driven Lean Production Control System | 2 |
Robert S. Hayes | US | Lawndale | 2012-12-13 / 20120313823 - RUGGEDIZED ANTENNA SYSTEM AND METHOD | 1 |
Robert G. Hayes | US | Pleasanton | 2013-01-03 / 20130001418 - MULTIPLE-COLUMN ELECTRON BEAM APPARATUS AND METHODS | 1 |
Mark E. Hayes | US | San Francisco | 2016-05-19 / 20160138044 - COMPOSITIONS AND METHODS FOR DELIVERING NUCLEIC ACID TO A CELL | 6 |
Monty B. Hayes | US | Kokomo | 2009-03-05 / 20090057853 - Semiconductor power module with flexible circuit leadframe | 1 |
Kyle Hayes | US | Mission Viejo | 2014-04-17 / 20140107659 - IN SITU ROD MEASURING INSTRUMENT AND METHOD OF USE | 1 |
Jonathan Hayes | US | Los Gatos | 2012-09-06 / 20120223865 - ANTENNA STRUCTURES WITH CARRIERS AND SHIELDS | 1 |
Jon Hayes | US | East Brunswick | 2010-05-13 / 20100120782 - ENDOPARASITICIDAL GEL COMPOSITION | 1 |
David Hayes | US | Northville | 2015-02-05 / 20150035668 - Method and Apparatus For Do Not Disturb Message Delivery | 8 |
Ben Hayes | AU | Fitzroy North | 2015-06-11 / 20150156982 - Selection of Symbiota by Screening Multiple Host-Symbiont Associations | 1 |
Kevin Neal Hayes | US | Mountain View | 2016-03-03 / 20160066270 - MULTI-MODAL WIRELESS CONNECTION MANAGEMENT | 3 |
John W. Hayes | US | Reno | 2013-05-30 / 20130139237 - Method for digital identity authentication | 4 |
Gayle Hayes | US | Cupertino | 2014-04-03 / 20140095250 - INNOVATION MANAGEMENT | 1 |
Barry Hayes | US | Palo Alto | 2013-12-19 / 20130337839 - COMMUNICATING BASED ON NAVIGATION DESTINATION | 2 |
Kevin Martin Hayes | US | Atlanta | 2015-06-11 / 20150161709 - POP-UP RECOMMENDATION LISTS | 4 |
Jerry D. Hayes | US | Austin | 2010-12-23 / 20100321050 - ON-CHIP MEASUREMENT OF SIGNALS | 2 |
Daniel E.e. Hayes | US | Placerville | 2013-09-26 / 20130253658 - MODULAR FEMORAL STEM COMPONENT FOR A HIP JOINT PROSTHESIS | 1 |
Conan Hayes | US | Venice | 2014-03-20 / 20140075655 - PROTECTIVE HEADWEAR ASSEMBLY HAVING A BUILT-IN CAMERA | 1 |
James N. Hayes | US | Urbana | 2016-04-28 / 20160116138 - TWO WAY OMNIDIRECTIONAL LENS | 8 |
Michael Hayes | US | Houston | 2015-03-05 / 20150060045 - PIPE HANDLING SYSTEM | 8 |
Kathryn Hayes | US | Indianapolis | 2013-08-22 / 20130213825 - ELECTRONIC TABLET CASE WITH INTERNAL EASEL | 1 |
Eric Paris Hayes | US | Denver | 2012-09-13 / 20120227763 - FLOOR MOP | 1 |
Eric Martin Hayes | US | Fort Collins | 2015-02-26 / 20150054340 - Power Bootstrapping for Lowering Quiescent Current | 4 |
Marc A. Hayes | US | Plymouth | 2015-03-05 / 20150064395 - Formed Articles Comprising Carbon And Natural Fibers, Methods Of Manufacture And Use Thereof | 8 |
Kyle E.b. Hayes | GB | Reading | 2013-05-09 / 20130117711 - RESIZE HANDLE ACTIVATION FOR RESIZABLE PORTIONS OF A USER INTERFACE | 1 |
Martin Hayes | US | Ny | 2015-08-27 / 20150242255 - COMPUTER ARCHITECTURE AND PROCESS FOR APPLICATION PROCESSING ENGINE | 1 |
Kristin Hayes | US | St. Charles | 2016-04-28 / 20160115353 - COBALT POLISHING ACCELERATORS | 1 |
Kelli Hayes | US | Athens | 2015-11-12 / 20150320984 - NON-COMPLIANT MEDICAL BALLOON HAVING BRAIDED OR KNITTED REINFORCEMENT | 10 |
Robert J. Hayes | US | Randor | 2012-09-13 / 20120230980 - OPTIMIZED Fc VARIANTS AND METHODS FOR THEIR GENERATION | 1 |
Richard Allan Hayes | US | Beaumont | 2010-04-22 / 20100096604 - SOLAR CONTROL LAMINATES | 1 |
Ashley Hayes | US | Winston-Salem | 2015-01-22 / 20150025500 - APPARATUS AND METHOD FOR INTRAOSSEOUS FLUID INFUSION | 1 |
Kenneth C. Hayes | US | Wellesley Hills | 2016-01-07 / 20160000854 - TREATMENT OF DNA DAMAGE AND MITOCHONDRIAL DYSFUNCTION USING PALM FRUIT JUICE | 3 |
Anna S. Hayes | US | Newton Center | 2010-05-27 / 20100131061 - HAPTIC DEVICES FOR INTRAOCULAR LENS | 1 |
Kenneth C. Hayes | US | Wellesley | 2015-03-19 / 20150079226 - VEGETABLE OIL COMPOSITION CONTAINING PALM MID-FRACTION FAT AND METHOD OF REDUCING PLASMA CHOLESTEROL | 10 |
Kenneth Hayes | US | Wellesley | 2010-09-16 / 20100233339 - PREPARED FOODS CONTAINING TRIGLYCERIDE-RECRYSTALLIZED NON-ESTERIFIED PHYTOSTEROLS | 1 |
Kenneth Hayes | US | Fall River | / - | 1 |
Anna S. Hayes | US | Newton Centre | 2015-11-19 / 20150327991 - HAPTIC DEVICES FOR INTRAOCULAR LENS | 9 |
Martin E. Hayes | US | Lowell | 2014-06-26 / 20140179676 - HETEROCYCLIC NUCLEAR HORMONE RECEPTOR MODULATORS | 4 |
Kelli Hayes | US | Athens | 2015-11-12 / 20150320984 - NON-COMPLIANT MEDICAL BALLOON HAVING BRAIDED OR KNITTED REINFORCEMENT | 10 |
Brian Hayes | US | Los Angeles | 2012-09-20 / 20120233918 - HYDROPONICS EQUIPMENT CLEANING METHOD | 1 |
Joseph Francis Hayes | AU | Birkdale | 2016-01-28 / 20160029108 - ACOUSTIC DIFFUSION GENERATOR WITH WELLS AND FLUTED FINS | 2 |
Brian William Hayes | US | Homer | 2012-03-22 / 20120066914 - Double Handle Kitchen Knife | 4 |
Robert J. Hayes | US | Westerville | 2011-10-20 / 20110252689 - FISHING LURE | 1 |
John Hayes | US | Hardwick | 2014-09-04 / 20140246910 - Methods and Systems for Dynamic Memory Backup for Transfer-Switch Controllers | 3 |
Richard Allen Hayes | US | 2012-09-20 / 20120237706 - HIGH-CLARITY BLENDED IONOMER COMPOSITIONS AND ARTICLES COMPRISING THE SAME | 1 | |
Michael Hayes | US | St. Charles | 2013-01-10 / 20130012728 - N-ACYLATION OF AMINES | 1 |
Peter Hayes | US | Clearwater | 2013-05-23 / 20130132478 - Establishing Communication Among Parties Based on Location | 1 |
Gerard Hayes | IE | Brombanna | 2010-09-23 / 20100236052 - DEVICE AND METHOD FOR FORMING A BARRIER TO A SMOKING ARTICLE | 1 |
Rb Hayes | US | Woods Cross | 2013-05-09 / 20130116654 - Aortic Occlusion Catheter | 1 |
Shaun Hayes | US | Redwood Shores | 2016-03-31 / 20160092443 - ELECTRONIC PRESENTATION REPOSITORY AND INTERFACE | 1 |
Grady Feren Hayes | US | East Greenwich | 2008-09-25 / 20080230953 - MODELING COMPOUND STAMPING TOYS AND METHODS | 1 |
Thomas Gary Hayes | US | Norfolk | 2010-05-20 / 20100122489 - Liquefaction and internal logic flow processing unit and prioritized cost effective machine apparatus used for the creation of a liquid fuel material made from the underwater arching of carbon rods. Apparatus emphases are placed on cost-effectiveness and energy saving liquefaction process for the replacement of petroleum gasoline | 1 |
Graham M. Hayes | US | Winston-Salem | 2014-09-18 / 20140259464 - Pool Cleaning Device With Wheel Drive Assemblies | 1 |
Patrick H. Hayes | US | Mission Viejo | 2016-04-28 / 20160119185 - SYSTEM AND METHOD FOR CONFIGURATION OF NETWORK-CAPABLE APPLIANCES | 93 |
Arthur Hayes | US | Fort Lauderdale | 2016-02-25 / 20160050990 - FACE SHIELD ATTACHMENT FOR A HAT BRIM | 1 |
David J. Hayes | IE | Dublin | 2014-08-28 / 20140244850 - Method for Recoverable Message Exchange Independent of Network Protocols | 3 |
Kirby D. Hayes | US | Broomfield | 2011-03-31 / 20110076368 - Soy Beverage Substantially Free of Isoflavones and Method of Production | 2 |
Cecil Hayes | IE | County Dublin | 2010-04-22 / 20100100693 - DETECTION OF ACTIVITY PATTERNS | 1 |
Brian Hayes | US | Lafayette | 2016-01-07 / 20160005092 - METHOD AND DEVICE UTILIZING POLYMORPHIC DATA IN E-COMMERCE | 4 |
Robert J. Hayes | US | Radnor | 2015-03-19 / 20150079082 - OPTIMIZED Fc VARIANTS AND METHODS FOR THEIR GENERATION | 14 |
Joel Hayes | US | Chandler | 2015-08-13 / 20150228991 - METHOD OF OPERATING ELECTROCHEMICAL CELLS COMPRISING ELECTRODEPOSITED FUEL | 10 |
Nigel James Hayes | IE | Clonakilty | 2009-10-15 / 20090256531 - CHARGE INJECTION DISCHARGE CIRCUIT | 1 |
William John Hayes | US | Long Beach | 2013-02-14 / 20130037768 - Portable hoist for hand trucks | 1 |
Kenneth C. Hayes | US | Wellesley | 2015-03-19 / 20150079226 - VEGETABLE OIL COMPOSITION CONTAINING PALM MID-FRACTION FAT AND METHOD OF REDUCING PLASMA CHOLESTEROL | 10 |
James M. Hayes | US | San Jose | 2016-04-21 / 20160106453 - SURGICAL TOOL ARRANGEMENT AND SURGICAL CUTTING ACCESSORY FOR USE THEREWITH | 3 |
Jenea B. Hayes | US | Castro Valley | 2014-09-18 / 20140282263 - METHOD AND APPARATUS FOR MANAGING DIGITAL MEDIA CONTENT | 1 |
Peter Hayes | GB | Swindon | 2011-10-13 / 20110248984 - User programmable graphics in non-volatile memory for EPD driver IC | 1 |
Nathan T. Hayes | US | Minneapolis | 2015-08-06 / 20150220483 - APPARATUS FOR PERFORMING MODAL INTERVAL CALCULATIONS BASED ON DECORATION CONFIGURATION | 12 |
Michael Joseph Hayes | US | Saint Charles | 2011-02-03 / 20110028447 - INDAZOLE DERIVATIVES | 1 |
Gregory Richard Hayes | NL | Eindhoven | 2014-07-24 / 20140203193 - Radiation Source | 1 |
James Russell Hayes | US | Campbell | 2008-10-16 / 20080250922 - PISTON WITH PIN BORE LUBRICATION FEATURES | 1 |
David Hayes | US | Northville | 2015-02-05 / 20150035668 - Method and Apparatus For Do Not Disturb Message Delivery | 8 |
Justin E. Hayes | US | Mt. Juliet | 2014-09-18 / 20140261953 - PNEUMATIC TIRE AND METHOD OF MANUFACTURE | 1 |
Eric Hayes | US | Ferndale | 2016-05-05 / 20160125499 - SHOE AND/OR INSOLE SELECTION SYSTEM | 1 |
John W. Hayes | US | Chaska | 2015-04-30 / 20150119799 - Hazardous Agent Injection System | 3 |
Jeremy Edward Hayes | US | Washington | 2012-10-04 / 20120253891 - Computer-Implemented Generation Of Roadmap Visualizations | 1 |
Kevin W. Hayes | US | Missouri City | 2011-11-24 / 20110284212 - DOWNHOLE FLUID COMMUNICATION APPARATUS AND METHOD | 2 |
Shay Hayes | US | Foster | 2013-04-25 / 20130103596 - DENOMINATED AUTHENTICATING ROYALTY COLLECTION AND ENFORCEMENT PROCESS | 1 |
Richard A. Hayes | US | Brentwood | 2010-04-22 / 20100098953 - Nanoparticulate solar control compositions | 1 |
Joseph E. Hayes | US | Naples | 2009-02-26 / 20090050215 - AQUIFER RESTORATION DEVICE | 1 |
John Benjamin Hayes | US | Atlanta | 2014-09-18 / 20140279392 - Systems and Methods for Credit Enhancement for Trade Credit Transactions | 1 |
Hassler Hayes | CA | Munster Hamlet | 2013-05-23 / 20130133058 - SECURITY BRIDGING | 1 |
Shawn W. Hayes | US | Foristell | 2013-08-01 / 20130192302 - CRUCIBLES FOR HOLDING MOLTEN MATERIAL AND METHODS FOR PRODUCING THEM AND FOR THEIR USE | 1 |
James Martin Hayes | US | New Berlin | 2015-08-20 / 20150232696 - WATER COLOR PAINT SYSTEM | 1 |
Connor Allan Hayes | US | Onalaska | 2013-09-05 / 20130228356 - POWER TOOLS WITH TITANIUM HAMMER CASES AND ASSOCIATED FLANGE INTERFACES | 1 |
Russell W. Hayes | US | St. Johnsbury | 2013-10-17 / 20130271166 - Dielectric Monitoring System and Method Therefor | 1 |
Kevin Hayes | US | Urbandale | 2016-01-07 / 20160002648 - GENES FOR IMPROVING NUTRIENT UPTAKE AND ABIOTIC STRESS TOLERANCE IN PLANTS | 5 |
Paul Byron Hayes | US | Ann Arbor | 2015-08-20 / 20150233962 - ATMOSPHERIC MEASUREMENT SYSTEM | 1 |
Timothy Hayes | US | Lakewood | 2015-08-20 / 20150231015 - HUNGER CONTROL ABDOMINAL BINDER | 1 |
Laura B. Hayes | US | Collinsville | 2015-08-20 / 20150233690 - DETONATOR IGNITION PROTECTION AND DETECTION CIRCUIT | 1 |
Sabrina R. Hayes | US | Panama City | 2011-10-06 / 20110243906 - STRAIN OF HIGHLY MOSQUITOCIDAL BACILLUS | 2 |
Timothy A. Hayes | US | Whitefish Bay | 2015-10-29 / 20150308106 - STRUCTURAL CONNECTION MECHANISMS FOR PROVIDING DISCONTINUOUS ELASTIC BEHAVIOR IN STRUCTURAL FRAMING SYSTEMS | 2 |
Christopher Douglas Hayes | US | Boulder | 2014-10-23 / 20140317638 - Application Communication | 2 |
Kristina M. Hayes | US | Kansas City | 2014-02-27 / 20140055803 - Dynamic Variable-Content Publishing | 2 |
Patrick Hayes | US | Ringoes | 2015-11-12 / 20150322301 - FOAMABLE HOT MELT ADHESIVE COMPOSITIONS AND USE THEREOF | 2 |
Marc F. Hayes | US | Roswell | 2014-02-13 / 20140046768 - SYSTEM AND METHOD FOR INITIATING A TEXT MESSAGE COMMUNICATION SESSION BETWEEN A MERCHANT AND A CONSUMER | 1 |
Shane Ervin Hayes | US | Anderson | 2015-03-05 / 20150059995 - SOFT THROUGH AIR DRIED TISSUE | 2 |
Nicholas D. Hayes | US | Shorewood | 2016-02-04 / 20160033462 - WELLHEAD WATER QUALITY DETECTOR | 2 |
Alec Hayes | US | Chesterfield | 2014-03-20 / 20140076339 - METHODS OF REDUCING TOBACCO-SPECIFIC NITROSAMINES (TSNAs) AND/OR IMPROVING LEAF QUALITY IN TOBACCO | 1 |
James D. Hayes | US | Crosby | 2011-10-06 / 20110240294 - PIPE PERFORATING APPARATUS AND METHOD | 1 |
Bob Hayes | US | Westerville | 2013-04-18 / 20130094223 - DURABLE LIGHTING APPARATUS WITH ISOTROPIC RADIATION PATTERN | 1 |
Jerry D. Hayes | US | Georgetown | 2012-10-18 / 20120262187 - TEST CIRCUIT FOR BIAS TEMPERATURE INSTABILITY RECOVERY MEASUREMENTS | 12 |
Lisa Ann Hayes | US | Ashton | 2008-09-04 / 20080213450 - Antimicrobials Useful for Beverages | 2 |
Thomas J. Hayes | US | Westmont | 2010-03-11 / 20100063975 - SCALABLE SYSTEM AND METHOD FOR PREDICTING HIT MUSIC PREFERENCES FOR AN INDIVIDUAL | 2 |
Andrew C. Hayes | US | Libertyville | 2010-08-26 / 20100217178 - PREDICTION OF PERITONEAL DIALYSIS THERAPY OUTCOMES USING DIALYSATES MIXED AT DIFFERENT GLUCOSE CONCENTRATIONS | 1 |
Michael J. Hayes | US | Edwardsville | 2010-07-15 / 20100176915 - Remote control communication system | 1 |
Barbara F. Hayes | US | New York | 2014-11-13 / 20140335137 - Topical DNA Repair Composition | 1 |
Sean E. Hayes | US | Arlington Heights | 2011-12-29 / 20110319152 - DEVICES, SYSTEMS, AND METHODS FOR DYNAMICALLY SIMULATING A COMPONENT OF A WAGERING GAME | 3 |
Robert James Hayes | US | Westerville | 2016-04-14 / 20160101205 - SOLID STATE FRAGRANCING | 6 |
Christopher J. Hayes | US | Orland Park | 2011-04-14 / 20110084225 - Valve Made From Two Materials and Writing Utensil With Retractable Tip Incorporating Same | 1 |
Scott Hayes | US | Zion | 2011-09-29 / 20110236700 - CORROSION RESISTANT COATINGS WITH MODIFIED METAL SALTS OF CORROSION RESISTING ORGANIC ANIONS | 3 |
Michael William Hayes | US | Belleville | 2016-03-24 / 20160082641 - Extruded Deposition of Fiber Reinforced Polymers | 1 |
Matthew B. Hayes | US | Wheaton | 2016-03-03 / 20160061649 - METHOD AND APPARATUS FOR DETERMINING IF A SHIPMENT UNDERGOES EXCESSIVE VIBRATION | 1 |
Steven A. Hayes | US | Madison | 2008-10-16 / 20080255008 - GELATION CONTROLLED FLUID FLOW IN A MICROSCALE DEVICE | 1 |
Robert Hayes | US | Villa Hills | 2013-02-21 / 20130044829 - Systems and Methods for Enhanced Carrier Suppression | 1 |
Bernard Hayes | US | Ridgewood | 2012-08-16 / 20120208659 - Device for Training Athletic or Sports Ball Players | 2 |
Steve D. Hayes | US | Tulsa | 2013-01-17 / 20130014598 - PIPELINE INTERNAL FIELD JOINT CLEANING, COATING, AND INSPECTION ROBOTAANM Langley; RussellAACI AdairAAST OKAACO USAAGP Langley; Russell Adair OK USAANM Huggins; James A.AACI WattsAAST OKAACO USAAGP Huggins; James A. Watts OK USAANM Carter; John D.AACI CatoosaAAST OKAACO USAAGP Carter; John D. Catoosa OK USAANM Paulley; DavidAACI Milton KeynesAACO GBAAGP Paulley; David Milton Keynes GBAANM Roberts; Keith R.AACI ManfordAAST OKAACO USAAGP Roberts; Keith R. Manford OK USAANM Davis; Darrell L.AACI Broken ArrowAAST OKAACO USAAGP Davis; Darrell L. Broken Arrow OK USAANM O'Neill; Michael E.AACI TulsaAAST OKAACO USAAGP O'Neill; Michael E. Tulsa OK USAANM Hayes; Steve D.AACI TulsaAAST OKAACO USAAGP Hayes; Steve D. Tulsa OK USAANM Davis; Dale G.AACI BeggsAAST OKAACO USAAGP Davis; Dale G. Beggs OK USAANM Lindemann; John D.AACI Broken ArrowAAST OKAACO USAAGP Lindemann; John D. Broken Arrow OK US | 2 |
Michael L. Hayes | US | Gonzales | 2015-11-26 / 20150336858 - METHOD FOR PRODUCING ACETYLENES AND SYNGAS | 5 |
David A. Hayes | IE | Kinsale | 2012-10-11 / 20120256701 - NOVEL TRIPLEXER TOPOLOGY | 1 |
Christopher Kent Hayes | US | Land O Lakes | 2015-05-28 / 20150148232 - CONTROL OF PLANT DISEASES AND ENHANCING PLANT GROWTH USING A COMBINATION OF A TRICHODERMA VIRENS SPECIES AND A RHIZOSPHERE COMPETENT TRICHODERMA HARZIANUM SPECIES | 2 |
Justin E. Hayes | US | Mount Juliet | 2014-06-26 / 20140174624 - Variable Belt Configuration | 1 |
Kevin Hayes | US | Bentonville | 2014-07-24 / 20140207505 - SYSTEM AND METHOD FOR ASSIGNING EMPLOYEES TO CASH REGISTERS | 1 |
Kenneth N. Hayes | US | Osakis | 2015-05-14 / 20150134025 - SYSTEM AND METHOD FOR SELECTION OF PACING VECTORS | 1 |
Barrie Hayes | GB | Cambridge | 2012-10-18 / 20120261060 - PREPREGS FOR MANUFACTURING COMPOSITE MATERIALS | 1 |
Len Hayes | US | Salem | 2014-05-15 / 20140133024 - Projection Systems with Despeckled Laser Light | 1 |
Thomas B. Hayes | US | Dallas | 2015-10-29 / 20150310486 - DISTRIBUTING OFFERS AT THE TIME AND LOCATION OF AN EVENT | 2 |
William Hayes | US | Perrysburg | 2016-03-03 / 20160065123 - METHOD OF OPERATING A PHOTOVOLTAIC MODULE ARRAY | 1 |
Ryan T. Hayes | US | Berrien Springs | 2011-09-22 / 20110230348 - PEHAM Dendrimers for Use in Agriculture | 1 |
Martin John Hayes | GB | Cambridgeshire | 2011-09-22 / 20110229396 - CATALYST AND PROCESS | 1 |
David Hayes | US | West Bloomfield | 2012-10-25 / 20120267935 - ONE-PIECE SEAT STRUCTURES AND METHOD OF FORMING | 1 |
Robert A. Hayes | HK | Sai Kung | 2011-09-22 / 20110226998 - ELECTROWETTING ELEMENTS | 1 |
Anthony T. Hayes | US | Leander | 2015-03-05 / 20150059440 - Vacuum Ultraviolet Absorption Spectroscopy System And Method | 4 |
Justin E. Hayes | US | Nashville | 2013-02-21 / 20130042952 - TIRE WITH NOISE-REDUCING TREAD PATTERN | 2 |
Brian S. Hayes | US | Benicia | 2015-01-15 / 20150018139 - BALL BAT INCLUDING A FIBER COMPOSITE COMPONENT HAVING HIGH ANGLE DISCONTINUOUS FIBERS | 4 |
Daniel L. Hayes | US | Spokane | 2014-07-10 / 20140195403 - PRESENTATION AND ANALYSIS OF DOCKET INFORMATION AND FINANCIAL INFORMATION | 5 |
George K.c. Hayes | US | Missoula | 2009-12-10 / 20090300952 - FREESTANDING DISPLAY CARD | 1 |
Peter L. Hayes | US | Flower Mound | 2016-03-10 / 20160067877 - Cutting Head Assembly For Slicing Food | 1 |
Justin Wayne Hayes | US | Houston | 2016-04-14 / 20160102534 - LONG-STROKE HYDRAULIC LIFT SYSTEM HAVING REDUCED HEIGHT | 1 |
Ned Dykstra Hayes | US | Olympia | 2010-07-08 / 20100175001 - Calendaring Location-Based Events and Associated Travel | 4 |
Eric S. Hayes | US | Seattle | 2008-10-16 / 20080255091 - COMPOUNDS TO TREAT AMYLOIDOSIS AND PREVENT DEATH OF BETA-CELLS IN TYPE 2 DIABETES MELLITUS | 1 |
Robert Gregory Hayes | US | Boise | 2014-06-19 / 20140166837 - Latchable Clamp Hanger | 1 |
Shawn Hayes | US | Foristell | 2014-07-03 / 20140186486 - Apparatus For Producing Rectangular Seeds | 2 |
Seamus Hayes | IE | Longford | 2011-01-13 / 20110010382 - Contact Center Interfaces | 1 |
Hilary L. Hayes | US | Waltham | 2013-03-07 / 20130057698 - SYSTEM AND METHOD FOR SITUATIONAL AWARENESS AND TARGET CUEING | 1 |
Joel R. Hayes | US | Scottsdale | 2013-05-09 / 20130115533 - FILTER FOR ELECTROCHEMICAL CELL | 1 |
Kevin Hayes | US | Missouri City | 2011-11-10 / 20110272140 - FIELD JOINT FOR A DOWNHOLE TOOL | 4 |
Alan V. Hayes | US | Plainview | 2016-03-10 / 20160071708 - METHOD AND APPARATUS FOR SURFACE PROCESSING OF A SUBSTRATE USING AN ENERGETIC PARTICLE BEAM | 1 |
Matthew T. Hayes | US | Milipitas | 2014-01-23 / 20140025427 - INFERRING AND SUGGESTING ATTRIBUTE VALUES FOR A SOCIAL NETWORKING SERVICE | 1 |
Gregory M. Hayes | US | San Francisco | 2014-01-23 / 20140024076 - Expression Of Soluble Viral Fusion Glycoproteins In Mammalian Cells | 1 |
John Hayes | US | Mountain View | 2016-03-24 / 20160085628 - STORAGE CLUSTER | 64 |
John W. Hayes | US | Cohasset | 2011-09-15 / 20110220768 - PORTABLE MOUNTING ASSEMBLY | 1 |
Deanna Elizabeth Hayes | US | Refugio | 2010-11-11 / 20100282765 - Trash can with removable bottom portion for the purpose of cleaning | 1 |
Trent M. Hayes | US | Hickory | 2014-10-02 / 20140291020 - CONDUCTIVE ELEMENTS IN CABLE JACKETS AND SEPARATORS | 9 |
Benjamin John Hayes | AU | Victoria | 2015-07-02 / 20150181822 - SELECTION BASED ON OPTIMAL HAPLOID VALUE TO CREATE ELITE LINES | 1 |
Alan Hayes | US | Salt Lake City | 2008-09-18 / 20080225474 - APPARATUS, SYSTEM, AND METHOD FOR SCALABLE, COMPOSITE, RECONFIGURABLE BACKPLANE | 1 |
John Hayes | US | Tequesta | 2013-05-09 / 20130111797 - Multiple cartridge assembly for less than lethal cartridge | 5 |
Quiana Hayes | US | Wesley Chapel | 2014-01-30 / 20140026283 - Burping Cloth Keeper | 1 |
Clint A. Hayes | US | Denison | 2016-03-24 / 20160083972 - ELEVATED HUNTING BLIND | 2 |
John Hayes | IE | Ennis | 2015-12-17 / 20150361034 - NEW PROCESS | 2 |
Jeremiah P. Hayes | IE | Dublin | 2012-03-22 / 20120072038 - ONBOARD MANAGEMENT OF MOVABLE ASSET FOR ASSET PROTECTION | 1 |
Victor Hayes | US | Odessa | 2013-07-11 / 20130178774 - MULTI-STRAP LUMBAR SUPPORT DEVICE | 1 |
Joe Hayes | IE | Co. Clare | 2016-03-17 / 20160074188 - PULL WIRE FOR VASCULAR INTERVENTION DEVICE DELIVERY SYSTEM | 1 |
Andrew Hayes | US | O' Fallon | 2013-07-11 / 20130177861 - ORTHODONTIC APPLIANCE AND SYSTEM | 1 |
Robert Wayne Hayes | US | Morgan | 2014-01-16 / 20140014584 - WASTEWATER PURIFICATION SYSTEM AND METHOD | 1 |
Andrew M. Hayes | US | Silverspring | 2012-01-12 / 20120006509 - Nanofluids for Thermal Management Systems | 2 |
Charles T. Hayes | US | Columbus | 2015-01-15 / 20150013350 - Cryogenic Fluid Cylinder | 1 |
Richard Allen Hayes | US | Beaumont | 2015-10-01 / 20150275024 - IONOMER-POLY(VINYLALCOHOL) COATED SUBSTRATES | 78 |
Jason Paul Hayes | AU | Heathmont | 2016-03-17 / 20160076072 - IMAGING CARTRIDGE, PIPETTE, AND METHOD OF USE FOR DIRECT SPUTUM SMEAR MICROSCOPY | 1 |
John Hayes | US | Mountain View | 2016-03-24 / 20160085628 - STORAGE CLUSTER | 64 |
Roger Hayes | US | Amarillo | 2016-02-18 / 20160045040 - Storage System and Method of Use | 3 |
Roger D. Hayes | US | Amarillo | 2014-11-20 / 20140338112 - Toilet Vent System and Method | 3 |
Curtis Hayes | US | Midlothian | 2009-12-03 / 20090297012 - Methods of and System for Detection and Tracking of Osteoporosis | 1 |
Matthew J. Hayes | GB | Cambridge | 2012-04-05 / 20120080611 - DEVICE AND METHOD FOR MEASURING SCATTERING OF RADIATION | 1 |
John Hayes | IE | County Clare | 2014-11-13 / 20140336403 - PROCESS | 3 |
Trent Mitchel Hayes | US | Hickory | 2008-10-16 / 20080251277 - Data Transmission Cable Pairs and Cables and Methods for Forming the Same | 1 |
Seamus Hayes | IE | Oranmore | 2012-04-05 / 20120082303 - METHOD AND SYSTEM FOR MANAGING A CONTACT CENTER CONFIGURATION | 1 |
Ryan Christopher Hayes | US | Austin | 2015-10-01 / 20150279080 - Systems, Methods, and Machine-Readable Storage Media for Presenting Animations Overlying Multimedia Files | 2 |
Trent Hayes | US | Hickory | 2014-03-06 / 20140060913 - S-SHIELD TWISTED PAIR CABLE DESIGN FOR MULTI-GHZ PERFORMANCE | 2 |
David D. Hayes | US | Wooster | 2013-12-26 / 20130341358 - FOAM SOAP DISPENSER WITH STATIONARY DISPENSING TUBE | 16 |
Yvette M.h. Hayes | US | Richmond | 2015-10-22 / 20150302365 - Method and System for ACH Debit Delayed Availability | 2 |
Y. Sabine Hayes | US | Raleigh | 2009-02-19 / 20090047641 - Alphabet computer game | 1 |
Gerard James Hayes | US | Wake Forest | 2016-01-28 / 20160029370 - POSITION INFORMATION ASSISTED NETWORK CONTROL | 11 |
Rhody Hayes | US | Pasco | 2015-08-13 / 20150228383 - BATTERY CABLE INSULATOR | 2 |
Cynthia S. Hayes | US | Midlothian | 2014-07-31 / 20140212547 - FLAVOR POUCH | 1 |
Matthew Hayes | US | Tracy'S Landing | 2014-07-31 / 20140209058 - THERMALLY STRATIFIED REGENERATIVE COMBUSTION CHAMBER | 2 |
David N. Hayes | US | Chapel Hill | 2015-10-15 / 20150293098 - METHODS FOR HEAD AND NECK CANCER PROGNOSIS | 3 |
Peter C. Hayes | US | Charlotte | 2014-06-26 / 20140178707 - PAPER COATING OR BINDING FORMULATIONS AND METHODS OF MAKING AND USING SAME | 8 |
Trent M. Hayes | US | Hickory | 2014-10-02 / 20140291020 - CONDUCTIVE ELEMENTS IN CABLE JACKETS AND SEPARATORS | 9 |
Phillip Hayes | US | Maurice | 2012-05-03 / 20120107348 - MULTIVALENT PCV2 IMMUNOGENIC COMPOSITIONS AND METHODS OF PRODUCING SUCH COMPOSITIONS | 10 |
Andrew Hayes | US | Concord | 2009-10-01 / 20090241584 - MULTI-SPIGOT COOLER | 1 |
Erin Hayes | US | Lewiston | 2010-12-02 / 20100304414 - Methods and Devices for Detecting Methicillin Resistant Staphylococcus Aureus | 1 |
Thomas P. Hayes | CA | Cambridge | 2013-07-04 / 20130173052 - PRODUCT DISPENSING SYSTEM AND ASSOCIATED METHOD | 1 |
John E. Hayes | US | Gibsonia | 2016-04-21 / 20160108168 - POLYURETHANE PULTRUSION FORMULATIONS FOR THE PRODUCTION OF ARTICLES WITH IMPROVED COATING ADHESION AND ARTICLES PRODUCED THEREFROM | 3 |
Ron Hayes | US | Longmont | 2014-01-16 / 20140017915 - ELECTRICAL GROUNDING SYSTEM FOR LINEARLY TRAVELLING CONDUCTIVE MATERIALS | 2 |
Scott H. Hayes | US | Mooresville | 2010-03-25 / 20100072160 - Quick Mixing Baby Formula Cylinder and System | 1 |
Tony Hayes | US | Lexington | 2011-01-13 / 20110005032 - DAMPING MECHANISM FOR CABINET HINGE ASSEMBLY | 1 |
Kirby Dale Hayes | US | Evergreen | 2011-06-16 / 20110139670 - FOOD PACKAGING CLOSURE WITH AN OXYGEN SCRUBBING FUNCTION | 2 |
Allen R. Hayes | US | Muskegon | 2012-02-23 / 20120043214 - Nickel pH Adjustment Method and Apparatus | 1 |
James N. Hayes | US | 2012-02-23 / 20120042529 - TWO DIMENSION LAYOUT AND POINT TRANSFER SYSTEM | 1 | |
Greg Hayes | US | Pipersville | 2011-05-12 / 20110112568 - SURGICAL TOOL | 1 |
James Hayes | IE | Dublin | 2015-11-26 / 20150336099 - MICRO-REAGENT HANDLER AND CARTRIDGE ASSEMBLY | 1 |
Brian William Hayes | US | 2009-06-04 / 20090139097 - Double Handle Kitchen Knife | 1 | |
Brooke Hayes | US | Midvale | 2014-02-13 / 20140041772 - DIGITAL DISPLAY PURSE | 1 |
Deborah E. Hayes | US | Verona | 2015-10-01 / 20150274877 - CURABLE COMPOSITIONS BASED ON POLYURETIDIONES, POLYTHIOLS AND PHOTOACTIVABLE BASES AND GENERATION OF ISOCYANATES FROM URETIDIONES | 5 |
Kevin R. Hayes | US | Urbandale | 2011-07-07 / 20110167517 - IDENTIFICATION OF DIURNAL RHYTHMS IN PHOTOSYNTHETIC AND NON-PHOTSYNTHETIC TISSUES FROM ZEA MAYS AND USE IN IMPROVING CROP PLANTS | 1 |
Missy Hayes | US | Sagamore Hills | 2015-08-20 / 20150232350 - Proppants For Removal of Contaminants From Fluid Streams and Methods of Using Same | 2 |
Ronald L. Hayes | US | Gainesville | 2012-08-02 / 20120196307 - SYNAPTOTAGMIN AND COLLAPSIN RESPONSE MEDIATOR PROTEIN AS BIOMARKERS FOR TRAUMATIC BRAIN INJURY | 4 |
Jeremy Hayes | US | Midvale | 2014-02-13 / 20140041772 - DIGITAL DISPLAY PURSE | 1 |
David Neil Hayes | US | Chapel Hill | 2013-12-19 / 20130338040 - LKB1 Levels and Brain Metastasis from Non-Small-Cell Lung Cancer (NSCLC) | 1 |
William Brian Hayes | US | Saint Charles | 2010-09-30 / 20100250169 - METHOD AND APPARATUS FOR EVALUATING DATA REPRESENTING A PLURALITY OF EXCITATIONS OF A PLURALITY OF SENSORS | 1 |
John William Hayes | US | Reno | 2015-03-05 / 20150067796 - Method for statistical object identification | 5 |
Scott Hayes | US | Holland | 2012-02-16 / 20120038197 - LEG SUPPORT INSERT FOR SEATING APPARATUS | 1 |
Kelli L. Hayes | US | Athens | 2012-11-22 / 20120296363 - NON-COMPLIANT MEDICAL BALLOON HAVING BRAIDED OR KNITTED REINFORCEMENT | 2 |
Garrett J. Hayes | US | Livermore | 2015-07-16 / 20150197844 - Depositing Calcium Fluoride Template Layers for Solar Cells | 2 |
Jeffrey Charles Hayes | US | West Chester | 2014-04-10 / 20140099455 - Flexible Barrier Packaging Derived From Renewable Resources | 2 |
Robert Andrew Hayes | CN | Hong Kong | 2011-05-19 / 20110116153 - DISPLAY DEVICE | 1 |
Michael A. Hayes | US | Bloomfield Hills | 2011-05-19 / 20110116651 - DISTRIBUTED SOUND ENHANCEMENT | 3 |
Charles Thomas Hayes | US | Columbus | 2014-09-18 / 20140261745 - PROJECTION WELDED PRESSURE RELIEF VALVE ASSEMBLY | 1 |
Charu S. Hayes | US | Huntington Woods | 2014-02-27 / 20140054101 - MUFFLER ASSEMBLY WITH SIPHON TUBE | 1 |
Kevin M. Hayes | US | Sarasota | 2014-02-27 / 20140055202 - Amplifier Bias Control | 1 |
Adam L. Hayes | US | Brooklyn | 2016-03-10 / 20160067622 - INFANT MOBILE WITH ILLUMINATED AND ROTATING ELEMENTS | 2 |
David Hayes | US | West Bloomfield Township | 2015-01-15 / 20150015048 - FOLDING MECHANISM FOR A HEAD RESTRAINT | 1 |
David Jeffery Hayes | US | Lake Worth | 2014-11-20 / 20140342663 - SMART COVERING FOR MOBILE COMMUNICATIONS DEVICE | 2 |
Mark David Hayes | US | Hyde Park | 2012-05-03 / 20120104719 - Robust Rocker Guard with Automatic Step | 1 |
Gerard J. Hayes | US | Wake Forest | 2011-05-19 / 20110117863 - COMMUNICATIONS CIRCUITRY FOR AN ELECTRONIC DEVICE | 1 |
Evan Hayes | AU | Darlinghurst | 2015-02-12 / 20150045333 - LIQUID COMPOSITIONS COMPRISING VITAMIN D AND USES THEREOF | 2 |
Scott Alan Hayes | US | Zion | 2012-02-02 / 20120025142 - ANTI-CORROSIVE COATING COMPOSITION | 1 |
Matthew James Hayes | GB | Cambridge | 2016-03-03 / 20160058958 - SYSTEMS AND METHODS FOR DRIVING SEALED NEBULIZERS | 4 |
Doug Hayes | US | Pueblo | 2011-05-05 / 20110104041 - METHODS AND SYSTEMS FOR HCN REMOVAL | 2 |
Patrick Hayes | US | New York | 2014-03-06 / 20140067901 - SYSTEM AND METHOD FOR CONTEXTUAL MESSAGING IN A LOCATION-BASED NETWORK | 1 |
Bradley H. Hayes | US | Colts Neck | 2009-05-21 / 20090132944 - ADDING ACCESSIBILITY TO DRAG-AND-DROP WEB CONTENT | 1 |
Larry Hayes | US | Ferrisburg | 2015-09-03 / 20150247696 - SHORT RECOIL IMPULSE AVERAGING WEAPON SYSTEM | 2 |
Michael William Hayes | GB | London | 2013-11-21 / 20130311769 - PUBLIC KEY ENCRYPTION OF ACCESS CREDENTIALS AND CONTENT DATA CONTAINED IN A MESSAGE | 1 |
Kyle E. Hayes | GB | Berks | 2014-02-06 / 20140040799 - EFFICIENT USAGE OF SCREEN REAL ESTATE ON AN ELECTRONIC DEVICE | 1 |
Kyle Hayes | GB | Reading | 2014-03-06 / 20140068505 - SIZING A PANE OF A WINDOW PRESENTED ON A DISPLAY | 1 |
Tamara Hayes | US | Portland | 2015-07-09 / 20150193596 - METHOD FOR CONDUCTING CLINICAL TRIALS BASED ON SUBSTANTIALLY CONTINUOUS MONITORING OF OBJECTIVE QUALITY OF LIFE FUNCTIONS | 3 |
Kieran Hayes | GB | Oxfordshire | 2015-10-22 / 20150303941 - METHOD AND SYSTEM FOR PROCESSING TEXT | 1 |
Roy S. Hayes | US | Grand Rapids | 2012-12-27 / 20120330795 - SHOE WITH RECONFIGURABLE INSOLE AND METHOD | 1 |
Phillip Hayes | US | Placentia | 2008-08-21 / 20080196246 - Bundle Cable Connector Assembly, Components, Tooling and Manufacturing Method | 1 |
Gregory Paul Hayes | US | Santa Monica | 2008-11-27 / 20080293431 - Systems, devices and methods for location determination | 1 |
Jordan M. Hayes | US | Berkeley | 2008-12-11 / 20080306865 - System and Method for Pricing and Allocation of Commodities or Securities | 1 |
Matthew Terence Hayes | US | Milpitas | 2014-04-03 / 20140095708 - RULE-BASED SYSTEM FOR CLIENT-SIDE QUALITY-OF-SERVICE TRACKING AND REPORTING | 2 |
Jordan Hayes | US | Berkeley | 2010-01-21 / 20100017344 - Auction System and Method for Pricing and Allocation During Capital Formation | 1 |
Clint Hayes | US | Roseville | 2010-02-04 / 20100030203 - Restless Leg Syndrome Treatment | 2 |
Jenea Boshart Hayes | US | Castro Valley | 2015-10-01 / 20150277688 - SYSTEM AND METHOD FOR STREAMLINING USER INTERACTION WITH ELECTRONIC CONTENT | 5 |
Christopher Blake Hayes | US | Laguna Hills | 2010-08-05 / 20100197471 - Portable Abdominal Exercise Mat | 1 |
Joseph T. Hayes | US | Torrance | 2012-03-08 / 20120060184 - METHOD AND SYSTEM FOR AUTOMATING ADVERTISING INSERTION AND RECONCILIATION | 5 |
Terry N. Hayes | US | Los Altos | 2016-04-21 / 20160112210 - SYSTEM AND METHOD FOR INTERAPPLICATION COMMUNICATIONS | 5 |
Andrew C. Hayes | US | Simi Valley | 2012-10-11 / 20120259278 - Algorithm Sensor Augmented Bolus Estimator for Semi-Closed Loop Infusion System | 4 |
Douglas A. Hayes | US | Fountain Valley | 2010-05-13 / 20100116955 - TOWEL HOLDER AND METHOD OF MANUFACTURE | 1 |
Richard J. Hayes | US | Fountain Valley | 2010-05-13 / 20100116955 - TOWEL HOLDER AND METHOD OF MANUFACTURE | 1 |
Mark Eamon Hayes | US | San Francisco | 2015-05-21 / 20150140069 - DELIVERY OF NUCLEIC ACID-LIKE COMPOUNDS | 3 |
Frederick A. Hayes | US | Dixon | 2009-07-30 / 20090189076 - Method for Imaging the Ionomer Spatial Distribution in Fuel Cell Electrodes | 2 |
Raymond R. Hayes | US | Los Gatos | 2014-06-26 / 20140177613 - RESILIENT PEER NETWORK WITH 802.11 TECHNOLOGY | 5 |
Gregory P. Hayes | US | Santa Monica | 2009-11-19 / 20090287415 - SYSTEMS, DEVICES AND METHODS FOR INCREASING LOCATION BASED SERVICE ACCURACY | 1 |
Robert R. Hayes | US | Calabasas | 2010-02-25 / 20100046878 - LITHIUM NIOBATE MODULATOR HAVING A DOPED SEMICONDUCTOR STRUCTURE FOR THE MITIGATION OF DC BIAS DRIFT | 2 |
Jeffrey Hayes | US | Long Beach | 2012-07-26 / 20120189853 - ANTI-CORROSION AND LOW FRICTION COATING | 2 |
Gregory Hayes | US | Aliso Viejo | 2010-10-28 / 20100271324 - Capacitive Touch Panel Label | 1 |
Raymond Hayes | US | Los Gatos | 2014-12-25 / 20140378141 - Cross Radio Access Technology: Handoff Using Caching | 12 |
Paul Hayes | GB | Cwmbran | 2013-08-08 / 20130199879 - GUIDE PIN | 1 |
John Hayes | IE | Cork | 2015-10-22 / 20150303345 - AMPLIFIED DETECTOR FORMED BY LOW TEMPERATURE DIRECT WAFER BONDING | 1 |
Tracy Hayes | US | Downers Grove | 2015-01-29 / 20150032554 - Method for Social Retail/Commercial Media Content | 2 |
Christopher Hayes | US | Downers Grove | 2015-01-29 / 20150032554 - Method for Social Retail/Commercial Media Content | 2 |
Bradley W. Hayes | US | Noblesville | 2009-03-05 / 20090057966 - SPRING BODY FOR GAS SPRING ASSEMBLY AND METHOD OF FORMING SAME | 2 |
Ronald Lawrence Hayes | US | Gainsville | 2015-02-26 / 20150057193 - ENDOTHELIAL-MONOCYTE ACTIVATING POLYPEPTIDE II (EMAP-II), A BIOMARKER FOR USE IN DIAGNOSIS AND TREATMENT OF BRAIN INJURY | 3 |
Joel Ryan Hayes | US | Chandler | 2013-02-14 / 20130037982 - FILTER CASTING NANOSCALE POROUS MATERIALS | 1 |
Kyle E. Hayes | GB | Berkshire | 2016-03-24 / 20160085387 - SIZING A PANE OF A WINDOW PRESENTED ON A DISPLAY | 1 |
Eric L. Hayes | US | Washington | 2011-09-01 / 20110210283 - Low melting temperature alloys with magnetic dispersions | 1 |
Simon Antony Hayes | GB | Sheffield | 2014-03-13 / 20140073745 - Biodegradable Polymer Blend | 1 |
John G. Hayes | IE | Kinsale | 2013-06-06 / 20130141199 - Split-Winding Integrated Magnetic Structure | 1 |
Linda Elaine Eaton Hayes | US | Reno | 2013-08-15 / 20130208732 - Transporting Fibre Channel over Ethernet | 3 |
Bradley W. Hayes | US | Santa Cruz | 2015-10-22 / 20150298520 - CONTROLLING A COMPRESSOR FOR AIR SUSPENSION OF ELECTRIC VEHICLE | 1 |
Patrick James Hayes | US | Ringoes | 2014-03-20 / 20140079897 - Adhesive Compositions and Use Thereof | 1 |
Daniel J. Hayes | US | Baton Rouge | 2013-11-14 / 20130303402 - Detection and Molecular Weight Determination of Organic Vapors | 1 |
Kenneth Hayes | US | Sharpsburg | 2013-11-14 / 20130301267 - Systems, Methods, and Devices for Providing Rotatable Light Modules and Hinged Mount in a Luminaire | 1 |
Paul Vincent Hayes | US | Union City | 2014-05-08 / 20140129539 - SYSTEM AND METHOD FOR PERSONALIZED SEARCH | 2 |
Robin Hayes | US | Castro Valley | 2015-02-26 / 20150058729 - METHOD AND APPARATUS FOR CONTROLS BASED ON CONCURRENT GESTURES | 6 |
Robin Hayes | US | Palo Alto | 2013-08-01 / 20130195423 - Method and Apparatus for Advertisement Placement in a User Dialog on a Set-Top Box | 2 |
Byron K. Hayes | US | Flagstaff | 2009-08-13 / 20090202611 - COMPOSITE SELF-COHERED WEB MATERIALS | 2 |
Steven K. Hayes | US | Bemus Point | 2015-10-08 / 20150287137 - SYSTEMS AND METHODS FOR DETERMINING A FINANCIAL HEALTH INDICATOR | 11 |
Gregory M. Hayes | US | Scottsdale | 2010-04-15 / 20100093005 - IDENTIFYING DUCT CANCERS | 1 |
Daniel Hayes | US | Ann Arbor | 2014-10-02 / 20140295444 - DIAGNOSIS AND TREATMENT OF BREAST CANCER | 2 |
Kevin N. Hayes | US | Mountain View | 2014-10-16 / 20140307601 - POWER SAVING ENHANCEMENTS WITH LOW LATENCY 802.11 | 1 |
Kieran Hayes | US | 2015-10-22 / 20150303941 - METHOD AND SYSTEM FOR PROCESSING TEXT | 1 | |
Mark A. Hayes | US | Gilbert | 2014-12-18 / 20140371102 - SYSTEM AND METHOD FOR AUTOMATED BIOPARTICLE RECOGNITION | 13 |
Mark Hayes | US | Gilbert | 2016-01-28 / 20160024550 - BACTERIAL IDENTIFICATION | 5 |
Sean M. Hayes | US | Tucson | 2012-01-26 / 20120017846 - SYSTEM AND METHOD FOR TRACKING, MONITORING, AND LOCATING ANIMALS | 2 |
Patrick H. Hayes | US | 2012-05-31 / 20120136217 - SYSTEM AND METHOD FOR NON-INTRUSIVE HEALTH MONITORING IN THE HOME | 1 | |
Scott M. Hayes | US | Chandler | 2016-05-12 / 20160133608 - DEVICES AND STACKED MICROELECTRONIC PACKAGES WITH PACKAGE SURFACE CONDUCTORS AND METHODS OF THEIR FABRICATION | 32 |
Joel R. Hayes | US | Chandler | 2013-01-03 / 20130001098 - METHOD AND APPARATUS FOR AMMONIA (NH3) GENERATION | 7 |
Sean Hayes | US | Phoenix | 2011-10-20 / 20110258218 - DETECTING AND MITIGATING UNSOLICITED EMAIL ABUSE | 4 |
Dana L. Hayes | US | Scottsdale | 2011-03-17 / 20110061661 - Purr pillow | 1 |
Joel Hayes | US | Chandler | 2015-08-13 / 20150228991 - METHOD OF OPERATING ELECTROCHEMICAL CELLS COMPRISING ELECTRODEPOSITED FUEL | 10 |
Timothy S. Hayes | US | Colchester | 2014-04-17 / 20140106559 - SYSTEM AND METHOD FOR FORMING AN ALUMINUM FUSE FOR COMPATIBILITY WITH COPPER BEOL INTERCONNECT SCHEME | 3 |
Jerry D. Hayes | US | Milton | 2008-12-18 / 20080313590 - METHOD AND SYSTEM FOR EVALUATING TIMING IN AN INTEGRATED CIRCUIT | 3 |
Ken Hayes | US | Fall River | 2016-03-31 / 20160089156 - GRATER AND TRIAL LINER | 1 |
Eric Hayes | US | Bellingham | 2015-12-17 / 20150359402 - FLOOR MOP | 2 |
Keith Hayes | US | Florence | 2009-08-27 / 20090214701 - Method of preventing or reducing haze in a beverage using silane-treated silica filter media | 1 |
Keith Hayes | US | Coppell | 2010-12-02 / 20100306384 - Multi-directional secure common data transport system | 1 |
Joel Hayes | US | Scottsdale | 2013-01-24 / 20130022881 - HYGROPHOBIC CONDUCTOR LAYER FOR ELECTROCHEMICAL CELL | 1 |
Kenneth J. Hayes | US | Northville Township | 2016-03-31 / 20160090899 - ENHANCED CHARGE AIR COOLER | 1 |
Kenneth C. Hayes | US | Waltham | 2015-01-29 / 20150030710 - COMPOSITIONS COMPRISING EXTRACTS OR MATERIALS DERIVED FROM PALM OIL VEGETATION LIQUOR FOR INHIBITION OF VISION LOSS DUE TO ANGIOGENESIS AND METHOD OF PREPARATION THERE | 1 |
Robert W. Hayes | US | Maurice | 2011-12-01 / 20110292760 - SEISMIC EQUIPMENT HANDLING | 2 |
Michael S. Hayes | US | Homer Glen | 2013-03-21 / 20130068323 - FILTER ASSEMBLY | 1 |
John Hayes | US | Seattle | 2011-09-08 / 20110216063 - LIDAR TRIANGULAR NETWORK COMPRESSION | 2 |
John A. Hayes | US | Gainesville | 2016-04-21 / 20160108721 - METHOD AND APPARATUS FOR INVESTIGATING A BOREHOLE WITH A CALIPER | 9 |
Richard A. Hayes | US | Beaumont | 2010-12-09 / 20100307670 - MULTILAYER LAMINATES COMPRISING TWISTED NEMATIC LIQUID CRYSTALS | 4 |
Brian W. Hayes | US | South Fallsburg | 2012-11-22 / 20120292934 - Dual grip angled handled shovel | 1 |
Michael W. Hayes | US | Houston | 2016-04-28 / 20160115744 - INTERCHANGEABLE BAIL LINK APPARATUS AND METHOD | 1 |
Mariaelena Zuniga Hayes | US | West Chester | 2014-11-20 / 20140342078 - SWEETENED BEVERAGES | 1 |
Stanley Kyle Hayes | US | Mission Viejo | 2012-04-12 / 20120089191 - METHODS FOR STABILIZING BONE STRUCTURES | 16 |
Thomas K. Hayes | CA | Coquitlam | 2013-05-23 / 20130131150 - Antisense Formulation | 2 |
Paul G. Hayes | CA | Lethbridge | 2010-05-27 / 20100130753 - CATALYSTS FOR THE POLYMERIZATION OF CYCLIC ESTERS | 1 |
Bobby Hayes | US | Smithfield | 2009-12-03 / 20090294434 - CONTROL SYSTEM FOR AN APPLIANCE | 2 |
Sidney Hayes | CA | Saskatoon | 2011-04-21 / 20110092391 - PHAGE LAMBDA DISPLAY CONSTRUCTS | 1 |
Carmel Hayes | DE | Muenchen | 2009-08-20 / 20090208083 - METHOD AND DEVICE TO AUTOMATICALLY DETERMINE THE REST PHASE OF THE HEART | 1 |
Trevor Gordon Hayes | CA | Calgary | 2008-11-06 / 20080271889 - METHOD OF ISOLATING OPEN PERFORATIONS IN HORIZONTAL WELLBORES USING AN ULTRA LIGHTWEIGHT PROPPANT | 1 |
Robert A. Hayes | CN | Hong Kong | 2013-07-18 / 20130182310 - DISPLAY DEVICE | 2 |
Robert A. Hayes | HK | Shatin | 2010-11-25 / 20100296149 - OPTICAL APPARATUS | 2 |
Robert A. Hayes | NL | Eindhoven | 2010-11-25 / 20100296150 - DISPLAY DEVICE BASED ON ELECTROWETTING EFFECT | 2 |
Ben Hayes | AU | Victoria | 2012-06-07 / 20120144508 - ARTIFICIAL SELECTION METHOD AND REAGENTS | 1 |
Nathan T. Hayes | US | Minneapolis | 2015-08-06 / 20150220483 - APPARATUS FOR PERFORMING MODAL INTERVAL CALCULATIONS BASED ON DECORATION CONFIGURATION | 12 |
Donald Hayes | CA | Stratford | 2010-08-12 / 20100202637 - HEARING SYSTEM WITH A USER PREFERENCE CONTROL AND METHOD FOR OPERATING A HEARING SYSTEM | 1 |
David Hayes | GB | East Sussex | 2012-06-14 / 20120147038 - SYMPATHETIC OPTIC ADAPTATION FOR SEE-THROUGH DISPLAY | 1 |
Carmel Hayes | DE | Munchen | 2015-10-08 / 20150285884 - Methods and Systems for Acquiring Multiple Interleaved Slices in Magnetic Resonance Imaging | 5 |
David J. Hayes | US | Lake Worht | 2010-05-06 / 20100113003 - CELLULAR MODEM PROCESSING | 1 |
Ben Hayes | AU | Kensington | 2014-08-07 / 20140220575 - ARTIFICIAL SELECTION METHOD AND REAGENTS | 1 |
Carmel Hayes | DE | Munich | 2014-12-04 / 20140357980 - METHOD FOR MOTION CORRECTION OF EMISSION COMPUTED TOMOGRAPHY DATA BY WAY OF MAGNETIC RESONANCE TOMOGRAPHY DATA | 2 |
Robert Andrew Hayes | NL | Eindhoven | 2013-03-28 / 20130076602 - DISPLAY DEVICE HAVING AN ELECTRODE PARTIALLY COVERING A PICTURE ELEMENT | 4 |
James D. Hayes | US | Tampa | 2012-01-05 / 20120000850 - SOL-GEL MONOLITHIC COLUMN WITH OPTICAL WINDOW AND METHOD OF MAKING | 1 |
David Edward Hayes | US | Denver | 2015-03-19 / 20150077328 - REMOTE CONTROL SYSTEMS AND METHODS FOR PROVIDING PAGE COMMANDS TO DIGITAL ELECTRONIC DISPLAY DEVICES | 2 |
Raymond M. Hayes | US | Lancaster | 2009-08-20 / 20090210177 - HAND-HELD SYSTEM AND METHOD FOR DETECTING IMPAIRED ELECTRIC POWER EQUIPMENT | 2 |
James N. Hayes | US | Urbana | 2016-04-28 / 20160116138 - TWO WAY OMNIDIRECTIONAL LENS | 8 |
Brian David Hayes | US | Newark | 2009-08-27 / 20090211386 - SINGLE REDUCTION CARRIER FOR INDEPENDENT SUSPENSION | 3 |
Daniel S. Hayes | US | Hayden Lake | 2010-02-04 / 20100024177 - Hook and connector device | 2 |
Thomas C. Hayes | US | Cambridge | 2016-05-05 / 20160123802 - Light-Monitoring Method and System | 2 |
Michael A. Hayes | US | Washington | 2014-06-26 / 20140174988 - HYDROPROCESSING CONFIGURATION FOR LOW SULFUR DIESEL | 4 |
Brian D. Hayes | US | Newark | 2014-12-25 / 20140378263 - Differential Assembly Having a Clutch Collar Actuator Mechanism | 4 |
James B. Hayes | US | Palmdale | 2014-04-10 / 20140097109 - Golf tee and accessory holder | 1 |
John Hayes | US | Macedonia | 2010-02-18 / 20100037394 - METHOD AND APPARATUS TO MAINTAIN MOTION CONTROL DURING MANUAL PATIENT POSITIONING | 1 |
Timothy L. Hayes | US | Westerville | 2010-04-22 / 20100096544 - Surface Sampling Probe for Field Portable Surface Sampling Mass Spectrometer | 1 |
Kirk Hayes | US | San Francisco | 2015-11-26 / 20150340515 - REVERSE STACK STRUCTURES FOR THIN-FILM PHOTOVOLTAIC CELLS | 2 |
Daniel F. Hayes | US | Ann Arbor | 2015-05-14 / 20150133813 - CIRCULATING TUMOR CELL CAPTURING TECHNIQUES AND DEVICES | 3 |
Gerald Hayes | US | Burlington | 2014-04-10 / 20140099217 - FUEL PUMP ASSEMBLY AND METHOD OF MAKING SAME | 1 |
Daniel S. Hayes | US | Coeur D'Alene | 2014-04-17 / 20140101902 - Hook and connector device | 1 |
Todd A. Hayes | US | Macedonia | 2009-02-19 / 20090048800 - TEST INSTRUMENT NETWORK | 1 |
Barrie Hayes-Gill | GB | Nottingham | 2016-03-03 / 20160058363 - FETAL MOVEMENT MONITOR | 7 |
Graham E. Hayes, Ii | US | Upper Marlboro | 2009-07-02 / 20090169797 - METHOD FOR REINFORCING AND REINFORCED SYNTHETIC INLAYS AND SEAMS | 1 |
Charles W. Hayes, Ii | US | Wickliffe | 2013-08-22 / 20130214185 - VALVE ACTUATOR WITH VENT | 3 |
Edward C. Hayes, Iii | US | Brick | 2010-02-18 / 20100040552 - METHODS TO EVALUATE GLUCOCORTICOID RECEPTOR AGONISTS AND ANTAGONISTS FOR EFFECTS ON NEURON-LIKE CELLS | 1 |
Susan M. Hayes Jacobson | US | Edina | 2010-11-11 / 20100285187 - APPARATUS AND METHODS FOR CUTTING INDIVIDUAL PIECES FROM A FOOD EXTRUDATE | 1 |
Susan M. Hayes-Jacobson | US | Minneapolis | 2013-01-24 / 20130022713 - SHAPED COOKIE INTERMEDIATES USING BAKE STABLE FILLINGS TO FORM VISUAL FEATURES | 1 |
Howard R. Hayes, Jr. | US | Glencoe | 2010-12-16 / 20100318290 - Method of Operating a Navigation System to Provide Parking Availability Information | 2 |
Kenneth Edward Hayes, Jr. | US | Sharpsburg | 2013-05-09 / 20130114828 - AUDIO SYSTEM WITH PARENTAL MAXIMUM VOLUME CONTROL | 1 |
Daniel E. E. Hayes, Jr. | US | Placerville | 2013-08-22 / 20130218284 - TIBIAL BASEPLATE ASSEMBLY FOR KNEE JOINT PROSTHESIS | 2 |
Marvin F. Hayes, Jr. | US | Hesperia | 2010-12-30 / 20100326400 - High Efficiency Pre-Chamber Internal Combustion Engines and Methods Thereof | 1 |
Raymond Francis Hayes, Jr. | US | Redmond | 2009-09-03 / 20090222315 - SELECTION OF TARGETED ADVERTISEMENTS | 1 |
Marc Francis Hayes, Jr. | US | Roswell | 2014-01-09 / 20140012678 - SYSTEM AND METHOD FOR INITIATING A TEXT MESSAGE COMMUNICATION SESSION BETWEEN A MERCHANT AND A CONSUMER | 3 |
Frank F. Hayes, Jr. | US | Fountain Valley | 2011-01-13 / 20110008558 - METHOD AND APPARATUS FOR FABRICATING WELDED PLASTIC TUBE AND PIPE ASSEMBLIES | 1 |
Kent F. Hayes, Jr. | US | Chapel Hill | 2015-01-29 / 20150032776 - CROSS-CUTTING EVENT CORRELATION | 7 |
John Joseph Hayes, Jr. | US | Wake Forest | 2014-12-11 / 20140362018 - RADIOTELEPHONES HAVING CONTACT-SENSITIVE USER INTERFACES AND METHODS OF OPERATING SAME | 2 |
Marvin F. Hayes, Jr. | US | Half Way | 2015-02-05 / 20150034044 - HIGH EFFICIENCY COMPRESSION IGNITION, INDIRECT INJECTED DIESEL ENGINES AND METHODS THEREOF | 1 |
Patrick H. Hayes, Jr. | US | Mission Viejo | 2014-12-04 / 20140355954 - SYSTEM AND METHOD FOR CREATING AND UTILIZING METADATA REGARDING THE STRUCTURE OF PROGRAM CONTENT | 1 |
Marc F. Hayes, Jr. | US | Roswell | 2014-01-09 / 20140012661 - SYSTEM AND METHOD FOR INITIATING A TEXT MESSAGE COMMUNICATION SESSION BETWEEN A MERCHANT AND A CONSUMER | 2 |
Frank F. Hayes,, Jr. | US | Costa Mesa | 2016-03-17 / 20160075005 - TORQUE LIMITING WRENCH FOR PLASTIC AND OTHER FITTINGS | 5 |
Richard Paul Hayes-Pankhurst | GB | London | 2015-09-17 / 20150260195 - Pump and Its Manufacturing Method | 4 |
Frederick Hayes-Roth | US | Atherton | 2014-02-20 / 20140052647 - System and Method for Promoting Truth in Public Discourse | 2 |
Jeffrey Marc Hayet | US | Wayne | 2011-06-02 / 20110127296 - APPARATUS FOR SIMULTANEOUSLY DISPENSING TWO PRODUCTS | 2 |
Jeff Hayet | US | Wayne | 2013-09-19 / 20130245597 - CLICK PEN APPLICATOR DEVICE AND METHOD OF USING SAME | 3 |
Frederic Hayet | FR | Haveluy | 2015-07-02 / 20150184819 - DEVICE FOR EMITTING A LIGHT BEAM AND LIGHT, ESPECIALLY FOR AN AUTOMOTIVE VEHICLE, COMPRISING SAID DEVICE | 1 |
Christine Marie Hayet | GB | Bedfordshire | 2010-02-04 / 20100030857 - METHOD AND APPARATUS FOR ASSISTING BEHAVIOURAL CHANGE | 1 |
Omry Hayet | IL | Ramat Gan | 2015-07-02 / 20150182757 - A METHOD AND SYSTEM FOR COSMETIC SKIN PROCEDURES FOR HOME USE | 1 |
Joel S. Hayflick | US | Seattle | 2010-02-04 / 20100029693 - NOVEL PI3K DELTA INHIBITORS AND METHODS OF USE THEREOF | 1 |
Joel S. Hayflick | US | Palo Alto | 2008-11-20 / 20080287469 - Phosphoinositide 3-Kinase Inhibitors for Inhibiting Leukocyte Accumulation | 1 |
Susan J. Hayflick | US | Portland | 2012-07-05 / 20120174244 - PROFILING FRAGMENTS OF ELASTIC FIBERS AND MICROFIBRILS AS BIOMARKERS FOR DISEASE | 1 |
Paul Hayford | GB | Herne Villa | 2011-03-03 / 20110054823 - SYSTEM, CONTROLLER AND METHOD FOR SYNCHRONIZED CAPTURE AND SYNCHRONIZED PLAYBACK OF DATA | 1 |
Richard K. Hayford | US | Cape Neddick | 2016-04-07 / 20160097291 - STATOR ASSEMBLY FOR A GAS TURBINE ENGINE | 18 |
Ellis Craig Hayford | US | Williston | 2008-11-13 / 20080277597 - REMOVABLE LINERS FOR CHARGED PARTICLE BEAM SYSTEMS | 2 |
Paul Hayford | GB | Holmer Green | 2011-11-24 / 20110288791 - APPARATUS, CONTROLLER AND METHOD FOR ADAPTIVE CONTROL OF AN ELECTROMAGNETIC ACTUATOR | 2 |
Roy Hayford | US | Northville | 2016-02-25 / 20160053840 - Brake Assembly Having a Camshaft Seal Assembly | 1 |
Roy Hayford | US | Troy | 2015-05-07 / 20150122603 - Brake Assembly with Improved Brake Shoe Retention | 2 |
Richard K. Hayford | US | Cape Neddick | 2016-04-07 / 20160097291 - STATOR ASSEMBLY FOR A GAS TURBINE ENGINE | 18 |
Tracy L. Hayford | US | Newburgh | 2014-09-18 / 20140266759 - SYSTEM AND METHOD FOR POSITION DETECTION | 2 |
Roy L. Hayford | US | Canton | 2013-09-19 / 20130240308 - ADJUSTMENT ASSEMBLY INSTALLATION INTO AUTOMATIC SLACK ADJUSTER HOUSING | 2 |
Paul Hayford | GB | Buckinghamshire | 2010-09-16 / 20100229652 - TUNING OF MATERIALS TESTING MACHINE | 1 |
Pamela Rene Haygood | US | Indianapoils | 2009-12-24 / 20090320159 - Nucleic acid compositions conferring disease resistance | 1 |
Justin Haygood | US | Marietta | 2016-05-05 / 20160127755 - Distributing Media Content Via Media Channels Based on Associated Content Being Provided Over Other Media Channels | 7 |
Hal B. Haygood | US | Sugar Land | 2015-02-19 / 20150049584 - OCEAN BOTTOM SEISMOMETER PACKAGE | 9 |
Hal B. Haygood | US | Richmond | 2009-01-01 / 20090001226 - Acoustic monitoring of railcar running gear and railcars | 1 |
Gregory Haygood | US | Smyrna | 2012-06-07 / 20120142428 - SYSTEMS AND METHODS FOR ONLINE, REAL-TIME, SOCIAL GAMING | 1 |
Hal B. Haygood | US | Sugar Land | 2015-02-19 / 20150049584 - OCEAN BOTTOM SEISMOMETER PACKAGE | 9 |
Mary M. Hayhoe | US | Austin | 2008-11-13 / 20080278682 - Systems and methods For Improving Visual Discrimination | 1 |
Nicholas G. Hayhoe | US | Holland | 2015-05-28 / 20150143790 - MULCHING APPARATUS FOR A LAWNMOWER | 1 |
Thomas George Christopher Hayhow | GB | Stevenage | 2012-08-16 / 20120208798 - Tetrahydroquinoline Derivatives And Their Pharmaceutical Use | 1 |
Robert Hayhow | CA | Burlington | 2015-11-26 / 20150339665 - POST-MANUFACTURE CONFIGURATION OF PIN-PAD TERMINALS | 11 |
Robert Hayhow | CA | Burlington | 2015-11-26 / 20150339665 - POST-MANUFACTURE CONFIGURATION OF PIN-PAD TERMINALS | 11 |
Simon R. Hayhurst | US | Palo Alto | 2013-05-16 / 20130124212 - Method and Apparatus for Time Synchronized Script Metadata | 1 |
Simon Hayhurst | US | Palo Alto | 2016-01-28 / 20160027221 - METHODS AND SYSTEMS FOR GENERATING AND JOINING SHARED EXPERIENCE | 8 |
Andrew Hayhurst | US | San Antonio | 2014-08-28 / 20140243234 - NUCLEIC ACID COMPOSITIONS, METHODS AND KITS FOR RAPID PAIRING OF AFFINITY AGENTS | 1 |
William Hayhurst | US | Chicago | 2016-02-11 / 20160042453 - Electronic cannabis financial trading platform | 1 |
William F. Hayhurst | US | Aurora | 2010-06-03 / 20100134226 - Levitation of Objects Using Magnetic Force | 1 |
Simon Hayhurst | US | Palo Alto | 2016-01-28 / 20160027221 - METHODS AND SYSTEMS FOR GENERATING AND JOINING SHARED EXPERIENCE | 8 |
John Franklin Hayhurst | GB | Stockport | 2009-10-01 / 20090242326 - DESCENDING DEVICE | 1 |
Malcolm Hayhurst | GB | Warwickshire | 2011-05-26 / 20110124258 - PROCESS FOR THE PRODUCTION OF A HYDROENTANGLED PRODUCT COMPRISING CELLULOSE FIBERS | 2 |
William Hayhurst | US | Aurora | 2014-11-27 / 20140351872 - DECENTRALIZED MEDIA DELIVERY NETWORK | 2 |
William Lyle Hayhurst | US | Chicago | 2013-09-26 / 20130254324 - Read-throttled input/output scheduler | 1 |
Dalton Hayhurst | US | Redding | 2011-10-20 / 20110256888 - Message over Cell phone System | 1 |
Henry M. Hay, Iii | US | Charleston | 2014-11-06 / 20140326126 - IMPACT RESISTANT WINDOW | 7 |
Shaul Hayim | IL | Tel Aviv | 2013-12-26 / 20130346565 - COMMUNICATION SERVER, METHOD AND SYSTEMS, FOR REDUCING TRANSPORTATION VOLUMES OVER COMMUNICATION NET WORKS | 1 |
Alon Hayka | IL | Judean Hills | 2012-08-09 / 20120202226 - METHOD AND SYSTEM FOR THE DETECTION OF CANCER | 1 |
Salem Haykal | US | Bellevue | 2016-03-03 / 20160063394 - Computing Device Classifier Improvement Through N-Dimensional Stratified Input Sampling | 2 |
Martha L. Hay-Kaufman | US | Los Altos | 2009-08-13 / 20090202388 - ANALYTE COLLECTION AND DETECTION DEVICES | 1 |
Zohar Haykeen | US | Valley Village | 2013-04-18 / 20130091718 - Wall Mountable Height Measuring Device | 2 |
Simon Haykin | CA | Ancaster | 2014-04-10 / 20140097979 - DEVICE & METHOD FOR COGNITIVE RADAR INFORMATION NETWORK | 8 |
Roman Haykin | US | Buena Park | 2011-12-29 / 20110320032 - Adaptive method for manufacturing of complicated shape parts hot isostatic pressing of power materials with using irrevesibly deformable capsules and inserts | 2 |
Simon Haykin | CA | Ancaster | 2014-04-10 / 20140097979 - DEVICE & METHOD FOR COGNITIVE RADAR INFORMATION NETWORK | 8 |
Brian T. Haykin | US | Hawthorne | 2015-07-30 / 20150213677 - GAMING DEVICE HAVING WILD SYMBOL GENERATION WITHIN A PLAY MATRIX | 3 |
Judy Hayler | GB | Horsham | 2011-05-05 / 20110105535 - INHIBITORS OF PHOSPHATIDYLINOSITOL 3-KINASE | 2 |
Wendie Patricia Hayler | US | Atlanta | 2015-03-05 / 20150063539 - X-RAY SCANNING SYSTEM AND METHOD | 1 |
Don L. Hayler | US | San Francisco | 2014-05-15 / 20140136543 - AUTOCOMPLETE SEARCHING WITH SECURITY FILTERING AND RANKING | 1 |
Judy Fox Hayler | GB | West Sussex | 2014-02-20 / 20140051698 - Pyrazolo [3,4-D] Pyrimidine Derivatives Useful to Treat Respiratory Disorders | 4 |
Judy Fox Hayler | GB | Horsham | 2011-05-26 / 20110124693 - 5-PHENYL-4-METHYL-THIAZOL-2-YL-AMINE DERIVATIVES AS INHIBITORS OF PHOSPHATIDYLINOSITOL 3 KINASE ENZYMES (PI3) FOR THE TREATMENT OF INFLAMMATORY DISEASES | 3 |
Don L. Hayler | US | Palo Alto | 2012-05-31 / 20120137213 - User Role Mapping in Web Applications | 5 |
Judy Fox Hayler | GB | East Grinstead | 2014-08-21 / 20140235632 - PYRAZOLO [3,4-D] PYRIMIDINE DERIVATIVES USEFUL TO TREAT RESPIRATORY DISORDERS | 2 |
Jose Ulf Hayler Lopez | ES | Almonte | 2009-08-20 / 20090210984 - Blueberry plant named 'Lucero' | 11 |
Adrian Hayles | CA | Toronto | 2014-01-02 / 20140001795 - Foldable Artist Bench | 1 |
Michael Frederick Hayles | NL | Eindhoven | 2014-01-16 / 20140014834 - FORMING AN ELECTRON MICROSCOPE SAMPLE FROM HIGH-PRESSURE FROZEN MATERIAL | 2 |
Timothy J. Hayles | US | Austin | 2013-12-12 / 20130332864 - Automatically Determining Data Transfer Functionality For Wires in a Graphical Diagram | 10 |
Alan John Hayles | GB | Bristol | 2011-12-01 / 20110290943 - METHOD AND APPARATUS FOR COOLING FUEL IN AN AIRCRAFT FUEL TANK | 1 |
Ralph E. Hayles | US | San Antonio | 2015-07-16 / 20150200450 - ANTENNA FOR DIRECTIONAL COMMUNICATION, A METHOD OF COMMUNICATING AND A COMMUNICATION SYSTEM | 1 |
John P. Hayles | US | Rochester | 2015-02-19 / 20150047721 - Uniform Gap Check Valve | 1 |
Ralph E. Hayles, Jr. | US | San Antonio | 2015-02-19 / 20150048974 - SYSTEM AND METHOD FOR HIGHLY DIRECTIONAL ELECTRONIC IDENTIFICATION AND COMMUNICATION AND COMBAT IDENTIFICATION SYSTEM EMPLOYING THE SAME | 3 |
Andrew Haylett | GB | Banbury | 2016-03-17 / 20160073656 - MANUFACTURING SOLUBLE BEVERAGE PRODUCTS | 1 |
James Haylett | US | Pataskala | 2013-10-10 / 20130264839 - VEHICLE FLOORING SYSTEM | 1 |
Daniel Robert Haylett | US | Mountain View | 2010-09-30 / 20100243756 - Avalanche rescue device | 1 |
James E. Haylett | US | Pataskala | 2015-09-03 / 20150249886 - NOISE MITIGATION SEATING | 2 |
Robert Haylock | AU | Carnegie | 2008-10-02 / 20080243924 - System and method for efficient delivery of data content | 1 |
David Norman Haylock | AU | Victoria | 2009-08-27 / 20090215083 - SELECTING, CULTURING AND CREATING LINEAGE COMMITTED HEMATOPOIETIC STEM CELLS | 1 |
Jonathan M. Haylock | US | Cupertino | 2014-11-06 / 20140325793 - COAXIAL HINGE APPARATUS | 2 |
William David Haylock | GB | Cambridgeshire | 2014-07-31 / 20140211095 - DIGITAL VIDEO AND DATA TRANSMISSION | 1 |
Jonathan Haylock | US | Los Angeles | 2015-04-16 / 20150102965 - Electronic Device With Array of Antennas in Housing Cavity | 6 |
Luke Haylock | US | Culver City | 2016-03-17 / 20160076581 - FASTENERS WITH DUAL SKIN DEPTH WASHERS | 16 |
Luke Haylock | US | Culver City | 2016-03-17 / 20160076581 - FASTENERS WITH DUAL SKIN DEPTH WASHERS | 16 |
Jonathan M. Haylock | US | Los Angeles | 2014-05-22 / 20140141726 - Robotic Wireless Test System | 3 |
David Norman Haylock | AU | Melbourne | 2011-01-27 / 20110020314 - IDENTIFYING HAEMATOPOIETIC STEM CELLS BASED ON CELL SURFACE MARKERS | 1 |
James Alexander Haylock | GB | East Sussex | / - | 1 |
Jeff Hayman | CA | St Albert | 2016-04-21 / 20160113088 - LIGHTING SYSTEM AND METHOD TO CONTROL A LIGHTING SYSTEM | 3 |
Andrew J. Hayman | FR | Voisins-Le-Bretonne | 2014-08-28 / 20140239960 - System and Method for Imaging Properties of Subterranean Formations | 1 |
Douglas Hayman | AU | Macquarie Park | 2012-11-01 / 20120275426 - FIXED MULTIPLE ACCESS WIRELESS COMMUNICATION | 1 |
Andrew Hayman | FR | Voisins-Le-Bretonneux | 2015-12-10 / 20150355372 - Method and System for Calibrating A Downhole Imaging Tool | 7 |
Robert Hayman | US | Los Angeles | 2016-02-11 / 20160038105 - IMAGING SYSTEM AND METHOD | 10 |
Ryan B. Hayman | CA | Toronto | 2015-02-12 / 20150041315 - MICROCHIP STRUCTURE AND TREATMENTS FOR ELECTROCHEMICAL DETECTION | 1 |
Sarah Hayman | US | Boulder | 2016-05-19 / 20160136374 - TRACHEAL TUBE POSITIONING DEVICES AND METHODS | 44 |
Jason Hayman | GB | East Cowes | 2015-04-30 / 20150115613 - Flowing-Water Driveable Turbine Assembly | 1 |
Meir Hayman | IL | Rishon Lezion | 2015-11-19 / 20150332525 - SYSTEM, METHOD AND APPARATUS FOR TRACKING PARKING BEHAVIOR OF A VEHICLE | 2 |
Colin Malcolm Hayman | NZ | Lower Hutt | 2016-05-19 / 20160136267 - SPHINGOGLYCOLIPID ANALOGUES | 3 |
Timothy B. Hayman | US | Irvine | 2015-04-02 / 20150096055 - Monitoring Digital Images | 3 |
Sarah L. Hayman | US | Boulder | 2015-07-30 / 20150208924 - PHOTOACOUSTIC SENSORS WITH DIFFUSING ELEMENTS FOR PATIENT MONITORING | 1 |
Robert Hayman | US | Los Angeles | 2016-02-11 / 20160038105 - IMAGING SYSTEM AND METHOD | 10 |
Clifford C. Hayman | US | Madison | 2011-04-14 / 20110086207 - DIAMOND APPARATUS AND METHOD OF MANUFACTURE | 1 |
Alan W. Hayman | US | Romeo | 2015-12-17 / 20150361907 - FUEL CONSUMPTION BASED CYLINDER ACTIVATION AND DEACTIVATION CONTROL SYSTEMS AND METHODS | 47 |
Douglas Ray Hayman | US | Mission Viejo | 2013-10-24 / 20130281836 - OCCLUSION CATHETER HAVING COMPLIANT BALLOON FOR USE WITH COMPLEX VASCULATURE | 2 |
Leslie Robert Hayman | US | Rossville | 2015-07-30 / 20150208806 - ROCKING CHAIR | 1 |
Kimberly Hayman | US | Minneapolis | 2014-02-27 / 20140059483 - MOBILE DEVICE WITH GRAPHICAL USER INTERFACE FOR INTERACTING WITH A BUILDING AUTOMATION SYSTEM | 6 |
Douglas Brian Hayman | AU | Macquarie Park | 2016-03-17 / 20160081049 - FIXED MULTIPLE ACCESS WIRELESS COMMUNICATION | 2 |
Edward G. Hayman | US | Hanover | 2015-07-30 / 20150210986 - VIRUS PURIFICATION AND FORMULATION PROCESS | 3 |
John Paul Hayman | CA | Toronto | 2013-02-14 / 20130038627 - METHOD AND APPARATUS FOR DISPLAYING AN IMAGE | 1 |
Andrew J. Hayman | FR | Voisins-Le-Bretonneux | 2016-03-03 / 20160061029 - CEMENT EVALUATION | 10 |
James Heathcote Hayman | US | New York | 2012-05-31 / 20120134775 - Directional, Sealable Wind-Powered Turbine | 1 |
Michael J. Hayman | US | Patchogue | 2015-08-13 / 20150224208 - NOVEL PRODRUGS FOR SELECTIVE ANTICANCER THERAPY | 1 |
Andrew J. Hayman | FR | Voisins-Le-Bretonneux | 2016-03-03 / 20160061029 - CEMENT EVALUATION | 10 |
Yates Wesley Hayman | US | Walker | 2012-07-26 / 20120186910 - Horizontal Scaffold Member | 1 |
Yates Wesley Hayman | US | Brookhaven | 2012-07-26 / 20120186911 - SCAFFOLD APPARATUS, METHOD AND SYSTEM | 1 |
Scott Hayman | CA | Oakville | 2015-02-12 / 20150046840 - INDICATING STATUS OF APPLICATION PROGRAM WITH ICONS | 3 |
John Paul Hayman | CA | Mississauga | 2009-11-12 / 20090282085 - APPARATUSES AND METHODS FOR STORAGE AND MANAGEMENT OF ONE OR MORE DATA FILES CONTAINING A DEVICE THEME | 1 |
Sarah Lynne Hayman | US | Boulder | 2015-09-24 / 20150265154 - SYSTEMS AND METHODS FOR A MULTI-ELEMENT MEDICAL SENSOR | 2 |
Christopher Martin Hayman | BR | Rio De Janeiro | 2009-12-03 / 20090293671 - Electric Arc Furnance Steelmaking | 1 |
Mark Jonathan Brice Hayman | CA | Dunrobin | 2010-09-09 / 20100223998 - CAPACITIVE DISPLACEMENT TRANSDUCER | 1 |
Staci Lynn Hayman | US | Farmington Hills | 2015-03-26 / 20150082514 - GOLF GLOVE WITH CLEANING ELEMENT | 2 |
James Donald Hayman | AU | Western Australia | 2010-12-02 / 20100300332 - ATTACHMENT DEVICE | 1 |
Yates W. Hayman | US | Walker | 2014-11-06 / 20140325825 - Method of Assembling an Offset Working Platform on a Scaffold Structure Using a Foldable Knee-Out Scaffold Frame Member | 4 |
Alan W. Hayman | US | Romeo | 2015-12-17 / 20150361907 - FUEL CONSUMPTION BASED CYLINDER ACTIVATION AND DEACTIVATION CONTROL SYSTEMS AND METHODS | 47 |
Meir Hayman | IL | Lezion | 2013-01-24 / 20130024202 - SYSTEM, METHOD AND APPARATUS FOR TRACKING PARKING BEHAVIOR OF A VEHICLE | 1 |
Matthew Hayman | US | Boulder | 2014-05-29 / 20140146303 - REMOTE MEASUREMENT OF SHALLOW DEPTHS IN SEMI-TRANSPARENT MEDIA | 1 |
Yates Hayman | US | Brookhaven | 2015-03-19 / 20150075910 - Magnetic Scaffold Tie | 1 |
Richard Hayman | US | New York | 2014-06-12 / 20140161615 - Water Turbine Propeller | 1 |
Jason Hayman | GB | London | 2014-05-22 / 20140137789 - INSTALLATION VEHICLE FOR A TIDAL POWER PLANT AND METHOD FOR THE OPERATION THEREOF | 2 |
Gary C. Haymann | US | Dallas | 2014-11-20 / 20140340423 - Marker-based augmented reality (AR) display with inventory management | 1 |
Alan Haymes | GB | Redbridge Essex | 2010-09-23 / 20100236052 - DEVICE AND METHOD FOR FORMING A BARRIER TO A SMOKING ARTICLE | 1 |
Charles L. Haymes | US | Yorktown Heights | 2011-01-27 / 20110019533 - Network Element Bypass in Computing Computer Architecture | 1 |
Charles L. Haymes | US | Fair Lawn | 2012-05-10 / 20120117413 - METHOD AND INFRASTRUCTURE FOR CYCLE-REPRODUCIBLE SIMULATION ON LARGE SCALE DIGITAL CIRCUITS ON A COORDINATED SET OF FIELD-PROGRAMMABLE GATE ARRAYS (FPGAs) | 2 |
Bryce Haymond | US | Pleasant Groove | 2015-03-05 / 20150061186 - Promotional Item with Moldable Dough and Packaging | 2 |
Sean Chritopher Haymond | US | Columbia | / - | 1 |
Bryce Haymond | US | West Valley City | 2015-10-08 / 20150288406 - STRECHABLE STRAP COVER FOR ELECTRONIC DEVICE | 1 |
Bryan S. Haymond | US | Salt Lake City | 2013-09-19 / 20130245760 - MEDICAL DEVICES INCORPORATING CERAGENIN-CONTAINING COMPOSITES | 1 |
Bryce Haymond | US | West Valley | 2016-03-31 / 20160094264 - Stretchable Strap Cover for Electronic Device | 1 |
Bryce Haymond | US | Draper | 2016-03-31 / 20160088924 - SWIVEL FINGER STRAP FOR A MOBILE DEVICE | 2 |
Bradley J. Haymond | US | Aurora | 2013-12-12 / 20130327246 - RAILCAR CONSTANT CONTACT SIDE BEARING ASSEMBLY | 2 |
John C. Haymond | US | Duvall | 2014-04-24 / 20140115434 - BLOCK PROPERTIES AND CALCULATED COLUMNS IN A SPREADSHEET APPLICATION | 1 |
Bryce Haymond | US | Pleasant Grove | 2016-02-25 / 20160052329 - MOBILE, RELEASABLY ATTACHABLE, WHITE-BOARD | 4 |
Sherri Haymond | US | Darien | 2015-03-05 / 20150066768 - METHODS AND SYSTEMS FOR VERIFYING CARDHOLDER AUTHENTICITY WHEN PROVISIONING A TOKEN | 1 |
Douglas S., Ii Haymore | US | Sandy | 2013-01-24 / 20130024336 - Systems and Methods for Providing Controls for Aggregated Weather-Based Work | 1 |
Barry L. Haymore | US | Austin | 2014-10-02 / 20140296485 - ANIONIC DISPLACER MOLECULES FOR HYDROPHOBIC DISPLACEMENT CHROMATOGRAPHY | 4 |
Daniel P. Haymore | US | Rural Hall | 2013-08-08 / 20130202262 - STRENGTH MEMBER SYSTEM FOR FIBER OPTIC CABLE | 1 |
Barry Haymore | US | Austin | 2010-04-01 / 20100081844 - POLYCATIONIC ORGANIC COMPOUNDS | 1 |
Douglas S. Haymore, Ii | US | Sandy | 2015-04-09 / 20150100380 - SYSTEMS AND METHODS FOR PROVIDING FINANCIAL CONTROLS FOR AGGREGATED WEATHER-BASED WORK | 1 |
Ilana Haymov | IL | Netanya | 2016-04-28 / 20160115327 - PROCESS OF FORMING TRANSPARENT CONDUCTIVE COATINGS WITH SINTERING ADDITIVES | 3 |
Armin Heinz Hayn | GB | Essex | 2012-06-07 / 20120138814 - PARTICLE BEAM DEVICE WITH DEFLECTION SYSTEM | 1 |
Henning Hayn | DE | Stuttgart | 2015-09-03 / 20150248754 - Method and Device for Monitoring at Least One Interior Space of a Building, and Assistance System for at Least One Interior Space of a Building | 10 |
Henning Hayn | DE | Stuttgart | 2015-09-03 / 20150248754 - Method and Device for Monitoring at Least One Interior Space of a Building, and Assistance System for at Least One Interior Space of a Building | 10 |
Ekkehard Hayn | DE | Grossburgwedel | 2010-05-06 / 20100114577 - METHOD AND DEVICE FOR THE NATURAL-LANGUAGE RECOGNITION OF A VOCAL EXPRESSION | 1 |
Regina Hayn | DE | Baernsdorf | 2008-09-04 / 20080211115 - Semiconductor structure and an apparatus and a method for producing a semiconductor structure | 1 |
Armin Heinz Hayn | GB | Saffron Walden | 2010-12-23 / 20100320942 - Electron gun used in particle beam device | 1 |
Tatjana Hayn | DE | Duisburg | 2015-03-12 / 20150072437 - Device and Method for Calorimetrically Measuring Sorption Processes | 1 |
Regina Hayn | DE | Radeburg | 2009-02-12 / 20090039458 - INTEGRATED DEVICE | 1 |
Travis Haynam | US | Maineville | 2014-09-11 / 20140251384 - Filter Cleaning System and Method | 4 |
Sean Patrick Haynberg | US | Bridgewater | 2011-06-09 / 20110134889 - FREQUENCY OVERLAY TECHNIQUE FOR A PICO CELL SYSTEM | 1 |
Sarah M. Hayne | US | Houston | 2013-06-13 / 20130150537 - ETHYLENE-BASED POLYMER COMPOSITIONS | 10 |
Lance O. Hayne | US | Centennial | 2014-08-07 / 20140222345 - METHODS AND SYSTEMS OF INCORPORATING PSEUDO-SURFACE PICK LOCATIONS IN SEISMIC VELOCITY MODELS | 1 |
Sarah M. Hayne | US | Houston | 2013-06-13 / 20130150537 - ETHYLENE-BASED POLYMER COMPOSITIONS | 10 |
Kristin A. Hayne | US | Overland Park | 2010-07-15 / 20100178875 - Interference Cancellation System and Method for Wireless Antenna Configuration | 1 |
Benedicte Hayne | FR | Paris | 2013-05-16 / 20130121522 - COAXIAL SPEAKER SYSTEM HAVING A COMPRESSION CHAMBER WITH A HORN | 2 |
Erin Hayne | US | Jackson | 2013-03-14 / 20130063009 - INTERACTIVE FURNITURE DEVICE | 1 |
Kristin Hayne | US | Overland Park | 2010-10-14 / 20100260275 - Method and system for frequency band allocation based on frequency band usage measurements | 1 |
Eric Hayner | US | Johnstown | 2008-12-18 / 20080309105 - MATERIAL PUSHING DEVICE AND METHOD FOR USE | 1 |
Mark A. Hayner | US | Belmont | 2015-04-02 / 20150091393 - CONVECTION COOLING SYSTEM FOR MOTORS | 6 |
Omri Hayner | IL | Hogla | 2011-04-28 / 20110099182 - SYSTEM AND METHOD FOR CAPTURING ANALYZING AND RECORDING SCREEN EVENTS | 2 |
Roger Hayner | US | Hebron | 2012-12-13 / 20120315088 - VEGETABLE-BASED PRODUCTS OF THE SICCATIVE TYPE FOR RECYCLING AND REJUVENATING RECLAIMED ASPHALT PAVEMENTS IN SITU OR IN A DEDICATED PLANT | 1 |
David Hayner | US | Austin | 2014-01-02 / 20140002266 - Methods and Apparatus for Muscle Memory Training | 1 |
Louis R. Hayner | US | Bothell | 2011-12-22 / 20110308367 - Catheter Cutting Tool | 2 |
David Hayner | US | 2013-01-03 / 20130006572 - Methods for detection of unique physical motions | 1 | |
Cary Michael Hayner | US | Chicago | 2016-02-04 / 20160036035 - CARBON CONTAINING BINDERLESS ELECTRODE FORMATION | 1 |
David Alan Hayner | US | Austin | 2014-08-07 / 20140223010 - Data Compression and Encryption in Sensor Networks | 4 |
Cary M. Hayner | US | Evanston | 2015-11-05 / 20150318531 - POLYMER FUNCTIONALIZED GRAPHENE OXIDE AND THERMALLY RESPONSIVE ION PERMEABLE MEMBRANES MADE THEREFROM | 3 |
Mark A. Hayner | US | 2011-09-29 / 20110233364 - ACTUATOR INCLUDING MECHANISM FOR CONVERTING ROTARY MOTION TO LINEAR MOTION | 1 | |
Omri Hayner | IL | Moshav Hagala | 2008-12-18 / 20080313090 - Interaction-management methods and platform for client-agent interaction-related environments | 1 |
Louis Hayner | US | Woolwich | 2014-12-04 / 20140359733 - Authentication System and Method for Authenticating IP Communications Clients at a Central Device | 1 |
David A. Hayner | US | Austin | 2016-05-05 / 20160124521 - REMOTE CUSTOMIZATION OF SENSOR SYSTEM PERFORMANCE | 6 |
Roger E. Hayner | US | Hebron | 2009-03-12 / 20090069620 - RADIATION SHIELDS AND TECHNIQUES FOR RADIATION SHIELDING | 2 |
David Haynes | US | San Francisco | 2016-01-28 / 20160026670 - COMPUTER IMPLEMENTED METHODS AND APPARATUS TO INTERACT WITH RECORDS USING A PUBLISHER OF AN INFORMATION FEED OF AN ONLINE SOCIAL NETWORK | 3 |
Andrew Leo Haynes | NZ | Red Beach | 2016-04-14 / 20160105144 - PHOTOVOLTAIC SYSTEMS | 4 |
Joel Meier Haynes | US | Clifton Park | 2008-11-06 / 20080271376 - FUEL REFORMER SYSTEM AND A METHOD FOR OPERATING THE SAME | 1 |
Aisha Suzette Haynes | US | Far Rockaway | 2012-04-19 / 20120094124 - ELECTROSPUN SINGLE CRYSTAL MoO3 NANOWIRES FOR BIO-CHEM SENSING PROBES | 2 |
Joel Meier Haynes | US | Niskayuna | 2016-03-03 / 20160061452 - CORRUGATED CYCLONE MIXER ASSEMBLY TO FACILITATE REDUCED NOX EMISSIONS AND IMPROVE OPERABILITY IN A COMBUSTOR SYSTEM | 9 |
Michael Haynes | US | Attleboro | 2015-12-10 / 20150357621 - LOW NICKEL, MULTIPLE LAYER LAMINATE SYSTEM | 1 |
Lia M. Haynes | US | Lithonia | 2013-05-30 / 20130136759 - ANTI-RSV IMMUNOGENS AND METHODS OF IMMUNIZATION | 2 |
Charles A. Haynes | CA | Vancouver | 2016-05-19 / 20160139121 - Methods for Assaying Cellular Binding Interactions | 2 |
Joel Haynes | US | Bozeman | 2015-01-22 / 20150023995 - VIRUS-LIKE PARTICLES COMPRISING COMPOSITE CAPSID AMINO ACID SEQUENCES FOR ENHANCED CROSS REACTIVITY | 2 |
Ian Haynes | AU | Brisbane | 2010-08-19 / 20100208070 - COMPUTERIZED INFORMATION COLLECTION AND TRAINING METHOD AND APPARATUS | 1 |
Mark Spencer Haynes | US | Bloomfield Hills | 2013-05-30 / 20130135136 - IMAGING SYSTEM AND METHOD | 1 |
Darrell C. Haynes | US | Rochester | 2009-03-05 / 20090061455 - Use of Glycosaminoglycans to Reduce Non-Specific Binding in Immunoassays | 1 |
Harold Haynes | CA | Airdrie | 2012-06-14 / 20120148964 - CYCLONIC BURNER WITH SEPARATION PLATE IN THE COMBUSTION CHAMBER | 1 |
Jack V. Haynes | US | Houston | 2011-10-06 / 20110241897 - SYSTEM AND METHOD FOR REAL TIME DATA TRANSMISSION DURING WELL COMPLETIONS | 1 |
Nicolas John Haynes | GB | Enstone | 2012-01-12 / 20120008803 - AUDIO PROCESSING WITH TIME ADVANCED INSERTED PAYLOAD SIGNAL | 1 |
Harvey Hilbert Haynes | US | Oakland | 2014-07-24 / 20140205378 - Contraction Joint Installer for Concrete Slabs | 1 |
Todd Haynes | US | Herndon | 2011-06-16 / 20110142057 - Interfacing Real and Virtual Networks in Hardware-in-the-Loop (HITL) Simulations | 1 |
Paul Haynes | US | Christiansburg | 2014-06-05 / 20140152474 - Safety Directional Indicator | 2 |
Robert Daniel Haynes | US | Tucker | 2012-12-06 / 20120305206 - METHOD FOR REMOVING INK FROM PAPER | 1 |
Laura Haynes | US | Saranac Lake | 2011-09-29 / 20110236376 - NON-NEUTRALIZING IMMUNITY TO INFLUENZA TO PREVENT SECONDARY BACTERIAL PNEUMONIA | 1 |
Jonathan Haynes | US | Petersham | 2012-12-06 / 20120306137 - PARALLEL SINGLE SUBSTRATE PROCESSING SYSTEM | 1 |
Andrew Leo Haynes | NZ | Auckland | 2016-05-19 / 20160138251 - ENCASEMENT | 15 |
Theresa Clare Haynes | GB | Gateshead | 2009-09-03 / 20090217463 - DETERGENT COMPOSITION COMPRISING LIPASE | 1 |
Francis Haynes | CA | Terrebonne | 2010-02-18 / 20100040993 - APPARATUS AND METHOD FOR DETECTING DENTAL PATHOLOGIES | 1 |
Michael L. Haynes | US | Columbus | 2016-04-21 / 20160106555 - ADDITIVE MANUFACTURING PRODUCED PROSTHETIC FOOT | 6 |
Jacqueline A. Haynes | US | Potomac | 2011-11-03 / 20110270883 - Automated Short Free-Text Scoring Method and System | 1 |
Nancy-Ellen Haynes | US | Cranford | 2013-12-26 / 20130345215 - PYRAZOLOPYRIMIDONE AND PYRAZOLOPYRIDONE INHIBITORS OF TANKYRASE | 11 |
Thomas Haynes | US | San Ramon | 2012-10-25 / 20120272320 - METHOD AND SYSTEM FOR PROVIDING MOBILE DEVICE SCANNING | 1 |
Ian Matthew Haynes | GB | Pitsford | 2009-10-01 / 20090249183 - SYSTEM AND METHOD FOR PROCESSING A TEXT FILE | 2 |
Stephen Ricky Haynes | US | Sterling | 2013-04-04 / 20130086685 - SECURE INTEGRATED CYBERSPACE SECURITY AND SITUATIONAL AWARENESS SYSTEM | 2 |
Jeffrey D. Haynes | US | Canoga Park | 2013-05-09 / 20130112672 - LASER CONFIGURATION FOR ADDITIVE MANUFACTURING | 4 |
Brett Louis Haynes | US | Corpus Christi | 2014-11-20 / 20140341754 - Vacuum Truck with Loading Pump | 1 |
Peter Elliott Haynes | US | Costa Mesa | 2015-08-27 / 20150242556 - METHODS AND SYSTEMS FOR FILTERING COMPONENTS IN HIERARCHICALLY-REFERENCED DATA | 2 |
Mark Haynes | US | Albuquerque | 2014-11-20 / 20140343347 - METHODS AND RELATED COMPOSITIONS FOR THE TREATMENT OF CANCER | 2 |
Joel Haynes | US | Schenectady | 2012-06-28 / 20120164589 - OPTICAL COMBUSTOR PROBE SYSTEM | 1 |
Bill Haynes | US | Aurora | 2015-04-23 / 20150107891 - APPARATUS FOR EDDY CURRENT INHIBITING ELECTRO-MAGNETIC INTERFERENCE SHIELDING | 1 |
Thomas W. Haynes | US | San Ramon | 2015-11-19 / 20150332417 - PROPERTY NOTIFICATION AND TRIP PLANNING | 32 |
Joel Meier Haynes | US | Niskayuna | 2016-03-03 / 20160061452 - CORRUGATED CYCLONE MIXER ASSEMBLY TO FACILITATE REDUCED NOX EMISSIONS AND IMPROVE OPERABILITY IN A COMBUSTOR SYSTEM | 9 |
Ian Frederick Haynes | AU | Brisbane | 2009-10-22 / 20090262191 - Computerized information collection and training method and apparatus | 1 |
Bryan D. Haynes | US | Cummings | 2014-06-19 / 20140170922 - Low Density Fibers and Methods for Forming Same | 1 |
Ryan Haynes | US | Calhoun | 2015-11-12 / 20150325133 - INTELLIGENT DELIVERY OF EDUCATIONAL RESOURCES | 1 |
John T. Haynes | US | Amarillo | 2009-12-24 / 20090318801 - DETERMINING RESPIRATORY OR CIRCULATORY HEALTH CONDITION IN ANIMALS FOR IMPROVED MANAGEMENT | 1 |
Thomas E. Haynes | US | Mountain View | 2014-10-02 / 20140294192 - Bendable Cord For Controlling An Electronic Device | 1 |
Ernesto Haynes | US | Laredo | 2010-10-21 / 20100264619 - Bicycle pedaling system | 1 |
Shelley Kay Haynes | US | West Helena | 2013-03-21 / 20130072097 - Contouring sanding pad | 2 |
Conley Matthew Haynes | US | Atlanta | 2012-03-22 / 20120072483 - METHODS AND APPARATUS FOR CONVERTING AND TRANSMITTING DATA | 1 |
David Haynes | US | St. Peters | 2014-12-25 / 20140379158 - DEMAND RESPONSE SYSTEM WITH FIELD AREA NETWORK GATEWAY | 3 |
Daniel F. Haynes | US | Jonesborough | 2015-11-19 / 20150327941 - RADIATION SHIELDING IMPLANTS AND METHODS OF USE | 1 |
Brian S. Haynes | AU | New South Wales | 2012-05-03 / 20120108681 - Multiple Reactor Chemical Production System | 2 |
Simon Mark Haynes | GB | Send | 2015-09-10 / 20150254005 - HIGH SPEED INPUT/OUTPUT PERFORMANCE IN SOLID STATE DEVICES | 4 |
Noel C. Haynes | CA | Milton | 2016-03-31 / 20160090172 - LANDING GEAR COMPONENTS HAVING IMPROVED JOINTS | 1 |
Tommy D. Haynes | US | Macksville | 2016-03-17 / 20160075198 - Method for Towing a Heavy Vehicle | 1 |
Michael R. Haynes | US | Oklahoma City | 2012-03-29 / 20120073994 - METHOD AND DEVICE FOR ENSURING MINT-DIRECT PACKAGING IN TAMPER-EVIDENT PACKAGING | 1 |
West O. Haynes | US | Medical Lake | 2016-03-24 / 20160081274 - Berry Picking Systems | 1 |
Robert A. Haynes | US | Bel Air | 2016-03-24 / 20160084789 - METHOD FOR INSPECTING COMPOSITE MATERIAL COMPONENTS | 1 |
Clarence Lee Haynes | US | Flowery Branch | 2011-06-02 / 20110127723 - Marksman target stand | 1 |
David Haynes | US | Waco | 2009-06-04 / 20090143766 - Hydroxyphenyl cross-linked macromolecular network and applications thereof | 3 |
Andrew Leo Haynes | NZ | Auckland | 2016-05-19 / 20160138251 - ENCASEMENT | 15 |
David F. Haynes | US | Arlington | 2013-01-03 / 20130001841 - Variable Stiffness Support | 3 |
Andrew Haynes | US | Houston | 2010-12-02 / 20100300702 - Wellbore Shut Off Valve with Hydraulic Actuator System | 3 |
James W. Haynes | US | Oxford | 2011-09-01 / 20110209470 - TRANSMISSION HYDRAULIC CONTROL SYSTEM HAVING A PUMP BYPASS VALVE | 3 |
Richard K. Haynes | CN | Hong Kong | 2015-03-12 / 20150072979 - METHODS AND COMPOSITIONS FOR TREATING VIRAL INFECTIONS | 1 |
Cole M. Haynes | US | New York | 2013-09-19 / 20130244903 - MODULATORS OF ALPHA-SYNUCLEIN TOXICITY | 2 |
Daniel Haynes | US | Morgantown | 2013-06-13 / 20130146814 - METHOD OF PREPARING AND UTILIZING A CATALYST SYSTEM FOR AN OXIDATION PROCESS ON A GASEOUS HYDROCARBON STREAM | 1 |
Evan Haynes | US | Mckinney | 2015-12-17 / 20150362363 - Derotation Assembly and Method for a Scanning Sensor | 1 |
Brian Haynes | GB | West Yorkshire | 2014-02-27 / 20140054861 - METAL ENCAPSULATED COMPOSITE SEAL | 2 |
Neil Haynes | GB | Benfleet | 2015-05-28 / 20150144081 - ENGINE VALVE DEACTIVATION SYSTEM | 1 |
Robert Haynes | US | Pleasanton | 2014-10-30 / 20140318855 - MULTI-LAYER CERAMIC VACUUM TO ATMOSPHERE ELECTRIC FEED THROUGH | 5 |
Simon Haynes | GB | Ashford | 2011-11-24 / 20110285413 - High Voltage Liquid Dielectric Test Vessel | 1 |
Phillip Haynes | GB | London | 2010-08-12 / 20100205081 - COMPUTERIZED TRADING SYSTEM | 1 |
Simon Dominic Haynes | GB | Hampshire | 2013-06-27 / 20130163817 - METHOD AND AN APPARATUS FOR GENERATING IMAGE CONTENT | 3 |
George C. Haynes | US | Knoxville | 2012-04-19 / 20120091645 - Systems and Methods of Installing Skid Plates to Vehicles | 1 |
Andrew L. Haynes | US | Glastonbury | 2015-12-10 / 20150354358 - Post-Peen Grinding of Disk Alloys | 6 |
Debra Haynes | US | Costa Mesa | 2012-04-19 / 20120091307 - STAND AND METHOD FOR HANDS FREE READING AND VIEWING | 1 |
Kyle Haynes | US | Redwood City | 2014-04-03 / 20140093804 - METAL-HALOGEN FLOW BATTERY WITH SHUNT CURRENT INTERRUPTION AND SEALING FEATURES | 5 |
Gary Haynes | US | Sorrento | 2015-09-24 / 20150270087 - FUSE INSULATING SUPPORT BRACKET WITH PRE-MOLDED SHED | 1 |
Michael L. Haynes | US | Denver | 2015-09-24 / 20150269821 - Systems and Methods for Controlling Electrical Current and Associated Appliances and Notification Thereof | 1 |
Simon Haynes | GB | Send | 2008-08-21 / 20080201661 - Remote flash storage management | 3 |
Nicolas Haynes | GB | Oxfordshire | 2011-04-07 / 20110081027 - AUDIO REPAIR METHODS AND APPARATUS | 1 |
Joshua Haynes | US | Santee | 2016-05-05 / 20160121647 - Vehicle Wheel Center Cap Adapter | 1 |
Nicolas John Haynes | GB | Oxfordshire | 2008-11-06 / 20080275697 - Audio Processing | 2 |
Simon Dominic Haynes | GB | Fleet | 2012-05-17 / 20120121090 - CONTENT PROTECTION METHOD AND APPARATUS | 4 |
John R. Haynes | US | Groton | 2013-02-14 / 20130041646 - SYSTEM AND METHOD FOR EMERGENCY MESSAGE PREVIEW AND TRANSMISSION | 2 |
Brian Scott Haynes | AU | French Forest | 2013-06-13 / 20130149230 - INTEGRATED PROCESS FOR PRODUCING AMMONIUM NITRATE | 1 |
Derek Haynes | US | Bonita Springs | 2015-01-08 / 20150008843 - SYSTEMS AND METHODS FOR POWER MANAGEMENT IN A BEACON | 2 |
Michael S. Haynes | US | Seattle | 2010-08-26 / 20100213800 - System and Method for Stowage Compartment Pivot Assembly | 2 |
Edward Haynes | US | Suwanee | 2016-01-28 / 20160029341 - Radio Access Point Location Verification using GPS Location and Radio Environment Data | 4 |
George P. Haynes | US | Baltimore | 2014-03-06 / 20140062028 - GAS SEAL FOR AEROSPACE ENGINES AND THE LIKE | 3 |
Anna Jo Haynes | US | Denver | 2012-07-19 / 20120183936 - Childhood Educational Program Rating System and Method | 3 |
Michael Haynes | US | 2009-07-02 / 20090169918 - Metallic Laminate Composite | 1 | |
Annie Pierce Haynes | US | Troutman | 2011-04-14 / 20110083757 - AIR TREATMENT DEVICE AND HOUSING FOR AN AIR TREATMENT DEVICE | 1 |
James W. Haynes | US | Saline | 2009-03-26 / 20090078082 - Adapter Ring For Transmission Case To Engine Connection | 2 |
Scott L. Haynes | US | Saginaw | 2011-04-28 / 20110094893 - SILVER CATHODE ACTIVATION | 1 |
Fred Haynes | US | Memphis | 2011-03-31 / 20110076478 - DUST-REPELLENT NANOPARTICLE SURFACES | 2 |
Reginald Haynes | US | Rineyville | 2014-11-20 / 20140338687 - FOOT SMOOTH DEAD SKIN REMOVER | 1 |
Thomas Haynes | US | Apex | 2009-11-12 / 20090282110 - CUSTOMIZABLE DYNAMIC E-MAIL DISTRIBUTION LISTS | 1 |
Larry Haynes | US | San Francisco | 2013-11-21 / 20130305429 - X-SHAPED UTILITY GARMENT | 2 |
Joel R. Haynes | US | Mazomanie | 2009-03-05 / 20090060948 - CATIONIC LIPID-MEDIATED ENHANCEMENT OF NUCLEIC ACID IMMUNIZATION OF CATS | 1 |
Kevin M. Haynes | US | Lombard | 2016-02-11 / 20160041025 - REMOVABLE MAGNETOSTRICTIVE PROBE WITH AUTOMATIC CALIBRATION | 6 |
Rod Haynes | US | Missoula | 2010-11-04 / 20100280959 - REAL-TIME SOURCING OF SERVICE PROVIDERS | 1 |
Robin Haynes | US | 2010-10-28 / 20100274445 - Apparatus and method for active stabilization of a two wheeled single track vehicle | 1 | |
Andrew Haynes | NZ | Auckland | 2014-11-20 / 20140342128 - ARRAYS AND METHODS OF MANUFACTURE | 1 |
Thomas W. Haynes | US | San Ramon | 2015-11-19 / 20150332417 - PROPERTY NOTIFICATION AND TRIP PLANNING | 32 |
Thomas N. Haynes | US | Eden Prairie | 2015-12-24 / 20150367288 - COMPOSITE POLYAMIDE MEMBRANE INCLUDING DISSOLVABLE POLYMER COATING | 2 |
Jonathan Scott Haynes | US | Petersham | 2010-05-27 / 20100128396 - LOCATING FEATURE FOR MOUNTING A COMPONENT TO A BASE | 2 |
Leon Ericson Haynes | US | Virginia Beach | 2013-08-15 / 20130212210 - RULE ENGINE MANAGER IN MEMORY DATA TRANSFERS | 4 |
Cecil Fred Haynes | US | Memphis | 2010-04-29 / 20100104442 - FAN BLADE MOUNTING SYSTEM | 1 |
Christy L. Haynes | US | Minneapolis | 2016-02-25 / 20160051471 - MESOPOROUS SILICA-COATED NANOPARTICLES | 3 |
Joel R. Haynes | US | Bozeman | 2014-07-03 / 20140186396 - METHODS FOR STABILIZING INFLUENZA ANTIGEN ENVELOPED VIRUS-BASED VIRUS-LIKE PARTICLE SOLUTIONS | 7 |
Kellie Haynes | US | Tampa | 2010-03-04 / 20100055269 - METHOD FOR PRODUCTION OF COCONUT WATER BEVERAGE AND BLENDED JUICE BEVERAGES WITH COCONUT WATER | 1 |
Thomas Richard Haynes | US | Apex | 2013-03-07 / 20130060861 - CHANGING EMAIL ADDRESSEE CATEGORIZATION | 7 |
Riccardo V. Haynes | US | Medina | 2010-01-28 / 20100019479 - COMBINATION TRUNK COVER WITH SPOILER AND SCROLLING DISPLAY | 1 |
Robert Haynes | US | Bristol | 2010-01-14 / 20100008745 - Coated staple and fastening tool for the same | 1 |
Bryan D. Haynes | US | Cumming | 2009-12-31 / 20090325448 - Elastic Composite Containing a Low Strength and Lightweight Nonwoven Facing | 1 |
Jeffrey D. Haynes | US | Stuart | 2013-01-03 / 20130001321 - HYBRID EXHAUST COMPONENT | 3 |
Claude E. Haynes | US | Hanford | 2009-10-29 / 20090265897 - Mouse Support Tray for Computer | 1 |
Kent Dixon Haynes | US | Sandy | 2009-10-01 / 20090248314 - Network-based system and method for diagnostic pathology | 1 |
Arden Haynes | US | Knoxville | 2012-03-29 / 20120074147 - Vapor Emission Control Fuel Cap | 2 |
Ronald Haynes | US | Fairfield Bay | 2013-06-13 / 20130145567 - TOOTHBRUSH HEAD | 1 |
Michael L. Haynes | US | Bexley | 2012-11-29 / 20120299696 - SYSTEM AND METHOD FOR PROSTHETIC/ORTHOTIC DEVICE COMMUNICATION | 3 |
Kirk Lawton Haynes | US | Columbia | 2009-07-30 / 20090188160 - Method and Device to Compact Biomass | 1 |
Nigel Haynes | GB | Kettering | 2011-12-15 / 20110304416 - SUPERCONDUCTING MAGNET ARRANGEMENT AND METHOD OF MOUNTING THEREOF | 1 |
Clinton A. Haynes | US | Mason | 2014-10-09 / 20140302552 - Multi-Chambered Tissue Containment System for Molecular and Histology Diagnostics | 3 |
Howard D. Haynes | US | Knoxville | 2009-07-02 / 20090170663 - Electrical Signal Analysis to Assess the Physical Condition of a Human or Animal | 2 |
Jonathan Frank Haynes | US | Kenilworth | 2009-07-02 / 20090171748 - USING PRODUCT AND SOCIAL NETWORK DATA TO IMPROVE ONLINE ADVERTISING | 1 |
Gary L. Haynes | US | Scott City | 2009-04-30 / 20090107997 - Leak-proof drinking cup and lid assembly | 3 |
Michael N. Haynes | US | Keswick | 2015-12-17 / 20150363481 - Systems, Devices, and/or Methods for Managing Information | 4 |
Therese Clare Haynes | GB | Gateshead | 2009-09-03 / 20090217464 - DETERGENT COMPOSITION COMPRISING LIPASE | 1 |
Joel Haynes | US | Tarzana | 2012-04-12 / 20120085635 - LARGE SCALE INSULATED DESALINATION SYSTEM | 2 |
George Haynes | US | Jacksonville | 2009-03-26 / 20090082183 - Exercise and Training Apparatus | 1 |
William D. Haynes | US | Clarkston | 2011-11-24 / 20110284783 - OPEN END VARIABLE BLEED SOLENOID (VBS) VALVE WITH INHERENT VISCOUS DAMPENING | 2 |
Christopher L. Haynes | US | Newark | 2009-02-19 / 20090044838 - OZONATION FOR ELIMINATION OF BACTERIA FOR WET PROCESSING SYSTEMS | 1 |
Darrel Wayne Haynes | US | Morton | 2009-02-05 / 20090037250 - System and method for automated processing of claims | 1 |
Joel R. Haynes | US | Madison | 2009-01-15 / 20090017527 - ADVANCED GENETIC VACCINES | 1 |
Elaine E. Haynes | US | St. Louis | 2008-08-21 / 20080200747 - Radiopharmaceutical Pigs and Portable Powered Injectors | 1 |
Greg Haynes | US | St. Augustine | 2012-07-19 / 20120181247 - Biodegradable seeded container | 1 |
Shelley K. Haynes | US | West Helena | 2013-12-12 / 20130331009 - Contouring Sanding Device | 1 |
Stephen Murray Haynes | US | Newburgh | 2011-12-01 / 20110295436 - Engine Generator Control Module | 1 |
Kenneth Haynes | US | Lexington | 2015-01-15 / 20150013213 - MICROFABRICATED SURFACES FOR THE PHYSICAL CAPTURE OF INSECTS | 3 |
Thomas W. Haynes | US | Concord | 2016-04-21 / 20160110759 - PRESENTING SMART BILLBOARD CONTENT BASED ON OPTICAL PATTERN RECOGNITION OF VEHICLE INFORMATION | 2 |
Nicole Szabados Haynes | CA | Richmond Hill | 2008-10-23 / 20080259321 - System and Method for Rapid Reading of Macro and Micro Matrices | 1 |
Robert W. Haynes | US | Morro Bay | 2014-07-10 / 20140190102 - HOLE BORING TOOL | 2 |
Barton F. Haynes | US | Durham | 2016-04-28 / 20160115205 - POLYVALENT HIV-1 IMMUNOGEN | 61 |
Michael W. Haynes | US | Cobleskill | 2015-09-03 / 20150247452 - Planetary Crank Gear Design for Internal Combustion Engines | 1 |
Marcus Jerome Haynes | US | Painted Post | 2013-04-25 / 20130100379 - SEMITRANSPARENT ELECTRONIC DEVICE | 2 |
Nancy-Ellen Haynes | US | Cranford | 2013-12-26 / 20130345215 - PYRAZOLOPYRIMIDONE AND PYRAZOLOPYRIDONE INHIBITORS OF TANKYRASE | 11 |
David Haynes | US | O'Fallon | 2012-04-26 / 20120101651 - ACHIEVING ENERGY DEMAND RESPONSE USING PRICE SIGNALS AND A LOAD CONTROL TRANSPONDER | 1 |
Lynn C. Haynes | US | Morris Plains | 2014-04-17 / 20140106052 - STABILIZED WHOLE GRAIN FLOUR AND METHOD OF MAKING | 6 |
Lynn Haynes | US | Morris Plains | 2016-01-28 / 20160021899 - Bran And Germ Flavor And Texture Improvement | 5 |
Barton Haynes | US | Durham | 2014-08-07 / 20140221625 - B CELL LINEAGE BASED IMMUNOGEN DESIGN WITH HUMANIZED ANIMALS | 1 |
John Dylan Haynes | DE | Berlin | 2013-05-09 / 20130116091 - TRAINING APPARATUS AND SYSTEM WITH MUSICAL FEEDBACK | 1 |
Daniel J. Haynes | US | Morgantown | 2014-12-11 / 20140363365 - METHOD FOR CONTINUOUS SYNTHESIS OF METAL OXIDE POWDERS | 1 |
Joseph Haynes | US | Rockford | 2012-05-03 / 20120107142 - INTERNALLY SUPPLIED AIR JET COOLING FOR A HYDRAULIC PUMP | 2 |
Ryan Haynes | US | Sandy | 2016-04-28 / 20160118111 - REDUCED LEVEL CELL MODE FOR NON-VOLATILE MEMORY | 1 |
Steven Haynes | US | Worcester | 2014-03-06 / 20140059749 - First Responder Protective Uniform | 1 |
Jessica Haynes | US | West Bend | 2014-03-06 / 20140060869 - DOWN PRESSURE CONTROL SYSTEM FOR AN AGRICULTURAL IMPLEMENT | 4 |
Dwayne Haynes | US | Gainesville | 2014-03-06 / 20140060563 - HAND BRUSH AND METHODS OF USE | 1 |
Thomas R. Haynes | US | Apex | 2013-06-06 / 20130144959 - Using Text Summaries of Images to Conduct Bandwidth Sensitive Status Updates | 28 |
Clovis D. Haynes | US | Carlisle | 2014-05-08 / 20140126936 - HIGH RESISTIVITY COATING COMPOSITIONS HAVING UNIQUE PERCOLATION BEHAVIOR, AND ELECTROSTATIC IMAGE DEVELOPING SYSTEMS AND COMPONENTS THEREOF INCORPORATING SAME | 1 |
Ryan Haynes | US | Salt Lake City | 2014-02-27 / 20140059406 - REDUCED LEVEL CELL MODE FOR NON-VOLATILE MEMORY | 1 |
Tyrone Haynes | US | Anderson | 2014-05-08 / 20140126948 - DOUBLE-HEADED DEODORANT DISPENSER | 1 |
Ronald Wayne Haynes | US | Fairfield Bay | 2015-07-09 / 20150190218 - Electric Toothbrush With Drive Mechanism | 3 |
Comas Haynes | US | Covington | 2014-01-23 / 20140023948 - ENHANCEMENT OF IN SITU RADIATION FOR FACILITATED THERMAL MANAGEMENT OF HIGH TEMPERATURE FUEL CELLS | 1 |
Scott Lee Haynes | US | Saginaw | 2013-10-03 / 20130256148 - SILVER CATHODE ACTIVATION | 1 |
Thomas D. Haynes | US | Tulsa | 2014-09-11 / 20140259123 - ALIASING OF EXPORTED PATHS IN A STORAGE SYSTEM | 1 |
Andrew Leo Haynes | NZ | Redbeach | 2014-12-04 / 20140352760 - PHOTOVOLTAIC SYSTEMS | 1 |
Crystal Ann Haynes | US | Bellflower | 2013-10-24 / 20130276806 - SCALP SOOTHER | 1 |
Sarah Haynes | GB | Cheadle Hulme, Cheshire | 2015-10-08 / 20150283310 - SURGICAL SWAB WASHING METHOD AND APPARATUS | 1 |
Brian Scott Haynes | AU | Frenchs Forest | 2015-07-23 / 20150203360 - Process for Producing Ammonium Nitrate | 4 |
Tim Haynes | US | Encinitas | 2013-05-23 / 20130128022 - INTELLIGENT MOTION CAPTURE ELEMENT | 1 |
Andrew Haynes | NZ | Whangaparaoa | 2013-05-02 / 20130109585 - MICROARRAYS | 1 |
Andrew L. Haynes | NZ | Auckland | 2014-03-13 / 20140069417 - POLYMERIC SOLAR CONCENTRATOR AND SOLAR THERMAL DEVICE INCORPORATING SAME | 1 |
John T. Haynes | US | Canyon | 2013-02-21 / 20130046170 - DETERMINING RESPIRATORY OR CIRCULATORY HEALTH CONDITION IN ANIMALS FOR IMPROVED MANAGEMENT | 2 |
Joel Meier Haynes | US | Schenectady | 2014-01-09 / 20140007584 - SYSTEM AND METHOD FOR REDUCING PRESSURE OSCILLATIONS WITHIN A GAS TURBINE ENGINE | 5 |
W. Mark Haynes | US | Huntington Beach | 2013-06-06 / 20130141795 - Collapsible snoot assembly for motion picture lighting fixture | 1 |
Mark K. Haynes | US | Albuquerque | 2015-07-09 / 20150190408 - METHODS FOR TREATING BACTERIAL INFECTION | 2 |
William Biddle Haynes | US | Simpsonville | 2013-05-09 / 20130117459 - SYSTEM AND METHOD OF IMPLEMENTING AGGREGATED VIRTUAL PRIVATE NETWORK (VPN) SETTINGS THROUGH A SIMPLIFIED GRAPHICAL USER INTERFACE (GUI) | 1 |
Raymond Lee Haynes, Ii | US | Smithville | 2013-09-26 / 20130254645 - System for and Method of Annotation of Digital Content and for Sharing of Annotations of Digital Content | 5 |
Thomas G. Haynes, Iii | US | Tampa | 2015-11-12 / 20150322548 - ATOMIZED PICOSCALE COMPOSITION ALUMINUM ALLOY AND METHOD THEREOF | 6 |
James M. Haynes, Iii | US | San Francisco | 2015-03-19 / 20150081594 - COMMERCIAL INVESTMENT ANALYSIS | 11 |
Donald Edward Haynes, Jr. | US | Tampa | 2011-12-08 / 20110300464 - Apparatus and method of recovering vapors | 1 |
Sharon L. Haynie | US | Philadelphia | 2012-12-27 / 20120328534 - ENZYMATIC PERACID GENERATION FOR USE IN ORAL CARE PRODUCTS | 2 |
Carlton Craig Haynie | US | Cypress | 2010-02-18 / 20100042527 - Storm Commodity Forecast System and Method | 1 |
Scott Haynie | US | Santa Monica | 2011-11-03 / 20110270989 - EFFICIENT CACHING FOR DYNAMIC WEBSERVICE QUERIES USING CACHABLE FRAGMENTS | 2 |
Rebecca S. Haynie | US | Carlton | 2011-02-24 / 20110045556 - ALGAL LIPID HARVEST USING MOLLUSKS FOR BIOFUELS PRODUCTION | 1 |
Donald Templeton Haynie | US | Tampa | 2010-09-30 / 20100247633 - Polypeptide Films and Methods | 2 |
Howard M. Haynie | US | Wappingers Falls | 2015-02-26 / 20150058848 - ENCAPSULATION OF AN APPLICATION FOR VIRTUALIZATION | 16 |
David Haynie | US | Euless | 2010-12-02 / 20100301160 - Method and Apparatus for Improved Vibration Isolation | 1 |
Donald T. Haynie | US | Tampa | 2013-05-09 / 20130115457 - POLYPEPTIDE ELECTROSPUN NANOFIBRILS OF DEFINED COMPOSITION | 6 |
David B. Haynie | US | Monroeville | 2014-06-12 / 20140160996 - SYSTEM AND METHOD FOR DECENTRALIZED VOICE CONFERENCING OVER DYNAMIC NETWORKS | 1 |
Cynthia Haynie | US | Houston | 2008-09-04 / 20080215388 - METHOD, SYSTEM AND PROGRAM FOR CREDIT RISK MANAGEMENT UTILIZING CREDIT LIMITS | 1 |
Sheldon D. Haynie | US | San Martin | 2012-07-12 / 20120175724 - Trenched Schottky Diode and Method of Forming a Trenched Schottky Diode | 1 |
Howard M. Haynie | US | Wappingers Falls | 2015-02-26 / 20150058848 - ENCAPSULATION OF AN APPLICATION FOR VIRTUALIZATION | 16 |
David B. Haynie | US | Palo Alto | 2013-03-28 / 20130075393 - COLLAPSIBLE BOTTLE | 1 |
David Bruce Haynie | US | Monroeville | 2015-08-20 / 20150237659 - Continuous Load Distributed Power Generation In A Mesh Networked System | 1 |
Joel L. Haynie | US | 2013-10-10 / 20130266152 - SYNCHRONIZING WIRELESS EARPHONES | 1 | |
Michael B. Haynie | US | Harmony | 2010-12-09 / 20100312461 - SYSTEM AND METHOD FOR VITALLY DETERMINING POSITION AND POSITION UNCERTAINTY OF A RAILROAD VEHICLE EMPLOYING DIVERSE SENSORS INCLUDING A GLOBAL POSITIONING SYSTEM SENSOR | 1 |
David A. Haynie | US | Euless | 2011-02-03 / 20110027083 - Lead-Lag Damper for Rotor Hubs | 2 |
David Brock Haynie | US | Palo Alto | 2011-07-07 / 20110163102 - Compartment Insert for Threaded Receptacle | 1 |
Donald T. Haynie | US | New Haven | 2009-09-17 / 20090233074 - Multilayer Films, Coatings, and Microcapsules Comprising Polypeptides | 2 |
Steven P. Haynie | US | Huffman | 2009-02-12 / 20090043054 - Monomer concentration prediction and control in a polymerization process | 1 |
Joel L. Haynie | US | Deforest | 2015-12-31 / 20150382387 - CONFIGURING WIRELESS DEVICES FOR A WIRELESS INFRASTRUCTURE NETWORK | 3 |
Roger Haynie | US | Dublin | 2014-04-03 / 20140095501 - System and Method of Integrating Enterprise Applications | 2 |
Donald Templeton Haynie | US | New Haven | 2008-10-02 / 20080241228 - Polypeptide Films and Methods | 1 |
Jill E. Haynie | US | Santa Monica | 2010-06-10 / 20100141960 - DIGITIZER USING PLURAL CAPTURE METHODS TO IMAGE FEATURES OF 3-D OBJECTS | 1 |
Gaby Hayon | IL | Jerusalem | 2010-07-08 / 20100172542 - BUNDLING OF DRIVER ASSISTANCE SYSTEMS | 1 |
Ofek Hayon | US | Henderson | 2016-01-07 / 20160007052 - LIVE STREAMING BROADCAST SERVICE WITH ARTIST AND FAN COMPETITIVE REWARD SYSTEM | 1 |
Gaby Hayon | IL | Meveseret Zion | 2015-04-16 / 20150103159 - FORWARD-FACING MULTI-IMAGING SYSTEM FOR NAVIGATING A VEHICLE | 1 |
Tal Hayon | IL | Omer | 2015-12-31 / 20150379816 - SYSTEM AND METHOD FOR PROVIDING A WAGERING GAME DURING A LIVE SPORTING EVENT | 1 |
Alexandre Hayon | CA | Montreal | 2011-11-10 / 20110276366 - METHOD AND SYSTEM FOR EVALUATING A MOBILE DEVICE MANUFACTURER PERFORMANCE | 1 |
Yoel Hayon | IL | Givatayim | 2008-11-06 / 20080273113 - Integrated graphics and KVM system | 1 |
Thomas Hayosh | US | Lake Zurich | 2010-10-21 / 20100268773 - System and Method for Displaying Information Content with Selective Horizontal Scrolling | 2 |
Thomas E. Hayosh | US | Lake Zurich | 2010-06-10 / 20100145924 - Methods and Devices for Locating Information on a Web Page | 2 |
Thomas Eric Hayosh | US | Lake Zurich | 2010-12-02 / 20100306643 - Methods and Systems for Processing Document Object Models (DOM) to Process Video Content | 2 |
Alexandre Hayot | FR | Baie-Mahault | 2014-03-13 / 20140069559 - SKID AID | 2 |
Pierre Hayot | FR | Clamart | 2013-01-10 / 20130013190 - Navigation Method for Mobile Terminals with Centralized Server | 1 |
Vladimir Hayot | FR | Ducos | 2014-05-22 / 20140141208 - METHOD FOR MANUFACTURING SHEETS FROM THE STEM OF THE BANANA PLANT, AND SHEET PRODUCED BY SUCH A METHOD | 1 |
Pierre Hayot | FR | Clermont-Ferrand Cedex 9 | 2013-11-07 / 20130297208 - Cartography System and Method with Representation by Related Sections | 2 |
Hanan Hayot | US | Newton | 2014-03-27 / 20140088921 - NON-DESTRUCTIVE TESTING INSTRUMENT WITH DISPLAY FEATURES INDICATING SIGNAL SATURATION | 1 |
Pierre Hayot | FR | Clermont-Ferrand Cedex | 2014-12-18 / 20140372023 - Navigation Method And System With Centralised Server | 2 |
Zvi Hayouka | IL | Jerusalem | 2016-02-04 / 20160032263 - HIV-1 INTEGRASE DERIVED PEPTIDES AND COMPOSITIONS | 2 |
Yariv Hayoun | IL | Nir Tzvi | 2013-05-09 / 20130114649 - SIGNAL CANCELLATION IN A SATELLITE COMMUNICATION SYSTEM | 2 |
Pascaline Hayoun | FR | Mennecy | 2014-04-10 / 20140099849 - PIGMENT PASTE COMPOSITION | 1 |
Mustapha Hayouna | US | Phoenix | 2009-02-12 / 20090042665 - Composite Golf Club Hosels and Methods of Use Thereof | 1 |
Pascal Hayoz | CH | Hofstetten | 2016-02-18 / 20160049588 - POLYMERS BASED ON NAPHTHODIONES | 34 |
Tigran Hayrapetyan | US | Woodinville | 2011-12-01 / 20110295871 - Filtering and Sorting Information | 1 |
Tigran Hayrapetyan | US | Kirkland | 2011-07-07 / 20110167330 - DYNAMICALLY FILTERING AGGREGATE REPORTS BASED ON VALUES RESULTING FROM ONE OR MORE PREVIOUSLY APPLIED FILTERS | 1 |
Levon Hayrapetyan | IE | Dublin | 2016-01-28 / 20160028847 - ESTABLISHING CACHES THAT PROVIDE DYNAMIC, AUTHORITATIVE DNS RESPONSES | 1 |
Valtteri Hayry | FI | Karjalohja | 2016-03-24 / 20160083798 - METHODS AND USES INVOLVING GENETIC ABERRATIONS OF NAV3 AND ABERRANT EXPRESSION OF MULTIPLE GENES | 1 |
Anthony Beckman Hays | US | Jackson | 2012-11-22 / 20120291241 - DOCKING SYSTEM | 7 |
Timothy C. Hays | US | Troy | 2012-05-03 / 20120103942 - METHOD FOR MANUFACTURING A TUBULAR FRAME STRUCTURE WITH STAND ALONE NODE | 1 |
Mrs. Doris M. Hays | US | Warren | 2014-06-12 / 20140158910 - DISINFECTING DEVICE | 1 |
James Hays | US | Inglewood | 2015-01-29 / 20150030578 - METHOD FOR PRODUCING ACTIVATED AUTOLOGOUS PLATELET RICH AND PLATELET POOR PLASMA AND METHODS OF USE | 1 |
Bryan Hays | US | Damascus | 2014-05-08 / 20140125586 - Haptic Automated Communication System | 2 |
Roy Hays | US | Seattle | 2014-05-22 / 20140142963 - System and Method for Providing Patient Care | 3 |
Boyd Leon Hays | US | Kirkland | 2012-03-22 / 20120072480 - ELASTIC MANAGEMENT FRAMEWORK | 1 |
Rodger Hays | US | Columbus | 2009-05-14 / 20090121203 - LAWN AND GARDEN EQUIPMENT LIFT | 1 |
Jonathan G. Hays | US | Austin | 2011-03-03 / 20110053557 - KEY CONTROL WITH REAL TIME COMMUNICATIONS TO REMOTE LOCATIONS | 1 |
Paul Byron Hays | US | Ann Arbor | 2015-08-20 / 20150233962 - ATMOSPHERIC MEASUREMENT SYSTEM | 4 |
Gary I. Hays | US | Bakersfield | 2014-06-12 / 20140158169 - Cleaning System Having Heated Cleaning Enclosure for Cleaning Heat Exchanger Tube Bundles | 3 |
James Michael Hays | US | Arcadia | 2011-05-05 / 20110103889 - SOIL STABILIZATION BLEND AND METHOD OF SOIL STABILIZATION | 1 |
David Cecil Hays | US | Gainesville | 2011-03-31 / 20110075142 - OPTICAL DETECTION SYSTEM | 2 |
Michael D. Hays | US | Research Triangle Park | 2009-03-05 / 20090061473 - Measurement of Carbonaceous Particles in Biological Samples | 1 |
Steve Hays | US | Albuquerque | 2010-02-11 / 20100033019 - MODULAR SOLAR DEVICE POWER DISTRIBUTION | 1 |
Dirk B. Hays | US | College Station | 2009-05-07 / 20090117255 - Wheat Lines And Improved Food Compositions | 1 |
Steven C. Hays | US | Rowley | 2013-01-31 / 20130026356 - System and Method for Ion Implantation with Improved Productivity and Uniformity | 2 |
Morgan R. Hays | US | Swarthmore | 2010-05-20 / 20100125875 - METHOD AND APPARATUS FOR DELIVERING VIDEO AND VIDEO-RELATED CONTENT AT SUB-ASSET LEVEL | 1 |
Thomas M. Hays | US | Blaine | 2016-03-24 / 20160084414 - LOCKING TAPER FLUID CONNECTION INTERFACES | 4 |
Jonathan Gordon Hays | US | Austin | 2010-07-15 / 20100176919 - ONE-TIME ACCESS FOR ELECTRONIC LOCKING DEVICES | 1 |
Evan Hays | US | Dallas | 2010-07-22 / 20100183736 - REHYDRATION BEVERAGE | 1 |
Christopher A. Hays | US | Monroe | 2016-03-31 / 20160092603 - AUTOMATED SUPPLEMENTATION OF DATA MODEL | 12 |
Paul J. Hays | US | Lafayette | 2014-07-31 / 20140208871 - VIBRATORY FLOWMETER AND METHOD FOR AVERAGE FLOW RATE | 17 |
Christopher Alan Hays | US | Monroe | 2015-07-09 / 20150193533 - Finding Data in Connected Corpuses Using Examples | 5 |
Zachary Hays | US | Los Angeles | 2011-11-17 / 20110281620 - SYSTEMS AND METHODS FOR PRESENTING A WAGERING OPPORTUNITY RELATED TO AN ATHLETIC COMPETITION BEING BROADCAST TO A USER | 1 |
Christopher A. Hays | US | Monroe | 2016-03-31 / 20160092603 - AUTOMATED SUPPLEMENTATION OF DATA MODEL | 12 |
David S. Hays | US | Woodbury | 2015-09-24 / 20150267044 - MIXTURES OF POLYDIORGANOSILOXANE POLYAMIDE-CONTAINING COMPONENTS AND ORGANIC POLYMERS | 28 |
James Hays | US | Langhorne | 2011-03-31 / 20110072613 - SPRING BIASED ROLLER FOR A SHOWER DOOR OR THE LIKE | 1 |
Ted Hays | US | Akron | 2015-08-13 / 20150225557 - PRODUCTION OF BLEND OF POLYOLEFIN AND ORGANOPOLYSILOXANE | 1 |
James Hays | US | Orland Park | 2011-10-13 / 20110250365 - Continuously Manufactured Colored Metallic Products and Method of Manufacture of Such Products | 1 |
Tristan Hays | US | Seminole | 2015-12-31 / 20150376575 - PODOCYTE CULTURES AND USES THEREOF | 1 |
John Michael Hays | US | Bartlesville | 2014-10-23 / 20140311948 - MERCURY REMOVAL WITH AMINE SORBENTS | 5 |
Anthony F. Hays | US | Aurora | 2010-01-21 / 20100017214 - EXTENDED SERVICES ORIENTED ARCHITECTURE FOR DISTRIBUTED ANALYTICS | 1 |
Alexander H. Hays | US | Peoria | 2010-06-03 / 20100133898 - Sound Reduce Segmented Idler For Track-Type Vehicles | 1 |
Wayne Hays | US | Tulsa | 2010-06-10 / 20100140524 - Aseptic Flow Control Valve With Outside Diameter Valve Closure | 1 |
Nicholas Hays | US | Cambridge | 2013-02-21 / 20130046605 - GROUP BUYING METHOD AND SYSTEM FOR GROCERY AND OTHER MULTI-RETAILER ENVIRONMENTS | 1 |
Kristina M. Hays | US | Rochester | 2012-05-10 / 20120114272 - DRAWER MOUNTING SYSTEM | 1 |
Jonathan G. Hays | US | Newberg | 2010-01-14 / 20100011418 - KEY CONTROL WITH REAL TIME COMMUNICATIONS TO REMOTE LOCATIONS | 3 |
Harlen Hays | US | Lawrence | 2015-11-05 / 20150317446 - HEALTH FORECASTER | 3 |
John M. Hays | US | Bartlesville | 2014-08-21 / 20140231354 - HEAVY METAL REMOVAL METHODS AND SYSTEMS | 7 |
Kevin P. Hays | US | Aztec | 2009-12-24 / 20090318039 - Boat engine cooling system | 1 |
Lance G. Hays | US | Costa Mesa | 2016-04-07 / 20160096123 - Four phase vertical rotary separator | 1 |
Laura Hays | US | Portland | 2009-12-10 / 20090305266 - TEST FOR OVARIAN CANCER BY DETECTING ABNORMALITY IN FANCD2 PATHWAY | 1 |
Greta Jo Hays | US | Logan | 2014-05-22 / 20140142697 - FIXATION SCREW, GRAFT LIGAMENT ANCHOR ASSEMBLY, AND METHOD FOR SECURING A GRAFT LIGAMENT IN A BONE TUNNEL | 6 |
Jonathan Gordon Hays | US | Newberg | 2009-07-02 / 20090167488 - GPS ENABLED KEY MANAGEMENT SYSTEM | 1 |
Dan A. Hays | US | Fairport | 2011-06-09 / 20110135836 - Non-interactive electrostatic deposition of induction charged conductive powder | 3 |
Andrew W. Hays | US | Fairport | 2015-09-10 / 20150250201 - PRINTING 3D TEMPERED CHOCOLATE | 28 |
Andrew Wayne Hays | US | Fairport | 2013-08-22 / 20130215197 - PRINTHEAD FLUID PATHS FORMED WITH SACRIFICIAL MATERIAL PATTERNED USING ADDITIVE MANUFACTURING PROCESSES | 3 |
James Hays | US | Windsor | 2013-08-01 / 20130198788 - SOCIAL VIDEO NETWORK | 2 |
Andrew Hays | US | Fairport | 2008-10-16 / 20080252693 - METHOD, APPARATUS AND PRINTHEAD FOR CONTINOUS MEMS INK JETS | 1 |
David C. Hays | US | Niskayuna | 2010-09-02 / 20100220331 - Micro-electromechanical system fabry-perot filter cavity | 2 |
Joyce B. Hays | US | New York | 2015-12-10 / 20150356804 - VOTE CERTIFICATION SOFTWARE AND PROCESS | 5 |
David Cecil Hays | US | Niskayuna | 2009-04-30 / 20090107812 - ELECTRICAL CONNECTION THROUGH A SUBSTRATE TO A MICROELECTROMECHANICAL DEVICE | 3 |
Bryan A. Hays | US | Damascus | 2015-09-24 / 20150265173 - ELECTRODE ARRAYS | 1 |
William D. Hays | US | Jackson | 2009-06-04 / 20090143047 - Method and system for mobile personal emergency response | 1 |
Mr. W. J. Hays | US | 2014-06-12 / 20140158910 - DISINFECTING DEVICE | 1 | |
Nathan Hays | US | San Francisco | 2012-02-02 / 20120026984 - SYMBOL INTERLEAVE FOR WIRELESS COMMUNICATIONS | 2 |
Elisa Michelle Hays | US | Puyallup | 2013-08-22 / 20130212802 - SYSTEM AND METHOD FOR A WHIMSICAL, INTERACTIVE, AND ENTERTAINING HANDWASHING ATTRACTION | 1 |
Stuart J. Hays | US | Hillsborough | 2009-07-09 / 20090177575 - SYSTEM AND METHODS FOR ACQUIRING AN INTEREST IN REAL PROPERTY | 1 |
Angela T.m. Hays | US | Idaho Falls | 2012-09-06 / 20120222192 - SYSTEMS FOR APPLYING HEAT AND PRESSURE TO SINUSES | 1 |
Anna-Maria A. Hays | US | San Diego | 2012-06-07 / 20120142896 - MODIFIED HUMAN INTERFERON POLYPEPTIDES AND THEIR USES | 1 |
Phillip G. Hays | US | Woodinville | 2014-05-08 / 20140125802 - FAULT TOLERANT DISPLAY | 1 |
Sean P. Hays | US | Elgin | 2013-12-05 / 20130319106 - Flow Meter with Hollow Blocking Rotor | 1 |
Tosha L. Hays | US | Atlanta | 2015-12-03 / 20150342265 - FLOCKED SHAPEWEAR GARMENTS | 5 |
Douglas E. Hays | US | Nicholasville | 2012-07-26 / 20120192081 - DETERMINING A HIGH CONTRAST DISPLAY SETTING ON A CLIENT DEVICE TO PROCESS A WEB DOCUMENT | 3 |
Melissa Hays | US | Freeland | 2012-11-29 / 20120302611 - PESTICIDAL COMPOSITIONS AND RELATED METHODS | 1 |
Zachary Hays | US | San Francisco | 2013-01-03 / 20130007809 - METHODS AND SYSTEMS FOR CUSTOMIZING VIEWING ENVIRONMENT PREFERENCES IN A VIEWING ENVIRONMENT CONTROL APPLICATION | 1 |
James Dunlap Hays | US | Bel Air | 2014-09-18 / 20140260831 - NOSEPIECE AND MAGAZINE FOR POWER SCREWDRIVER | 1 |
Gerry Hays | US | Noblesville | 2015-06-11 / 20150161559 - SYSTEM AND METHOD FOR CONTROL OF BAILMENT INVENTORY | 2 |
Robert Hays | US | Portland | 2013-02-14 / 20130039363 - SYSTEMS AND METHODS FOR REDUCING POWER CONSUMPTION DURING COMMUNICATION BETWEEN LINK PARTNERS | 2 |
Boyd L. Hays | US | Kirkland | 2011-09-22 / 20110230979 - SCALABLE AND FLEXIBLE CONTROL SYSTEM HAVING SYMMETRICAL CONTROL UNITS | 1 |
Geff A. Hays | US | Indianapolis | 2011-12-15 / 20110305802 - BREADING AND BATTER SYSTEMS AND METHODS TO PREPARE AND USE THE SAME | 1 |
Gerry Hays | US | Carmel | 2015-03-19 / 20150081417 - SYSTEM AND METHOD FOR COLLABORATIVE MARKETING WITH ONLINE BRAND ENGAGEMENT ADVERTISING | 1 |
W. Patrick Hays | US | Cambridge | 2015-08-20 / 20150234676 - DATA TRANSFER BUS COMMUNICATION TO RECEIVE DATA BY SENDING REQUEST INSTRUCTION ATTACHED WITH IDENTIFIER INDICATING PROCESSOR AND THREAD CONTEXT IDENTITIES | 4 |
Barrett Hays | US | San Antonio | 2009-01-15 / 20090013503 - Fastener device | 1 |
Michael Glen Hays | US | Melbourne | 2008-10-16 / 20080256026 - Method For Optimizing And Executing A Query Using Ontological Metadata | 1 |
Peter F. Hays | US | Medford | 2013-01-17 / 20130015365 - ELECTRON BEAM STERILIZATION APPARATUS | 3 |
David S. Hays | US | 2012-05-17 / 20120121651 - PYRAZOLOPYRIDINES AND ANALOGS THEREOF | 1 | |
Park E. Hays | US | Albuquerque | 2008-10-02 / 20080240718 - Free-Space Communications System and Method | 1 |
Michael D. Hays | US | Omaha | 2008-09-25 / 20080229692 - Insulated Foam Panel Forms | 1 |
Katherine Hays | US | Boston | 2015-10-15 / 20150294367 - LOCATION AND/OR SOCIAL MEDIA-BASED EFFECTS AND AD PLACEMENT FOR USER-GENERATED MEDIA | 7 |
Michael Hays | US | Indian Wells | 2014-02-06 / 20140040043 - System and Method for Remote Acquisition and Delivery of Goods | 5 |
James K. Hays | US | Broken Arrow | 2011-12-29 / 20110317512 - METHOD AND APPARATUS FOR PREPARING AND DISPENSING DENTAL ALGINATE COMPOUND | 1 |
Franklin A. Hays | US | Oklahoma City | 2013-07-18 / 20130184323 - TREATMENT OF ENDOPLASMIC RETICULUM STRESS-RELATED DISEASES AND CONDITIONS | 1 |
Brian Vance Hays | US | Ridgecrest | 2011-03-10 / 20110056403 - Insensitive Munitions Warhead Explosive Venting System | 1 |
Lance G. Hays | US | Anaheim | 2012-01-12 / 20120006024 - Multi-component two-phase power cycle | 2 |
Chris A. Hays | US | Monroe | 2016-01-07 / 20160004706 - SECURITY TRIMMING OF SEARCH SUGGESTIONS | 1 |
Presley Hays | US | Pasadena | 2008-10-23 / 20080260233 - AUTOMATED METHOD FOR IMAGE ANALYSIS OF RESIDUAL PROTEIN | 1 |
David L. Hays | US | Los Angeles | 2011-01-06 / 20110001798 - 3-D AUTO-CONVERGENCE CAMERA | 2 |
Cullen W. Hays | US | Mcminnville | 2016-03-24 / 20160081421 - PROTECTIVE COVER FOR AN ARTICLE OF FOOTWEAR | 1 |
Charles C. Hays | US | Pasadena | 2014-03-20 / 20140080700 - NANOSTRUCTURED PLATINUM ALLOYS FOR USE AS CATALYST MATERIALS | 3 |
Anna-Maria Hays | US | La Jolla | 2008-12-04 / 20080300163 - Modified Human Four Helical Bundle Polypeptides And Their Uses | 1 |
Anna-Maria Hays | US | San Diego | 2011-12-01 / 20110294161 - Modified Human Growth Hormone | 3 |
John D. Hays | US | Edmonds | 2011-07-14 / 20110172987 - AUTOMATIC TECHNICAL LANGUAGE EXTENSION ENGINE | 1 |
James D. Hays | US | Langhorne | 2010-03-25 / 20100071923 - HYBRID IMPACT TOOL | 1 |
Mikel Hays | US | Irving | 2013-08-01 / 20130195999 - WOUND DRESSING COMPOSTION AND METHOD OF USE | 1 |
Michael Hays | US | Aliso Viejo | 2010-08-26 / 20100217150 - INTERCAVERNOSAL PRESSURE MEASURING INSTRUMENT AND METHOD | 1 |
Dewayne L. Hays | US | Cypress | 2015-10-22 / 20150296768 - Game Alert System | 1 |
James Allan Hays | US | Alpine | 2012-06-14 / 20120151318 - METHOD AND SYSTEM FOR GENERATING INSPECTION DATA AND USING THE INSPECTION DATA FOR RECORDING OBSERVATIONS OF AN INSPECTION SITE | 1 |
James D. Hays | US | Bel Air | 2016-02-25 / 20160052118 - HYBRID IMPACT TOOL | 3 |
Jo Hays | US | Logan | 2012-09-06 / 20120226280 - BIOABSORBABLE COATINGS OF SURGICAL DEVICES | 2 |
Ched D. Hays | US | Austin | 2013-09-26 / 20130253899 - Determining Simulation Fidelity in a Self-Optimized Simulation of a Complex System | 6 |
Christopher Hays | US | Monroe | 2011-08-18 / 20110202831 - DYNAMIC CACHE REBINDING OF PROCESSED DATA | 1 |
Andrew W. Hays | US | Fairport | 2015-09-10 / 20150250201 - PRINTING 3D TEMPERED CHOCOLATE | 28 |
Paul J. Hays | US | Lafayette | 2014-07-31 / 20140208871 - VIBRATORY FLOWMETER AND METHOD FOR AVERAGE FLOW RATE | 17 |
Barrett Hays | US | 2012-10-25 / 20120267378 - Ice Cup | 1 | |
Greta Hays | US | 2012-10-25 / 20120267378 - Ice Cup | 1 | |
Chad G. Hays | US | Overland Park | 2016-05-05 / 20160125156 - ROLE BASED COMMUNICATION | 1 |
Bradley G. Hays | US | Warrenville | 2014-01-30 / 20140032433 - METHOD AND SYSTEM FOR MONITORING PROPERTY | 1 |
Joshua P. Hays | US | Mason | 2015-05-21 / 20150142168 - Route Builder | 1 |
Kenneth Maxwell Hays | US | Santa Ana | 2013-06-13 / 20130148250 - MULTI-PURPOSE ELECTRICAL COIL AS A MAGNETIC FLUX GENERATOR, HEATER OR DEGAUSS COIL | 1 |
Gerry A. Hays | US | Carmel | 2012-11-22 / 20120296765 - SYSTEM FOR SALES, PRICING, AND SOURCING SPONSORED MATERIALS TO TARGET MARKET | 3 |
Alexander Heath Hays | US | Peoria | 2015-07-09 / 20150192494 - SYSTEM AND METHOD FOR CALCULATING STRUCTURAL STRESS | 1 |
Robert Hays | US | Hillsboro | 2015-05-14 / 20150134991 - NEGOTIATING A TRANSMIT WAKE TIME | 4 |
John Hays | US | Plain City | 2012-10-04 / 20120249320 - TIRE PRESSURE MONITORING SYSTEM INITIALIZATION USING MOVING ANTENNA | 1 |
Steven Robert Hayse | US | Prospect | 2015-12-03 / 20150343716 - APPARATUS AND METHOD FOR FORMING A FLANGE | 5 |
William W. Hays, Iii | US | Lynchburg | 2014-01-30 / 20140026806 - Quick Release Paddle Force Transfer Seat and Method of Installation | 1 |
Steven Lee Hayslett | US | Troy | 2015-09-17 / 20150263578 - INTEGRAL SLOT LINER FOR MULTI-LAYER ELECTRIC MACHINES | 4 |
Jason M. Hayslip | US | Rochester | 2011-08-25 / 20110206898 - JOINT DESIGN FOR WELDING PLASTIC ASSEMBLIES | 1 |
Kimberly S. Hayson | US | Redhouse | 2011-04-21 / 20110091575 - STRATEGIES FOR REDUCING LEACHING OF WATER-SOLUBLE METAL BIOCIDES FROM TREATED WOOD PRODUCTS | 3 |
Anna-Maria A Hays Putnam | US | San Diego | 2016-01-28 / 20160024166 - MODIFIED ANIMAL ERYTHROPOIETIN POLYPEPTIDES AND THEIR USES | 27 |
Timothy A. Haystead | US | Chapel Hill | 2009-04-02 / 20090088578 - NUCLEAR MAGNETIC RESONANCE IMAGING OF SELECTIVE SMALL MOLECULE DRUGS AS CONTRAST AGENTS | 1 |
Helen Hays-Thomas | US | Oakland | 2009-02-26 / 20090050754 - Cord caddy | 1 |
Dustin Hayt | US | Midwest City | 2013-11-28 / 20130315750 - ELECTRO-HYDRAULIC VALVE POSITIONER | 1 |
Steven Hayter | US | Houston | 2011-05-19 / 20110114324 - MODULAR HYDRAULIC OPERATOR FOR A SUBTERRANEAN TOOL | 1 |
Daniel Robert Hayter | GB | Worcestershire | 2010-06-24 / 20100157298 - POLARIMETRIC IMAGING APPARATUS | 1 |
Steven R. Hayter | US | Houston | 2016-02-25 / 20160053586 - Manufacturing Method and Apparatus for a Collet Assembly with Congruent Corners | 10 |
Gary A. Hayter | US | Oakland | 2016-04-21 / 20160106919 - INSULIN DELIVERY APPARATUSES CAPABLE OF BLUETOOTH DATA TRANSMISSION | 31 |
Gary Alan Hayter | US | Oakland | 2016-03-24 / 20160081560 - Method and Apparatus for Providing Data Processing and Control in Medical Communication System | 50 |
David Paul Hayter | GB | Guildford | 2015-11-19 / 20150333856 - End-to-End Real-time Transport Protocol (RTP) Packet Transmission Delay Measurement Apparatus and Method | 3 |
Timothy Donald Hayter | GB | Wotton-Under-Edge | 2010-06-24 / 20100156056 - Machine adaptation | 1 |
Steven Rowell Hayter | US | Houston | 2012-07-26 / 20120186824 - SELECTIVE SLEEVE SYSTEM AND METHOD OF MOVING A SLEEVE | 1 |
Darren Hayter | AU | Trigg | 2013-10-17 / 20130273307 - FENCING ORNAMENT | 3 |
Kjersten Hayter | US | Issaquah | 2009-06-18 / 20090158198 - PRESENTING SECONDARY MEDIA OBJECTS TO A USER | 1 |
Gary Alan Hayter | US | Oakland | 2016-03-24 / 20160081560 - Method and Apparatus for Providing Data Processing and Control in Medical Communication System | 50 |
Alan Hayter | US | Victor | 2012-10-11 / 20120260244 - FAILSAFE FIRMWARE UPDATES | 2 |
James H. Hayter | US | Monroe | 2015-12-17 / 20150365373 - System for Social Media Tag Extraction | 2 |
Ronald Hayter | US | Clearwater | 2012-09-13 / 20120231098 - Weight loss composition | 1 |
Gary A. Hayter | US | Alameda | 2012-01-12 / 20120010600 - METHOD OF OVERNIGHT CLOSED-LOOP INSULIN DELIVERY WITH MODEL PREDICTIVE CONTROL AND GLUCOSE MEASUREMENT ERROR MODEL | 1 |
Paul Hayter | US | Mountain View | 2015-11-26 / 20150338445 - SELF-TEST FOR ANALGESIC PRODUCT | 5 |
Steven R. Hayter | US | Houston | 2016-02-25 / 20160053586 - Manufacturing Method and Apparatus for a Collet Assembly with Congruent Corners | 10 |
Gregory P. Hayter | US | Richmond | 2016-04-21 / 20160107788 - CARTON AND CARTON BLANK | 3 |
Alicia Hayter | US | Avon | 2010-07-15 / 20100178150 - ELEVATOR BELT INSTALLATION ASSEMBLY AND METHOD OF INSTALLING A BELT | 1 |
William Hayter | CA | Vancouver | 2013-04-18 / 20130092187 - METHOD AND APPARATUS FOR REMOVING WASTE FROM A SOILED CONTAINER | 1 |
Paul G. Hayter | US | Mountain View | 2015-03-12 / 20150068539 - SYSTEMS AND METHODS FOR ANESTHETIZING EAR TISSUE | 4 |
Gary A. Hayter | US | Oakland | 2016-04-21 / 20160106919 - INSULIN DELIVERY APPARATUSES CAPABLE OF BLUETOOTH DATA TRANSMISSION | 31 |
Mark D. Hayter | US | Menlo Park | 2015-07-16 / 20150198989 - SYSTEM AND METHOD FOR UTILIZING A UNIVERSAL SERIAL BUS POWER SOURCE TO POWER A COMPUTER DEVICE | 17 |
Gary Hayter | US | Oakland | 2015-01-15 / 20150018643 - SYSTEMS, DEVICES, AND METHODS FOR ENERGY EFFICIENT ELECTRICAL DEVICE ACTIVATION | 50 |
Mark D. Hayter | US | Menlo Park | 2015-07-16 / 20150198989 - SYSTEM AND METHOD FOR UTILIZING A UNIVERSAL SERIAL BUS POWER SOURCE TO POWER A COMPUTER DEVICE | 17 |
Christopher Hayter | US | West Linn | 2013-04-04 / 20130084184 - RETENTION SYSTEMS | 1 |
Andrew Paul Haythornthwaite | CN | Fujian Province | 2016-05-19 / 20160135555 - UMBRELLA HAVING IMPROVED SHAFT AND RIB ASSEMBLY | 2 |
David Haythornthwaite | CN | Xiamen | 2012-09-06 / 20120222713 - FOLDABLE POCKET UMBRELLA | 2 |
Charles R. Haythornthwaite | CA | Vancouver | 2011-04-28 / 20110094563 - Solar systems that include one or more shade-tolerant wiring schemes | 2 |
James Haythornthwaite | CA | Hudson | 2014-03-06 / 20140061008 - Food Transport Belt | 3 |
David Ryan Haythornthwaite | CN | Fujian Province | 2016-05-19 / 20160135555 - UMBRELLA HAVING IMPROVED SHAFT AND RIB ASSEMBLY | 2 |
Eyal Haytman | IL | Kfar Vradim | 2014-07-03 / 20140188080 - NASOGASTRIC TUBE | 4 |
Stuart J. Hayton | GB | Portsmouth | 2015-07-30 / 20150215417 - Managing a Data Cache for a Computer System | 3 |
Paul Robert Hayton | GB | Bristol | 2015-12-17 / 20150361892 - DUCT | 9 |
Richard Hayton | GB | Burwell | 2013-07-11 / 20130179673 - METHODS AND SYSTEMS FOR PROVIDING A MODIFIABLE MACHINE BASE IMAGE WITH A PERSONALIZED DESKTOP ENVIRONMENT IN A COMBINED COMPUTING ENVIRONMENT | 1 |
Mark Hayton | AU | West Australia | 2008-08-28 / 20080202061 - Direct Smelting Plant | 1 |
Carl Hayton | GB | Cambridge | 2016-04-21 / 20160111667 - LAYER-SELECTIVE LASER ABLATION PATTERNING | 10 |
Matthew T. Hayton | US | Rockville | 2011-09-22 / 20110231935 - SYSTEM AND METHOD FOR PASSIVELY IDENTIFYING ENCRYPTED AND INTERACTIVE NETWORK SESSIONS | 1 |
Paul Hayton | GB | Clifton | 2012-06-21 / 20120155057 - MEDICAL APPARATUS | 1 |
Michael Hayton | US | Redmond | 2008-12-11 / 20080307464 - CHANNEL FILTER FOR A CONTENT PLAYER | 1 |
Michael Hayton | US | Kirkland | 2009-01-01 / 20090007069 - Integrating loosely coupled tools using contracts and references | 1 |
Michael S. Hayton | US | Kirkland | 2009-10-15 / 20090260038 - MERGING ELECTRONIC PROGRAM GUIDE INFORMATION | 1 |
Paul Hayton | GB | Clifton Bristol | 2013-04-04 / 20130082049 - PACKAGE AND A MATERIAL FOR FORMING SAID PACKAGE | 1 |
Carl Hayton | GB | Cambridge | 2016-04-21 / 20160111667 - LAYER-SELECTIVE LASER ABLATION PATTERNING | 10 |
Paul Michael Hayton | GB | Oxfordshire | 2012-11-01 / 20120278186 - METHOD OF TRACKING SOFTWARE APPLICATION INTERNET DOWNLOADS | 1 |
Paul Michael Hayton | GB | Fritwell | 2010-03-11 / 20100062796 - MULTI-MEDIA MESSAGING SYSTEM FOR MOBILE TELEPHONE | 1 |
Carl Hayton | GB | Cambridgeshire | 2014-03-13 / 20140071078 - ELECTRONIC DOCUMENT READING DEVICES | 6 |
Paul Graham Hayton | GB | Bristol | 2009-07-23 / 20090183744 - Hand-Held dispensing device | 1 |
Paul J. Hayton | CA | Ontario | 2013-04-25 / 20130097817 - CLAMPING BUCKLE FOR BELTS AND STRAPS | 1 |
Richard Hayton | GB | Cambridge | 2015-12-03 / 20150350168 - USER AND DEVICE AUTHENTICATION IN ENTERPRISE SYSTEMS | 23 |
Anthony Hayton | US | Centerline | 2012-10-18 / 20120261905 - GOOSENECK HITCH ASSEMBLY | 1 |
Mark Hayton | AU | Western Australia | 2010-11-18 / 20100287992 - FOREHEARTH | 5 |
Carl Hayton | GB | London | 2008-12-04 / 20080298083 - ELECTRONIC READING DEVICES | 1 |
Paul Hayton | GB | Bristol | 2012-11-22 / 20120292867 - Storage Containers | 2 |
Paul Robert Hayton | GB | Olveston | 2014-12-18 / 20140366453 - PANEL ATTACHMENT SYSTEM AND A METHOD OF USING THE SAME | 1 |
Mike Hayton | US | Kirkland | 2015-04-30 / 20150120427 - USER CONTRIBUTION ADVERTISEMENT SUPPRESSION | 2 |
Richard Hayton | GB | Burwell, Cambridge | 2010-04-29 / 20100107113 - METHODS AND SYSTEMS FOR PROVIDING A MODIFIABLE MACHINE BASE IMAGE WITH A PERSONALIZED DESKTOP ENVIRONMENT IN A COMBINED COMPUTING ENVIRONMENT | 1 |
Paul Michael Hayton | GB | Oxford | 2010-10-14 / 20100259543 - Medical Data Display | 1 |
Paul R. Hayton | GB | Bristol | 2012-10-11 / 20120255307 - GAS TURBINE ENGINE TRANSITION DUCTS | 5 |
Paul Robert Hayton | GB | Bristol | 2015-12-17 / 20150361892 - DUCT | 9 |
Benyamin Hayumi | IL | Jerusalem | 2011-04-21 / 20110093555 - Methods Circuits Devices Systems and Associated Code for Operating a Computing Platform | 1 |
Liron Hayun | IL | Tel Aviv | 2011-05-12 / 20110113319 - INTRODUCING A FORM INSTANCE INTO AN INFORMATION CONTAINER | 1 |
Shahar Hayun | IL | Ganei Tikva | 2011-12-22 / 20110308014 - BED SHEET CLASPS | 1 |
Ami Ben Hayun | IL | Ramat Yishay | 2013-05-23 / 20130126742 - X-RAY RADIATION DETECTOR WITH AUTOMATIC EXPOSURE CONTROL | 1 |
Shimon Hayun | IL | Rosh Haayin | 2014-04-17 / 20140107435 - METHODS AND SYSTEMS OF AIMING SENSOR(S) FOR MEASURING CARDIAC PARAMETERS | 2 |
Tomer Hayun | IL | Or Yehuda | 2012-07-05 / 20120168699 - DEVICE AND METHOD FOR FISH TAPE REEL SYSTEM | 1 |
Rotem Hayut | IL | Jerusalem | 2014-08-28 / 20140238387 - DEVICES, METHODS, AND SYSTEMS FOR CONTROL OF HELIOSTATS | 6 |
Itai Hayut | IL | Tel Aviv | 2015-08-06 / 20150216442 - MULTILAYER COAXIAL PROBE FOR IMPEDANCE SPATIAL CONTRAST MEASUREMENT | 1 |
Baruh Hayut | US | Atlanta | 2015-06-04 / 20150154693 - COMMUNITY TRADING PLATFORM | 1 |
Rotem Hayut | IL | Yavne | / - | 1 |
Ofer Hayut | IL | Kvutzat Yavne | 2016-03-10 / 20160072906 - HYBRID TAG MATCHING | 7 |
Wesley D. Hayutin | US | Raleigh | 2011-02-10 / 20110035630 - ANNOTATING GUI TEST AUTOMATION PLAYBACK AND DEBUGGING | 3 |
Wes David Hayutin | US | Raleigh | 2010-03-04 / 20100058043 - ENHANCED CAPABILITIES IN PROVISIONING | 1 |
Wes Hayutin | US | Raleigh | 2015-02-19 / 20150052585 - Systems and Methods for Managing Digital Content Entitlements | 5 |
Wes D. Hayutin | US | Raleigh | 2009-02-05 / 20090037882 - Techniques for Determining a Web Browser State | 1 |
Peter Hayward | GB | Hebden Bridge | 2014-08-07 / 20140216165 - SUBSEA RETRIEVABLE PRESSURE SENSOR | 1 |
Karl Hayward | US | Dade City | 2014-03-27 / 20140082838 - Adjustable Transfer Assist Standbar | 2 |
Laurent Tucker Hayward | BE | Lasne | 2013-12-05 / 20130326383 - VIBRATION DATA COLLECTION AND PROCESSING FOR A GAS TURBINE ENGINE | 1 |
Ryan C. Hayward | US | Northampton | 2015-10-15 / 20150294805 - MECHANICALLY GATED ELECTRICAL SWITCHES BY CREASING OF PATTERNED METAL/ELASTOMER BILAYER FILMS | 2 |
Larry Harland Hayward | US | Topeka | 2009-11-05 / 20090274796 - Methods for Enhancing Palatability of Compositions for Animal Consumption | 1 |
Matthew M. Hayward | US | Old Lyme | 2010-12-23 / 20100324043 - Bicyclic And Tricyclic Compounds As KAT II Inhibitors | 1 |
Philip Lawrence William Hayward | US | Redmond | 2010-09-16 / 20100235366 - DATA FILE AGGREGATION WITH RESPECT TO USER SPECIFIC TEMPORAL RANGE | 1 |
Randy R. Hayward | US | Pittsboro | 2012-10-04 / 20120251282 - DEBRIS KIT FOR HYDRAULIC CYLINDER | 1 |
Connelly Kendrick Hayward | US | Mandeville | 2010-03-04 / 20100050667 - Cooling With Refrigerant Feedback | 1 |
Gordon Hayward | CA | Kitchener | 2008-10-16 / 20080254486 - Prion Sensors for Diagnosis of Transmissible Spongiform Encephalopathy or for Detection of Prions, and Use Thereof | 1 |
James Arthur Hayward | US | Stony Brook | 2009-11-19 / 20090286250 - INCORPORATING SOLUBLE SECURITY MARKERS INTO CYANOACRYLATE SOLUTIONS | 1 |
James W. Hayward | US | Raleigh | 2015-03-05 / 20150064864 - METHOD AND APPARATUS FOR A DIFFUSION BRIDGED CELL LIBRARY | 2 |
David Hayward | US | San Francisco | 2014-12-18 / 20140368725 - CONTINUOUS AUTOFOCUS MECHANISMS FOR IMAGE CAPTURING DEVICES | 9 |
Andrew W. Hayward | GB | Flitwick | 2016-02-18 / 20160048752 - MEASUREMENT PROBE WITH HEAT CYCLE EVENT COUNTER | 3 |
Randolph J. Hayward | US | Troy | 2012-07-12 / 20120174551 - LAWN MOWER CLEANING AND MULCHING DEVICE AND METHOD | 1 |
Marshall A. Hayward | US | Bridgewater | 2013-10-31 / 20130287706 - STABLE EFFERVESCENT BISPHOSPHONATE FORMULATIONS WITH RAPID SOLUBILIZATION CHARACTERISTICS | 1 |
Stephen Hayward | GB | Maidenhead | 2012-11-01 / 20120274773 - GOLF GAME AND REALITY TELEVISION SHOW | 2 |
Justin Kenneth Hayward | GB | London | 2013-02-28 / 20130052994 - PAIRING OF SUBSCRIBER IDENTITY MODULE AND DOMAIN MANAGEMENT FUNCTIONS IN A SECURE ENVIRONMENT | 1 |
Todd Hayward | US | Lisle | 2014-02-06 / 20140034629 - UNITIZED INTERMEDIATE BULK CONTAINER BASEPAD | 1 |
Robert Hayward | US | Carlsbad | 2015-05-14 / 20150134088 - AUTOMATED PHYSICAL THERAPY SYSTEMS AND METHODS | 1 |
John Hayward | GB | West Sussex | 2010-12-09 / 20100307335 - FUEL CLEANING FOR GAS FIRED ENGINES | 1 |
Clive Hayward | US | Seattle | 2016-02-18 / 20160047820 - COMPOSITIONS, METHODS AND KITS FOR DIAGNOSIS OF LUNG CANCER | 8 |
Alan Hayward | GB | Manchester | 2013-07-11 / 20130179883 - MIGRATION OF VIRTUAL MACHINES | 2 |
David Hayward | US | Lincroft | 2014-09-18 / 20140280731 - REDIRECTION OF MULTIMEDIA CONTENT BETWEEN RECEIVER DEVICES ASSOCIATED WITH A USER | 3 |
Peter Hayward | GB | Haslemere | 2013-03-14 / 20130061688 - Fluid Flow Monitor | 1 |
Roger Hayward | US | Beaverton | 2011-06-09 / 20110133766 - TRANSFORMER WITHIN WAFER TEST PROBE | 3 |
James Hayward | US | Stony Brook | 2008-11-27 / 20080293052 - SYSTEM AND METHOD FOR AUTHENTICATING SPORTS IDENTIFICATION GOODS | 1 |
David Hayward | GB | Great Waldingfield, Sudbury, Suffolk | 2010-09-02 / 20100219666 - Seat Assembly for a Land Vehicle, Sea Vessel, or Aircraft | 1 |
Matthew Merrill Hayward | US | Old Lyme | 2016-05-12 / 20160130250 - SULFONYL AMIDE DERIVATIVES FOR THE TREATMENT OF ABNORMAL CELL GROWTH | 13 |
David Hayward | US | San Francisco | 2014-12-18 / 20140368725 - CONTINUOUS AUTOFOCUS MECHANISMS FOR IMAGE CAPTURING DEVICES | 9 |
Jeffery Jon Hayward | US | Lucas | 2016-02-18 / 20160048611 - System, Method, Apparatus, and Computer Program Product for Generation of an Elevation Plan for a Computing System | 1 |
William E. Hayward | US | Carmel | 2015-12-24 / 20150371347 - ESTIMATING IMPACT OF PROPERTY ON INDIVIDUAL HEALTH - PROPERTY HEALTH ADVICE | 6 |
Ryan Hayward | US | Northampton | 2011-07-21 / 20110178190 - COMB POLYMERS FOR SUPRAMOLECULAR NANOCONFINEMENT | 1 |
Gregory Lee Hayward | US | Bloomington | 2014-03-13 / 20140074514 - Providing a Multi-Line Discount on Insurance in Connection with the Purchase of a Financial Services Product | 1 |
Adam Simon Hayward | GB | Durham | 2016-05-19 / 20160137956 - BENEFIT AGENT DELIVERY COMPOSITIONS | 1 |
Geoff Hayward | CA | Edmonton | 2011-02-10 / 20110035430 - SYSTEMS AND METHODS FOR IMPLEMENTING CONTENT SENSITIVE ROUTING OVER A WIDE AREA NETWORK (WAN) | 2 |
Richard Peter Hayward | AU | Fitzroy | 2014-07-10 / 20140195353 - Advertising On Computing Devices | 2 |
David Earle Hayward | US | Morristown | 2014-03-13 / 20140069798 - PROCESS AND DEVICE FOR DEVOLATIZING FEEDSTOCK | 1 |
Robert Hayward | CA | Belleville | 2012-01-26 / 20120022814 - Apparatus and methods for multi-channel metering | 2 |
Vincent Hayward | CA | Montreal | 2009-11-19 / 20090284498 - SYSTEM AND METHOD FOR LOW POWER HAPTIC FEEDBACK | 1 |
Donald W. Hayward | US | Waterville | 2012-12-20 / 20120322901 - METHOD OF DECONTAMINATION OF POLYOLEFINS | 2 |
Molly C. Hayward | US | West Hartford | 2011-09-01 / 20110213626 - SYSTEM AND METHOD FOR EFFICIENT CLAIM ASSIGNMENT | 1 |
James A. Hayward | US | Stony Brook | 2016-04-14 / 20160102215 - INCORPORATING SOLUBLE SECURITY MARKERS INTO CYANOACRYLATE SOLUTIONS | 13 |
Jessica Hayward | US | Freeville | 2015-12-03 / 20150344959 - COMPOSITIONS AND METHODS FOR GENOTYPING CANINES | 1 |
Bruce Lewin John Hayward | GB | Cheltenham | 2010-05-20 / 20100123013 - THERMOSTATIC MIXING VALVE | 1 |
Ken Hayward | US | Brockport | 2010-04-08 / 20100085587 - Method and system for identifying spot colors | 2 |
Clive Hayward | US | Seattle | 2016-02-18 / 20160047820 - COMPOSITIONS, METHODS AND KITS FOR DIAGNOSIS OF LUNG CANCER | 8 |
Anthony Hayward | GB | Halifax | 2009-03-19 / 20090072944 - STREET LIGHTING METHOD AND APPARATUS USING A CHANNEL HOPPING SCHEME FOR A WIRELESS COMMUNICATION BETWEEN A MASTER NODE AND A SLAVE NODE | 1 |
Clive Hayward | CA | Kingston | 2009-04-23 / 20090103049 - METHOD FOR CORRECTING PATIENT MOTION WHEN OBTAINING RETINA VOLUME USING OPTICAL COHERENCE TOMOGRAPHY | 2 |
Ian P. Hayward | GB | Warminster | 2009-12-17 / 20090310132 - Spectroscopic apparatus and methods | 1 |
William Lord Hayward | US | Kirkland | 2013-08-15 / 20130212600 - CONSTRAINED MODE FOR RUNNING APPLICATIONS | 1 |
Mark Hayward | US | Stockton | 2008-12-11 / 20080305958 - Process for Liquid or gas Chromatography/Mass Spectrometry Based Biomolecular Screening for Drug Discovery | 1 |
Peter James Hayward | NZ | New Plymouth | 2015-09-24 / 20150264920 - Encapsulated Wood Preservatives | 7 |
Rhian Elizabeth Hayward | GB | Burwell | 2011-07-14 / 20110172123 - Arrays | 1 |
David Hayward | GB | Suffolk | 2015-04-30 / 20150119216 - Container Sizing Method and System | 1 |
Vincent Hayward | FR | Paris | 2014-11-27 / 20140346901 - MINIATURE LINEAR VIBROTACTILE ACTUATOR | 5 |
Gregory Hayward | US | Bloomington | 2015-11-12 / 20150324923 - SYSTEMS AND METHODS FOR IDENTIFYING AND ASSESSING LOCATION-BASED RISKS FOR VEHICLES | 13 |
Justin Hayward | GB | Southampton | 2015-06-04 / 20150153248 - METHOD AND APPARATUS FOR ACOUSTIC SENSING USING MULTIPLE OPTICAL PULSES | 2 |
Neil J. Hayward | US | Hudson | 2015-08-13 / 20150225389 - THERAPEUTIC COMPOUNDS AND COMPOSITIONS | 1 |
James A. Hayward | US | Stony Brook | 2016-04-14 / 20160102215 - INCORPORATING SOLUBLE SECURITY MARKERS INTO CYANOACRYLATE SOLUTIONS | 13 |
Mark Hayward | GB | Cardiff | 2015-09-10 / 20150257021 - System, Device And Method For Testing App Performance | 1 |
Roger Hayward | US | Long Beach | 2010-07-15 / 20100176950 - VENDING ENCLOSURE RECOVERY METHOD AND SYSTEM | 3 |
Geoffrey Hayward | CA | Edmonton | 2009-05-21 / 20090132708 - ADAPTATION OF SERVICE ORIENTED ARCHITECTURE | 1 |
John Hayward | US | Broomfield | 2011-10-06 / 20110246293 - UPSELLING TO CUSTOMERS FOLLOWING INITIAL ONLINE PURCHASE | 1 |
Monte Duane Hayward | US | Seattle | 2015-05-14 / 20150134466 - SYSTEMS AND METHODS FOR RENDERING CONTENT | 4 |
David E. Hayward | US | Brazil | 2011-07-28 / 20110180382 - Pyrolisis apparatus | 1 |
James A. Hayward | US | Stony Brock | 2012-03-08 / 20120058140 - TOPICAL COMPOSITIONS FOR INHIBITING MATRIX METALLOPROTEASES AND PROVIDING ANTIOXIDATIVE ACTIVITIES | 1 |
Stephen David Hayward | GB | Malvern | 2010-08-19 / 20100207804 - RADAR METHOD AND APPARATUS SUITABLE FOR USE IN MULTIPATH ENVIRONMENTS | 1 |
Jerry Glade Hayward | US | American Fork | 2014-08-28 / 20140245002 - METHOD AND APPARATUS FOR SECURE DATA TRANSMISSIONS | 1 |
Jerry Hayward | US | American Fork | 2014-07-31 / 20140211944 - SYSTEM AND METHOD OF PROTECTING, STORING AND DECRYPTING KEYS OVER A COMPUTERIZED NETWORK | 1 |
Neil Hayward | US | Grafton | 2013-03-07 / 20130059869 - METHODS AND COMPOSITIONS FOR TREATING DISORDERS | 3 |
James Hayward | US | Santa Clara | 2009-03-05 / 20090057884 - Multi-Chip Package | 1 |
Blake Earl Hayward | US | Redwood Shores | 2012-05-10 / 20120116969 - Interactive Bill Payment Center | 7 |
Blake Hayward | US | San Francisco | 2013-10-03 / 20130262204 - PROMOTION TARGETING, FULFILLING, TRACKING, AND MANAGING | 3 |
William H. Hayward | US | Lafayette | 2014-03-20 / 20140079499 - SMILLED SPLINE APPARATUS AND SMILLING PROCESS FOR MANUFACTURING THE SMILLED SPLINE APPARATUS | 2 |
David Hayward | US | Los Altos | 2015-08-06 / 20150222930 - Backwards Compatible Extended Image Format | 14 |
Louis G. Hayward | US | Carlsbad | 2013-06-13 / 20130149922 - SPORTSBOARD STRUCTURES | 2 |
David Hayward | US | Los Altos | 2015-08-06 / 20150222930 - Backwards Compatible Extended Image Format | 14 |
Jason Hayward | US | Madison Heights | 2015-04-09 / 20150097057 - CHIP BROADCASTER TOOL | 1 |
Charles Hayward | US | Madison Heights | 2015-04-09 / 20150097057 - CHIP BROADCASTER TOOL | 1 |
David A. Hayward | US | Suwanee | 2015-10-08 / 20150284140 - METHOD FOR CONTAINER AND HANDLE ATTACHMENT | 3 |
Matthew Merrill Hayward | US | Old Lyme | 2016-05-12 / 20160130250 - SULFONYL AMIDE DERIVATIVES FOR THE TREATMENT OF ABNORMAL CELL GROWTH | 13 |
James Hayward | US | Peoria | 2016-04-28 / 20160115654 - Adjustable Width Trail Paver | 1 |
David Haywood | NZ | Christchurch | 2008-10-16 / 20080253910 - Pressure Wave Generator | 1 |
Helen Theresa Haywood | GB | Norwich | 2013-05-02 / 20130109341 - HOME SECURITY SYSTEM | 2 |
Jordan M. Haywood | US | Oviedo | 2014-07-24 / 20140202166 - METHOD OF OPERATING A GAS TURBINE FOR REDUCED AMMONIA SLIP | 1 |
Arvin C. Haywood | US | Mountain View | 2016-02-04 / 20160034876 - Systems and Methods for Providing a Point of Sale Platform | 1 |
James William Haywood | US | Fleming Island | 2015-08-13 / 20150226980 - PRESBYOPIA LENS WITH PUPIL SIZE CORRECTION BASED ON LEVEL OF REFRACTIVE ERROR | 4 |
Marc D. Haywood | GB | Birmingham | 2012-04-19 / 20120094292 - FORENSIC IDENTIFICATION | 3 |
Edward Haywood | US | San Jose | 2014-03-20 / 20140077337 - High Temperature ALD Process for Metal Oxide for DRAM Applications | 18 |
Bruce C. Haywood | US | Franklin Lakes | 2012-03-08 / 20120058553 - Apparatus for Transporting Biological Samples | 1 |
Edward L. Haywood | US | San Jose | 2016-02-04 / 20160035631 - Atomic Layer Deposition of HfAlC as a Metal Gate Workfunction Material in MOS Devices | 7 |
Nicholas John Haywood | US | Brooklyn Park | 2011-03-10 / 20110058313 - CLAD INDUSTRIAL PROCESS TRANSMITTER HOUSING WITH CHASSIS | 1 |
Peter Haywood | GB | Harston | 2015-03-19 / 20150081311 - MEDIA SYNCHRONISATION SYSTEM | 1 |
Michael Alex Haywood | AU | Malaga | 2010-06-03 / 20100136232 - CURING OF POLYMER COMPOSITES | 1 |
Edward Haywood | US | San Jose | 2014-03-20 / 20140077337 - High Temperature ALD Process for Metal Oxide for DRAM Applications | 18 |
Thomas D. Haywood | US | Research Triangle Park | 2010-12-02 / 20100306390 - MEMORY OVERLOAD PROTECTION | 1 |
Christopher Haywood | US | Thousand Oaks | 2016-01-28 / 20160026601 - HYBRID MEMORY BLADE | 10 |
Nicholas John Haywood | US | Chanhassen | 2016-03-31 / 20160091382 - ELECTRICAL INTERCONNECT FOR PRESSURE SENSOR IN A PROCESS VARIABLE TRANSMITTER | 2 |
Chris Haywood | US | Thousand Oaks | 2011-01-20 / 20110016258 - Routing Data Units Between Different Address Domains | 4 |
Helen Haywood | UK | Haddiscoe, Norwich | 2014-05-08 / 20140125754 - WEB PORTAL FOR MANAGING PREMISE SECURITY | 1 |
Jim Harold Haywood | US | Alma | 2014-12-18 / 20140367272 - Hydrolysis system and method for a vehicle engine | 1 |
Rachel Mary Haywood | GB | Middlesex | 2009-04-09 / 20090091325 - METHOD OF DETERMINING DAMAGE TO SKIN | 1 |
Arvin Haywood | US | Mountain View | 2010-04-22 / 20100100955 - SYSTEM AND METHOD FOR SECURE OS ACCESS IN AN ECMA-SCRIPT VIRTUAL MACHINE | 1 |
Brad Haywood | US | Laguna Niguel | 2009-02-26 / 20090050594 - INSERT FOR RAIL CAR COUPLING | 2 |
Jim Haywood | US | Alma | 2011-01-13 / 20110005939 - GENERATION OF HIGH OCTANE HYDROGEN GAS FROM ACETIC ACID | 1 |
Guy Haywood | GB | Calstock | 2009-01-01 / 20090005769 - Catheter, Apparatus for Creating a Linear Ablation and a Method of Ablating Tissue | 1 |
Jeffrey Haywood | US | Belleville | 2012-12-27 / 20120328159 - SYSTEM AND METHOD FOR DETERMINING CUMULATIVE TOW GAP WIDTH | 2 |
Ross Jeffrey Haywood | AU | Cashmere | 2016-05-19 / 20160136597 - ANTI-SEGREGATION MIXER | 4 |
Edward L. Haywood | US | 2014-03-20 / 20140080284 - High Temperature ALD Process of Metal Oxide for DRAM Applications | 1 | |
Ed Haywood | US | San Jose | 2012-06-14 / 20120149209 - PROCESS SEQUENCING FOR HPC ALD SYSTEM | 1 |
Bruce Haywood | US | Franklin Lakes | 2010-11-04 / 20100280414 - Protease Inhibitor Sample Collection System | 2 |
Christopher Haywood | US | Westlake Village | 2013-10-03 / 20130262956 - MEMORY BUFFER WITH DATA SCRAMBLING AND ERROR CORRECTION | 1 |
Marc D. Haywood | DE | Hilden | 2013-06-06 / 20130144047 - FORENSIC IDENTIFICATION | 1 |
John Haywood | AU | East Gosford | 2011-03-03 / 20110051145 - SENSING COIL AND SENSING UNIT FOR SAGNAC OPTICAL FIBRE CURRENT SENSOR | 1 |
James W. Haywood | US | City Orange Park | 2010-12-02 / 20100302505 - LENSES FOR THE CORRECTION OF PRESBYOPIA AND METHODS OF DESIGNING THE LENSES | 1 |
Richard James Haywood | GB | Spetchley | 2012-05-03 / 20120106612 - METHOD OF EVALUATING THE PROFIT OF A SUBSTREAM OF ENCODED VIDEO DATA, METHOD OF OPERATING SERVERS, SERVERS, NETWORK AND APPARATUS | 1 |
John Anthony Haywood | NZ | Helensville | 2014-12-25 / 20140378033 - ABRASIVE APPARATUS AND COMPONENTS THEREOF | 1 |
Shawn L. Haywood | CA | Markham | 2013-05-16 / 20130124755 - PROGRAMMATIC REDIRECT MANAGEMENT | 1 |
John C. Haywood | US | Camby | 2014-11-27 / 20140350972 - Computer System for Generating and Displaying Interactive User Interfaces | 2 |
James W. Haywood | US | Orange Park | 2009-10-01 / 20090244478 - LENSES FOR THE CORRECTION OF PRESBYOPIA AND METHODS OF DESIGNING THE LENSES | 2 |
Annika Haywood | CA | St. John'S | 2013-09-26 / 20130252836 - DIAGNOSTIC TEST FOR CARDIOMYOPATHY | 2 |
Nick Haywood | GB | London | 2015-09-17 / 20150264492 - BILATERAL HEARING ASSISTANCE SYSTEM AND A METHOD OF FITTING A BILATERAL HEARING ASSISTANCE SYSTEM | 1 |
James Matthew Haywood | GB | Woodbury | 2013-08-01 / 20130193978 - Aerosol Detection | 1 |
Nicholas Haywood | US | Brooklyn Park | 2012-11-08 / 20120279580 - PROCESS FLUID PRESSURE TRANSMITTER WITH REPLACEABLE ATMOSPHERIC VENT FILTER | 1 |
Christopher Haywood | US | Thousand Oaks | 2016-01-28 / 20160026601 - HYBRID MEMORY BLADE | 10 |
David Haywood | NZ | Leeston | 2012-09-13 / 20120227416 - Pressure Wave Generator | 1 |
John David Haywood | US | New Albany | 2011-10-06 / 20110244000 - ANTIMICROBIAL FILM LAMINATE ASSEMBLIES AND METHODS OF USING AND MANUFACTURING THE SAME | 1 |
Bill H. Haywood | US | Warsaw | 2012-12-27 / 20120330430 - CONSTRAINED PROSTHETIC KNEE WITH ROTATING BEARING | 2 |
Leroy E. Haywood | US | Eland | 2011-02-03 / 20110023694 - Rapid Fire Weapon with Bidirectional Interchangable Barrel | 1 |
James William Haywood | US | Orange Park | 2016-02-25 / 20160054195 - SYSTEM AND METHODS FOR MEASURING OPHTHALMIC LENS | 1 |
Robert D. Hayworth | US | Chickasha | 2013-02-07 / 20130032345 - METHODS FOR MONITORING FLUIDS WITHIN OR PRODUCED FROM A SUBTERRANEAN FORMATION DURING ACIDIZING OPERATIONS USING OPTICOANALYTICAL DEVICES | 2 |
Kenneth Jeffrey Hayworth | US | Northridge | 2010-12-23 / 20100323445 - METHODS, APPARATUS AND SYSTEMS FOR PRODUCTION, COLLECTION, HANDLING, AND IMAGING OF TISSUE SECTIONS | 1 |
Douglas Hayworth | US | Rockford | 2011-11-24 / 20110289442 - SYSTEMS AND METHODS FOR INTERACTING WITH DYNAMIC PROTOCOLS | 1 |
Christopher Robert Hayworth | US | Martinez | 2012-12-20 / 20120323990 - EFFICIENT STATE RECONCILIATION | 1 |
Robert Hayworth | US | Chickasha | 2011-11-24 / 20110284220 - ON-THE-FLY ACID BLENDER WITH SAMPLING EQUIPMENT | 1 |
Robert Hayworth | US | Duncan | / - | 1 |
Kenneth Hayworth | US | Northridge | 2010-04-15 / 20100093022 - METHODS AND APPARATUS FOR PROVIDING AND PROCESSING SLICED THIN TISSUE | 1 |
Amy Au Hayworth | US | Ashburn | 2016-05-19 / 20160139007 - METHODS, APPARATUS AND SYSTEMS FOR PRODUCTION, COLLECTION, HANDLING, AND IMAGING OF TISSUE SECTIONS | 2 |
Christopher Hayworth | CA | Richmond | 2014-12-11 / 20140365863 - MULTI-PART AND SINGLE RESPONSE IMAGE PROTOCOL | 1 |
Amy Au Hayworth | US | Northridge | 2010-12-23 / 20100323445 - METHODS, APPARATUS AND SYSTEMS FOR PRODUCTION, COLLECTION, HANDLING, AND IMAGING OF TISSUE SECTIONS | 1 |
Mark Steven Hayworth | US | Cincinnati | 2012-06-07 / 20120139540 - METHOD OF EVALUATING PERFORMANCE CHARACTERISTICS | 1 |
Richard D. Hayworth | US | Lake Havasu City | 2013-04-25 / 20130097884 - Hand tool for sizing hardware fasteners | 1 |
Robert Douglas Hayworth | US | Chickasha | 2012-05-24 / 20120128449 - Proppant Transfer System | 2 |
Kenneth Jeffrey Hayworth | US | Ashburn | 2016-05-19 / 20160139007 - METHODS, APPARATUS AND SYSTEMS FOR PRODUCTION, COLLECTION, HANDLING, AND IMAGING OF TISSUE SECTIONS | 3 |
Gregory Hayzen | US | Knoxville | 2009-05-07 / 20090114827 - Method for stabilizing the gain of a pet detection system | 1 |
Gregory J. Hayzen | US | Knoxville | 2016-04-21 / 20160107917 - Fused Silica Furnace System & Method For Continuous Production of Fused Silica | 1 |
Anthony J. Hayzen | US | Knoxville | 2016-02-18 / 20160048110 - Adaptive And State Driven Data Collection | 4 |
Leslie Haza | US | Seattle | 2015-05-21 / 20150136284 - Covering for Sports Equipment | 1 |
Yoshimi Haza | JP | Yamatokooriyama-Shi | 2016-03-31 / 20160089332 - GEL-LIKE COMPOSITION HAVING HIGH UBIQUINOL CONTENT | 2 |
James Haza | US | Duluth | 2012-02-09 / 20120030901 - AIR BURST TO CLEAR DETECTION WINDOW | 1 |
Eiichi Hazaki | JP | Tsuchiura | 2011-10-27 / 20110260558 - STAGE DRIVE DEVICE | 3 |
Mitchell P. Hazam | US | Gainesville | 2014-12-18 / 20140372268 - SYSTEM AND METHOD FOR DETERMINING, PROVIDING AND REQUESTING FINANCIAL INFORMATION | 3 |
Katsuki Hazama | TW | Hsin-Chu City | 2008-12-11 / 20080306644 - GAME MACHINE AND INFORMATION COMMUNICATION SYSTEM USING DATA CARRIER | 2 |
Hirohisa Hazama | JP | Hiratsuka-Shi | 2013-02-21 / 20130042962 - PNEUMATIC TIRE AND PROCESS FOR MANUFACTURING THE SAME | 2 |
Shinichiro Hazama | JP | Kariya-Shi | 2008-08-21 / 20080197723 - ELECTRIC MOTOR | 1 |
Takeshi Hazama | JP | Settsu-Shi, Osaka | 2015-12-24 / 20150368413 - HIGH DIELECTRIC FILM | 2 |
Shinichiro Hazama | JP | Toyota-Shi | 2013-06-27 / 20130161149 - PARKING LOCK DEVICE | 2 |
Shouichi Hazama | JP | Ube-Shi | 2011-11-24 / 20110288783 - SYSTEM FOR PREDICTING DRUG EFFECTS AND ADVERSE EFFECTS AND PROGRAM FOR THE SAME | 1 |
Masatoshi Hazama | JP | Osaka | 2010-02-04 / 20100029724 - SCREENING METHOD | 2 |
Manabu Hazama | JP | Tokyo | 2010-09-16 / 20100234096 - GAME DEVICE | 1 |
Manabu Hazama | JP | Chiyoda-Ku | 2013-08-01 / 20130194182 - GAME DEVICE, CONTROL METHOD FOR A GAME DEVICE, AND NON-TRANSITORY INFORMATION STORAGE MEDIUM | 1 |
Riyaheh S. Hazama | JP | Hadano-Shi | 2016-03-31 / 20160089522 - URETHRAL STRICTURE TREATMENT APPARATUS AND URETHRAL STRICTURE TREATMENT METHOD | 2 |
Katsuki Hazama | JP | Tokyo | 2011-01-13 / 20110007565 - MULTILEVEL SEMICONDUCTOR MEMORY, WRITE/READ METHOD THERETO/THEREFROM AND STORAGE MEDIUM STORING WRITE/READ PROGRAM | 2 |
Kousuke Hazama | JP | Tsukuba | 2010-06-17 / 20100153896 - REAL-TIME CRITICAL PATH MARGIN VIOLATION DETECTOR, A METHOD OF MONITORING A PATH AND AN IC INCORPORATING THE DETECTOR OR METHOD | 1 |
Hiroaki Hazama | JP | Yokkaichi | 2012-05-24 / 20120127803 - NONVOLATILE SEMICONDUCTOR STORAGE DEVICE | 4 |
Souichi Hazama | JP | Osaka | 2009-07-02 / 20090171051 - Method For Producing Amide Compound | 1 |
Tadashi Hazama | JP | Aichi-Ken | 2009-01-08 / 20090011268 - BEARING FOR MOTORIZED FUEL PUMP | 1 |
Masaki Hazama | JP | Ichihara-Shi | 2013-11-21 / 20130309489 - THERMOSETTING RESIN COMPOSITION, CURED PRODUCT OF THE SAME, AND INTERLAMINAR ADHESIVE FILM USED FOR PRINTED WIRING BOARD | 1 |
Souichi Hazama | JP | Izumi-Shi | 2011-11-24 / 20110288255 - METHOD FOR PRODUCING AMIDE COMPOUND | 1 |
Shunsuke Hazama | JP | Kobe-Shi | 2011-03-03 / 20110053181 - METHOD FOR DETECTING OBJECTIVE SUBSTANCE AND KIT FOR DETECTING OBJECTIVE SUBSTANCE | 1 |
Hay Hazama | IL | Kiryat Ono | 2011-05-26 / 20110126293 - SYSTEM AND METHOD FOR CONTEXTUAL AND BEHAVIORAL BASED DATA ACCESS CONTROL | 4 |
Yuji Hazama | JP | Chiba | 2013-05-23 / 20130125683 - CURVED SPRING AND SLIDE MECHANISM | 1 |
Noriyuki Hazama | JP | Iruma-Shi | 2009-12-31 / 20090324193 - BROADCAST RECEIVER AND RECORDING CONTROL METHOD | 1 |
Hay Hazama | IL | Kiryal Ono | 2011-07-28 / 20110185190 - SYSTEM AND METHOD FOR PROTECTING CONTENT ON A STORAGE DEVICE | 1 |
Takuto Hazama | JP | Nishinomiya City | 2014-05-29 / 20140148133 - MARINE WIRELESS DEVICE AND RECORDED DATA PROCESSING METHOD | 1 |
Ichiro Hazama | JP | Tokyo | 2014-06-05 / 20140155157 - RHYTHM GAME CONTROL APPARATUS AND RHYTHM GAME CONTROL PROGRAM | 1 |
Makoto Hazama | JP | Kyoto | 2012-05-17 / 20120119083 - Ion Trap Device | 3 |
Hirofumi Hazama | JP | Nagoya-Shi | 2014-03-06 / 20140060640 - ELECTRODE FOR PHOTOVOLTAIC DEVICE | 3 |
Yoshikazu Hazama | JP | Kanagawa | 2009-12-31 / 20090323030 - PROJECTION APPARATUS AND IMAGE DISPLAY APPARATUS | 1 |
Sumiaki Hazama | JP | Tokyo | 2012-11-08 / 20120283937 - VEHICLE START CONTROL APPARATUS | 1 |
Makoto Hazama | JP | Takarazuka-Shi | 2015-09-10 / 20150255263 - ION SELECTION METHOD IN ION TRAP AND ION TRAP SYSTEM | 1 |
Ryoichi Hazama | JP | Osaka | 2010-05-20 / 20100122486 - METHOD FOR PRODUCING FISHING NET AND FISHING NET PRODUCED BY THE METHOD | 1 |
Yuji Hazama | JP | Ichihara-Shi | 2012-12-27 / 20120325034 - SPRING UNIT AND SLIDING MECHANISM | 1 |
Akihiro Hazama | JP | Fukushima | 2013-08-22 / 20130217094 - ENZYME SOLUTION FOR SEPARATING CELL, METHOD FOR SEPARATING CELL AND METHOD FOR SEPARATING PANCREATIC ISLET | 1 |
Hiroaki Hazama | JP | Tokyo | 2013-10-24 / 20130279261 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE INCLUDING PLURAL MEMORY CELLS AND A DUMMY CELL COUPLED TO AN END OF A MEMORY CELL | 6 |
Hisakazu Hazama | JP | Kawasaki-Shi | 2013-08-08 / 20130202143 - ELECTRONIC DEVICE | 5 |
Tadashi Hazama | JP | Chita-Gun | 2009-10-22 / 20090260220 - Fuel pump,motor device for the same, and method for manufacturing the same | 2 |
Hay Hazama | IL | Kiriat Ono | 2010-03-18 / 20100071030 - METHOD AND SYSTEM FOR SECURELY IDENTIFYING COMPUTER STORAGE DEVICES | 1 |
Dror Hazan | IL | Petah Tikva | 2016-04-07 / 20160096308 - STENT THERMOFORMING APPARATUS AND METHODS | 1 |
Avi Hazan | IL | Elad | 2015-03-12 / 20150074727 - Virtual Content Sharing | 1 |
Moshe Hazan | IL | Elad | 2016-03-24 / 20160085887 - METHOD FOR IMPROVING EFFICIENCY OF INDUSTRIAL ROBOTIC ENERGY CONSUMPTION AND CYCLE TIME BY HANDLING LOCATION ORIENTATION | 10 |
Elad Eliezer Hazan | US | San Jose | 2012-01-05 / 20120005142 - FAST ADAPTATION IN REAL-TIME SYSTEMS | 1 |
Zadik Hazan | IL | Zichron Yaakov | 2015-04-23 / 20150110902 - THERAPEUTIC USES OF MASTIC GUM FRACTIONS | 6 |
Avri Hazan | IL | Givataim | 2015-04-23 / 20150112195 - CRYOCATHETER WITH SINGLE PHASE COOLANT FLUID COOLED THERMOELECTRIC MODULE AND CEREBRAL MEDICAL PROCEDURES EMPLOYING LOCAL ICE BALL | 4 |
Maurice Hazan | US | New York | 2015-07-02 / 20150186360 - Language system | 1 |
Moshe Hazan | IL | Elad | 2016-03-24 / 20160085887 - METHOD FOR IMPROVING EFFICIENCY OF INDUSTRIAL ROBOTIC ENERGY CONSUMPTION AND CYCLE TIME BY HANDLING LOCATION ORIENTATION | 10 |
Re'Em Hazan | IL | Zur Hadassa | 2013-12-12 / 20130327283 - Apparatuses, Systems and Methods for Catching Canine Feces | 1 |
Moshe Hazan | IL | Zur Hadassa | 2013-12-12 / 20130327283 - Apparatuses, Systems and Methods for Catching Canine Feces | 3 |
Gilad Hazan | IL | Holon | 2014-01-02 / 20140004852 - TEST SUITE FOR A MOBILE DEVICE | 1 |
Zadik Hazan | IL | Ganei Yehuda | 2008-11-27 / 20080292510 - SYSTEM AND METHOD FOR STERILIZATION OF A LIQUID | 1 |
Haim Hazan | IL | Yerucham | 2011-12-01 / 20110295197 - DEVICE FOR ADMINISTERING MEDICINE | 2 |
Gili Hazan | IL | Nofit | 2009-04-30 / 20090107326 - Armor module | 1 |
Eilon Hazan | IL | Hogla | 2015-10-01 / 20150278058 - HIGH-SPEED DEBUG PORT USING STANDARD PLATFORM CONNECTIVITY | 2 |
Gaash Hazan | IL | Kfra Saba | 2015-07-09 / 20150193567 - SYSTEM AND METHOD OF PERFORMING ANALYTICS WITH RESPECT TO CONTENT STORING SERVERS CACHING POPULAR CONTENT | 3 |
Gil Hazan | IL | Nofit | 2012-05-10 / 20120111181 - Armoring Combatants' Compartment In A Wheeled Vehicle Against Explosive Charges | 2 |
Haim Hazan | US | Flushing | 2010-06-10 / 20100145314 - Catheter Cap Guard: A Catheter Accessory | 2 |
Isidor Hazan | US | Miami Beach | 2011-03-24 / 20110070450 - METHOD OF FORMING MULTI-LAYER COATING FILMS ON AUTOMOBILE BODIES WITHOUT A PRIMER BAKE | 2 |
David Hazan | US | Brooklyn | 2015-02-12 / 20150042118 - Method and Apparatus for Selling Consumer Products | 5 |
Amaury Hazan | ES | Barcelona | 2013-09-19 / 20130243227 - PERSONAL COMMUNICATION DEVICE WITH HEARING SUPPORT AND METHOD FOR PROVIDING THE SAME | 1 |
Yacov Hazan | IL | Bat Yam | 2009-01-01 / 20090001034 - Hook Device for Hanging Surfboard | 1 |
Elad Eliezer Hazan | US | Santa Clara | 2008-12-11 / 20080306891 - METHOD FOR MACHINE LEARNING WITH STATE INFORMATION | 2 |
Zadik Hazan | IL | Yaakov | 2012-02-16 / 20120039992 - COMPOSITIONS OF POLYMERIC MYRCENE | 2 |
Vladimir Hazan | IL | Haifa | 2016-05-19 / 20160140663 - SYSTEM AND METHOD FOR PROVIDING SELECTABLE TEMPOROSPATIAL INSURANCE COVERAGE | 1 |
Rita Hazan | US | New York | 2012-06-21 / 20120152270 - METHOD & APPARATUS FOR APPLYING HAIR ROOT CONCEALER | 1 |
Eli Martin Hazan | US | Weston | 2014-09-18 / 20140263365 - Stable and Stackable Tray with Receptacle for Beverage Container and Thumbholds for Secure Grip | 1 |
Nissim Hazan | IL | Kiryat Motzkin | 2009-03-12 / 20090065639 - Separate Communication Line for Towed Body | 1 |
Zadik Hazan | IL | Zikron Yaakov | 2015-09-03 / 20150246087 - EXTRACTS AND THERAPEUTIC USES THEREOF | 1 |
David Hazani | IL | Rechelim | 2016-03-03 / 20160059027 - TRANSCRANIAL MAGNETIC STIMULATION SYSTEM AND METHODS | 3 |
Ami Hazani | IL | Ra'Anana | 2015-12-31 / 20150381342 - FREQUENCY SYNCHRONIZING A LOCAL OSCILLATOR IN A REMOTE UNIT IN A DISTRIBUTED ANTENNA SYSTEM (DAS) USED FOR FREQUENCY SHIFTING COMMUNICATIONS SIGNALS BASED ON A RECEIVED DIGITAL PULSE SIGNAL FROM A CENTRAL UNIT | 24 |
Ami Hazani | US | Ra'Anana | 2016-05-19 / 20160143143 - OPTOELECTRONIC MODULES AND ASSEMBLIES COMPRISING OPTOELECTRONIC MODULES | 2 |
Miron Hazani | IL | Haifa | 2013-09-05 / 20130230703 - NANOTUBE NETWORK AND METHOD OF FABRICATING THE SAME | 3 |
Idan Hazani | IL | Haifa | 2015-09-17 / 20150257462 - WRIST PROTECTION AND SUPPORT MECHANISM | 2 |
David Hazani | IL | Efrayim | 2012-02-02 / 20120029264 - SYSTEMS AND METHODS FOR CONTROLLING ELECTRIC FIELD PULSE PARAMETERS USING TRANSCRANIAL MAGNETIC STIMULATION | 4 |
Ami Hazani | IL | Ra'Anana | 2015-12-31 / 20150381342 - FREQUENCY SYNCHRONIZING A LOCAL OSCILLATOR IN A REMOTE UNIT IN A DISTRIBUTED ANTENNA SYSTEM (DAS) USED FOR FREQUENCY SHIFTING COMMUNICATIONS SIGNALS BASED ON A RECEIVED DIGITAL PULSE SIGNAL FROM A CENTRAL UNIT | 24 |
Ami Hazani | IL | Ra'Annana | 2011-06-02 / 20110130163 - Method and System for Integrating an RF Module into a Digital Network Access Point | 1 |
Alexander Hazanov | US | Mountain View | 2010-01-28 / 20100021770 - NICKEL BASED ALLOYS AS CRYSTAL GROWTH ENHANCING AND MECHANICAL STRENGTHENING LAYER FOR PERPENDICULAR RECORDING MEDIA | 1 |
Alex Hazanov | IL | Katzir | 2012-02-23 / 20120044248 - SYSTEM AND METHOD OF PROXIMITY DETECTION | 8 |
Alex Hazanov | IL | Katzir | 2012-02-23 / 20120044248 - SYSTEM AND METHOD OF PROXIMITY DETECTION | 8 |
Evgeny Hazanovich | IL | Haifa | 2013-01-17 / 20130019121 - Synchronization of Data Streams with Associated Metadata StreamsAANM Ben-David; ShayAACI HaifaAACO ILAAGP Ben-David; Shay Haifa ILAANM Hazanovich; EvgenyAACI HaifaAACO ILAAGP Hazanovich; Evgeny Haifa ILAANM Mandel; ZakAACI NesherAACO ILAAGP Mandel; Zak Nesher IL | 3 |
Christopher Hazard | US | Raleigh | 2013-01-31 / 20130030780 - Methods, Systems, and Computer Program Products for Simulating a Scenario by Updating Events Over a Time Window Including the Past, Present, and Future | 1 |
Mark Michael Hazard | US | Athens | 2015-10-08 / 20150282503 - Producing Therapeutic Proteins | 1 |
Christopher Robert Hazard | US | Niskayuna | 2014-06-05 / 20140155738 - APPARATUS AND METHOD FOR ULTRASOUND IMAGING | 10 |
Hannah Hazard | US | Morgantown | 2012-04-26 / 20120101377 - Positron emission tomography (PET) imager of excised tissue specimens for cancer margins definition | 1 |
Stéphane Hazard | FR | Octeville Sur Mer | 2012-10-04 / 20120251648 - MACHINE FOR MANUFACTURING CONTAINERS, INCLUDING A SYSTEM FOR PROVIDING ASSISTANCE WHEN CHANGING MOULDS | 2 |
Ludovic Hazard | FR | Tourrettes Sur Loup | 2015-12-31 / 20150381499 - LOAD BALANCING TRAFFIC IN A MPLS NETWORK | 10 |
Laura Hazard | US | Los Angeles | 2015-08-20 / 20150233146 - RESTROOM STALL OCCUPANCY INDICATOR SYSTEM | 1 |
Matthew Hazard | US | Washington | 2016-03-24 / 20160087300 - STACKLESS FUEL CELL | 1 |
Terri D. Hazard | US | Burbank | 2012-08-02 / 20120192427 - Hair cutting apparatus | 1 |
Lisa Lelli Hazard | US | Cardiff-By-The -Sea | 2009-03-05 / 20090058004 - Apparatus and method for playing a bingo-type game | 1 |
Christopher Hazard | US | Niskayuna | 2014-06-19 / 20140171793 - METHODS FOR DETECTING AND TRACKING NEEDLE | 1 |
Steve Hazard | US | 2015-12-31 / 20150374989 - MAGNET INSTALLATION SYSTEMS AND METHODS FOR USE WITH COCHLEAR IMPLANTS | 1 | |
Thomas Hazard | US | Walden | 2013-07-25 / 20130189915 - UNIVERSAL CHIMNEY PIPE COVER | 1 |
Steve Hazard | US | Castaic | 2015-12-31 / 20150374989 - MAGNET INSTALLATION SYSTEMS AND METHODS FOR USE WITH COCHLEAR IMPLANTS | 1 |
Christopher Robert Hazard | US | Niskayuna | 2014-06-05 / 20140155738 - APPARATUS AND METHOD FOR ULTRASOUND IMAGING | 10 |
Philippe Hazard | FR | Creteil | 2012-02-09 / 20120032650 - ALTERNATOR VOLTAGE REGULATOR WITH A PROGRAMMABLE SIGNAL PROCESSING INTERFACE | 2 |
Steven A. Hazard | US | Castaic | 2015-09-03 / 20150246234 - IMPLANT STABILIZATION | 1 |
Stéphane Hazard | FR | Octeville Sur Mer | 2011-03-10 / 20110059197 - MACHINE FOR THE MANUFACTURE OF CONTAINERS, COMPRISING A MODULE FOR CONTROLLING THE DEVICES OF A MOULDING UNIT SO AS TO EFFECT A CHANGE OF MOULD | 1 |
Christopher Hazard | US | Cary | 2010-01-14 / 20100010789 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR SIMULATING A SCENARIO BY UPDATING EVENTS OVER A TIME WINDOW INCLUDING THE PAST, PRESENT, AND FUTURE | 1 |
Victor James Hazard | US | Palos Verdes Peninsula | 2012-12-13 / 20120317052 - COLLECTIVELY ANALYZING HOLDINGS ACROSS MULTIPLE FIXED INCOME PRODUCTS | 1 |
Ludovic Hazard | FR | Tourrettes Sur Loup | 2015-12-31 / 20150381499 - LOAD BALANCING TRAFFIC IN A MPLS NETWORK | 10 |
Russell P. Hazard | US | Woodbury | 2010-02-25 / 20100047530 - EDGE COATED ROLL OF TAPE AND METHOD OF MAKING SAME | 1 |
Jean-Pierre Hazard | FR | Paris | 2012-01-12 / 20120006414 - METHOD FOR CONTROLLING OXYGEN SUPPLY FOR TREATING WASTEWATER, AND FACILITY FOR IMPLMENTING SAME | 1 |
Chistopher Robert Hazard | US | Niskayuna | 2010-11-11 / 20100286518 - ULTRASOUND SYSTEM AND METHOD TO DELIVER THERAPY BASED ON USER DEFINED TREATMENT SPACES | 1 |
Scott David Hazard | US | Campbell | 2014-04-10 / 20140096456 - Building | 4 |
James Hazard | US | Woodside | 2011-01-06 / 20110004819 - SYSTEMS AND METHODS FOR USER-DRIVEN DOCUMENT ASSEMBLY | 1 |
Gary Hazard | US | Windham | 2012-03-29 / 20120077504 - Super Economical Broadcast System | 1 |
James G. Hazard | US | Woodside | 2013-02-07 / 20130036348 - Systems and Methods for Identifying a Standard Document Component in a Community and Generating a Document Containing the Standard Document Component | 1 |
Atul Hazare | IN | Pune | 2015-03-05 / 20150065464 - AMIDE COMPOUNDS, COMPOSITIONS AND APPLICATIONS THEREOF | 1 |
Gautam Hazari | GB | Berkshire | 2014-02-27 / 20140057599 - Communications Device Authentication | 1 |
Sidhartha Hazari | US | Overland Park | 2012-12-06 / 20120308642 - INHIBITING HEPATITIS C VIRAL REPLICATION WITH SIRNA COMBINATIONS | 1 |
Deepak Hazarika | IN | Bangalore | 2009-08-20 / 20090210268 - BULK ORDER MANAGEMENT | 1 |
Ronen Hazarika | GB | Poole | / - | 1 |
Surovi Hazarika | US | Charlottesville | 2015-08-06 / 20150218556 - COMPOSITIONS AND METHODS FOR TREATING PERIPHERAL ARTERIAL DISEASE | 1 |
Pankaj Jyoti Hazarika | US | Midland | 2011-06-23 / 20110151141 - CHEMICAL VAPOR DEPOSITION FOR AN INTERIOR OF A HOLLOW ARTICLE WITH HIGH ASPECT RATIO | 1 |
Upasona Kath Hazarika | US | Santa Clara | 2013-12-19 / 20130339514 - SYSTEMS AND METHODS FOR INTERACTIVE ANALYTICS OF INTERNET TRAFFIC | 1 |
Pankaj Jyoti Hazarika | US | San Leandro | 2009-01-29 / 20090029067 - METHOD FOR PRODUCING AMORPHOUS CARBON COATINGS ON EXTERNAL SURFACES USING DIAMONDOID PRECURSORS | 1 |
Ronen Hazarika | SG | Singapore | 2011-05-19 / 20110114766 - APPARATUS AND METHOD FOR SIZE REDUCTION | 1 |
Mausam Hazarika | US | Milpitas | 2013-12-12 / 20130332068 - SYSTEM AND METHOD FOR DISCOVERING PHOTOGRAPH HOTSPOTS | 1 |
Debabrata Hazarika | IN | Bangalore | 2013-06-06 / 20130141259 - METHOD AND SYSTEM FOR DATA COMPRESSION | 1 |
Ajit Kumar Hazarika | IN | Jorhat-6 | 2010-06-03 / 20100136635 - Method of Inducing Chirality to Epoxides Using 2,3:4,6 di-O-isopropylidene-2-keto-L-gulonic Acid Monohydrate | 1 |
Surjya Prasad Hazarika | IN | Bangalore | 2013-06-13 / 20130151633 - EMAIL SHARING | 1 |
Prodyut Hazarika | US | Mountain View | 2011-02-03 / 20110029522 - Photo-image Discovery Device Database Management | 6 |
Saumar Jyoti Hazarika | IN | Bangalore | 2011-09-22 / 20110229382 - SYSTEM FOR HEAT INTEGRATION WITH METHANATION SYSTEM | 3 |
Deepak Hazarika | IN | Vidyaranyapura | 2010-09-02 / 20100223103 - DEAL MANAGEMENT IN A CUSTOMER RELATIONSHIP MANAGEMENT ENVIRONMENT | 1 |
Bibeka Hazarika | IN | Assam | 2013-08-22 / 20130213857 - Solvent Extraction Process for Removal of Naphthenic Acids and Calcium from Low Asphaltic Crude Oil | 1 |
Jérôme Hazart | FR | Eybens | 2014-09-18 / 20140264712 - INFRARED DETECTOR MADE UP OF SUSPENDED BOLOMETRIC MICRO-PLATES | 4 |
Michael David Hazas | GB | Lancaster | 2012-03-22 / 20120072626 - Automatic Addressing Protocol for a Shared Bus | 1 |
Hiroyuki Hazawa | JP | Wako-Shi | 2013-03-28 / 20130076070 - LIGHT-LOAD ABSORBING STRUCTURE | 1 |
Hiroyuki Hazawa | JP | Wako-Shi, Saitama | 2016-02-11 / 20160042889 - PUSH-BUTTON SWITCH DEVICE FOR VEHICLE | 1 |
Hiroyuki Hazawa | JP | Utsunomiya-Shi | 2015-01-29 / 20150028621 - VEHICLE DOOR | 1 |
Eisaku Hazawa | JP | Mobara | 2012-10-11 / 20120257133 - MANUFACTURING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Manabu Hazawa | JP | Tokyo | 2015-07-23 / 20150201690 - ARTIFICIAL FLOWER MADE OF SOAP | 2 |
Alon Hazay | IL | Tel Aviv | 2009-04-23 / 20090106500 - Method and Apparatus for Managing Buffers in a Data Processing System | 1 |
Ami Hazbany | IL | Raanana | 2008-10-23 / 20080263115 - Very long arithmetic logic unit for security processor | 1 |
Robert Hazbun | US | Redwood City | 2016-01-28 / 20160027295 - INTEGRATED SECURITY SYSTEM WITH PARALLEL PROCESSING ARCHITECTURE | 3 |
Robert Hazbun | US | San Francisco | 2011-05-05 / 20110102171 - Integrated Security System With Parallel Processing Architecture | 1 |
Larry Hazbun | US | Miramar | 2011-05-05 / 20110101751 - SEAT PAN CAM FOLLOWER WITH DROP DOWN MECHANISM | 2 |
Olesya Haze | US | Cambridge | 2013-09-19 / 20130243698 - RADICAL POLARIZING AGENTS FOR DYNAMIC NUCLEAR POLARIZATION | 1 |
Amir Haze | IL | Modiln | 2014-12-11 / 20140364372 - REGENERATION AND REPAIR OF MESENCHYMAL TISSUE USING AMELOGENIN | 2 |
Michael Haze | US | Round Rock | 2014-06-26 / 20140180928 - System and Method for Handling Software Activation in Entitlement | 22 |
Takayuki Haze | KR | Suwon-Si | 2014-07-03 / 20140186581 - PRIMER-COATED COPPER FOIL HAVING SUPERIOR ADHESIVE STRENGTH AND METHOD FOR PRODUCING THE SAME | 1 |
Shinichiro Haze | JP | Yokohama-Shi | 2015-10-15 / 20150290097 - MELANIN PRODUCTION INHIBITOR | 12 |
Shinichiro Haze | JP | Yokohama-Shi, Kanagawa | 2015-11-19 / 20150328137 - VEGFC PRODUCTION PROMOTER | 1 |
Takayuki Haze | KR | Suwon | 2014-06-26 / 20140174805 - PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 1 |
Shinichiro Haze | JP | Kanagawa | 2011-03-03 / 20110052740 - Skin Circulation-Improving Agent And Skin Temperature-Elevating Agent | 2 |
Toshiro Haze | JP | Kasugai-Shi | 2009-02-05 / 20090033994 - COMMUNICATION DEVICE AND STORAGE MEDIUM STORING CONTROL PROGRAM FOR COMMUNICATION DEVICE | 1 |
Oren Haze | IL | Kfar-Saba | 2013-10-10 / 20130268393 - Third-Party Recommendation in Game System | 2 |
Kohei Haze | JP | Osaka | 2015-10-29 / 20150308703 - INTEGRATED DEMAND CONTROL METHOD AND INTEGRATED DEMAND CONTROL DEVICE | 1 |
Michael Haze | US | Round Rock | 2014-06-26 / 20140180928 - System and Method for Handling Software Activation in Entitlement | 22 |
Shinichiro Haze | JP | Yokohama-Shi | 2015-10-15 / 20150290097 - MELANIN PRODUCTION INHIBITOR | 12 |
Takayuki Haze | KR | Daejeon | 2014-11-27 / 20140347834 - ELECTRONIC COMPONENT EMBEDDED PRINTED CIRCUIT BOARD AND METHOD FOR MANUFACTURING THE SAME | 1 |
Michael Thomas Haze | US | Round Rock | 2014-11-06 / 20140330934 - SYSTEMS AND METHODS FOR DIGITAL FULFILLMENT OF STREAMING APPLICATIONS | 2 |
Naoto Haze | JP | Osaka | 2011-07-14 / 20110169401 - PLASMA DISPLAY PANEL | 1 |
Henry B. Hazebrouck | US | Sunnyvale | 2009-08-13 / 20090200092 - METHODS OF STEERING HEAVY MOBILE MEDICAL EQUIPMENT | 2 |
Rebecca Lea Hazebrouck | US | Salem | 2015-12-31 / 20150376807 - PLATING METHOD | 1 |
Stephen A. Hazebrouck | US | Winona Lake | 2014-03-20 / 20140081411 - SURGICAL INSTRUMENT SYSTEM WITH MULTIPLE LENGTHS OF BROACHES SHARING A COMMON GEOMETRY | 13 |
Henry Hazebrouck | US | Sunnyvale | 2015-12-24 / 20150367519 - GUIDE SYSTEMS INCLUDING A GUIDE WALL FOR LAMINATED SPRING ASSEMBLIES | 3 |
Laura V. Hazebrouck | US | Birmingham | 2015-10-29 / 20150309573 - AUTOMOTIVE TOUCHSCREEN CONTROLS WITH SIMULATED TEXTURE FOR HAPTIC FEEDBACK | 1 |
Laura Viviana Hazebrouck | US | Birmingham | 2016-03-31 / 20160090105 - UNEXPECTED THERMAL EVENT ASSIST | 2 |
Stephen A. Hazebrouck | US | Winona Lake | 2014-03-20 / 20140081411 - SURGICAL INSTRUMENT SYSTEM WITH MULTIPLE LENGTHS OF BROACHES SHARING A COMMON GEOMETRY | 13 |
Cina Hazegh | US | Walnut Creek | 2016-02-18 / 20160048163 - INTERNAL COMPONENTS OF A PORTABLE COMPUTING DEVICE | 1 |
Cina Hazegh | US | San Jose | 2013-12-12 / 20130328741 - INTERNAL COMPONENTS OF A PORTABLE COMPUTING DEVICE | 1 |
Arash Hazeghi | US | San Francisco | 2015-09-10 / 20150255166 - Compensating Source Side Resistance Versus Word Line | 6 |
Aryan Hazeghi | US | Palo Alto | 2016-05-05 / 20160127714 - SYSTEMS AND METHODS FOR REDUCING Z-THICKNESS AND ZERO-ORDER EFFECTS IN DEPTH CAMERAS | 2 |
Shingo Hazeki | JP | Kanagawa | 2016-02-25 / 20160054685 - BELT CIRCLING DEVICE, TRANSFER DEVICE, AND IMAGE FORMING APPARATUS | 2 |
Osamu Hazeki | JP | Hiroshima | 2009-12-24 / 20090317833 - Screening Method | 1 |
Takahiro Hazeki | JP | Wako-Shi | 2013-10-03 / 20130256068 - DISC BRAKE DEVICE | 2 |
Kaoru Hazeki | JP | Hiroshima | 2009-12-24 / 20090317833 - Screening Method | 1 |
Archie Hazel | US | Jonesboro | 2015-03-19 / 20150075435 - Hummingbird Feeder | 2 |
Charles L. Hazel | US | Wolverine Lake | 2016-02-04 / 20160036287 - Window Regulator Drive Unit | 1 |
Patrick K. Hazel | US | Rancho Sante Fe | 2014-04-17 / 20140108170 - Transaction Processing Platform for Facilitating Electronic Distribution of Plural Prepaid Services | 1 |
Christine B. Hazel | US | Port Deposit | 2013-10-31 / 20130288898 - METHODS AND COMPOSITIONS FOR PROVIDING TOLERANCE TO MULTIPLE HERBICIDES | 10 |
Jeff Hazel | US | Bend | 2016-02-18 / 20160045159 - Calculating Pace and Energy Expenditure from Athletic Movement Attributes | 2 |
Katherine Hazel | GB | Cambridge | 2015-11-19 / 20150329495 - PYRIDAZINONES AS DAAO ENZYME INHIBITORS | 4 |
Paul Hazel | GB | Aberdeen | 2015-10-22 / 20150300154 - BARRIER TESTING METHOD | 16 |
Brian T. Hazel | US | Avon | 2016-04-28 / 20160115584 - NANOPARTICLE FORMATION MITIGATION IN A DEPOSITION PROCESS | 12 |
Thomas G. Hazel | US | North Potomac | 2013-09-26 / 20130251686 - METHODS OF TREATING ISCHEMIC SPASTICITY | 3 |
Mark Hazel | GB | Berkshire | 2010-11-18 / 20100291381 - METAL COATED STRUCTURAL PARTS FOR PORTABLE ELECTRONIC DEVICES | 1 |
Bruce Hazel | CA | Montreal | 2010-09-23 / 20100238269 - SYSTEM AND METHOD FOR TRIDIMENSIONAL CARTOGRAPHY OF A STRUCTURAL SURFACE | 1 |
Butch Hazel | US | Cody | 2010-09-30 / 20100243330 - Impact Excavation System and Method With Injection System | 2 |
Paul Hazel | GB | Aberdeenshire | 2012-12-06 / 20120305243 - INFLOW CONTROL IN A PRODUCTION CASING | 3 |
Clifford J. Hazel | GB | Cornwall | 2008-10-16 / 20080250703 - Fuel additive, additive-containing fuel compositions and method of manufacture | 1 |
Terence Hazel | FR | Grenoble Cedex 09 | 2016-03-03 / 20160061878 - METHOD FOR LOCATING AN ELECTRICAL DEFECT IN AN UNDERWATER ELECTRICAL DISTRIBUTION MODULAR SYSTEM | 1 |
Robert L. Hazel | US | Foster City | 2011-12-29 / 20110315769 - PORTABLE OPTICAL CARD READERS | 2 |
Matthew Aaron Hazel | US | Malden | 2014-09-18 / 20140260515 - System and Method for Run-Time Hermeticity Detection of a Capped MEMS Device | 1 |
Charles Hazel | US | Jeffersonville | 2011-12-29 / 20110315328 - GARAGE DOOR SCREEN | 1 |
Graham Hazel | GB | Cambridge | 2015-11-05 / 20150317825 - GRAPHICS PROCESSING SYSTEMS | 1 |
Christine B. Hazel | US | Port Deposit | 2013-10-31 / 20130288898 - METHODS AND COMPOSITIONS FOR PROVIDING TOLERANCE TO MULTIPLE HERBICIDES | 10 |
Patrick K. Hazel | US | Rancho Santa Fe | 2016-01-28 / 20160027003 - PERSONAL TOKEN READ SYSTEM AND METHOD | 10 |
Janice L. Hazel | US | Lake Oswego | 2014-03-27 / 20140089200 - Method and Apparatus for Synergistic Online Services | 1 |
Patrick K. Hazel | US | Rancho Santa Fe | 2016-01-28 / 20160027003 - PERSONAL TOKEN READ SYSTEM AND METHOD | 10 |
Brian Thomas Hazel | US | Wes Chester | 2010-01-14 / 20100008770 - PROTECTION OF THERMAL BARRIER COATING BY A SACRIFICIAL COATING | 1 |
Patrick Hazel | US | Rancho Santa Fe | 2009-02-19 / 20090048953 - METRICS SYSTEMS AND METHODS FOR TOKEN TRANSACTIONS | 1 |
Terrence George Hazel | FR | Fontaine | 2013-10-31 / 20130286550 - Modular Subsea Electrical Distribution System Having Subsea Cable Harness Assembly and Method for Assembling Same | 2 |
Terence George Hazel | FR | Fontaine | 2014-06-05 / 20140153159 - Subsea Electrical Distribution System Operable to Supply Power to Subsea Load from Plurality of Sources | 2 |
Thomas Hazel | US | North Potomac | 2016-05-05 / 20160120942 - STABLE NEURAL STEM CELLS COMPRISING AN EXOGENOUS POLYNUCLEOTIDE CODING FOR A GROWTH FACTOR AND METHODS OF USE THEREOF | 1 |
Robert Hazel | US | Fort Collins | 2013-11-21 / 20130311923 - TAPE DRIVE UTILIZATION AND PERFORMANCE | 1 |
Thomas M. Hazel | US | Andover | 2013-01-03 / 20130007027 - IN-SITU SERIALIZATION SYSTEM USING META OBJECT MODELS AND METHODS THEREOF | 2 |
Brian T. Hazel | US | West Chester | 2009-08-06 / 20090197112 - Method for Substrate Stabilization of Diffusion Aluminide Coated Nickel-Based Superalloys | 2 |
Robert Lawrence Hazel | US | Foster City | 2013-06-13 / 20130148178 - DIFFRACTIVE MOTION OSM AUTHENTICATOR | 1 |
Geoffrey G. Hazel | US | Raleigh | 2012-09-13 / 20120229607 - SYSTEMS AND METHODS FOR PERSISTENT SURVEILLANCE AND LARGE VOLUME DATA STREAMING | 1 |
Brian Thomas Hazel | US | Cincinnati | 2011-11-17 / 20110280716 - GAS TURBINE ENGINE COMPRESSOR COMPONENTS COMPRISING THERMAL BARRIERS, THERMAL BARRIER SYSTEMS, AND METHODS OF USING THE SAME | 7 |
Brian T. Hazel | US | Avon | 2016-04-28 / 20160115584 - NANOPARTICLE FORMATION MITIGATION IN A DEPOSITION PROCESS | 12 |
Brian Thomas Hazel | US | West Chester | 2012-03-15 / 20120060721 - SLURRY CHROMIZING COMPOSITIONS | 24 |
Gregory Hazel | US | Berkeley | 2010-11-11 / 20100284276 - End-System Dynamic Rate Limiting of Background Traffic | 1 |
Paul Hazel | GB | Aberdeen | 2015-10-22 / 20150300154 - BARRIER TESTING METHOD | 16 |
Steven Hazel | US | San Francisco | 2012-01-19 / 20120017210 - Real Time Verification of Web Applications | 1 |
Gregory Hazel | US | San Francisco | 2015-08-13 / 20150230172 - EXCHANGING ENERGY CREDITS WIRELESSLY | 3 |
Mark Hazel | US | 2010-10-07 / 20100255325 - PLASTIC ARTICLES, OPTIONALLY WITH PARTIAL METAL COATING | 1 | |
Nicholas John Hazel | GB | East Yorkshire | 2016-02-25 / 20160052857 - CARBONYLATION PROCESS | 3 |
Tom Hazel | US | San Jose | 2011-10-13 / 20110250202 - REAGENTS, METHODS, AND SYSTEMS FOR DETECTING METHICILLIN-RESISTANT STAPHYLOCOCCUS | 1 |
Thomas Hazel | US | Andover | 2015-09-03 / 20150248467 - REAL-TIME CALCULATION, STORAGE, AND RETRIEVAL OF INFORMATION CHANGE | 4 |
Paul Hazel | GB | Ellon | 2014-12-04 / 20140352942 - ANNULAR BARRIER WITH A SELF-ACTUATED DEVICE | 3 |
William L. Hazelbaker | US | Middletown | 2010-05-13 / 20100116150 - CONTROLLED DYNAMIC RADIANT FRYING OVEN | 1 |
Toby Hazelbaker | US | Pleasant Grove | 2012-03-15 / 20120060947 - PRESSURIZED FLUID DELIVERY SYSTEM | 4 |
Roger John Hazelden | GB | Wilnecote | 2012-07-19 / 20120182424 - RAIN DETECTION APPARATUS AND METHOD | 3 |
Roger John Hazelden | GB | Tamworth | 2010-07-22 / 20100182018 - Capacitance Sensing Apparatus | 1 |
Robert C. Hazelden | US | Temecula | 2010-06-17 / 20100152769 - LOCKING COMPONENT FOR AN EMBOLIC FILTER ASSEMBLY | 1 |
Roger John Hazelden | GB | Staffordshire | 2010-05-13 / 20100121504 - AIRCRAFT LANDING GEAR MONITORING APPARATUS | 1 |
Roger John Hazelden | GB | Tamworth West Midlands | 2015-06-11 / 20150162981 - Remote Sensing Device and Monitoring Apparatus | 1 |
Rex L. Hazelet | US | Fort Wayne | 2013-02-07 / 20130036419 - DYNAMICALLY CONFIGURABLE COMMAND AND CONTROL SYSTEMS AND METHODS | 1 |
Jorrit Hazelhoff | NL | Lelystad | 2015-08-13 / 20150229723 - Method for Personalization and Utilization of a Series of Connected Devices | 1 |
Gary Lynn Hazelip | US | 2015-12-24 / 20150369021 - Stepped Liner Hanger Expander | 1 | |
Gary Lynn Hazelip | US | Frisco | 2016-03-31 / 20160090801 - Expandable Liner Hanger with High Axial Load Capacity | 2 |
Leonard Brian Hazell | GB | Surrey | 2009-09-03 / 20090220805 - Method for Processing a Crosslinkable Elastomeric Composition Comprising Silica | 1 |
Barry Steven Hazell | CA | Nepean | 2014-09-04 / 20140248838 - METHOD AND SYSTEM FOR THE CONFIGURATION OF A MOBILE STATION BASEBAND CIRCUIT FOR AN ACOUSTIC ACCESSORY | 3 |
Michael S. Hazell | GB | Cambridge | 2008-12-25 / 20080316605 - Spectacles With Embedded Segmented Display Comprising Light Guide End | 1 |
Jeffrey Paul Hazell | US | Temecula | 2011-11-17 / 20110278198 - EDGE PROTECTOR WITH TRANSVERSE WEAKNESS FOR EASY SEPARATION OF PROTECTOR WHEN ONE STACK IS LIFTED FROM ANOTHER | 1 |
Graeme Hazell | AU | Artarmon | 2014-11-13 / 20140331466 - LIFTER BAR ASSEMBLY FOR A CRUSHING MILL AND METHOD OF INSTALLATION | 1 |
Barry Steven Hazell | CA | Ottawa | 2011-07-21 / 20110177784 - METHOD AND SYSTEM FOR THE CONFIGURATION OF A MOBILE STATION BASEBAND CIRCUIT FOR AN ACOUSTIC ACCESSORY | 2 |
Graeme Hazell | AU | New South Wales | 2011-09-15 / 20110220752 - LIFTER BAR ASSEMBLY FOR A CRUSHING MILL AND METHOD OF INSTALLATION | 1 |
Michael P. Hazell | US | Ypsilanti | 2009-11-19 / 20090283001 - ENERGY-CURABLE NEWS INK CONTAINING SOY OIL | 1 |
Barry S. Hazell | CA | Nepean | 2009-10-15 / 20090257600 - SYSTEM AND METHOD OF AUDIO TESTING OF ACOUSTIC DEVICES | 1 |
John F. Hazell | US | Somerville | 2008-08-28 / 20080205887 - Integrated Wavelength Selectable Photodiode Using Tunable Thin Film Filters | 1 |
Thomas W. Hazell | US | Coatesville | 2013-04-11 / 20130090444 - POLAR, MULTI-HYDROXYL FUNCTIONAL AMINO COMPOUNDS, COMPOSITIONS, PROCESS FOR PREPARATION, THEIR USES & APPLICATIONS | 1 |
Andrew Hazell | GB | Horsham, West Sussex | 2016-05-19 / 20160141692 - IMPROVED FUEL CELL SYSTEMS AND METHODS | 1 |
Bruce Hazeltine | US | Missoula | 2015-07-02 / 20150182930 - Draft Tube Fluidized Bed Reactor for Deposition of Granular Silicon | 9 |
George Thomas Hazeltine | US | Hillsboro | 2016-03-10 / 20160066680 - UNIVERSAL UTILITY HOLSTER | 1 |
Nelson Byron Hazeltine | US | Chapin | 2015-07-23 / 20150205937 - EVIDENCE-BASED PERSONALIZED, DIABETES SELF-CARE SYSTEM AND METHOD | 3 |
Sandra W. Hazeltine | US | Phoenix | 2010-07-15 / 20100179913 - System and Method for Facilitating the Handling of a Dispute Using Disparate Architecture | 1 |
Bruce Hazeltine | US | Missoula | 2015-07-02 / 20150182930 - Draft Tube Fluidized Bed Reactor for Deposition of Granular Silicon | 9 |
Andrew J. Hazelton | US | San Carlos | 2016-03-24 / 20160085160 - ENVIRONMENTAL SYSTEM INCLUDING A TRANSPORT REGION FOR AN IMMERSION LITHOGRAPHY APPARATUS | 5 |
Drew Willard Hazelton | US | Selkirk | 2009-09-03 / 20090221426 - Enhanced heat transfer from an HTS element in a cryogenic bath | 1 |
Craig Hazelton | US | Lafayette | 2013-05-16 / 20130118754 - Thermal Hydrate Preventer | 2 |
Drew W. Hazelton | US | Selkirk | 2013-02-14 / 20130040820 - FAULT CURRENT LIMITER INCORPORATING A SUPERCONDUCTING ARTICLE AND A HEAT SINK | 3 |
Troy David Hazelton | US | Sagamore Hills | 2016-03-24 / 20160087112 - HIGH TEMERATURE, HERMETICALLY SEALED, TRIAXIAL MOUNT FOR A LIGHT SENSITIVE ELEMENT | 1 |
Richard George Hazelton | AU | Cudal | 2016-04-28 / 20160114714 - MODULAR BROADCAST SPREADER | 2 |
Alan C. Hazelton | US | Tolland | 2012-09-27 / 20120240871 - METHOD AND CONFIGURATION TO REDUCE FATIGUE IN STEAM DRUMS | 1 |
Andrew J. Hazelton | JP | Tokyo | 2014-10-30 / 20140320831 - ENVIRONMENTAL SYSTEM INCLUDING VACUUM SCAVENGE FOR AN IMMERSION LITHOGRAPHY APPARATUS | 17 |
Gary J. Hazelton | US | White Lake | 2015-02-05 / 20150033734 - GAS FLOW DISTRIBUTING FLEXIBLE COUPLING | 12 |
G. Thomas Hazelton | US | Plano | 2015-10-15 / 20150295310 - Adaptive Donor Antenna | 1 |
Lawrence D. Hazelton | US | Goodrich | 2011-02-03 / 20110025250 - METHOD FOR CONTROLLING A HOLDING FORCE AGAINST, AND LIMITING IMPACT WITH TRAVEL LIMIT POSITIONS | 2 |
Gary J. Hazelton | US | White Lake | 2015-02-05 / 20150033734 - GAS FLOW DISTRIBUTING FLEXIBLE COUPLING | 12 |
Kim Hazelwood | US | Cupertino | 2016-02-04 / 20160034401 - Instruction Cache Management Based on Temporal Locality | 1 |
Anna Hazelwood | US | San Diego | 2013-12-12 / 20130331567 - MODULATORS OF ATP-BINDING CASSETTE TRANSPORTERS | 3 |
Robert Hazelwood | US | Amesbury | 2012-02-02 / 20120025486 - MOTORCYCLE SPEAKER SYSTEM | 1 |
Jonathan S. Hazelwood | US | Watertown | 2012-11-29 / 20120302405 - Process and Apparatus for Exercising An Operator | 2 |
Vikki Hazelwood | US | Wayne | 2015-10-29 / 20150305960 - MULTI-COMPARTMENTALIZED HAND REHABILITATION GLOVE | 10 |
Anthony J. Hazelwood | US | Henderson | 2009-12-17 / 20090309007 - Concrete form anchor device, system and method for forming trenches | 1 |
Vikki Hazelwood | US | Wayne | 2015-10-29 / 20150305960 - MULTI-COMPARTMENTALIZED HAND REHABILITATION GLOVE | 10 |
John Hazelwood | US | San Ramon | 2011-03-24 / 20110072089 - METHOD AND SYSTEM FOR SYNCHRONIZING SOFTWARE MODULE HOSTS | 1 |
John Hazelwood | US | Milan | 2010-10-07 / 20100253181 - Special Thermo Magnetic Motor Device | 1 |
Bradley Hazelwood | US | Converse | 2010-07-15 / 20100175808 - SYSTEM AND METHOD FOR FABRICATING A PROFILE ENDCAP ON A COUNTERTOP | 1 |
Bradley F. Hazelwood | US | Converse | 2008-10-09 / 20080245464 - SYSTEM AND METHOD FOR FABRICATING A PROFILE ENDCAP ON A COUNTERTOP | 1 |
Stephanie J. Hazelwood | CA | Toronto | 2014-10-30 / 20140324857 - DYNAMIC ASSIGNMENT OF BUSINESS LOGIC BASED ON SCHEMA MAPPING METADATA | 1 |
Robert Joseph Hazelwood | US | Plymouth | 2013-12-26 / 20130341990 - SYMMETRICALLY DESIGNED OUTER SIDE MEMBER FOR A SEAT | 1 |
Bruce G. Hazelzet | US | New Port Richey | 2010-10-21 / 20100269012 - High Density High Reliability Memory Module with Power Gating and a Fault Tolerant Address and Command Bus | 1 |
Megan Ursula Hazen | US | Seattle | 2015-09-10 / 20150254555 - CLASSIFYING DATA WITH DEEP LEARNING NEURAL RECORDS INCREMENTALLY REFINED THROUGH EXPERT INPUT | 1 |
Kevin H. Hazen | US | Gilbert | 2015-02-12 / 20150045636 - MULTIPLEXED / PATHLENGTH RESOLVED NONINVASIVE ANALYZER APPARATUS AND METHOD OF USE THEREOF | 10 |
Christopher Hazen | US | Montclair | 2010-04-22 / 20100100429 - SYSTEMS AND METHODS FOR USING WORLD-SPACE COORDINATES OF AD OBJECTS AND CAMERA INFORMATION FOR ADVERSTISING WITHIN A VITRTUAL ENVIRONMENT | 1 |
Timothy J. Hazen | US | Cambridge | 2010-05-13 / 20100121642 - Speech Data Retrieval Apparatus, Speech Data Retrieval Method, Speech Data Retrieval Program and Computer Usable Medium Having Computer Readable Data Retrieval Program Embodied Therein | 1 |
Kevin Hazen | US | Gilbert | 2016-03-03 / 20160058340 - MULTIPLEXED PATHLENGTH RESOLVED NONINVASIVE ANALYZER APPARATUS WITH STACKED FILTERS AND METHOD OF USE THEREOF | 5 |
Chad Hazen | US | Vancouver | 2015-02-12 / 20150046165 - Talking Medicine Bottle and Label and System and Method for Manufacturing the Same | 2 |
Samuel Lyle Hazen | US | Mayville | 2016-04-07 / 20160097318 - METHOD AND SYSTEMS FOR ADJUSTING A TURBINE BYPASS VALVE | 2 |
Tyrone H. Hazen | US | Bend | 2014-12-04 / 20140354438 - Device and Method for Monitoring Fluid Consumption | 1 |
Bradley J. Hazen | US | Rochester | 2015-12-17 / 20150362097 - FOAM DUCT WITH CAPTURED INSERT FOR IMPROVED CONNECTABILITY | 3 |
Meredith C. Hazen | US | Belmont | 2015-04-09 / 20150098900 - ANTI-FCRH5 ANTIBODIES | 2 |
Stanley Hazen | US | Pepper Pike | 2016-05-12 / 20160129075 - COMPOSITIONS AND METHODS FOR TREATMENT OF CARDIOVASCULAR DISEASE | 4 |
Mark Ryan Hazen | US | Bloomington | 2009-10-29 / 20090271151 - Machine data acquisition system with data compression | 1 |
Aaron Joseph Hazen | US | Tega Cay | 2010-05-20 / 20100125609 - Digital Comic and Sequential Art Multimedia File Format | 1 |
Stanley L. Hazen | US | Pepper Pike | 2016-04-21 / 20160109448 - MYELOPEROXIDASE, A RISK INDICATOR FOR CARDIOVASCULAR DISEASE | 32 |
Daniel Robert Hazen | US | Round Rock | 2012-05-17 / 20120123583 - Controlling a Discrete-Type Manufacturing Process with a Multivariate Model | 1 |
Daniel T. Hazen | US | Bellevue | 2011-11-24 / 20110283759 - SLOW CALCIUM RELEASE FERTILIZER | 1 |
John Hazen | US | Kirkland | 2013-03-14 / 20130067600 - SELECTIVE FILE ACCESS FOR APPLICATIONS | 1 |
Frank Hazen | US | Metairie | 2011-03-17 / 20110064524 - Diver operated jetting sled and method | 1 |
Gary A. Hazen | US | Houston | 2009-06-25 / 20090160449 - Antennas for Deep Induction Array Tools with Increased Sensitivities | 1 |
Amy Hazen | US | Houston | 2010-06-03 / 20100136026 - Ship Inhibition to Direct Hematopoietic Stem Cells and Induce Extramedullary Hematopoiesis | 1 |
John Hazen | US | Huntington Beach | 2010-07-22 / 20100185525 - CONTROLLING PRESENTATION OF PURCHASING INFORMATION BASED ON ITEM AVAILABILITY | 1 |
Meredith Hazen | US | Belmont | 2016-02-04 / 20160032013 - RON COMPOSITIONS AND METHODS OF USE THEREOF | 4 |
Stanley L. Hazen | US | Pepper Pike | 2016-04-21 / 20160109448 - MYELOPEROXIDASE, A RISK INDICATOR FOR CARDIOVASCULAR DISEASE | 32 |
John Andrew Hazen | US | Huntington Beach | 2009-05-07 / 20090119599 - Computer, computer-readable medium, and related method for posting a message related to a vehicle license plate | 1 |
John Hazen | US | South Hadley | 2014-01-23 / 20140022617 - FREEFORM HOLOGRAPHIC IMAGING APPARATUS AND METHOD | 6 |
Griffith Buckley Hazen | US | San Francisco | 2015-04-30 / 20150121363 - Synchronized Distributed Networks with Frictionless Application Installation | 4 |
Meredith Hazen | US | San Mateo | 2010-12-30 / 20100330082 - NLRR-1 ANTAGONISTS AND USES THEREOF | 1 |
Wayne Werneke Hazen | US | Lakewood | 2014-07-10 / 20140193317 - Methods of Recovering Scandium from Titanium Residue Streams | 1 |
Kevin Hazen | US | Spartanburg | 2013-05-30 / 20130136904 - Winder Liner for Unvulcanized Rubber Material | 1 |
John H. Hazen | US | South Hadley | 2011-01-06 / 20110002021 - TRUE THREE DIMENSIONAL IMAGERY AND USE THEREOF | 1 |
John A. M. Hazen | US | Kirkland | 2014-06-19 / 20140173071 - APPLICATION BASED HARDWARE IDENTIFIERS | 1 |
Timothy J. Hazen | US | Arlington | 2015-10-01 / 20150278199 - CREATING A CALENDAR EVENT USING CONTEXT | 1 |
Alexes Hazen | US | Brooklyn | 2013-01-31 / 20130030322 - TISSUE COLLECTION SYSTEM | 1 |
Kevin H. Hazen | US | Gilbert | 2015-02-12 / 20150045636 - MULTIPLEXED / PATHLENGTH RESOLVED NONINVASIVE ANALYZER APPARATUS AND METHOD OF USE THEREOF | 10 |
Wayne W. Hazen | US | Lakewood | 2016-02-25 / 20160053341 - SYSTEM AND METHOD INCLUDING MULTI-CIRCUIT SOLUTION EXTRACTION FOR RECOVERY OF METAL VALUES FROM METAL-BEARING MATERIALS | 23 |
Meredith Hazen | US | South San Francisco | 2016-03-31 / 20160090422 - ANTI-CRTH2 ANTIBODIES AND METHODS OF USE | 3 |
James Lyle Hazen | US | Ridgefield | 2010-04-08 / 20100087318 - Herbicidal Compositions | 1 |
James Lyle Hazen | US | Young Harris | 2013-10-03 / 20130261083 - ADJUVANTS FOR INSECTICIDES | 1 |
Robert Hazen | US | Holyoke | 2013-02-28 / 20130048202 - METHOD AND APPARATUS FOR TRANSFER LAMINATION | 1 |
John A.m. Hazen | US | Kirkland | 2013-03-07 / 20130061316 - Capability Access Management for Processes | 1 |
Stanley L. Hazen | US | Cleveland | 2010-08-05 / 20100196939 - Diagnosis of Conditions Associated with Decreased Arginine Bioavailability | 1 |
David Charles Hazen | US | North Canton | 2009-03-26 / 20090077764 - Maneuverable Domestic Cleaning Appliance | 1 |
Timothy M. Hazen | US | Sparks | 2015-02-12 / 20150042521 - INTEGRAL ANTENNA WINGLET | 1 |
Michael Hazen | US | Bakersfield | 2010-12-30 / 20100329808 - Apparatus for Securing Heavy Columnar Construction Members, Including Oilfield Tubulars, to a Transporting Conveyance and Method of Using the Same | 1 |
Klaas Pieter Hazenberg | US | Portland | 2011-06-16 / 20110138548 - Article Of Footwear Incorporating Foam-Filled Elements And Methods For Manufacturing The Foam-Filled Elements | 3 |
Jan Geert Hazenberg | IE | Co. Kilkenny | 2015-09-03 / 20150246193 - DOSE COUNTERS FOR INHALERS, INHALERS AND METHODS OF ASSEMBLY THEREOF | 13 |
Johannes Martinus Andreas Hazenberg | NL | Veldhoven | 2009-11-19 / 20090284730 - Substrate Handler, Lithographic Apparatus and Device Manufacturing Method | 1 |
Jan Geert Hazenberg | IE | Kilkenny | 2013-11-21 / 20130306070 - AIRFLOW ADAPTOR FOR A BREATH-ACTUATED DRY POWDER INHALER | 2 |
Klaas P. Hazenberg | US | Portland | 2015-07-30 / 20150208759 - FLUID-FILLED CHAMBER WITH A TENSILE MEMBER | 8 |
Klaas P. Hazenberg | US | Portland | 2015-07-30 / 20150208759 - FLUID-FILLED CHAMBER WITH A TENSILE MEMBER | 8 |
Klaas P. Hazenberg | CN | Guangzhou | 2015-03-12 / 20150067973 - Method Of Manufacturing A Contoured Fluid-Filled Chamber With Tensile Structures | 4 |
Carl Anthony Hazenberg | US | Roswell | 2012-09-27 / 20120243941 - Synthetic Weir Board | 1 |
Jan Geert Hazenberg | IE | Co. Kilkenny | 2015-09-03 / 20150246193 - DOSE COUNTERS FOR INHALERS, INHALERS AND METHODS OF ASSEMBLY THEREOF | 13 |
Klass P. Hazenberg | US | Portland | 2013-09-05 / 20130227857 - FOOTWEAR WITH FLUID BLADDER EXTENDING BETWEEN SOLE ASSEMBLY AND UPPER | 1 |
Klaas Pieter Hazenberg | CN | Guangzhou | 2013-08-22 / 20130212905 - CHANNELED SOLE FOR AN ARTICLE OF FOOTWEAR | 1 |
Wouter Hazenbos | US | San Francisco | 2016-03-17 / 20160074529 - ANTI-WALL TEICHOIC ANTIBODIES AND CONJUGATES | 4 |
Jacobus Eliza Hazenbroek | NL | Oud-Beijerland | 2016-02-04 / 20160029650 - ANIMAL PART HOLDER | 14 |
David Scott Hazenbroek | NL | Oud-Beijerland | 2012-07-26 / 20120190285 - Poultry Wing Cutter for Narrow Pitch Poultry Lines | 2 |
Menno Hazenkamp | CH | Riehen | 2016-03-03 / 20160058005 - SYNERGISTIC ANTIMICROBIAL FORMULATION | 11 |
Menno Hazenkamp | CH | Riehen | 2016-03-03 / 20160058005 - SYNERGISTIC ANTIMICROBIAL FORMULATION | 11 |
Derek M. Hazeur | US | Redmond | 2009-05-21 / 20090133034 - Screened participant class notification for public networks | 1 |
Sander H.e. Hazewinkel | NL | Hd Rotterdam | 2014-01-16 / 20140017769 - METHOD AND BIOREACTOR FOR THE CULTIVATION OF MICROORGANISMS | 1 |
Obbo J.h. Hazewinkel | NL | Bg Zoetermeer | 2014-01-16 / 20140017769 - METHOD AND BIOREACTOR FOR THE CULTIVATION OF MICROORGANISMS | 1 |
Jacob Hendrik Obbo Hazewinkel | NL | Zoetermeer | 2014-07-24 / 20140206056 - INSTALLATION AND METHOD FOR BIOMASS CONVERSION INTO METHANE | 5 |
Jeffrey W. Hazey | US | Dublin | 2014-03-20 / 20140081293 - ENDOLUMENAL RESTRICTION METHOD AND APPARATUS | 2 |
Tomoaki Hazeyama | JP | Yokkaichi | 2012-02-02 / 20120027471 - DEVELOPER SUPPLY DEVICE AND IMAGE FORMING APPARATUS HAVING THE SAME | 5 |
Ichiro Hazeyama | JP | Kawasaki | 2013-09-19 / 20130241850 - TOUCH PANEL AND DISPLAY DEVICE EMPLOYING THE SAME | 2 |
Atsushi Hazeyama | JP | Ome | 2014-03-13 / 20140070863 - SEMICONDUCTOR INTEGRATED CIRCUIT | 2 |
Tomoaki Hazeyama | JP | Yokkaichi-Shi | 2016-04-28 / 20160116875 - Image Forming Apparatus Configured to Control Rotational Speed of Pressure Roller Using Temperature of Heat Unit and Parameter | 23 |
Moriyuki Hazeyama | JP | Tokyo | 2016-01-07 / 20160006307 - ROTATING ELECTRICAL MACHINE | 17 |
Moriyuki Hazeyama | JP | Chiyoda-Ku | 2016-05-05 / 20160126791 - ROTATING ELECTRIC MACHINE | 10 |
Ichirou Hazeyama | JP | Tokyo | 2013-09-12 / 20130234295 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME, WIRING BOARD AND METHOD OF MANUFACTURING SAME, SEMICONDUCTOR PACKAGE, AND ELECTRONIC DEVICE | 2 |
Hiroyuki Hazeyama | JP | Osaka | 2010-09-23 / 20100239124 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Moriyuki Hazeyama | JP | Chiyoda-Ku | 2016-05-05 / 20160126791 - ROTATING ELECTRIC MACHINE | 10 |
Ariel Hazi | US | Los Angeles | 2009-06-25 / 20090164455 - SYSTEM AND METHOD FOR PERFORMING UNICODE MATCHING | 1 |
Raja Hazime | US | Dearborn Heights | 2009-08-06 / 20090194348 - AUTOMOTIVE HOOD-HINGE SYSTEM | 2 |
Paulette Hazin | US | Sugar Land | 2013-08-22 / 20130217568 - OXIDATIVE DEHYDROGENATION OF OLEFINS CATALYST AND METHODS OF MAKING AND USING THE SAME | 2 |
Sefa Hazir | TR | Istanbul | 2015-10-29 / 20150312969 - A FOOD PREPARATION APPLIANCE OPERATED ON AN INDUCTION HEATING COOKTOP | 5 |
Dedi David Haziza | US | Cupertino | 2016-01-07 / 20160006129 - Horn Lens Antenna | 2 |
Stephane Haziza | US | Woodland Hills | 2014-06-05 / 20140157123 - METHOD AND SYSTEM FOR A PERSONAL CHANNEL ON A MULTIMEDIA DEVICE | 2 |
Dedi D. Haziza | US | Los Gatos | 2014-07-03 / 20140187174 - Smart Antenna Platform for Indoor Wireless Local Area Networks | 2 |
Rafi Haziza | IL | Kiryat-Bialik | 2016-02-11 / 20160038157 - BONE MATERIAL REMOVAL DEVICES | 1 |
Christelle Haziza | CH | Neuchatel | 2015-12-17 / 20150359264 - AEROSOL FROM TOBACCO | 1 |
Dedi David Haziza | US | Los Gatos | 2010-06-17 / 20100149061 - INTEGRATED WAVEGUIDE CAVITY ANTENNA AND REFLECTOR DISH | 1 |
Dedi David Haziza | US | San Jose | 2009-04-09 / 20090091500 - Variable Dielectric Constant-Based Antenna And Array | 4 |
Stephane Haziza | US | Sherman Oaks | 2009-01-08 / 20090013353 - Method and system for presenting TV channels | 1 |
Gil Haziza | US | New York | 2010-09-23 / 20100236571 - Environmentally safe hairbrush with automatically disentangling removable handle, magnetic rollers and removable bristles | 1 |
John Hazle | US | Kingwood | 2015-11-05 / 20150316631 - METHODS AND APPARATUS FOR PHASE CORRECTION IN MAGNETIC RESONANCE IMAGING | 1 |
Joshua Hazle | US | St. Petersburg | 2012-11-22 / 20120295084 - PROCESS FOR PREPARING ARTICLES HAVING ANTI-FOG LAYER BY LAYER COATING AND COATED ARTICLES HAVING ENHANCED ANTI-FOG AND DURABILITY PROPERTIES | 2 |
Joshua Hazle | US | Largo | 2015-12-10 / 20150355387 - Composition for Transparent Antistatic Coating | 2 |
David A. Hazlebeck | US | El Cajon | 2015-06-25 / 20150173317 - CONTROLLED SYSTEM FOR SUPPORTING ALGAE GROWTH WITH ADSORBED CARBON DIOXIDE | 22 |
Peter Alexander Hazlehurst | US | Foster City | 2010-01-07 / 20100004990 - Host Exchange in Bill Paying Services | 2 |
Timothy Hazlehurst | US | Seattle | 2015-08-20 / 20150230425 - WILDLIFE EXCLUSION SYSTEMS AND METHODS FOR RAILWAY TRACKS | 2 |
Richard C. Hazlehurst | US | Holly Springs | 2009-11-19 / 20090283516 - Stable high-Q magnetron power supply | 1 |
Fred Hazlehurst | US | Union | 2009-06-11 / 20090145498 - Strainer Housing Assembly And Stand For Pump | 2 |
Peter Hazlehurst | US | Foster City | 2016-05-12 / 20160132876 - AUTOMATIC CLOSED LOOP PAYMENT REDEMPTION | 11 |
Peter Hazlehurst | US | Foster City | 2016-05-12 / 20160132876 - AUTOMATIC CLOSED LOOP PAYMENT REDEMPTION | 11 |
Lori Anne Hazlehurst | US | Ruskin | 2014-03-20 / 20140080762 - HYD1 PEPTIDES FOR RELAPSED CANCER | 1 |
Laurance N. Hazlehurst | US | Seattle | 2014-06-05 / 20140156047 - Manufacturing Process Monitoring and Control System | 5 |
Lori Hazlehurst | US | Ruskin | 2015-03-12 / 20150071918 - PEPTIDES FOR THE TREATMENT OF CANCER | 4 |
James C. Hazlett | US | Delevan | 2009-03-12 / 20090065491 - HEATED HAND GRIPS | 1 |
Michael E. Hazlett | US | Taylorsville | 2012-02-09 / 20120031002 - APPARATUS AND METHOD FOR PREASSEMBLING, SHIPPING, AND INSTALLING A MOVABLE PARTITION SYSTEM | 2 |
Michael E. Hazlett | US | Salt Lake City | 2009-07-30 / 20090188633 - FOLDING PARTITIONS, COMPONENTS THEREFOR AND RELATED METHODS | 1 |
Michael Hazlett | US | Taylorsville | 2015-10-22 / 20150300387 - PROTECTIVE CLIPS FOR MOVABLE PARTITIONS AND RELATED METHODS | 4 |
Karsten Hazlett | US | East Berne | 2015-01-29 / 20150030632 - FUSION PROTEIN FOR ENHANCING IMMUNOGENICITY OF BACTERIAL ANTIGEN/IMMUNOGEN | 1 |
Randy Doyle Hazlett | US | Dallas | 2012-11-29 / 20120303342 - METHOD AND SYSTEM FOR REPRESENTING WELLS IN MODELING A PHYSICAL FLUID RESERVOIR | 2 |
Jason Hazlett | US | Mt. Dora | 2011-07-28 / 20110179553 - Support Belt For Use With Body Armor | 1 |
Linda D. Hazlett | US | Grosse Point | 2010-04-29 / 20100104516 - USE OF FLAGELLIN TO PREVENT AND TREAT GRAM NEGATIVE BACTERIAL INFECTION | 1 |
Luke P. Hazlett | CA | Toronto | 2016-05-12 / 20160128369 - USE OF RESISTANT STARCHES IN COATING COMPOSITIONS | 4 |
Leonard D. Hazlett | US | Hartville | 2010-05-20 / 20100123027 - BLADED COAL DIFFUSER AND COAL LINE BALANCING DEVICE | 1 |
Bob Hazlett | US | Lebanon | 2010-02-18 / 20100038612 - FENCING SYSTEM FOR CAMPFIRE | 1 |
Andy Hazlewood | US | Austin | 2009-08-27 / 20090216779 - TRANSFERRING MESSAGES TO A DIRECTORY | 2 |
William R. Hazlewood | US | Corvallis | 2010-01-21 / 20100017725 - AMBIENT COLLAGE DISPLAY OF DIGITAL MEDIA CONTENT | 1 |
Kristin M. Hazlewood | US | Austin | 2014-06-26 / 20140177842 - Optimizing Use of Hardware Security Modules | 13 |
Anna Hazlewood | US | San Diego | 2015-11-26 / 20150336898 - Prodrugs of Modulators of ABC Transporters | 20 |
Anna Hazlewood | US | San Diego | 2015-11-26 / 20150336898 - Prodrugs of Modulators of ABC Transporters | 20 |
Anna R. Hazlewood | US | San Diego | 2012-12-06 / 20120309758 - MODULATORS OF ATP-BINDING CASSETTE TRANSPORTERS | 1 |
Stephanie J. Hazlewood | CA | Toronto | 2015-05-07 / 20150127690 - PLURAL ARCHITECTURE MASTER DATA MANAGEMENT WITH SUPPLEMENTAL ATTRIBUTES | 5 |
Krstin Marie Hazlewood | US | Austin | 2010-06-10 / 20100146340 - Analyzing Coverage of Code Changes | 1 |
Robert Joseph Hazlewood | US | Plymouth | 2016-05-05 / 20160121771 - METHOD OF REINFORCING A SEATBACK FRAME OF A SEAT ASSEMBLY | 11 |
Kristin M. Hazlewood | US | Austin | 2014-06-26 / 20140177842 - Optimizing Use of Hardware Security Modules | 13 |
Ann Hazlewood | US | San Diego | 2013-11-14 / 20130303484 - Prodrugs of Modulators of ABC Transporters | 2 |
Geoff Hazlewood | US | San Diego | 2013-12-19 / 20130337507 - Xylanases, Nucleic Acids Encoding Them and Methods for Making and Using Them | 5 |
Geoff Hazlewood | GB | Newbury | 2015-05-21 / 20150139977 - XYLANASES, NUCLEIC ACIDS ENCODING THEM AND METHODS FOR MAKING AND USING THEM | 2 |
William R. Hazlewood | US | Seattle | 2015-12-31 / 20150379959 - AUTOMATIC IMAGE-BASED RECOMMENDATIONS USING A COLOR PALETTE | 1 |
Kristin Marie Hazlewood | US | Austin | 2012-06-28 / 20120166455 - Filter Range Bound Paged Search | 24 |
Stephanie Hazlewood | CA | Toronto | 2014-09-25 / 20140289256 - METHOD AND SYSTEM FOR MANAGING FACETED DATA | 1 |
Shaun Christopher Hazlewood | GB | Suffolk | 2010-03-18 / 20100068476 - Inkjet Printing | 4 |
Robert Joseph Hazlewood | US | Plymouth | 2016-05-05 / 20160121771 - METHOD OF REINFORCING A SEATBACK FRAME OF A SEAT ASSEMBLY | 11 |
Geoff Hazlewood | GB | Berkshire | 2013-06-06 / 20130143295 - AMYLASES AND GLUCOAMYLASES, NUCLEIC ACIDS ENCODING THEM AND METHODS FOR MAKING AND USING THEM | 3 |
Robert J. Hazlewood | US | Plymouth | 2016-04-28 / 20160114710 - SEAT BACK CUSHION FOR A VEHICLE | 3 |
Lonnie G. Hazlitt | US | Lake Jackson | 2014-09-11 / 20140256883 - HIGH-DENSITY POLYETHYLENE COMPOSITIONS, METHOD OF MAKING THE SAME | 19 |
Lonnie Hazlitt | US | Lake Jackson | 2011-08-18 / 20110201753 - LONG CHAIN BRANCHED (LCB), BLOCK OR INTERCONNECTED COPOLYMERS OF ETHYLENE IN COMBINATION WITH ONE OTHER POLYMER | 1 |
David Scott Hazlitt | US | Boulder | 2015-12-03 / 20150342583 - SURGICAL DEVICE AND LINKAGE SYSTEM FOR SAME | 1 |
Andrea Hazlitt | US | Lake Jackson | 2011-04-28 / 20110097523 - FILMS AND ARTICLES WITH GOOD TASTE AND/OR ODOR PERFORMANCE | 1 |
Lonnie G. Hazlitt | US | Lake Jackson | 2014-09-11 / 20140256883 - HIGH-DENSITY POLYETHYLENE COMPOSITIONS, METHOD OF MAKING THE SAME | 19 |
Istvan Hazman | HU | Budapest | 2009-11-12 / 20090281810 - SYSTEM AND METHOD FOR VISUALLY PRESENTING AUDIO SIGNALS | 1 |
Dov Hazony | US | University Heights | 2009-08-27 / 20090216126 - IMPLANTABLE MINIATURIZED ULTRASONIC TRANSDUCERS | 1 |
Yohan Hazot | IL | Givat Shmuel | 2013-01-31 / 20130028850 - TOPICAL TETRACYCLINE COMPOSITIONS | 5 |
Yohan Hazot | IL | Rehovot | 2016-04-14 / 20160101184 - SURFACTANT-FREE WATER-FREE FOAMABLE COMPOSITIONS, BREAKABLE FOAMS AND GELS AND THEIR USES | 14 |
Yohan Hazot | IL | Rehovot | 2016-04-14 / 20160101184 - SURFACTANT-FREE WATER-FREE FOAMABLE COMPOSITIONS, BREAKABLE FOAMS AND GELS AND THEIR USES | 14 |
C.r Hazra | IN | New Delhi | 2013-02-14 / 20130041833 - PROCESSS OF ELECTRONIC MANAGEMENT OF ORGANIC PRODUCTION AND CERTIFICATION | 1 |
Tanmoy Hazra | IN | West Bengal | 2013-11-07 / 20130298187 - MANAGING VIRTUAL IDENTITIES | 1 |
Partha Hazra | IN | Bangalore | 2012-09-13 / 20120231520 - NOVEL FUSION PROTEINS AND METHOD OF EXPRESSION THEREOF | 1 |
Chayan Hazra | IN | Mumbai | 2013-01-17 / 20130018793 - METHODS AND SYSTEMS FOR PAYMENTS ASSURANCE | 1 |
Suparno Hazra | IN | Kolkata | 2014-10-02 / 20140296384 - CORROSION, CHIP AND FUEL RESISTANT COATING COMPOSITION | 1 |
Mousumi M. Hazra | US | Beaverton | 2014-09-18 / 20140280840 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR PROVIDING A UNIVERSAL PERSISTENCE CLOUD SERVICE | 12 |
Partha Hazra | IN | Karnataka | 2011-09-29 / 20110236925 - Method of Obtaining a Purified, Biologically Active Heterologous Protein | 3 |
Anindya Hazra | IN | Thakurpukur | 2013-05-02 / 20130109665 - NOVEL PYRAZINE DERIVATIVES | 1 |
Sukanta Hazra | SG | Singapore | 2009-01-29 / 20090031323 - COMMUNICATION SYSTEM AND METHOD | 1 |
Mousumi Hazra | US | Beaverton | 2014-10-02 / 20140297823 - CLOUD BASED VIRTUAL MOBILE DEVICE | 5 |
Srikant Hazra | SA | Riyadh | 2009-12-10 / 20090306317 - Process for the Preparation of a Silylchromate Catalyst | 1 |
Kiran Shankar Hazra | IN | Mumbai | 2012-01-26 / 20120019122 - DEVICE HAVING ALIGNED CARBON NANOTUBE | 1 |
Kaushik Hazra | IN | West Bengal | 2016-04-28 / 20160117627 - SYSTEMS AND METHODS FOR WORKER RESOURCE MANAGEMENT | 1 |
Jagabondhu Hazra | IN | Bangalore | 2016-03-31 / 20160094066 - INTELLIGENT COMPOSABLE MULTI-FUNCTION BATTERY PACK | 9 |
Shyamapad Hazra | US | Charlotte | 2011-08-25 / 20110208783 - Integration of User Identifiers | 1 |
Mousumi M. Hazra | US | Beaverton | 2014-09-18 / 20140280840 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR PROVIDING A UNIVERSAL PERSISTENCE CLOUD SERVICE | 12 |
Budhaditya Hazra | CA | Kitchener | 2015-07-02 / 20150184536 - METHODS AND SYSTEMS TO MONITOR HEALTH OF ROTOR BLADES | 2 |
Jagabondhu Hazra | IN | Bangalore | 2016-03-31 / 20160094066 - INTELLIGENT COMPOSABLE MULTI-FUNCTION BATTERY PACK | 9 |
Kati Hazrati | US | Boston | 2011-02-03 / 20110029134 - Method For Monitoring Thixotropy In Concrete Mixing Drum | 1 |
Hiroyuki Hazu | JP | Shizuoka | 2013-01-03 / 20130003138 - SHADING DEVICE FOR IMAGE READING SECTION | 3 |
Kouji Hazu | JP | Miyagi | 2012-12-27 / 20120325310 - LAMINATE, METHOD FOR PRODUCING SAME, AND FUNCTIONAL ELEMENT USING SAME | 1 |
Fumihiro Hazu | JP | Aichi-Ken | 2008-12-18 / 20080312030 - TRANSMISSION DEVICE AND CONTROL METHOD FOR CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Hiroyuki Hazu | JP | Shizuoka-Ken | 2014-07-24 / 20140203492 - SHEET DISCHARGING DEVICE AND ERASING DEVICE | 14 |
Hiroyuki Hazu | JP | Tokyo | 2012-12-20 / 20120319348 - SHEET FEED APPARATUS AND SHEET FEED METHOD | 1 |
Hiroyuki Hazu | JP | Shizuoka-Ken | 2014-07-24 / 20140203492 - SHEET DISCHARGING DEVICE AND ERASING DEVICE | 14 |
Peter Hazucha | US | Beaverton | 2014-03-27 / 20140089687 - POWER MANAGEMENT INTEGRATED CIRCUIT | 22 |
Daria Hazuda | US | West Point | 2014-11-06 / 20140328799 - COMPOSITIONS USEFUL FOR THE TREATMENT OF VIRAL DISEASES | 1 |
Edward Richard Hazuka, Ii | US | Makawao | 2010-12-09 / 20100307869 - UNIVERSAL PLATFORM DEVICE AND METHOD | 1 |
Eli Hazum | IL | Tel Aviv | 2012-08-02 / 20120196920 - ANTISENSE OLIGONUCLEOTIDES AGAINST ACETYLCHOLINESTERASE FOR TREATING INFLAMMATORY DISEASES | 2 |
Kimikazu Hazumi | JP | Tokyo | 2011-03-03 / 20110053310 - PHOTOVOLTAIC DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Eran Hazut | IL | Yavne | 2011-02-03 / 20110027045 - SELF DRILLING BOLT WITH ANCHOR | 1 |
Peter Hazy | CA | Ottawa | 2015-05-07 / 20150124709 - GENERALIZED OUTER LOOP LINK ADAPTATION | 2 |
Joel Evan Hazy | US | Brighton | 2014-09-18 / 20140260074 - ROOFING SYSTEMS AND METHODS | 12 |
Joel Hazy | US | Brighton | 2014-10-09 / 20140299052 - POLYMER MODIFIED BITUMEN CAP SHEETS AND METHODS | 2 |
Robin Hazy | US | Summit Point | 2009-11-19 / 20090283009 - PASSENGER RAIL CAR | 1 |
Peter Hazy | CA | Kanata | 2013-01-10 / 20130010695 - System and method for adaptive frame size management in a wireless multihop network | 2 |
Joel Evan Hazy | US | Brighton | 2014-09-18 / 20140260074 - ROOFING SYSTEMS AND METHODS | 12 |
Robert Joseph Hazy | US | El Dorado Hills | 2013-09-26 / 20130253970 - Audio Message Driven Customer Interaction Queuing System | 2 |
James K. Hazy | US | Lebanon | 2015-09-24 / 20150269525 - System and method for the augmentation of emotional and social intelligence in technology mediated communication | 2 |
Basem Hazzan | IL | Akko | 2009-11-12 / 20090279960 - Device and Method for Improved Pile Casting | 1 |
Gideon Hazzani | IL | Rishon Le Zion | 2016-02-04 / 20160034505 - SYSTEMS AND METHODS FOR LARGE-SCALE LINK ANALYSIS | 15 |
Mary S. Hazzard | US | Unionville | 2013-08-29 / 20130220645 - GLUE-ON HORSESHOE | 1 |
Andy Hazzard | US | Seattle | 2016-01-07 / 20160006869 - Unique Global Identifier Header for Minimizing Prank Emergency 911 Calls | 4 |
Tracy Leigh Hazzard | US | Ladera Ranch | 2014-08-28 / 20140239687 - NO-TOOLS CHAIR SEAT ASSEMBLY | 4 |
Shane A. Hazzard | US | Cornelius | 2013-09-05 / 20130231883 - APPARATUS AND METHOD FOR PERFORMING BURST TRIGGERING IN A TEST AND MEASUREMENT INSTRUMENT | 3 |
Shane A. Hazzard | US | North Plains | 2012-08-02 / 20120194169 - dF/dT TRIGGER SYSTEM AND METHOD | 2 |
Joel Hazzard | US | Osceola | 2015-11-12 / 20150320202 - DISPLAY POSITIONING APPARATUS AND METHOD | 9 |
Thomas B. Hazzard | US | Ladera Ranch | 2014-08-28 / 20140239687 - NO-TOOLS CHAIR SEAT ASSEMBLY | 7 |
Joel Hazzard | US | Osceola | 2015-11-12 / 20150320202 - DISPLAY POSITIONING APPARATUS AND METHOD | 9 |
Robert L. Hazzard | US | Windsor | 2016-01-07 / 20160003081 - FLEXIBLE FINGER SEAL FOR SEALING A GAP BETWEEN TURBINE ENGINE COMPONENTS | 3 |
Fritz Hazzard | US | Plymouth | 2014-07-24 / 20140203093 - MULTI-TANK WATER HEATER SYSTEMS | 4 |
Mark G. Hazzard | US | Forest Lake | 2013-04-11 / 20130091561 - EXECUTING COMMANDS PROVIDED DURING USER AUTHENTICATION | 2 |
Frederick Hazzard | US | Plymouth | 2015-10-01 / 20150277463 - SYSTEM FOR COMMUNICATION, OPTIMIZATION AND DEMAND CONTROL FOR AN APPLIANCE | 2 |
Tim Hazzard | US | Allen | 2015-04-16 / 20150102101 - DATA CENTER EQUIPMENT CABINET INFORMATION CENTER AND UPDATEABLE ASSET TRACKING SYSTEM | 3 |
Mohammed A. Hazzazi | SA | Dammam | 2015-10-08 / 20150286971 - BIT PERFORMANCE ANALYSIS | 1 |
Slim Hbaeib | BR | Rio De Janeiro | 2014-09-18 / 20140262536 - DOWNHOLE CUTTING TOOLS HAVING HYBRID CUTTING STRUCTURES | 1 |
Slim Hbaieb | FR | Paris | 2010-12-16 / 20100314173 - METHODS OF DRILLING WITH A DOWNHOLE DRILLING MACHINE | 1 |
Slim Hbaieb | BR | Rio De Janeiro | 2016-03-17 / 20160076357 - METHODS FOR SELECTING AND OPTIMIZING DRILLING SYSTEMS | 2 |
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Ha-Hc Hd-Hf Hg-Hi Hj-Hl Hm-Ho Hp-Hr Hs-Hu Hv-Hx Hy-Hz